Update wrapper with power rings & obstructions
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 99aac24..d054db1 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -2634,1504 +2634,1597 @@
 - mprj user_proj_example + FIXED ( 1150000 1700000 ) N ;
 END COMPONENTS
 
-PINS 607 ;
-- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 39100 ) N ;
-- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2385100 ) N ;
-- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2619700 ) N ;
-- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2854300 ) N ;
-- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3088900 ) N ;
-- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3323500 ) N ;
-- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2865570 3518800 ) N ;
-- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2541270 3518800 ) N ;
-- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2216970 3518800 ) N ;
-- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL 
+PINS 638 ;
+- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1892210 3518800 ) N ;
-- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + PLACED ( 1008090 3518800 ) N ;
+- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1567910 3518800 ) N ;
-- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + PLACED ( 729790 3518800 ) N ;
+- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 273700 ) N ;
-- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1243610 3518800 ) N ;
-- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 918850 3518800 ) N ;
-- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 594550 3518800 ) N ;
-- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 270250 3518800 ) N ;
-- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3477180 ) N ;
-- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3226260 ) N ;
-- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2974660 ) N ;
-- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2723060 ) N ;
-- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2471460 ) N ;
-- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2220540 ) N ;
-- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 508300 ) N ;
-- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1968940 ) N ;
-- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1717340 ) N ;
-- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1466420 ) N ;
-- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1214820 ) N ;
-- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 963220 ) N ;
-- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 711620 ) N ;
-- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 460700 ) N ;
-- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 209100 ) N ;
-- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 742900 ) N ;
-- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 977500 ) N ;
-- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1212100 ) N ;
-- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1446700 ) N ;
-- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1681300 ) N ;
-- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1915900 ) N ;
-- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2150500 ) N ;
-- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 195500 ) N ;
-- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2541500 ) N ;
-- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2776100 ) N ;
-- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3010700 ) N ;
-- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3245300 ) N ;
-- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3479900 ) N ;
-- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2649370 3518800 ) N ;
-- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2325070 3518800 ) N ;
-- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2000770 3518800 ) N ;
-- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1676010 3518800 ) N ;
-- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1351710 3518800 ) N ;
-- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 430100 ) N ;
-- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1027410 3518800 ) N ;
-- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 702650 3518800 ) N ;
-- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 378350 3518800 ) N ;
-- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 54050 3518800 ) N ;
-- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3309900 ) N ;
-- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3058300 ) N ;
-- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2806700 ) N ;
-- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2555780 ) N ;
-- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2304180 ) N ;
-- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2052580 ) N ;
-- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 664700 ) N ;
-- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1801660 ) N ;
-- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1550060 ) N ;
-- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1298460 ) N ;
-- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1046860 ) N ;
-- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 795940 ) N ;
-- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 544340 ) N ;
-- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 292740 ) N ;
-- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 41820 ) N ;
-- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 899300 ) N ;
-- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1133900 ) N ;
-- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1368500 ) N ;
-- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1603100 ) N ;
-- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1837700 ) N ;
-- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2072300 ) N ;
-- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2306900 ) N ;
-- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 117300 ) N ;
-- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2463300 ) N ;
-- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2697900 ) N ;
-- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2932500 ) N ;
-- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3167100 ) N ;
-- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 3401700 ) N ;
-- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2757470 3518800 ) N ;
-- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2433170 3518800 ) N ;
-- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2108870 3518800 ) N ;
-- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1784110 3518800 ) N ;
-- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1459810 3518800 ) N ;
-- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 351900 ) N ;
-- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1135510 3518800 ) N ;
-- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 810750 3518800 ) N ;
-- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 486450 3518800 ) N ;
-- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 162150 3518800 ) N ;
-- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3393540 ) N ;
-- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 3141940 ) N ;
-- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2891020 ) N ;
-- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2639420 ) N ;
-- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2387820 ) N ;
-- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 2136220 ) N ;
-- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 586500 ) N ;
-- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1885300 ) N ;
-- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1633700 ) N ;
-- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1382100 ) N ;
-- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 1131180 ) N ;
-- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 879580 ) N ;
-- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 627980 ) N ;
-- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 376380 ) N ;
-- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 1200 125460 ) N ;
-- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 821100 ) N ;
-- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1055700 ) N ;
-- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1290300 ) N ;
-- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1524900 ) N ;
-- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1759500 ) N ;
-- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 1994100 ) N ;
-- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
-  + PLACED ( 2918800 2228700 ) N ;
-- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 633190 1200 ) N ;
-- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2417530 1200 ) N ;
-- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2435010 1200 ) N ;
-- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2452950 1200 ) N ;
-- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2470890 1200 ) N ;
-- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2488830 1200 ) N ;
-- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2506310 1200 ) N ;
-- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2524250 1200 ) N ;
-- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2542190 1200 ) N ;
-- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2560130 1200 ) N ;
-- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2578070 1200 ) N ;
-- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 811670 1200 ) N ;
-- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2595550 1200 ) N ;
-- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2613490 1200 ) N ;
-- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2631430 1200 ) N ;
-- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2649370 1200 ) N ;
-- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2667310 1200 ) N ;
-- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2684790 1200 ) N ;
-- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2702730 1200 ) N ;
-- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2720670 1200 ) N ;
-- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2738610 1200 ) N ;
-- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2756090 1200 ) N ;
-- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 829610 1200 ) N ;
-- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2774030 1200 ) N ;
-- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2791970 1200 ) N ;
-- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2809910 1200 ) N ;
-- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2827850 1200 ) N ;
-- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2845330 1200 ) N ;
-- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2863270 1200 ) N ;
-- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2881210 1200 ) N ;
-- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2899150 1200 ) N ;
-- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 847090 1200 ) N ;
-- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 865030 1200 ) N ;
-- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 882970 1200 ) N ;
-- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 900910 1200 ) N ;
-- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 918850 1200 ) N ;
-- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 936330 1200 ) N ;
-- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 954270 1200 ) N ;
-- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 972210 1200 ) N ;
-- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 651130 1200 ) N ;
-- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 990150 1200 ) N ;
-- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1007630 1200 ) N ;
-- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1025570 1200 ) N ;
-- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1043510 1200 ) N ;
-- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1061450 1200 ) N ;
-- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1079390 1200 ) N ;
-- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1096870 1200 ) N ;
-- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1114810 1200 ) N ;
-- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1132750 1200 ) N ;
-- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1150690 1200 ) N ;
-- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 669070 1200 ) N ;
-- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1168630 1200 ) N ;
-- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1186110 1200 ) N ;
-- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1204050 1200 ) N ;
-- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1221990 1200 ) N ;
-- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1239930 1200 ) N ;
-- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1257410 1200 ) N ;
-- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1275350 1200 ) N ;
-- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1293290 1200 ) N ;
-- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1311230 1200 ) N ;
-- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1329170 1200 ) N ;
-- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 686550 1200 ) N ;
-- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1346650 1200 ) N ;
-- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1364590 1200 ) N ;
-- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1382530 1200 ) N ;
-- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1400470 1200 ) N ;
-- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1418410 1200 ) N ;
-- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1435890 1200 ) N ;
-- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1453830 1200 ) N ;
-- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1471770 1200 ) N ;
-- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1489710 1200 ) N ;
-- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1507190 1200 ) N ;
-- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 704490 1200 ) N ;
-- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1525130 1200 ) N ;
-- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1543070 1200 ) N ;
-- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1561010 1200 ) N ;
-- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1578950 1200 ) N ;
-- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1596430 1200 ) N ;
-- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1614370 1200 ) N ;
-- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1632310 1200 ) N ;
-- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1650250 1200 ) N ;
-- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1668190 1200 ) N ;
-- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1685670 1200 ) N ;
-- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 722430 1200 ) N ;
-- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1703610 1200 ) N ;
-- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1721550 1200 ) N ;
-- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1739490 1200 ) N ;
-- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1756970 1200 ) N ;
-- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1774910 1200 ) N ;
-- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1792850 1200 ) N ;
-- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1810790 1200 ) N ;
-- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1828730 1200 ) N ;
-- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1846210 1200 ) N ;
-- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1864150 1200 ) N ;
-- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 740370 1200 ) N ;
-- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1882090 1200 ) N ;
-- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1900030 1200 ) N ;
-- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1917970 1200 ) N ;
-- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1935450 1200 ) N ;
-- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1953390 1200 ) N ;
-- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1971330 1200 ) N ;
-- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1989270 1200 ) N ;
-- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2006750 1200 ) N ;
-- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2024690 1200 ) N ;
-- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2042630 1200 ) N ;
-- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 757850 1200 ) N ;
-- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2060570 1200 ) N ;
-- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2078510 1200 ) N ;
-- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2095990 1200 ) N ;
-- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2113930 1200 ) N ;
-- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2131870 1200 ) N ;
-- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2149810 1200 ) N ;
-- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2167750 1200 ) N ;
-- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2185230 1200 ) N ;
-- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2203170 1200 ) N ;
-- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2221110 1200 ) N ;
-- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 775790 1200 ) N ;
-- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2239050 1200 ) N ;
-- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2256530 1200 ) N ;
-- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2274470 1200 ) N ;
-- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2292410 1200 ) N ;
-- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2310350 1200 ) N ;
-- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2328290 1200 ) N ;
-- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2345770 1200 ) N ;
-- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2363710 1200 ) N ;
-- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2381650 1200 ) N ;
-- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2399590 1200 ) N ;
-- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 793730 1200 ) N ;
-- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 639170 1200 ) N ;
-- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2423050 1200 ) N ;
-- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2440990 1200 ) N ;
-- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2458930 1200 ) N ;
-- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2476870 1200 ) N ;
-- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2494810 1200 ) N ;
-- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2512290 1200 ) N ;
-- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2530230 1200 ) N ;
-- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2548170 1200 ) N ;
-- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2566110 1200 ) N ;
-- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2584050 1200 ) N ;
-- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 817650 1200 ) N ;
-- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2601530 1200 ) N ;
-- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2619470 1200 ) N ;
-- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2637410 1200 ) N ;
-- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2655350 1200 ) N ;
-- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2672830 1200 ) N ;
-- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2690770 1200 ) N ;
-- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2708710 1200 ) N ;
-- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2726650 1200 ) N ;
-- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2744590 1200 ) N ;
-- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2762070 1200 ) N ;
-- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 835590 1200 ) N ;
-- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2780010 1200 ) N ;
-- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2797950 1200 ) N ;
-- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2815890 1200 ) N ;
-- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2833830 1200 ) N ;
-- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2851310 1200 ) N ;
-- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2869250 1200 ) N ;
-- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2887190 1200 ) N ;
-- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2905130 1200 ) N ;
-- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 853070 1200 ) N ;
-- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 871010 1200 ) N ;
-- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 888950 1200 ) N ;
-- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 906890 1200 ) N ;
-- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 924370 1200 ) N ;
-- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 942310 1200 ) N ;
-- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 960250 1200 ) N ;
-- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 978190 1200 ) N ;
-- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 657110 1200 ) N ;
-- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 996130 1200 ) N ;
-- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1013610 1200 ) N ;
-- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1031550 1200 ) N ;
-- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1049490 1200 ) N ;
-- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1067430 1200 ) N ;
-- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1085370 1200 ) N ;
-- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1102850 1200 ) N ;
-- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1120790 1200 ) N ;
-- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1138730 1200 ) N ;
-- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1156670 1200 ) N ;
-- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 674590 1200 ) N ;
-- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1174150 1200 ) N ;
-- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1192090 1200 ) N ;
-- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1210030 1200 ) N ;
-- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1227970 1200 ) N ;
-- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1245910 1200 ) N ;
-- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1263390 1200 ) N ;
-- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1281330 1200 ) N ;
-- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1299270 1200 ) N ;
-- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1317210 1200 ) N ;
-- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1335150 1200 ) N ;
-- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 692530 1200 ) N ;
-- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1352630 1200 ) N ;
-- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1370570 1200 ) N ;
-- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1388510 1200 ) N ;
-- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1406450 1200 ) N ;
-- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1423930 1200 ) N ;
-- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1441870 1200 ) N ;
-- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1459810 1200 ) N ;
-- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1477750 1200 ) N ;
-- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1495690 1200 ) N ;
-- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1513170 1200 ) N ;
-- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 710470 1200 ) N ;
-- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1531110 1200 ) N ;
-- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1549050 1200 ) N ;
-- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1566990 1200 ) N ;
-- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1584930 1200 ) N ;
-- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1602410 1200 ) N ;
-- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1620350 1200 ) N ;
-- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1638290 1200 ) N ;
-- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1656230 1200 ) N ;
-- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1673710 1200 ) N ;
-- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1691650 1200 ) N ;
-- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 728410 1200 ) N ;
-- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1709590 1200 ) N ;
-- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1727530 1200 ) N ;
-- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1745470 1200 ) N ;
-- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1762950 1200 ) N ;
-- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1780890 1200 ) N ;
-- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1798830 1200 ) N ;
-- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1816770 1200 ) N ;
-- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1834710 1200 ) N ;
-- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1852190 1200 ) N ;
-- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1870130 1200 ) N ;
-- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 746350 1200 ) N ;
-- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1888070 1200 ) N ;
-- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1906010 1200 ) N ;
-- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1923490 1200 ) N ;
-- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1941430 1200 ) N ;
-- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1959370 1200 ) N ;
-- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1977310 1200 ) N ;
-- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1995250 1200 ) N ;
-- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2012730 1200 ) N ;
-- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2030670 1200 ) N ;
-- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2048610 1200 ) N ;
-- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 763830 1200 ) N ;
-- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2066550 1200 ) N ;
-- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2084490 1200 ) N ;
-- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2101970 1200 ) N ;
-- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2119910 1200 ) N ;
-- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2137850 1200 ) N ;
-- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2155790 1200 ) N ;
-- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2173270 1200 ) N ;
-- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2191210 1200 ) N ;
-- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2209150 1200 ) N ;
-- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2227090 1200 ) N ;
-- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 781770 1200 ) N ;
-- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2245030 1200 ) N ;
-- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2262510 1200 ) N ;
-- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2280450 1200 ) N ;
-- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2298390 1200 ) N ;
-- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2316330 1200 ) N ;
-- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2334270 1200 ) N ;
-- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2351750 1200 ) N ;
-- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2369690 1200 ) N ;
-- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2387630 1200 ) N ;
-- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2405570 1200 ) N ;
-- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 799710 1200 ) N ;
-- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 645150 1200 ) N ;
-- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2429030 1200 ) N ;
-- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2446970 1200 ) N ;
-- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2464910 1200 ) N ;
-- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2482850 1200 ) N ;
-- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2500790 1200 ) N ;
-- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2518270 1200 ) N ;
-- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2536210 1200 ) N ;
-- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2554150 1200 ) N ;
-- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2572090 1200 ) N ;
-- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2589570 1200 ) N ;
-- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 823630 1200 ) N ;
-- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2607510 1200 ) N ;
-- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2625450 1200 ) N ;
-- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2643390 1200 ) N ;
-- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2661330 1200 ) N ;
-- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2678810 1200 ) N ;
-- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2696750 1200 ) N ;
-- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2714690 1200 ) N ;
-- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2732630 1200 ) N ;
-- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2750570 1200 ) N ;
-- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2768050 1200 ) N ;
-- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 841110 1200 ) N ;
-- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2785990 1200 ) N ;
-- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2803930 1200 ) N ;
-- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2821870 1200 ) N ;
-- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2839350 1200 ) N ;
-- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2857290 1200 ) N ;
-- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2875230 1200 ) N ;
-- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2893170 1200 ) N ;
-- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL 
+  + PLACED ( 1200 245820 ) N ;
+- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
   + PLACED ( 2911110 1200 ) N ;
-- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL 
+- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 175780 ) N ;
+- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3272500 ) N ;
+- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 105060 ) N ;
+- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 859050 1200 ) N ;
-- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL 
+  + PLACED ( 660330 3518800 ) N ;
+- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 35020 ) N ;
+- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 876990 1200 ) N ;
-- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 894930 1200 ) N ;
-- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 912870 1200 ) N ;
-- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 930350 1200 ) N ;
-- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 948290 1200 ) N ;
-- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 966230 1200 ) N ;
-- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 984170 1200 ) N ;
-- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 663090 1200 ) N ;
-- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1002110 1200 ) N ;
-- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1019590 1200 ) N ;
-- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1037530 1200 ) N ;
-- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1055470 1200 ) N ;
-- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1073410 1200 ) N ;
-- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1090890 1200 ) N ;
-- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1108830 1200 ) N ;
-- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1126770 1200 ) N ;
-- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1144710 1200 ) N ;
-- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1162650 1200 ) N ;
-- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 680570 1200 ) N ;
-- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1180130 1200 ) N ;
-- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1198070 1200 ) N ;
-- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1216010 1200 ) N ;
-- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1233950 1200 ) N ;
-- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1251890 1200 ) N ;
-- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1269370 1200 ) N ;
-- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1287310 1200 ) N ;
-- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1305250 1200 ) N ;
-- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1323190 1200 ) N ;
-- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1340670 1200 ) N ;
-- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 698510 1200 ) N ;
-- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1358610 1200 ) N ;
-- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1376550 1200 ) N ;
-- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1394490 1200 ) N ;
-- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1412430 1200 ) N ;
-- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1429910 1200 ) N ;
-- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1447850 1200 ) N ;
-- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1465790 1200 ) N ;
-- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1483730 1200 ) N ;
-- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1501670 1200 ) N ;
-- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1519150 1200 ) N ;
-- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 716450 1200 ) N ;
-- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1537090 1200 ) N ;
-- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1555030 1200 ) N ;
-- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1572970 1200 ) N ;
-- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1590450 1200 ) N ;
-- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1608390 1200 ) N ;
-- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1626330 1200 ) N ;
-- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1644270 1200 ) N ;
-- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1662210 1200 ) N ;
-- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1679690 1200 ) N ;
-- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1697630 1200 ) N ;
-- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 734390 1200 ) N ;
-- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1715570 1200 ) N ;
-- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1733510 1200 ) N ;
-- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1751450 1200 ) N ;
-- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1768930 1200 ) N ;
-- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1786870 1200 ) N ;
-- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1804810 1200 ) N ;
-- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1822750 1200 ) N ;
-- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1840230 1200 ) N ;
-- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1858170 1200 ) N ;
-- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1876110 1200 ) N ;
-- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 752330 1200 ) N ;
-- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1894050 1200 ) N ;
-- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1911990 1200 ) N ;
-- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1929470 1200 ) N ;
-- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1947410 1200 ) N ;
-- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1965350 1200 ) N ;
-- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 1983290 1200 ) N ;
-- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2001230 1200 ) N ;
-- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2018710 1200 ) N ;
-- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2036650 1200 ) N ;
-- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2054590 1200 ) N ;
-- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 769810 1200 ) N ;
-- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2072530 1200 ) N ;
-- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2090010 1200 ) N ;
-- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2107950 1200 ) N ;
-- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2125890 1200 ) N ;
-- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2143830 1200 ) N ;
-- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2161770 1200 ) N ;
-- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2179250 1200 ) N ;
-- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2197190 1200 ) N ;
-- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2215130 1200 ) N ;
-- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2233070 1200 ) N ;
-- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 787750 1200 ) N ;
-- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2251010 1200 ) N ;
-- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2268490 1200 ) N ;
-- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2286430 1200 ) N ;
-- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2304370 1200 ) N ;
-- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2322310 1200 ) N ;
-- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2339790 1200 ) N ;
-- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2357730 1200 ) N ;
-- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2375670 1200 ) N ;
-- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2393610 1200 ) N ;
-- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 2411550 1200 ) N ;
-- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 805690 1200 ) N ;
-- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL 
+  + PLACED ( 590870 3518800 ) N ;
+- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3343220 ) N ;
+- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3202460 ) N ;
+- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3413260 ) N ;
+- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
   + PLACED ( 2917090 1200 ) N ;
+- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 521410 3518800 ) N ;
+- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 451490 3518800 ) N ;
+- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 382030 3518800 ) N ;
+- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 312570 3518800 ) N ;
+- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 243110 3518800 ) N ;
+- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3483980 ) N ;
+- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 173650 3518800 ) N ;
+- analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 104190 3518800 ) N ;
+- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 527340 ) N ;
+- analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 34730 3518800 ) N ;
+- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 938170 3518800 ) N ;
+- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 868710 3518800 ) N ;
+- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2905130 1200 ) N ;
+- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 457300 ) N ;
+- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 799250 3518800 ) N ;
+- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 386580 ) N ;
+- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 316540 ) N ;
+- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 35020 ) N ;
+- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2146420 ) N ;
+- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2357900 ) N ;
+- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2568700 ) N ;
+- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2780180 ) N ;
+- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2990980 ) N ;
+- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2884890 3518800 ) N ;
+- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2676510 3518800 ) N ;
+- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2468130 3518800 ) N ;
+- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2259290 3518800 ) N ;
+- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2050910 3518800 ) N ;
+- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 245820 ) N ;
+- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1842070 3518800 ) N ;
+- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1633690 3518800 ) N ;
+- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1424850 3518800 ) N ;
+- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1216470 3518800 ) N ;
+- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3483980 ) N ;
+- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3272500 ) N ;
+- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3061700 ) N ;
+- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2850220 ) N ;
+- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2639420 ) N ;
+- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2427940 ) N ;
+- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 457300 ) N ;
+- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2217140 ) N ;
+- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2005660 ) N ;
+- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1794860 ) N ;
+- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1583380 ) N ;
+- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1371900 ) N ;
+- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1161100 ) N ;
+- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 949620 ) N ;
+- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 738820 ) N ;
+- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 668100 ) N ;
+- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 879580 ) N ;
+- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1090380 ) N ;
+- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1301860 ) N ;
+- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1512660 ) N ;
+- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1724140 ) N ;
+- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1935620 ) N ;
+- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 175780 ) N ;
+- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2287180 ) N ;
+- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2498660 ) N ;
+- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2709460 ) N ;
+- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2920940 ) N ;
+- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3131740 ) N ;
+- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2745970 3518800 ) N ;
+- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2537590 3518800 ) N ;
+- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2328750 3518800 ) N ;
+- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2120370 3518800 ) N ;
+- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1911530 3518800 ) N ;
+- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 386580 ) N ;
+- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1703150 3518800 ) N ;
+- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1494770 3518800 ) N ;
+- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1285930 3518800 ) N ;
+- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1077550 3518800 ) N ;
+- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3343220 ) N ;
+- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3131740 ) N ;
+- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2920940 ) N ;
+- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2709460 ) N ;
+- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2498660 ) N ;
+- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2287180 ) N ;
+- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 598060 ) N ;
+- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2076380 ) N ;
+- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1864900 ) N ;
+- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1653420 ) N ;
+- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1442620 ) N ;
+- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1231140 ) N ;
+- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1020340 ) N ;
+- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 808860 ) N ;
+- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 598060 ) N ;
+- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 808860 ) N ;
+- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1020340 ) N ;
+- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1231140 ) N ;
+- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1442620 ) N ;
+- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1653420 ) N ;
+- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1864900 ) N ;
+- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2076380 ) N ;
+- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 105060 ) N ;
+- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2217140 ) N ;
+- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2427940 ) N ;
+- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2639420 ) N ;
+- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2850220 ) N ;
+- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 3061700 ) N ;
+- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2815430 3518800 ) N ;
+- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2607050 3518800 ) N ;
+- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2398210 3518800 ) N ;
+- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2189830 3518800 ) N ;
+- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1981450 3518800 ) N ;
+- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 316540 ) N ;
+- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1772610 3518800 ) N ;
+- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1564230 3518800 ) N ;
+- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1355390 3518800 ) N ;
+- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1147010 3518800 ) N ;
+- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3413260 ) N ;
+- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 3202460 ) N ;
+- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2990980 ) N ;
+- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2780180 ) N ;
+- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2568700 ) N ;
+- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2357900 ) N ;
+- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 527340 ) N ;
+- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 2146420 ) N ;
+- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1935620 ) N ;
+- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1724140 ) N ;
+- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1512660 ) N ;
+- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1301860 ) N ;
+- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 1090380 ) N ;
+- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 879580 ) N ;
+- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 1200 668100 ) N ;
+- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 738820 ) N ;
+- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 949620 ) N ;
+- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1161100 ) N ;
+- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1371900 ) N ;
+- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1583380 ) N ;
+- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 1794860 ) N ;
+- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -1200 -300 ) ( 1200 300 )
+  + PLACED ( 2918800 2005660 ) N ;
+- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 629510 1200 ) N ;
+- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2402810 1200 ) N ;
+- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2420290 1200 ) N ;
+- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2438230 1200 ) N ;
+- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2455710 1200 ) N ;
+- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2473650 1200 ) N ;
+- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2491130 1200 ) N ;
+- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2509070 1200 ) N ;
+- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2527010 1200 ) N ;
+- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2544490 1200 ) N ;
+- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2562430 1200 ) N ;
+- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 806610 1200 ) N ;
+- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2579910 1200 ) N ;
+- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2597850 1200 ) N ;
+- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2615330 1200 ) N ;
+- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2633270 1200 ) N ;
+- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2650750 1200 ) N ;
+- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2668690 1200 ) N ;
+- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2686170 1200 ) N ;
+- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2704110 1200 ) N ;
+- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2722050 1200 ) N ;
+- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2739530 1200 ) N ;
+- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 824550 1200 ) N ;
+- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2757470 1200 ) N ;
+- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2774950 1200 ) N ;
+- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2792890 1200 ) N ;
+- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2810370 1200 ) N ;
+- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2828310 1200 ) N ;
+- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2845790 1200 ) N ;
+- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2863730 1200 ) N ;
+- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2881670 1200 ) N ;
+- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 842030 1200 ) N ;
+- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 859970 1200 ) N ;
+- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 877450 1200 ) N ;
+- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 895390 1200 ) N ;
+- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 912870 1200 ) N ;
+- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 930810 1200 ) N ;
+- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 948750 1200 ) N ;
+- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 966230 1200 ) N ;
+- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 646990 1200 ) N ;
+- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 984170 1200 ) N ;
+- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1001650 1200 ) N ;
+- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1019590 1200 ) N ;
+- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1037070 1200 ) N ;
+- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1055010 1200 ) N ;
+- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1072490 1200 ) N ;
+- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1090430 1200 ) N ;
+- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1107910 1200 ) N ;
+- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1125850 1200 ) N ;
+- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1143790 1200 ) N ;
+- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 664930 1200 ) N ;
+- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1161270 1200 ) N ;
+- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1179210 1200 ) N ;
+- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1196690 1200 ) N ;
+- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1214630 1200 ) N ;
+- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1232110 1200 ) N ;
+- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1250050 1200 ) N ;
+- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1267530 1200 ) N ;
+- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1285470 1200 ) N ;
+- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1303410 1200 ) N ;
+- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1320890 1200 ) N ;
+- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 682410 1200 ) N ;
+- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1338830 1200 ) N ;
+- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1356310 1200 ) N ;
+- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1374250 1200 ) N ;
+- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1391730 1200 ) N ;
+- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1409670 1200 ) N ;
+- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1427150 1200 ) N ;
+- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1445090 1200 ) N ;
+- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1463030 1200 ) N ;
+- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1480510 1200 ) N ;
+- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1498450 1200 ) N ;
+- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 700350 1200 ) N ;
+- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1515930 1200 ) N ;
+- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1533870 1200 ) N ;
+- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1551350 1200 ) N ;
+- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1569290 1200 ) N ;
+- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1586770 1200 ) N ;
+- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1604710 1200 ) N ;
+- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1622190 1200 ) N ;
+- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1640130 1200 ) N ;
+- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1658070 1200 ) N ;
+- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1675550 1200 ) N ;
+- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 717830 1200 ) N ;
+- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1693490 1200 ) N ;
+- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1710970 1200 ) N ;
+- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1728910 1200 ) N ;
+- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1746390 1200 ) N ;
+- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1764330 1200 ) N ;
+- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1781810 1200 ) N ;
+- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1799750 1200 ) N ;
+- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1817690 1200 ) N ;
+- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1835170 1200 ) N ;
+- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1853110 1200 ) N ;
+- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 735770 1200 ) N ;
+- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1870590 1200 ) N ;
+- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1888530 1200 ) N ;
+- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1906010 1200 ) N ;
+- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1923950 1200 ) N ;
+- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1941430 1200 ) N ;
+- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1959370 1200 ) N ;
+- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1976850 1200 ) N ;
+- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1994790 1200 ) N ;
+- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2012730 1200 ) N ;
+- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2030210 1200 ) N ;
+- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 753250 1200 ) N ;
+- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2048150 1200 ) N ;
+- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2065630 1200 ) N ;
+- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2083570 1200 ) N ;
+- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2101050 1200 ) N ;
+- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2118990 1200 ) N ;
+- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2136470 1200 ) N ;
+- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2154410 1200 ) N ;
+- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2172350 1200 ) N ;
+- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2189830 1200 ) N ;
+- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2207770 1200 ) N ;
+- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 771190 1200 ) N ;
+- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2225250 1200 ) N ;
+- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2243190 1200 ) N ;
+- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2260670 1200 ) N ;
+- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2278610 1200 ) N ;
+- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2296090 1200 ) N ;
+- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2314030 1200 ) N ;
+- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2331510 1200 ) N ;
+- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2349450 1200 ) N ;
+- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2367390 1200 ) N ;
+- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2384870 1200 ) N ;
+- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 789130 1200 ) N ;
+- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 635030 1200 ) N ;
+- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2408790 1200 ) N ;
+- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2426270 1200 ) N ;
+- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2444210 1200 ) N ;
+- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2461690 1200 ) N ;
+- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2479630 1200 ) N ;
+- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2497110 1200 ) N ;
+- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2515050 1200 ) N ;
+- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2532530 1200 ) N ;
+- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2550470 1200 ) N ;
+- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2567950 1200 ) N ;
+- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 812590 1200 ) N ;
+- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2585890 1200 ) N ;
+- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2603830 1200 ) N ;
+- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2621310 1200 ) N ;
+- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2639250 1200 ) N ;
+- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2656730 1200 ) N ;
+- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2674670 1200 ) N ;
+- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2692150 1200 ) N ;
+- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2710090 1200 ) N ;
+- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2727570 1200 ) N ;
+- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2745510 1200 ) N ;
+- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 830530 1200 ) N ;
+- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2763450 1200 ) N ;
+- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2780930 1200 ) N ;
+- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2798870 1200 ) N ;
+- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2816350 1200 ) N ;
+- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2834290 1200 ) N ;
+- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2851770 1200 ) N ;
+- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2869710 1200 ) N ;
+- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2887190 1200 ) N ;
+- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 848010 1200 ) N ;
+- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 865950 1200 ) N ;
+- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 883430 1200 ) N ;
+- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 901370 1200 ) N ;
+- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 918850 1200 ) N ;
+- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 936790 1200 ) N ;
+- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 954270 1200 ) N ;
+- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 972210 1200 ) N ;
+- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 652970 1200 ) N ;
+- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 989690 1200 ) N ;
+- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1007630 1200 ) N ;
+- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1025570 1200 ) N ;
+- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1043050 1200 ) N ;
+- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1060990 1200 ) N ;
+- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1078470 1200 ) N ;
+- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1096410 1200 ) N ;
+- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1113890 1200 ) N ;
+- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1131830 1200 ) N ;
+- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1149310 1200 ) N ;
+- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 670910 1200 ) N ;
+- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1167250 1200 ) N ;
+- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1185190 1200 ) N ;
+- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1202670 1200 ) N ;
+- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1220610 1200 ) N ;
+- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1238090 1200 ) N ;
+- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1256030 1200 ) N ;
+- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1273510 1200 ) N ;
+- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1291450 1200 ) N ;
+- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1308930 1200 ) N ;
+- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1326870 1200 ) N ;
+- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 688390 1200 ) N ;
+- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1344350 1200 ) N ;
+- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1362290 1200 ) N ;
+- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1380230 1200 ) N ;
+- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1397710 1200 ) N ;
+- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1415650 1200 ) N ;
+- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1433130 1200 ) N ;
+- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1451070 1200 ) N ;
+- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1468550 1200 ) N ;
+- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1486490 1200 ) N ;
+- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1503970 1200 ) N ;
+- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 706330 1200 ) N ;
+- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1521910 1200 ) N ;
+- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1539850 1200 ) N ;
+- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1557330 1200 ) N ;
+- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1575270 1200 ) N ;
+- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1592750 1200 ) N ;
+- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1610690 1200 ) N ;
+- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1628170 1200 ) N ;
+- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1646110 1200 ) N ;
+- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1663590 1200 ) N ;
+- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1681530 1200 ) N ;
+- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 723810 1200 ) N ;
+- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1699470 1200 ) N ;
+- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1716950 1200 ) N ;
+- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1734890 1200 ) N ;
+- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1752370 1200 ) N ;
+- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1770310 1200 ) N ;
+- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1787790 1200 ) N ;
+- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1805730 1200 ) N ;
+- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1823210 1200 ) N ;
+- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1841150 1200 ) N ;
+- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1858630 1200 ) N ;
+- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 741750 1200 ) N ;
+- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1876570 1200 ) N ;
+- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1894510 1200 ) N ;
+- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1911990 1200 ) N ;
+- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1929930 1200 ) N ;
+- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1947410 1200 ) N ;
+- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1965350 1200 ) N ;
+- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1982830 1200 ) N ;
+- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2000770 1200 ) N ;
+- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2018250 1200 ) N ;
+- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2036190 1200 ) N ;
+- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 759230 1200 ) N ;
+- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2054130 1200 ) N ;
+- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2071610 1200 ) N ;
+- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2089550 1200 ) N ;
+- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2107030 1200 ) N ;
+- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2124970 1200 ) N ;
+- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2142450 1200 ) N ;
+- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2160390 1200 ) N ;
+- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2177870 1200 ) N ;
+- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2195810 1200 ) N ;
+- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2213290 1200 ) N ;
+- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 777170 1200 ) N ;
+- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2231230 1200 ) N ;
+- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2249170 1200 ) N ;
+- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2266650 1200 ) N ;
+- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2284590 1200 ) N ;
+- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2302070 1200 ) N ;
+- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2320010 1200 ) N ;
+- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2337490 1200 ) N ;
+- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2355430 1200 ) N ;
+- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2372910 1200 ) N ;
+- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2390850 1200 ) N ;
+- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 794650 1200 ) N ;
+- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 641010 1200 ) N ;
+- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2414310 1200 ) N ;
+- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2432250 1200 ) N ;
+- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2449730 1200 ) N ;
+- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2467670 1200 ) N ;
+- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2485610 1200 ) N ;
+- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2503090 1200 ) N ;
+- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2521030 1200 ) N ;
+- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2538510 1200 ) N ;
+- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2556450 1200 ) N ;
+- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2573930 1200 ) N ;
+- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 818570 1200 ) N ;
+- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2591870 1200 ) N ;
+- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2609350 1200 ) N ;
+- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2627290 1200 ) N ;
+- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2645230 1200 ) N ;
+- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2662710 1200 ) N ;
+- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2680650 1200 ) N ;
+- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2698130 1200 ) N ;
+- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2716070 1200 ) N ;
+- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2733550 1200 ) N ;
+- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2751490 1200 ) N ;
+- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 836050 1200 ) N ;
+- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2768970 1200 ) N ;
+- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2786910 1200 ) N ;
+- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2804390 1200 ) N ;
+- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2822330 1200 ) N ;
+- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2840270 1200 ) N ;
+- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2857750 1200 ) N ;
+- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2875690 1200 ) N ;
+- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2893170 1200 ) N ;
+- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 853990 1200 ) N ;
+- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 871470 1200 ) N ;
+- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 889410 1200 ) N ;
+- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 907350 1200 ) N ;
+- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 924830 1200 ) N ;
+- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 942770 1200 ) N ;
+- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 960250 1200 ) N ;
+- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 978190 1200 ) N ;
+- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 658950 1200 ) N ;
+- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 995670 1200 ) N ;
+- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1013610 1200 ) N ;
+- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1031090 1200 ) N ;
+- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1049030 1200 ) N ;
+- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1066970 1200 ) N ;
+- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1084450 1200 ) N ;
+- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1102390 1200 ) N ;
+- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1119870 1200 ) N ;
+- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1137810 1200 ) N ;
+- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1155290 1200 ) N ;
+- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 676430 1200 ) N ;
+- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1173230 1200 ) N ;
+- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1190710 1200 ) N ;
+- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1208650 1200 ) N ;
+- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1226130 1200 ) N ;
+- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1244070 1200 ) N ;
+- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1262010 1200 ) N ;
+- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1279490 1200 ) N ;
+- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1297430 1200 ) N ;
+- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1314910 1200 ) N ;
+- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1332850 1200 ) N ;
+- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 694370 1200 ) N ;
+- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1350330 1200 ) N ;
+- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1368270 1200 ) N ;
+- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1385750 1200 ) N ;
+- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1403690 1200 ) N ;
+- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1421630 1200 ) N ;
+- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1439110 1200 ) N ;
+- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1457050 1200 ) N ;
+- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1474530 1200 ) N ;
+- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1492470 1200 ) N ;
+- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1509950 1200 ) N ;
+- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 712310 1200 ) N ;
+- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1527890 1200 ) N ;
+- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1545370 1200 ) N ;
+- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1563310 1200 ) N ;
+- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1581250 1200 ) N ;
+- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1598730 1200 ) N ;
+- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1616670 1200 ) N ;
+- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1634150 1200 ) N ;
+- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1652090 1200 ) N ;
+- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1669570 1200 ) N ;
+- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1687510 1200 ) N ;
+- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 729790 1200 ) N ;
+- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1704990 1200 ) N ;
+- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1722930 1200 ) N ;
+- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1740410 1200 ) N ;
+- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1758350 1200 ) N ;
+- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1776290 1200 ) N ;
+- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1793770 1200 ) N ;
+- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1811710 1200 ) N ;
+- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1829190 1200 ) N ;
+- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1847130 1200 ) N ;
+- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1864610 1200 ) N ;
+- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 747730 1200 ) N ;
+- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1882550 1200 ) N ;
+- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1900030 1200 ) N ;
+- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1917970 1200 ) N ;
+- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1935910 1200 ) N ;
+- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1953390 1200 ) N ;
+- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1971330 1200 ) N ;
+- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 1988810 1200 ) N ;
+- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2006750 1200 ) N ;
+- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2024230 1200 ) N ;
+- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2042170 1200 ) N ;
+- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 765210 1200 ) N ;
+- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2059650 1200 ) N ;
+- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2077590 1200 ) N ;
+- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2095070 1200 ) N ;
+- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2113010 1200 ) N ;
+- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2130950 1200 ) N ;
+- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2148430 1200 ) N ;
+- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2166370 1200 ) N ;
+- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2183850 1200 ) N ;
+- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2201790 1200 ) N ;
+- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2219270 1200 ) N ;
+- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 783150 1200 ) N ;
+- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2237210 1200 ) N ;
+- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2254690 1200 ) N ;
+- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2272630 1200 ) N ;
+- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2290570 1200 ) N ;
+- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2308050 1200 ) N ;
+- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2325990 1200 ) N ;
+- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2343470 1200 ) N ;
+- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2361410 1200 ) N ;
+- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2378890 1200 ) N ;
+- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2396830 1200 ) N ;
+- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 800630 1200 ) N ;
+- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -1200 ) ( 140 1200 )
+  + PLACED ( 2899150 1200 ) N ;
 - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
   + PLACED ( 2990 1200 ) N ;
@@ -4146,304 +4239,304 @@
   + PLACED ( 38410 1200 ) N ;
 - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 240810 1200 ) N ;
+  + PLACED ( 239430 1200 ) N ;
 - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 258290 1200 ) N ;
+  + PLACED ( 256910 1200 ) N ;
 - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 276230 1200 ) N ;
+  + PLACED ( 274850 1200 ) N ;
 - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 294170 1200 ) N ;
+  + PLACED ( 292330 1200 ) N ;
 - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 312110 1200 ) N ;
+  + PLACED ( 310270 1200 ) N ;
 - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 330050 1200 ) N ;
+  + PLACED ( 327750 1200 ) N ;
 - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 347530 1200 ) N ;
+  + PLACED ( 345690 1200 ) N ;
 - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 365470 1200 ) N ;
+  + PLACED ( 363170 1200 ) N ;
 - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 383410 1200 ) N ;
+  + PLACED ( 381110 1200 ) N ;
 - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 401350 1200 ) N ;
+  + PLACED ( 398590 1200 ) N ;
 - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 62330 1200 ) N ;
+  + PLACED ( 61870 1200 ) N ;
 - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 419290 1200 ) N ;
+  + PLACED ( 416530 1200 ) N ;
 - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 436770 1200 ) N ;
+  + PLACED ( 434470 1200 ) N ;
 - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 454710 1200 ) N ;
+  + PLACED ( 451950 1200 ) N ;
 - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 472650 1200 ) N ;
+  + PLACED ( 469890 1200 ) N ;
 - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 490590 1200 ) N ;
+  + PLACED ( 487370 1200 ) N ;
 - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 508070 1200 ) N ;
+  + PLACED ( 505310 1200 ) N ;
 - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 526010 1200 ) N ;
+  + PLACED ( 522790 1200 ) N ;
 - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 543950 1200 ) N ;
+  + PLACED ( 540730 1200 ) N ;
 - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 561890 1200 ) N ;
+  + PLACED ( 558210 1200 ) N ;
 - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 579830 1200 ) N ;
+  + PLACED ( 576150 1200 ) N ;
 - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 86250 1200 ) N ;
+  + PLACED ( 85330 1200 ) N ;
 - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 597310 1200 ) N ;
+  + PLACED ( 594090 1200 ) N ;
 - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 615250 1200 ) N ;
+  + PLACED ( 611570 1200 ) N ;
 - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 109710 1200 ) N ;
+  + PLACED ( 109250 1200 ) N ;
 - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 133630 1200 ) N ;
+  + PLACED ( 132710 1200 ) N ;
 - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 151570 1200 ) N ;
+  + PLACED ( 150650 1200 ) N ;
 - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 169510 1200 ) N ;
+  + PLACED ( 168130 1200 ) N ;
 - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 186990 1200 ) N ;
+  + PLACED ( 186070 1200 ) N ;
 - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 204930 1200 ) N ;
+  + PLACED ( 203550 1200 ) N ;
 - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 222870 1200 ) N ;
+  + PLACED ( 221490 1200 ) N ;
 - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
   + PLACED ( 20470 1200 ) N ;
 - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 44390 1200 ) N ;
+  + PLACED ( 43930 1200 ) N ;
 - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 246790 1200 ) N ;
+  + PLACED ( 244950 1200 ) N ;
 - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 264270 1200 ) N ;
+  + PLACED ( 262890 1200 ) N ;
 - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 282210 1200 ) N ;
+  + PLACED ( 280370 1200 ) N ;
 - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 300150 1200 ) N ;
+  + PLACED ( 298310 1200 ) N ;
 - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 318090 1200 ) N ;
+  + PLACED ( 316250 1200 ) N ;
 - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 336030 1200 ) N ;
+  + PLACED ( 333730 1200 ) N ;
 - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 353510 1200 ) N ;
+  + PLACED ( 351670 1200 ) N ;
 - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 371450 1200 ) N ;
+  + PLACED ( 369150 1200 ) N ;
 - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 389390 1200 ) N ;
+  + PLACED ( 387090 1200 ) N ;
 - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 407330 1200 ) N ;
+  + PLACED ( 404570 1200 ) N ;
 - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 68310 1200 ) N ;
+  + PLACED ( 67850 1200 ) N ;
 - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 424810 1200 ) N ;
+  + PLACED ( 422510 1200 ) N ;
 - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 442750 1200 ) N ;
+  + PLACED ( 439990 1200 ) N ;
 - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 460690 1200 ) N ;
+  + PLACED ( 457930 1200 ) N ;
 - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 478630 1200 ) N ;
+  + PLACED ( 475870 1200 ) N ;
 - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 496570 1200 ) N ;
+  + PLACED ( 493350 1200 ) N ;
 - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 514050 1200 ) N ;
+  + PLACED ( 511290 1200 ) N ;
 - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 531990 1200 ) N ;
+  + PLACED ( 528770 1200 ) N ;
 - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 549930 1200 ) N ;
+  + PLACED ( 546710 1200 ) N ;
 - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 567870 1200 ) N ;
+  + PLACED ( 564190 1200 ) N ;
 - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 585810 1200 ) N ;
+  + PLACED ( 582130 1200 ) N ;
 - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 91770 1200 ) N ;
+  + PLACED ( 91310 1200 ) N ;
 - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 603290 1200 ) N ;
+  + PLACED ( 599610 1200 ) N ;
 - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 621230 1200 ) N ;
+  + PLACED ( 617550 1200 ) N ;
 - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 115690 1200 ) N ;
+  + PLACED ( 115230 1200 ) N ;
 - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 139610 1200 ) N ;
+  + PLACED ( 138690 1200 ) N ;
 - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 157550 1200 ) N ;
+  + PLACED ( 156630 1200 ) N ;
 - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 175030 1200 ) N ;
+  + PLACED ( 174110 1200 ) N ;
 - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 192970 1200 ) N ;
+  + PLACED ( 192050 1200 ) N ;
 - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 210910 1200 ) N ;
+  + PLACED ( 209530 1200 ) N ;
 - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 228850 1200 ) N ;
+  + PLACED ( 227470 1200 ) N ;
 - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 50370 1200 ) N ;
+  + PLACED ( 49910 1200 ) N ;
 - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 252770 1200 ) N ;
+  + PLACED ( 250930 1200 ) N ;
 - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 270250 1200 ) N ;
+  + PLACED ( 268870 1200 ) N ;
 - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 288190 1200 ) N ;
+  + PLACED ( 286350 1200 ) N ;
 - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 306130 1200 ) N ;
+  + PLACED ( 304290 1200 ) N ;
 - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 324070 1200 ) N ;
+  + PLACED ( 321770 1200 ) N ;
 - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 341550 1200 ) N ;
+  + PLACED ( 339710 1200 ) N ;
 - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 359490 1200 ) N ;
+  + PLACED ( 357650 1200 ) N ;
 - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 377430 1200 ) N ;
+  + PLACED ( 375130 1200 ) N ;
 - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 395370 1200 ) N ;
+  + PLACED ( 393070 1200 ) N ;
 - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 413310 1200 ) N ;
+  + PLACED ( 410550 1200 ) N ;
 - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 74290 1200 ) N ;
+  + PLACED ( 73830 1200 ) N ;
 - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 430790 1200 ) N ;
+  + PLACED ( 428490 1200 ) N ;
 - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 448730 1200 ) N ;
+  + PLACED ( 445970 1200 ) N ;
 - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 466670 1200 ) N ;
+  + PLACED ( 463910 1200 ) N ;
 - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 484610 1200 ) N ;
+  + PLACED ( 481390 1200 ) N ;
 - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 502550 1200 ) N ;
+  + PLACED ( 499330 1200 ) N ;
 - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 520030 1200 ) N ;
+  + PLACED ( 516810 1200 ) N ;
 - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 537970 1200 ) N ;
+  + PLACED ( 534750 1200 ) N ;
 - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 555910 1200 ) N ;
+  + PLACED ( 552690 1200 ) N ;
 - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 573850 1200 ) N ;
+  + PLACED ( 570170 1200 ) N ;
 - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 591330 1200 ) N ;
+  + PLACED ( 588110 1200 ) N ;
 - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 97750 1200 ) N ;
+  + PLACED ( 97290 1200 ) N ;
 - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 609270 1200 ) N ;
+  + PLACED ( 605590 1200 ) N ;
 - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 627210 1200 ) N ;
+  + PLACED ( 623530 1200 ) N ;
 - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 121670 1200 ) N ;
+  + PLACED ( 121210 1200 ) N ;
 - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 145590 1200 ) N ;
+  + PLACED ( 144670 1200 ) N ;
 - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 163530 1200 ) N ;
+  + PLACED ( 162150 1200 ) N ;
 - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 181010 1200 ) N ;
+  + PLACED ( 180090 1200 ) N ;
 - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 198950 1200 ) N ;
+  + PLACED ( 198030 1200 ) N ;
 - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 216890 1200 ) N ;
+  + PLACED ( 215510 1200 ) N ;
 - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 234830 1200 ) N ;
+  + PLACED ( 233450 1200 ) N ;
 - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 56350 1200 ) N ;
+  + PLACED ( 55890 1200 ) N ;
 - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 80270 1200 ) N ;
+  + PLACED ( 79810 1200 ) N ;
 - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 103730 1200 ) N ;
+  + PLACED ( 103270 1200 ) N ;
 - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
-  + PLACED ( 127650 1200 ) N ;
+  + PLACED ( 126730 1200 ) N ;
 - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL 
   + LAYER met2 ( -140 -1200 ) ( 140 1200 )
   + PLACED ( 26450 1200 ) N ;
@@ -6134,18925 +6227,17963 @@
   + USE GROUND ;
 END SPECIALNETS
 
-NETS 605 ;
+NETS 636 ;
+- analog_io[0] ( PIN analog_io[0] ) 
++ USE SIGNAL ;
+- analog_io[10] ( PIN analog_io[10] ) 
++ USE SIGNAL ;
+- analog_io[11] ( PIN analog_io[11] ) 
++ USE SIGNAL ;
+- analog_io[12] ( PIN analog_io[12] ) 
++ USE SIGNAL ;
+- analog_io[13] ( PIN analog_io[13] ) 
++ USE SIGNAL ;
+- analog_io[14] ( PIN analog_io[14] ) 
++ USE SIGNAL ;
+- analog_io[15] ( PIN analog_io[15] ) 
++ USE SIGNAL ;
+- analog_io[16] ( PIN analog_io[16] ) 
++ USE SIGNAL ;
+- analog_io[17] ( PIN analog_io[17] ) 
++ USE SIGNAL ;
+- analog_io[18] ( PIN analog_io[18] ) 
++ USE SIGNAL ;
+- analog_io[19] ( PIN analog_io[19] ) 
++ USE SIGNAL ;
+- analog_io[1] ( PIN analog_io[1] ) 
++ USE SIGNAL ;
+- analog_io[20] ( PIN analog_io[20] ) 
++ USE SIGNAL ;
+- analog_io[21] ( PIN analog_io[21] ) 
++ USE SIGNAL ;
+- analog_io[22] ( PIN analog_io[22] ) 
++ USE SIGNAL ;
+- analog_io[23] ( PIN analog_io[23] ) 
++ USE SIGNAL ;
+- analog_io[24] ( PIN analog_io[24] ) 
++ USE SIGNAL ;
+- analog_io[25] ( PIN analog_io[25] ) 
++ USE SIGNAL ;
+- analog_io[26] ( PIN analog_io[26] ) 
++ USE SIGNAL ;
+- analog_io[27] ( PIN analog_io[27] ) 
++ USE SIGNAL ;
+- analog_io[28] ( PIN analog_io[28] ) 
++ USE SIGNAL ;
+- analog_io[29] ( PIN analog_io[29] ) 
++ USE SIGNAL ;
+- analog_io[2] ( PIN analog_io[2] ) 
++ USE SIGNAL ;
+- analog_io[30] ( PIN analog_io[30] ) 
++ USE SIGNAL ;
+- analog_io[3] ( PIN analog_io[3] ) 
++ USE SIGNAL ;
+- analog_io[4] ( PIN analog_io[4] ) 
++ USE SIGNAL ;
+- analog_io[5] ( PIN analog_io[5] ) 
++ USE SIGNAL ;
+- analog_io[6] ( PIN analog_io[6] ) 
++ USE SIGNAL ;
+- analog_io[7] ( PIN analog_io[7] ) 
++ USE SIGNAL ;
+- analog_io[8] ( PIN analog_io[8] ) 
++ USE SIGNAL ;
+- analog_io[9] ( PIN analog_io[9] ) 
++ USE SIGNAL ;
 - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) 
   + ROUTED met2 ( 1145630 2298060 ) ( 1152070 2298060 0 )
-    NEW met2 ( 1145630 35700 ) ( 1145630 2298060 )
-    NEW met3 ( 2477100 35020 ) ( 2477100 36380 )
-    NEW met2 ( 1159430 35020 ) ( 1159430 35700 )
-    NEW met2 ( 1159430 35020 ) ( 1159890 35020 )
-    NEW met2 ( 1159890 35020 ) ( 1159890 37060 )
-    NEW met3 ( 1145630 35700 ) ( 1159430 35700 )
-    NEW met3 ( 1207500 36380 ) ( 1207500 37060 )
-    NEW met3 ( 1159890 37060 ) ( 1207500 37060 )
-    NEW met4 ( 1497300 35700 ) ( 1498220 35700 )
-    NEW met4 ( 1498220 34340 ) ( 1498220 35700 )
-    NEW met3 ( 1593900 35020 ) ( 1593900 36380 )
-    NEW met3 ( 1593900 36380 ) ( 1641970 36380 )
-    NEW met3 ( 1641970 35020 ) ( 1641970 36380 )
-    NEW met4 ( 1248900 36380 ) ( 1248900 37740 )
-    NEW met3 ( 1248900 37740 ) ( 1273050 37740 )
-    NEW met2 ( 1273050 35700 ) ( 1273050 37740 )
-    NEW met3 ( 1207500 36380 ) ( 1248900 36380 )
-    NEW met3 ( 1442100 35020 ) ( 1442100 35700 )
-    NEW met3 ( 1442100 35700 ) ( 1462340 35700 )
-    NEW met4 ( 1462340 35700 ) ( 1463260 35700 )
-    NEW met3 ( 1463260 35700 ) ( 1497300 35700 )
-    NEW met4 ( 1538700 34340 ) ( 1538700 36380 )
-    NEW met3 ( 1538700 36380 ) ( 1586540 36380 )
-    NEW met3 ( 1586540 35020 ) ( 1586540 36380 )
-    NEW met3 ( 1498220 34340 ) ( 1538700 34340 )
-    NEW met3 ( 1586540 35020 ) ( 1593900 35020 )
-    NEW met4 ( 1731900 33660 ) ( 1731900 35020 )
-    NEW met3 ( 1731900 35020 ) ( 1779740 35020 )
-    NEW met3 ( 1779740 34340 ) ( 1779740 35020 )
-    NEW met3 ( 1828500 35020 ) ( 1828500 36380 )
-    NEW met3 ( 1828500 36380 ) ( 1876340 36380 )
-    NEW met3 ( 1876340 35700 ) ( 1876340 36380 )
-    NEW met4 ( 2214900 34340 ) ( 2214900 35700 )
-    NEW met3 ( 2214900 34340 ) ( 2262740 34340 )
-    NEW met3 ( 2262740 34340 ) ( 2262740 35020 )
-    NEW met4 ( 2504700 36380 ) ( 2504700 37740 )
-    NEW met3 ( 2504700 37740 ) ( 2552770 37740 )
-    NEW met2 ( 2552770 35700 ) ( 2552770 37740 )
-    NEW met3 ( 2477100 36380 ) ( 2504700 36380 )
-    NEW met2 ( 2917090 35700 ) ( 2917090 39100 )
-    NEW met3 ( 2917090 39100 ) ( 2917780 39100 0 )
-    NEW met2 ( 1297430 33660 ) ( 1297430 35700 )
-    NEW met3 ( 1297430 33660 ) ( 1345270 33660 )
-    NEW met2 ( 1345270 33660 ) ( 1345270 35020 )
-    NEW met3 ( 1273050 35700 ) ( 1297430 35700 )
-    NEW met3 ( 1345270 35020 ) ( 1442100 35020 )
-    NEW met4 ( 1730980 33660 ) ( 1730980 35020 )
-    NEW met3 ( 1641970 35020 ) ( 1730980 35020 )
-    NEW met3 ( 1730980 33660 ) ( 1731900 33660 )
-    NEW met3 ( 1780660 32980 ) ( 1780660 34340 )
-    NEW met3 ( 1780660 32980 ) ( 1828270 32980 )
-    NEW met2 ( 1828270 32980 ) ( 1828270 35020 )
-    NEW met3 ( 1779740 34340 ) ( 1780660 34340 )
-    NEW met3 ( 1828270 35020 ) ( 1828500 35020 )
-    NEW met4 ( 1877260 34340 ) ( 1877260 35700 )
-    NEW met4 ( 1876340 35700 ) ( 1877260 35700 )
-    NEW met3 ( 2142450 35700 ) ( 2214900 35700 )
-    NEW met2 ( 2263890 33490 ) ( 2263890 35020 )
-    NEW met3 ( 2262740 35020 ) ( 2263890 35020 )
-    NEW met3 ( 2553460 34340 ) ( 2553460 35700 )
-    NEW met3 ( 2552770 35700 ) ( 2553460 35700 )
-    NEW met3 ( 2765980 35020 ) ( 2765980 35700 )
-    NEW met2 ( 2843030 35020 ) ( 2843030 35870 )
-    NEW met1 ( 2843030 35870 ) ( 2890870 35870 )
-    NEW met2 ( 2890870 35700 ) ( 2890870 35870 )
-    NEW met3 ( 2890870 35700 ) ( 2917090 35700 )
-    NEW met1 ( 2118070 33830 ) ( 2142450 33830 )
-    NEW met2 ( 2118070 33830 ) ( 2118070 35020 )
-    NEW met2 ( 2142450 33830 ) ( 2142450 35700 )
-    NEW met1 ( 2263890 33490 ) ( 2311270 33490 )
-    NEW met3 ( 2553460 34340 ) ( 2601070 34340 )
-    NEW met2 ( 2062870 35020 ) ( 2062870 37060 )
-    NEW met2 ( 2311270 33490 ) ( 2311270 35020 )
-    NEW met2 ( 2401430 35020 ) ( 2401430 35700 )
-    NEW met2 ( 2401430 35700 ) ( 2401890 35700 )
-    NEW met2 ( 2401890 34850 ) ( 2401890 35700 )
-    NEW met1 ( 2401890 34850 ) ( 2415230 34850 )
-    NEW met2 ( 2415230 34850 ) ( 2415230 35020 )
-    NEW met3 ( 2311270 35020 ) ( 2401430 35020 )
-    NEW met3 ( 2415230 35020 ) ( 2477100 35020 )
-    NEW met2 ( 2601070 34340 ) ( 2601070 35020 )
-    NEW met2 ( 2787830 34850 ) ( 2787830 35700 )
-    NEW met1 ( 2787830 34850 ) ( 2835670 34850 )
-    NEW met2 ( 2835670 34850 ) ( 2835670 35020 )
-    NEW met3 ( 2765980 35700 ) ( 2787830 35700 )
-    NEW met3 ( 2835670 35020 ) ( 2843030 35020 )
-    NEW met4 ( 1966500 35020 ) ( 1966500 37060 )
-    NEW met3 ( 2062870 35020 ) ( 2118070 35020 )
-    NEW met2 ( 2670070 35020 ) ( 2670070 35700 )
-    NEW met2 ( 2670070 35700 ) ( 2670990 35700 )
-    NEW met3 ( 2601070 35020 ) ( 2670070 35020 )
-    NEW met4 ( 1911300 32980 ) ( 1911300 34340 )
-    NEW met3 ( 1911300 32980 ) ( 1946950 32980 )
-    NEW met2 ( 1946950 32980 ) ( 1946950 35020 )
-    NEW met3 ( 1877260 34340 ) ( 1911300 34340 )
-    NEW met3 ( 1946950 35020 ) ( 1966500 35020 )
-    NEW met3 ( 2013420 35700 ) ( 2013420 37060 )
-    NEW met3 ( 2013420 35700 ) ( 2055970 35700 )
-    NEW met2 ( 2055970 35700 ) ( 2055970 37060 )
-    NEW met3 ( 1966500 37060 ) ( 2013420 37060 )
-    NEW met3 ( 2055970 37060 ) ( 2062870 37060 )
-    NEW met4 ( 2684100 35700 ) ( 2684100 37060 )
-    NEW met3 ( 2684100 37060 ) ( 2732170 37060 )
-    NEW met2 ( 2732170 35020 ) ( 2732170 37060 )
-    NEW met3 ( 2670990 35700 ) ( 2684100 35700 )
-    NEW met3 ( 2732170 35020 ) ( 2765980 35020 )
-    NEW met2 ( 1145630 35700 ) via2_FR
-    NEW met2 ( 1159430 35700 ) via2_FR
-    NEW met2 ( 1159890 37060 ) via2_FR
-    NEW met3 ( 1497300 35700 ) M3M4_PR_M
-    NEW met3 ( 1498220 34340 ) M3M4_PR_M
-    NEW met3 ( 1248900 36380 ) M3M4_PR_M
-    NEW met3 ( 1248900 37740 ) M3M4_PR_M
-    NEW met2 ( 1273050 37740 ) via2_FR
-    NEW met2 ( 1273050 35700 ) via2_FR
-    NEW met3 ( 1462340 35700 ) M3M4_PR_M
-    NEW met3 ( 1463260 35700 ) M3M4_PR_M
-    NEW met3 ( 1538700 34340 ) M3M4_PR_M
-    NEW met3 ( 1538700 36380 ) M3M4_PR_M
-    NEW met3 ( 1731900 33660 ) M3M4_PR_M
-    NEW met3 ( 1731900 35020 ) M3M4_PR_M
-    NEW met3 ( 1876340 35700 ) M3M4_PR_M
-    NEW met2 ( 2142450 35700 ) via2_FR
-    NEW met3 ( 2214900 35700 ) M3M4_PR_M
-    NEW met3 ( 2214900 34340 ) M3M4_PR_M
-    NEW met3 ( 2504700 36380 ) M3M4_PR_M
-    NEW met3 ( 2504700 37740 ) M3M4_PR_M
-    NEW met2 ( 2552770 37740 ) via2_FR
-    NEW met2 ( 2552770 35700 ) via2_FR
-    NEW met2 ( 2917090 35700 ) via2_FR
-    NEW met2 ( 2917090 39100 ) via2_FR
-    NEW met2 ( 1297430 35700 ) via2_FR
-    NEW met2 ( 1297430 33660 ) via2_FR
-    NEW met2 ( 1345270 33660 ) via2_FR
-    NEW met2 ( 1345270 35020 ) via2_FR
-    NEW met3 ( 1730980 35020 ) M3M4_PR_M
-    NEW met3 ( 1730980 33660 ) M3M4_PR_M
-    NEW met2 ( 1828270 32980 ) via2_FR
-    NEW met2 ( 1828270 35020 ) via2_FR
-    NEW met3 ( 1877260 34340 ) M3M4_PR_M
-    NEW met2 ( 2118070 35020 ) via2_FR
-    NEW met2 ( 2263890 35020 ) via2_FR
-    NEW met1 ( 2263890 33490 ) M1M2_PR
-    NEW met2 ( 2843030 35020 ) via2_FR
-    NEW met1 ( 2843030 35870 ) M1M2_PR
-    NEW met1 ( 2890870 35870 ) M1M2_PR
-    NEW met2 ( 2890870 35700 ) via2_FR
-    NEW met1 ( 2118070 33830 ) M1M2_PR
-    NEW met1 ( 2142450 33830 ) M1M2_PR
-    NEW met1 ( 2311270 33490 ) M1M2_PR
-    NEW met2 ( 2601070 34340 ) via2_FR
-    NEW met2 ( 2062870 37060 ) via2_FR
-    NEW met2 ( 2062870 35020 ) via2_FR
-    NEW met2 ( 2311270 35020 ) via2_FR
-    NEW met2 ( 2401430 35020 ) via2_FR
-    NEW met1 ( 2401890 34850 ) M1M2_PR
-    NEW met1 ( 2415230 34850 ) M1M2_PR
-    NEW met2 ( 2415230 35020 ) via2_FR
-    NEW met2 ( 2601070 35020 ) via2_FR
-    NEW met2 ( 2787830 35700 ) via2_FR
-    NEW met1 ( 2787830 34850 ) M1M2_PR
-    NEW met1 ( 2835670 34850 ) M1M2_PR
-    NEW met2 ( 2835670 35020 ) via2_FR
-    NEW met3 ( 1966500 35020 ) M3M4_PR_M
-    NEW met3 ( 1966500 37060 ) M3M4_PR_M
-    NEW met2 ( 2670070 35020 ) via2_FR
-    NEW met2 ( 2670990 35700 ) via2_FR
-    NEW met3 ( 1911300 34340 ) M3M4_PR_M
-    NEW met3 ( 1911300 32980 ) M3M4_PR_M
-    NEW met2 ( 1946950 32980 ) via2_FR
-    NEW met2 ( 1946950 35020 ) via2_FR
-    NEW met2 ( 2055970 35700 ) via2_FR
-    NEW met2 ( 2055970 37060 ) via2_FR
-    NEW met3 ( 2684100 35700 ) M3M4_PR_M
-    NEW met3 ( 2684100 37060 ) M3M4_PR_M
-    NEW met2 ( 2732170 37060 ) via2_FR
-    NEW met2 ( 2732170 35020 ) via2_FR
-    NEW met3 ( 1828270 35020 ) RECT ( -570 -150 0 150 )
+    NEW met2 ( 1145630 35020 ) ( 1145630 2298060 )
+    NEW met3 ( 1145630 35020 ) ( 2917780 35020 0 )
+    NEW met2 ( 1145630 35020 ) via2_FR
 + USE SIGNAL ;
 - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) 
-  + ROUTED met2 ( 2898230 2380510 ) ( 2898230 2385100 )
-    NEW met3 ( 2898230 2385100 ) ( 2917780 2385100 0 )
-    NEW met2 ( 1309850 2299420 0 ) ( 1310770 2299420 )
-    NEW met2 ( 1310770 2299420 ) ( 1310770 2380510 )
-    NEW met1 ( 1310770 2380510 ) ( 2898230 2380510 )
-    NEW met1 ( 1310770 2380510 ) M1M2_PR
-    NEW met1 ( 2898230 2380510 ) M1M2_PR
-    NEW met2 ( 2898230 2385100 ) via2_FR
+  + ROUTED met3 ( 2901910 2146420 ) ( 2917780 2146420 0 )
+    NEW met2 ( 2901910 2146420 ) ( 2901910 2304690 )
+    NEW met2 ( 1309850 2299420 0 ) ( 1309850 2304690 )
+    NEW met1 ( 1309850 2304690 ) ( 2901910 2304690 )
+    NEW met2 ( 2901910 2146420 ) via2_FR
+    NEW met1 ( 2901910 2304690 ) M1M2_PR
+    NEW met1 ( 1309850 2304690 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) 
-  + ROUTED met2 ( 1325490 2299420 0 ) ( 1325490 2315230 )
+  + ROUTED met2 ( 2900530 2353650 ) ( 2900530 2357900 )
+    NEW met3 ( 2900530 2357900 ) ( 2917780 2357900 0 )
+    NEW met2 ( 1325490 2299420 0 ) ( 1325490 2315230 )
     NEW met1 ( 1325490 2315230 ) ( 1331010 2315230 )
-    NEW met2 ( 1331010 2315230 ) ( 1331010 2615110 )
-    NEW met2 ( 2898230 2615110 ) ( 2898230 2619700 )
-    NEW met3 ( 2898230 2619700 ) ( 2917780 2619700 0 )
-    NEW met1 ( 1331010 2615110 ) ( 2898230 2615110 )
-    NEW met1 ( 1331010 2615110 ) M1M2_PR
+    NEW met2 ( 1331010 2315230 ) ( 1331010 2353650 )
+    NEW met1 ( 1331010 2353650 ) ( 2900530 2353650 )
+    NEW met1 ( 2900530 2353650 ) M1M2_PR
+    NEW met2 ( 2900530 2357900 ) via2_FR
+    NEW met1 ( 1331010 2353650 ) M1M2_PR
     NEW met1 ( 1325490 2315230 ) M1M2_PR
     NEW met1 ( 1331010 2315230 ) M1M2_PR
-    NEW met1 ( 2898230 2615110 ) M1M2_PR
-    NEW met2 ( 2898230 2619700 ) via2_FR
 + USE SIGNAL ;
 - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) 
   + ROUTED met2 ( 1341130 2299420 0 ) ( 1341130 2315230 )
     NEW met1 ( 1341130 2315230 ) ( 1345270 2315230 )
-    NEW met2 ( 1345270 2315230 ) ( 1345270 2849710 )
-    NEW met2 ( 2898230 2849710 ) ( 2898230 2854300 )
-    NEW met3 ( 2898230 2854300 ) ( 2917780 2854300 0 )
-    NEW met1 ( 1345270 2849710 ) ( 2898230 2849710 )
-    NEW met1 ( 1345270 2849710 ) M1M2_PR
+    NEW met2 ( 1345270 2315230 ) ( 1345270 2567170 )
+    NEW met2 ( 2898230 2567170 ) ( 2898230 2568700 )
+    NEW met3 ( 2898230 2568700 ) ( 2917780 2568700 0 )
+    NEW met1 ( 1345270 2567170 ) ( 2898230 2567170 )
+    NEW met1 ( 1345270 2567170 ) M1M2_PR
     NEW met1 ( 1341130 2315230 ) M1M2_PR
     NEW met1 ( 1345270 2315230 ) M1M2_PR
-    NEW met1 ( 2898230 2849710 ) M1M2_PR
-    NEW met2 ( 2898230 2854300 ) via2_FR
+    NEW met1 ( 2898230 2567170 ) M1M2_PR
+    NEW met2 ( 2898230 2568700 ) via2_FR
 + USE SIGNAL ;
 - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) 
   + ROUTED met2 ( 1357230 2299420 0 ) ( 1359070 2299420 )
-    NEW met2 ( 1359070 2299420 ) ( 1359070 3084990 )
-    NEW met2 ( 2898230 3084990 ) ( 2898230 3088900 )
-    NEW met3 ( 2898230 3088900 ) ( 2917780 3088900 0 )
-    NEW met1 ( 1359070 3084990 ) ( 2898230 3084990 )
-    NEW met1 ( 1359070 3084990 ) M1M2_PR
-    NEW met1 ( 2898230 3084990 ) M1M2_PR
-    NEW met2 ( 2898230 3088900 ) via2_FR
+    NEW met2 ( 1359070 2299420 ) ( 1359070 2774910 )
+    NEW met2 ( 2898230 2774910 ) ( 2898230 2780180 )
+    NEW met3 ( 2898230 2780180 ) ( 2917780 2780180 0 )
+    NEW met1 ( 1359070 2774910 ) ( 2898230 2774910 )
+    NEW met1 ( 1359070 2774910 ) M1M2_PR
+    NEW met1 ( 2898230 2774910 ) M1M2_PR
+    NEW met2 ( 2898230 2780180 ) via2_FR
 + USE SIGNAL ;
 - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) 
-  + ROUTED met2 ( 2898230 3318910 ) ( 2898230 3323500 )
-    NEW met3 ( 2898230 3323500 ) ( 2917780 3323500 0 )
-    NEW met2 ( 1372870 2299420 0 ) ( 1372870 3318910 )
-    NEW met1 ( 1372870 3318910 ) ( 2898230 3318910 )
-    NEW met1 ( 1372870 3318910 ) M1M2_PR
-    NEW met1 ( 2898230 3318910 ) M1M2_PR
-    NEW met2 ( 2898230 3323500 ) via2_FR
+  + ROUTED met2 ( 2898230 2988090 ) ( 2898230 2990980 )
+    NEW met3 ( 2898230 2990980 ) ( 2917780 2990980 0 )
+    NEW met2 ( 1372870 2299420 0 ) ( 1372870 2988090 )
+    NEW met1 ( 1372870 2988090 ) ( 2898230 2988090 )
+    NEW met1 ( 1372870 2988090 ) M1M2_PR
+    NEW met1 ( 2898230 2988090 ) M1M2_PR
+    NEW met2 ( 2898230 2990980 ) via2_FR
 + USE SIGNAL ;
 - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) 
-  + ROUTED met2 ( 1414730 2315060 ) ( 1414730 2315570 )
-    NEW met1 ( 1414730 2315570 ) ( 1438190 2315570 )
-    NEW met1 ( 1438190 2315230 ) ( 1438190 2315570 )
-    NEW met2 ( 2864650 3443180 ) ( 2865110 3443180 )
-    NEW met2 ( 2864190 2573460 ) ( 2864650 2573460 )
-    NEW met2 ( 2864190 2815540 ) ( 2864650 2815540 )
-    NEW met2 ( 2864650 2815540 ) ( 2864650 2863140 )
-    NEW met2 ( 2864650 2863140 ) ( 2865570 2863140 )
-    NEW met2 ( 2864650 3201780 ) ( 2865570 3201780 )
-    NEW met2 ( 2865110 3298340 ) ( 2866030 3298340 )
-    NEW met1 ( 2865110 3443010 ) ( 2866030 3443010 )
-    NEW met2 ( 2865110 3443010 ) ( 2865110 3443180 )
-    NEW met2 ( 2864650 3517300 ) ( 2865570 3517300 )
-    NEW met2 ( 2865570 3517300 ) ( 2865570 3517980 0 )
-    NEW met2 ( 2864650 3443180 ) ( 2864650 3517300 )
+  + ROUTED met1 ( 2884430 2401250 ) ( 2885350 2401250 )
+    NEW met2 ( 2884430 3029060 ) ( 2885350 3029060 )
+    NEW met1 ( 2884430 3077510 ) ( 2885350 3077510 )
+    NEW met2 ( 2884430 3222180 ) ( 2885350 3222180 )
+    NEW met1 ( 2884430 3270630 ) ( 2885350 3270630 )
+    NEW met2 ( 2884430 2400740 ) ( 2884890 2400740 )
+    NEW met2 ( 2884890 2353140 ) ( 2884890 2400740 )
+    NEW met2 ( 2884890 2353140 ) ( 2885350 2353140 )
+    NEW met2 ( 2884430 2400740 ) ( 2884430 2401250 )
+    NEW met2 ( 2884890 2449700 ) ( 2885350 2449700 )
+    NEW met2 ( 2885350 2401250 ) ( 2885350 2449700 )
+    NEW met2 ( 2884430 3029060 ) ( 2884430 3077510 )
+    NEW met2 ( 2884430 3222180 ) ( 2884430 3270630 )
+    NEW met2 ( 2884890 3517300 ) ( 2885350 3517300 )
+    NEW met2 ( 2884890 3517300 ) ( 2884890 3517980 0 )
+    NEW met2 ( 2885350 2315230 ) ( 2885350 2353140 )
+    NEW met2 ( 2884430 2863140 ) ( 2884890 2863140 )
+    NEW met2 ( 2884890 2959700 ) ( 2885350 2959700 )
+    NEW met2 ( 2885350 2959700 ) ( 2885350 3029060 )
+    NEW met2 ( 2885350 3077510 ) ( 2885350 3222180 )
     NEW met2 ( 1388510 2299420 0 ) ( 1388510 2315570 )
-    NEW met1 ( 1388510 2315570 ) ( 1409210 2315570 )
-    NEW met2 ( 1409210 2315060 ) ( 1409210 2315570 )
-    NEW met3 ( 1409210 2315060 ) ( 1414730 2315060 )
-    NEW li1 ( 2863730 2428450 ) ( 2863730 2429470 )
-    NEW met1 ( 2863730 2429470 ) ( 2865110 2429470 )
-    NEW met3 ( 2863730 2559860 ) ( 2864650 2559860 )
-    NEW met2 ( 2863730 2511750 ) ( 2863730 2559860 )
-    NEW met1 ( 2863730 2511750 ) ( 2865110 2511750 )
-    NEW met2 ( 2864650 2559860 ) ( 2864650 2573460 )
-    NEW met2 ( 2863730 2656420 ) ( 2864190 2656420 )
-    NEW met2 ( 2864190 2656250 ) ( 2864190 2656420 )
-    NEW li1 ( 2864190 2621570 ) ( 2864190 2656250 )
-    NEW met2 ( 2864190 2573460 ) ( 2864190 2621570 )
-    NEW met1 ( 2863730 2718130 ) ( 2863730 2718810 )
-    NEW met1 ( 2863730 2718130 ) ( 2864190 2718130 )
-    NEW met1 ( 2865570 2911590 ) ( 2865570 2912270 )
-    NEW met1 ( 2865570 2912270 ) ( 2866030 2912270 )
-    NEW met2 ( 2865570 2863140 ) ( 2865570 2911590 )
-    NEW li1 ( 2864650 3007810 ) ( 2864650 3042830 )
-    NEW met1 ( 2864650 3007810 ) ( 2865570 3007810 )
-    NEW met1 ( 2865110 3091450 ) ( 2866030 3091450 )
-    NEW met2 ( 2865570 3405100 ) ( 2866030 3405100 )
-    NEW met2 ( 2866030 3405100 ) ( 2866030 3443010 )
-    NEW met2 ( 2863730 2379660 ) ( 2864190 2379660 )
-    NEW met2 ( 2863730 2379660 ) ( 2863730 2428450 )
-    NEW met2 ( 2865110 2429470 ) ( 2865110 2511750 )
-    NEW li1 ( 2863730 2656590 ) ( 2863730 2670530 )
-    NEW met2 ( 2863730 2670530 ) ( 2863730 2704700 )
-    NEW met2 ( 2863730 2704700 ) ( 2864190 2704700 )
-    NEW met2 ( 2863730 2656420 ) ( 2863730 2656590 )
-    NEW met2 ( 2864190 2704700 ) ( 2864190 2718130 )
-    NEW li1 ( 2863730 2753150 ) ( 2863730 2767090 )
-    NEW met2 ( 2863730 2767090 ) ( 2863730 2801260 )
-    NEW met2 ( 2863730 2801260 ) ( 2864190 2801260 )
-    NEW met2 ( 2863730 2718810 ) ( 2863730 2753150 )
-    NEW met2 ( 2864190 2801260 ) ( 2864190 2815540 )
-    NEW li1 ( 2865570 2946610 ) ( 2865570 2994210 )
-    NEW met1 ( 2865570 2946610 ) ( 2866030 2946610 )
-    NEW met2 ( 2865570 2994210 ) ( 2865570 3007810 )
-    NEW met2 ( 2866030 2912270 ) ( 2866030 2946610 )
-    NEW met3 ( 2864650 3043340 ) ( 2866490 3043340 )
-    NEW met2 ( 2866490 3043340 ) ( 2866490 3090770 )
-    NEW met1 ( 2865110 3090770 ) ( 2866490 3090770 )
-    NEW met2 ( 2864650 3042830 ) ( 2864650 3043340 )
-    NEW met2 ( 2865110 3090770 ) ( 2865110 3091450 )
-    NEW li1 ( 2865570 3139730 ) ( 2865570 3187330 )
-    NEW met1 ( 2865570 3139730 ) ( 2866030 3139730 )
-    NEW met2 ( 2865570 3187330 ) ( 2865570 3201780 )
-    NEW met2 ( 2866030 3091450 ) ( 2866030 3139730 )
-    NEW met1 ( 2864650 3236290 ) ( 2865110 3236290 )
-    NEW met2 ( 2864650 3201780 ) ( 2864650 3236290 )
-    NEW met2 ( 2865110 3236290 ) ( 2865110 3298340 )
-    NEW li1 ( 2865570 3332850 ) ( 2865570 3380450 )
-    NEW met1 ( 2865570 3332850 ) ( 2866030 3332850 )
-    NEW met2 ( 2865570 3380450 ) ( 2865570 3405100 )
-    NEW met2 ( 2866030 3298340 ) ( 2866030 3332850 )
-    NEW li1 ( 2864190 2315230 ) ( 2864190 2359770 )
-    NEW met1 ( 1438190 2315230 ) ( 2864190 2315230 )
-    NEW met2 ( 2864190 2359770 ) ( 2864190 2379660 )
-    NEW met2 ( 1414730 2315060 ) via2_FR
-    NEW met1 ( 1414730 2315570 ) M1M2_PR
-    NEW met1 ( 2865110 3443010 ) M1M2_PR
-    NEW met1 ( 2866030 3443010 ) M1M2_PR
+    NEW met1 ( 1388510 2315570 ) ( 1400930 2315570 )
+    NEW met1 ( 1400930 2315230 ) ( 1400930 2315570 )
+    NEW met1 ( 1400930 2315230 ) ( 2885350 2315230 )
+    NEW met1 ( 2885350 2511750 ) ( 2886270 2511750 )
+    NEW met1 ( 2885810 2718470 ) ( 2885810 2719150 )
+    NEW met1 ( 2885810 2719150 ) ( 2886270 2719150 )
+    NEW li1 ( 2884890 2814690 ) ( 2884890 2849370 )
+    NEW met1 ( 2884890 2814690 ) ( 2885810 2814690 )
+    NEW met2 ( 2884890 2849370 ) ( 2884890 2863140 )
+    NEW li1 ( 2884430 2898330 ) ( 2884430 2946270 )
+    NEW met1 ( 2884430 2946270 ) ( 2884890 2946270 )
+    NEW met2 ( 2884430 2863140 ) ( 2884430 2898330 )
+    NEW met2 ( 2884890 2946270 ) ( 2884890 2959700 )
+    NEW met1 ( 2884890 3298510 ) ( 2885350 3298510 )
+    NEW met2 ( 2885350 3270630 ) ( 2885350 3298510 )
+    NEW met2 ( 2884430 3394220 ) ( 2884890 3394220 )
+    NEW met2 ( 2884890 3394220 ) ( 2884890 3395580 )
+    NEW met2 ( 2884890 3395580 ) ( 2885350 3395580 )
+    NEW met3 ( 2883970 2463300 ) ( 2884890 2463300 )
+    NEW met2 ( 2883970 2463300 ) ( 2883970 2511580 )
+    NEW met3 ( 2883970 2511580 ) ( 2885350 2511580 )
+    NEW met2 ( 2884890 2449700 ) ( 2884890 2463300 )
+    NEW met2 ( 2885350 2511580 ) ( 2885350 2511750 )
+    NEW met1 ( 2886270 2572610 ) ( 2887190 2572610 )
+    NEW met2 ( 2886270 2511750 ) ( 2886270 2572610 )
+    NEW met1 ( 2885810 2669850 ) ( 2885810 2670530 )
+    NEW met1 ( 2885810 2669850 ) ( 2886270 2669850 )
+    NEW met2 ( 2885810 2670530 ) ( 2885810 2718470 )
+    NEW met3 ( 2884890 2801260 ) ( 2885810 2801260 )
+    NEW met2 ( 2884890 2753150 ) ( 2884890 2801260 )
+    NEW met1 ( 2884890 2753150 ) ( 2886270 2753150 )
+    NEW met2 ( 2885810 2801260 ) ( 2885810 2814690 )
+    NEW met2 ( 2886270 2719150 ) ( 2886270 2753150 )
+    NEW li1 ( 2884430 3332850 ) ( 2884430 3380450 )
+    NEW met1 ( 2884430 3332850 ) ( 2884890 3332850 )
+    NEW met2 ( 2884430 3380450 ) ( 2884430 3394220 )
+    NEW met2 ( 2884890 3298510 ) ( 2884890 3332850 )
+    NEW li1 ( 2885350 3429410 ) ( 2885350 3444030 )
+    NEW met2 ( 2885350 3395580 ) ( 2885350 3429410 )
+    NEW met2 ( 2885350 3444030 ) ( 2885350 3517300 )
+    NEW met3 ( 2886270 2648940 ) ( 2886500 2648940 )
+    NEW met4 ( 2886500 2601340 ) ( 2886500 2648940 )
+    NEW met3 ( 2886500 2601340 ) ( 2887190 2601340 )
+    NEW met2 ( 2886270 2648940 ) ( 2886270 2669850 )
+    NEW met2 ( 2887190 2572610 ) ( 2887190 2601340 )
+    NEW met1 ( 2884430 2401250 ) M1M2_PR
+    NEW met1 ( 2885350 2401250 ) M1M2_PR
+    NEW met1 ( 2884430 3077510 ) M1M2_PR
+    NEW met1 ( 2885350 3077510 ) M1M2_PR
+    NEW met1 ( 2884430 3270630 ) M1M2_PR
+    NEW met1 ( 2885350 3270630 ) M1M2_PR
+    NEW met1 ( 2885350 2315230 ) M1M2_PR
     NEW met1 ( 1388510 2315570 ) M1M2_PR
-    NEW met1 ( 1409210 2315570 ) M1M2_PR
-    NEW met2 ( 1409210 2315060 ) via2_FR
-    NEW li1 ( 2863730 2428450 ) L1M1_PR_MR
-    NEW met1 ( 2863730 2428450 ) M1M2_PR
-    NEW li1 ( 2863730 2429470 ) L1M1_PR_MR
-    NEW met1 ( 2865110 2429470 ) M1M2_PR
-    NEW met2 ( 2864650 2559860 ) via2_FR
-    NEW met2 ( 2863730 2559860 ) via2_FR
-    NEW met1 ( 2863730 2511750 ) M1M2_PR
-    NEW met1 ( 2865110 2511750 ) M1M2_PR
-    NEW li1 ( 2864190 2656250 ) L1M1_PR_MR
-    NEW met1 ( 2864190 2656250 ) M1M2_PR
-    NEW li1 ( 2864190 2621570 ) L1M1_PR_MR
-    NEW met1 ( 2864190 2621570 ) M1M2_PR
-    NEW met1 ( 2863730 2718810 ) M1M2_PR
-    NEW met1 ( 2864190 2718130 ) M1M2_PR
-    NEW met1 ( 2865570 2911590 ) M1M2_PR
-    NEW met1 ( 2866030 2912270 ) M1M2_PR
-    NEW li1 ( 2864650 3042830 ) L1M1_PR_MR
-    NEW met1 ( 2864650 3042830 ) M1M2_PR
-    NEW li1 ( 2864650 3007810 ) L1M1_PR_MR
-    NEW met1 ( 2865570 3007810 ) M1M2_PR
-    NEW met1 ( 2865110 3091450 ) M1M2_PR
-    NEW met1 ( 2866030 3091450 ) M1M2_PR
-    NEW li1 ( 2863730 2656590 ) L1M1_PR_MR
-    NEW met1 ( 2863730 2656590 ) M1M2_PR
-    NEW li1 ( 2863730 2670530 ) L1M1_PR_MR
-    NEW met1 ( 2863730 2670530 ) M1M2_PR
-    NEW li1 ( 2863730 2753150 ) L1M1_PR_MR
-    NEW met1 ( 2863730 2753150 ) M1M2_PR
-    NEW li1 ( 2863730 2767090 ) L1M1_PR_MR
-    NEW met1 ( 2863730 2767090 ) M1M2_PR
-    NEW li1 ( 2865570 2994210 ) L1M1_PR_MR
-    NEW met1 ( 2865570 2994210 ) M1M2_PR
-    NEW li1 ( 2865570 2946610 ) L1M1_PR_MR
-    NEW met1 ( 2866030 2946610 ) M1M2_PR
-    NEW met2 ( 2864650 3043340 ) via2_FR
-    NEW met2 ( 2866490 3043340 ) via2_FR
-    NEW met1 ( 2866490 3090770 ) M1M2_PR
-    NEW met1 ( 2865110 3090770 ) M1M2_PR
-    NEW li1 ( 2865570 3187330 ) L1M1_PR_MR
-    NEW met1 ( 2865570 3187330 ) M1M2_PR
-    NEW li1 ( 2865570 3139730 ) L1M1_PR_MR
-    NEW met1 ( 2866030 3139730 ) M1M2_PR
-    NEW met1 ( 2864650 3236290 ) M1M2_PR
-    NEW met1 ( 2865110 3236290 ) M1M2_PR
-    NEW li1 ( 2865570 3380450 ) L1M1_PR_MR
-    NEW met1 ( 2865570 3380450 ) M1M2_PR
-    NEW li1 ( 2865570 3332850 ) L1M1_PR_MR
-    NEW met1 ( 2866030 3332850 ) M1M2_PR
-    NEW li1 ( 2864190 2315230 ) L1M1_PR_MR
-    NEW li1 ( 2864190 2359770 ) L1M1_PR_MR
-    NEW met1 ( 2864190 2359770 ) M1M2_PR
-    NEW met2 ( 2865110 3443010 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 2863730 2428450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2864190 2656250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2864190 2621570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2864650 3042830 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 2863730 2656590 ) RECT ( -70 0 70 315 )
-    NEW met1 ( 2863730 2656590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2863730 2670530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2863730 2753150 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2863730 2767090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2865570 2994210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2865570 3187330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2865570 3380450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2864190 2359770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2885350 2511750 ) M1M2_PR
+    NEW met1 ( 2886270 2511750 ) M1M2_PR
+    NEW met1 ( 2885810 2718470 ) M1M2_PR
+    NEW met1 ( 2886270 2719150 ) M1M2_PR
+    NEW li1 ( 2884890 2849370 ) L1M1_PR_MR
+    NEW met1 ( 2884890 2849370 ) M1M2_PR
+    NEW li1 ( 2884890 2814690 ) L1M1_PR_MR
+    NEW met1 ( 2885810 2814690 ) M1M2_PR
+    NEW li1 ( 2884430 2898330 ) L1M1_PR_MR
+    NEW met1 ( 2884430 2898330 ) M1M2_PR
+    NEW li1 ( 2884430 2946270 ) L1M1_PR_MR
+    NEW met1 ( 2884890 2946270 ) M1M2_PR
+    NEW met1 ( 2884890 3298510 ) M1M2_PR
+    NEW met1 ( 2885350 3298510 ) M1M2_PR
+    NEW met2 ( 2884890 2463300 ) via2_FR
+    NEW met2 ( 2883970 2463300 ) via2_FR
+    NEW met2 ( 2883970 2511580 ) via2_FR
+    NEW met2 ( 2885350 2511580 ) via2_FR
+    NEW met1 ( 2887190 2572610 ) M1M2_PR
+    NEW met1 ( 2886270 2572610 ) M1M2_PR
+    NEW met1 ( 2885810 2670530 ) M1M2_PR
+    NEW met1 ( 2886270 2669850 ) M1M2_PR
+    NEW met2 ( 2885810 2801260 ) via2_FR
+    NEW met2 ( 2884890 2801260 ) via2_FR
+    NEW met1 ( 2884890 2753150 ) M1M2_PR
+    NEW met1 ( 2886270 2753150 ) M1M2_PR
+    NEW li1 ( 2884430 3380450 ) L1M1_PR_MR
+    NEW met1 ( 2884430 3380450 ) M1M2_PR
+    NEW li1 ( 2884430 3332850 ) L1M1_PR_MR
+    NEW met1 ( 2884890 3332850 ) M1M2_PR
+    NEW li1 ( 2885350 3429410 ) L1M1_PR_MR
+    NEW met1 ( 2885350 3429410 ) M1M2_PR
+    NEW li1 ( 2885350 3444030 ) L1M1_PR_MR
+    NEW met1 ( 2885350 3444030 ) M1M2_PR
+    NEW met2 ( 2886270 2648940 ) via2_FR
+    NEW met3 ( 2886500 2648940 ) M3M4_PR_M
+    NEW met3 ( 2886500 2601340 ) M3M4_PR_M
+    NEW met2 ( 2887190 2601340 ) via2_FR
+    NEW met1 ( 2884890 2849370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2884430 2898330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2884430 3380450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2885350 3429410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2885350 3444030 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 2886270 2648940 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) 
-  + ROUTED met1 ( 2539430 2401250 ) ( 2540350 2401250 )
-    NEW met2 ( 2539430 3029060 ) ( 2540350 3029060 )
-    NEW met1 ( 2539430 3077510 ) ( 2540350 3077510 )
-    NEW met2 ( 2539430 3222180 ) ( 2540350 3222180 )
-    NEW met1 ( 2539430 3270630 ) ( 2540350 3270630 )
-    NEW met2 ( 2539890 3511860 ) ( 2541270 3511860 )
-    NEW met2 ( 2539430 2400740 ) ( 2539890 2400740 )
-    NEW met2 ( 2539890 2353140 ) ( 2539890 2400740 )
-    NEW met2 ( 2539890 2353140 ) ( 2540350 2353140 )
-    NEW met2 ( 2539430 2400740 ) ( 2539430 2401250 )
-    NEW met2 ( 2540350 2315570 ) ( 2540350 2353140 )
-    NEW met2 ( 2539890 2449700 ) ( 2540350 2449700 )
-    NEW met2 ( 2540350 2401250 ) ( 2540350 2449700 )
-    NEW met2 ( 2539430 3029060 ) ( 2539430 3077510 )
-    NEW met2 ( 2539430 3222180 ) ( 2539430 3270630 )
-    NEW met2 ( 2541270 3511860 ) ( 2541270 3517980 0 )
-    NEW li1 ( 1416110 2315230 ) ( 1416110 2316250 )
-    NEW met1 ( 1416110 2316250 ) ( 1421630 2316250 )
-    NEW met1 ( 1421630 2315910 ) ( 1421630 2316250 )
-    NEW met2 ( 1404610 2299420 0 ) ( 1404610 2315230 )
-    NEW met1 ( 1404610 2315230 ) ( 1416110 2315230 )
-    NEW met1 ( 1508570 2315570 ) ( 1508570 2315910 )
-    NEW met1 ( 1421630 2315910 ) ( 1508570 2315910 )
-    NEW met1 ( 1508570 2315570 ) ( 2540350 2315570 )
-    NEW met2 ( 2539430 2863140 ) ( 2539890 2863140 )
-    NEW met2 ( 2539890 2959700 ) ( 2540350 2959700 )
-    NEW met2 ( 2540350 2959700 ) ( 2540350 3029060 )
-    NEW met2 ( 2540350 3077510 ) ( 2540350 3222180 )
-    NEW met1 ( 2540350 2511750 ) ( 2541270 2511750 )
-    NEW met1 ( 2540810 2718470 ) ( 2540810 2719150 )
-    NEW met1 ( 2540810 2719150 ) ( 2541270 2719150 )
-    NEW li1 ( 2539890 2814690 ) ( 2539890 2849370 )
-    NEW met1 ( 2539890 2814690 ) ( 2540810 2814690 )
-    NEW met2 ( 2539890 2849370 ) ( 2539890 2863140 )
-    NEW li1 ( 2539430 2898330 ) ( 2539430 2946270 )
-    NEW met1 ( 2539430 2946270 ) ( 2539890 2946270 )
-    NEW met2 ( 2539430 2863140 ) ( 2539430 2898330 )
-    NEW met2 ( 2539890 2946270 ) ( 2539890 2959700 )
-    NEW met1 ( 2539890 3298510 ) ( 2540350 3298510 )
-    NEW met2 ( 2540350 3270630 ) ( 2540350 3298510 )
-    NEW met2 ( 2539430 3394220 ) ( 2539890 3394220 )
-    NEW met2 ( 2539890 3394220 ) ( 2539890 3395580 )
-    NEW met2 ( 2539890 3395580 ) ( 2540350 3395580 )
-    NEW met3 ( 2538970 2463300 ) ( 2539890 2463300 )
-    NEW met2 ( 2538970 2463300 ) ( 2538970 2511580 )
-    NEW met3 ( 2538970 2511580 ) ( 2540350 2511580 )
-    NEW met2 ( 2539890 2449700 ) ( 2539890 2463300 )
-    NEW met2 ( 2540350 2511580 ) ( 2540350 2511750 )
-    NEW met1 ( 2541270 2572610 ) ( 2542190 2572610 )
-    NEW met2 ( 2541270 2511750 ) ( 2541270 2572610 )
-    NEW met1 ( 2540810 2669850 ) ( 2540810 2670530 )
-    NEW met1 ( 2540810 2669850 ) ( 2541270 2669850 )
-    NEW met2 ( 2540810 2670530 ) ( 2540810 2718470 )
-    NEW met3 ( 2539890 2801260 ) ( 2540810 2801260 )
-    NEW met2 ( 2539890 2753150 ) ( 2539890 2801260 )
-    NEW met1 ( 2539890 2753150 ) ( 2541270 2753150 )
-    NEW met2 ( 2540810 2801260 ) ( 2540810 2814690 )
-    NEW met2 ( 2541270 2719150 ) ( 2541270 2753150 )
-    NEW li1 ( 2539430 3332850 ) ( 2539430 3380450 )
-    NEW met1 ( 2539430 3332850 ) ( 2539890 3332850 )
-    NEW met2 ( 2539430 3380450 ) ( 2539430 3394220 )
-    NEW met2 ( 2539890 3298510 ) ( 2539890 3332850 )
-    NEW li1 ( 2539890 3429410 ) ( 2539890 3477350 )
-    NEW met1 ( 2539890 3429410 ) ( 2540350 3429410 )
-    NEW met2 ( 2539890 3477350 ) ( 2539890 3511860 )
-    NEW met2 ( 2540350 3395580 ) ( 2540350 3429410 )
-    NEW met3 ( 2541270 2648940 ) ( 2541500 2648940 )
-    NEW met4 ( 2541500 2601340 ) ( 2541500 2648940 )
-    NEW met3 ( 2541500 2601340 ) ( 2542190 2601340 )
-    NEW met2 ( 2541270 2648940 ) ( 2541270 2669850 )
-    NEW met2 ( 2542190 2572610 ) ( 2542190 2601340 )
-    NEW met1 ( 2540350 2315570 ) M1M2_PR
-    NEW met1 ( 2539430 2401250 ) M1M2_PR
-    NEW met1 ( 2540350 2401250 ) M1M2_PR
-    NEW met1 ( 2539430 3077510 ) M1M2_PR
-    NEW met1 ( 2540350 3077510 ) M1M2_PR
-    NEW met1 ( 2539430 3270630 ) M1M2_PR
-    NEW met1 ( 2540350 3270630 ) M1M2_PR
-    NEW li1 ( 1416110 2315230 ) L1M1_PR_MR
-    NEW li1 ( 1416110 2316250 ) L1M1_PR_MR
-    NEW met1 ( 1404610 2315230 ) M1M2_PR
-    NEW met1 ( 2540350 2511750 ) M1M2_PR
-    NEW met1 ( 2541270 2511750 ) M1M2_PR
-    NEW met1 ( 2540810 2718470 ) M1M2_PR
-    NEW met1 ( 2541270 2719150 ) M1M2_PR
-    NEW li1 ( 2539890 2849370 ) L1M1_PR_MR
-    NEW met1 ( 2539890 2849370 ) M1M2_PR
-    NEW li1 ( 2539890 2814690 ) L1M1_PR_MR
-    NEW met1 ( 2540810 2814690 ) M1M2_PR
-    NEW li1 ( 2539430 2898330 ) L1M1_PR_MR
-    NEW met1 ( 2539430 2898330 ) M1M2_PR
-    NEW li1 ( 2539430 2946270 ) L1M1_PR_MR
-    NEW met1 ( 2539890 2946270 ) M1M2_PR
-    NEW met1 ( 2539890 3298510 ) M1M2_PR
-    NEW met1 ( 2540350 3298510 ) M1M2_PR
-    NEW met2 ( 2539890 2463300 ) via2_FR
-    NEW met2 ( 2538970 2463300 ) via2_FR
-    NEW met2 ( 2538970 2511580 ) via2_FR
-    NEW met2 ( 2540350 2511580 ) via2_FR
-    NEW met1 ( 2542190 2572610 ) M1M2_PR
-    NEW met1 ( 2541270 2572610 ) M1M2_PR
-    NEW met1 ( 2540810 2670530 ) M1M2_PR
-    NEW met1 ( 2541270 2669850 ) M1M2_PR
-    NEW met2 ( 2540810 2801260 ) via2_FR
-    NEW met2 ( 2539890 2801260 ) via2_FR
-    NEW met1 ( 2539890 2753150 ) M1M2_PR
-    NEW met1 ( 2541270 2753150 ) M1M2_PR
-    NEW li1 ( 2539430 3380450 ) L1M1_PR_MR
-    NEW met1 ( 2539430 3380450 ) M1M2_PR
-    NEW li1 ( 2539430 3332850 ) L1M1_PR_MR
-    NEW met1 ( 2539890 3332850 ) M1M2_PR
-    NEW li1 ( 2539890 3477350 ) L1M1_PR_MR
-    NEW met1 ( 2539890 3477350 ) M1M2_PR
-    NEW li1 ( 2539890 3429410 ) L1M1_PR_MR
-    NEW met1 ( 2540350 3429410 ) M1M2_PR
-    NEW met2 ( 2541270 2648940 ) via2_FR
-    NEW met3 ( 2541500 2648940 ) M3M4_PR_M
-    NEW met3 ( 2541500 2601340 ) M3M4_PR_M
-    NEW met2 ( 2542190 2601340 ) via2_FR
-    NEW met1 ( 2539890 2849370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2539430 2898330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2539430 3380450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2539890 3477350 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 2541270 2648940 ) RECT ( -390 -150 0 150 )
+  + ROUTED met1 ( 2670530 3487890 ) ( 2676510 3487890 )
+    NEW met2 ( 2676510 3487890 ) ( 2676510 3517980 0 )
+    NEW met2 ( 2670530 2315570 ) ( 2670530 3487890 )
+    NEW met2 ( 1404610 2299420 0 ) ( 1404610 2315570 )
+    NEW met1 ( 1404610 2315570 ) ( 2670530 2315570 )
+    NEW met1 ( 2670530 3487890 ) M1M2_PR
+    NEW met1 ( 2676510 3487890 ) M1M2_PR
+    NEW met1 ( 2670530 2315570 ) M1M2_PR
+    NEW met1 ( 1404610 2315570 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) 
-  + ROUTED met2 ( 1420250 2299420 0 ) ( 1421170 2299420 )
-    NEW met2 ( 1421170 2299420 ) ( 1421170 2311150 )
-    NEW met2 ( 2216050 3443180 ) ( 2216510 3443180 )
-    NEW met2 ( 2215590 2573460 ) ( 2216050 2573460 )
-    NEW met2 ( 2215590 2815540 ) ( 2216050 2815540 )
-    NEW met2 ( 2216050 2815540 ) ( 2216050 2863140 )
-    NEW met2 ( 2216050 2863140 ) ( 2216970 2863140 )
-    NEW met2 ( 2216050 3201780 ) ( 2216970 3201780 )
-    NEW met2 ( 2216510 3298340 ) ( 2217430 3298340 )
-    NEW met1 ( 2216510 3443010 ) ( 2217430 3443010 )
-    NEW met2 ( 2216510 3443010 ) ( 2216510 3443180 )
-    NEW met2 ( 2216050 3517300 ) ( 2216970 3517300 )
-    NEW met2 ( 2216970 3517300 ) ( 2216970 3517980 0 )
-    NEW met2 ( 2216050 3443180 ) ( 2216050 3517300 )
-    NEW li1 ( 1509030 2311150 ) ( 1509030 2315910 )
-    NEW met1 ( 1421170 2311150 ) ( 1509030 2311150 )
-    NEW li1 ( 2215130 2428450 ) ( 2215130 2429470 )
-    NEW met1 ( 2215130 2429470 ) ( 2216510 2429470 )
-    NEW met3 ( 2215130 2559860 ) ( 2216050 2559860 )
-    NEW met2 ( 2215130 2511750 ) ( 2215130 2559860 )
-    NEW met1 ( 2215130 2511750 ) ( 2216510 2511750 )
-    NEW met2 ( 2216050 2559860 ) ( 2216050 2573460 )
-    NEW met2 ( 2215130 2656420 ) ( 2215590 2656420 )
-    NEW met2 ( 2215590 2656250 ) ( 2215590 2656420 )
-    NEW li1 ( 2215590 2621570 ) ( 2215590 2656250 )
-    NEW met2 ( 2215590 2573460 ) ( 2215590 2621570 )
-    NEW met1 ( 2215130 2718130 ) ( 2215130 2718810 )
-    NEW met1 ( 2215130 2718130 ) ( 2215590 2718130 )
-    NEW met1 ( 2216970 2911590 ) ( 2216970 2912270 )
-    NEW met1 ( 2216970 2912270 ) ( 2217430 2912270 )
-    NEW met2 ( 2216970 2863140 ) ( 2216970 2911590 )
-    NEW li1 ( 2216050 3007810 ) ( 2216050 3042830 )
-    NEW met1 ( 2216050 3007810 ) ( 2216970 3007810 )
-    NEW met1 ( 2216510 3091450 ) ( 2217430 3091450 )
-    NEW met2 ( 2216970 3405100 ) ( 2217430 3405100 )
-    NEW met2 ( 2217430 3405100 ) ( 2217430 3443010 )
-    NEW met2 ( 2215130 2379660 ) ( 2215590 2379660 )
-    NEW met2 ( 2215130 2379660 ) ( 2215130 2428450 )
-    NEW met2 ( 2216510 2429470 ) ( 2216510 2511750 )
-    NEW li1 ( 2215130 2656590 ) ( 2215130 2670530 )
-    NEW met2 ( 2215130 2670530 ) ( 2215130 2704700 )
-    NEW met2 ( 2215130 2704700 ) ( 2215590 2704700 )
-    NEW met2 ( 2215130 2656420 ) ( 2215130 2656590 )
-    NEW met2 ( 2215590 2704700 ) ( 2215590 2718130 )
-    NEW li1 ( 2215130 2753150 ) ( 2215130 2767090 )
-    NEW met2 ( 2215130 2767090 ) ( 2215130 2801260 )
-    NEW met2 ( 2215130 2801260 ) ( 2215590 2801260 )
-    NEW met2 ( 2215130 2718810 ) ( 2215130 2753150 )
-    NEW met2 ( 2215590 2801260 ) ( 2215590 2815540 )
-    NEW li1 ( 2216970 2946610 ) ( 2216970 2994210 )
-    NEW met1 ( 2216970 2946610 ) ( 2217430 2946610 )
-    NEW met2 ( 2216970 2994210 ) ( 2216970 3007810 )
-    NEW met2 ( 2217430 2912270 ) ( 2217430 2946610 )
-    NEW met3 ( 2215820 3043340 ) ( 2216050 3043340 )
-    NEW met4 ( 2215820 3043340 ) ( 2215820 3090940 )
-    NEW met3 ( 2215820 3090940 ) ( 2216510 3090940 )
-    NEW met2 ( 2216050 3042830 ) ( 2216050 3043340 )
-    NEW met2 ( 2216510 3090940 ) ( 2216510 3091450 )
-    NEW li1 ( 2216970 3139730 ) ( 2216970 3187330 )
-    NEW met1 ( 2216970 3139730 ) ( 2217430 3139730 )
-    NEW met2 ( 2216970 3187330 ) ( 2216970 3201780 )
-    NEW met2 ( 2217430 3091450 ) ( 2217430 3139730 )
-    NEW met1 ( 2216050 3236290 ) ( 2216510 3236290 )
-    NEW met2 ( 2216050 3201780 ) ( 2216050 3236290 )
-    NEW met2 ( 2216510 3236290 ) ( 2216510 3298340 )
-    NEW li1 ( 2216970 3332850 ) ( 2216970 3380450 )
-    NEW met1 ( 2216970 3332850 ) ( 2217430 3332850 )
-    NEW met2 ( 2216970 3380450 ) ( 2216970 3405100 )
-    NEW met2 ( 2217430 3298340 ) ( 2217430 3332850 )
-    NEW li1 ( 2215590 2315910 ) ( 2215590 2359770 )
-    NEW met1 ( 1509030 2315910 ) ( 2215590 2315910 )
-    NEW met2 ( 2215590 2359770 ) ( 2215590 2379660 )
-    NEW met1 ( 1421170 2311150 ) M1M2_PR
-    NEW met1 ( 2216510 3443010 ) M1M2_PR
-    NEW met1 ( 2217430 3443010 ) M1M2_PR
-    NEW li1 ( 1509030 2311150 ) L1M1_PR_MR
-    NEW li1 ( 1509030 2315910 ) L1M1_PR_MR
-    NEW li1 ( 2215130 2428450 ) L1M1_PR_MR
-    NEW met1 ( 2215130 2428450 ) M1M2_PR
-    NEW li1 ( 2215130 2429470 ) L1M1_PR_MR
-    NEW met1 ( 2216510 2429470 ) M1M2_PR
-    NEW met2 ( 2216050 2559860 ) via2_FR
-    NEW met2 ( 2215130 2559860 ) via2_FR
-    NEW met1 ( 2215130 2511750 ) M1M2_PR
-    NEW met1 ( 2216510 2511750 ) M1M2_PR
-    NEW li1 ( 2215590 2656250 ) L1M1_PR_MR
-    NEW met1 ( 2215590 2656250 ) M1M2_PR
-    NEW li1 ( 2215590 2621570 ) L1M1_PR_MR
-    NEW met1 ( 2215590 2621570 ) M1M2_PR
-    NEW met1 ( 2215130 2718810 ) M1M2_PR
-    NEW met1 ( 2215590 2718130 ) M1M2_PR
-    NEW met1 ( 2216970 2911590 ) M1M2_PR
-    NEW met1 ( 2217430 2912270 ) M1M2_PR
-    NEW li1 ( 2216050 3042830 ) L1M1_PR_MR
-    NEW met1 ( 2216050 3042830 ) M1M2_PR
-    NEW li1 ( 2216050 3007810 ) L1M1_PR_MR
-    NEW met1 ( 2216970 3007810 ) M1M2_PR
-    NEW met1 ( 2216510 3091450 ) M1M2_PR
-    NEW met1 ( 2217430 3091450 ) M1M2_PR
-    NEW li1 ( 2215130 2656590 ) L1M1_PR_MR
-    NEW met1 ( 2215130 2656590 ) M1M2_PR
-    NEW li1 ( 2215130 2670530 ) L1M1_PR_MR
-    NEW met1 ( 2215130 2670530 ) M1M2_PR
-    NEW li1 ( 2215130 2753150 ) L1M1_PR_MR
-    NEW met1 ( 2215130 2753150 ) M1M2_PR
-    NEW li1 ( 2215130 2767090 ) L1M1_PR_MR
-    NEW met1 ( 2215130 2767090 ) M1M2_PR
-    NEW li1 ( 2216970 2994210 ) L1M1_PR_MR
-    NEW met1 ( 2216970 2994210 ) M1M2_PR
-    NEW li1 ( 2216970 2946610 ) L1M1_PR_MR
-    NEW met1 ( 2217430 2946610 ) M1M2_PR
-    NEW met2 ( 2216050 3043340 ) via2_FR
-    NEW met3 ( 2215820 3043340 ) M3M4_PR_M
-    NEW met3 ( 2215820 3090940 ) M3M4_PR_M
-    NEW met2 ( 2216510 3090940 ) via2_FR
-    NEW li1 ( 2216970 3187330 ) L1M1_PR_MR
-    NEW met1 ( 2216970 3187330 ) M1M2_PR
-    NEW li1 ( 2216970 3139730 ) L1M1_PR_MR
-    NEW met1 ( 2217430 3139730 ) M1M2_PR
-    NEW met1 ( 2216050 3236290 ) M1M2_PR
-    NEW met1 ( 2216510 3236290 ) M1M2_PR
-    NEW li1 ( 2216970 3380450 ) L1M1_PR_MR
-    NEW met1 ( 2216970 3380450 ) M1M2_PR
-    NEW li1 ( 2216970 3332850 ) L1M1_PR_MR
-    NEW met1 ( 2217430 3332850 ) M1M2_PR
-    NEW li1 ( 2215590 2315910 ) L1M1_PR_MR
-    NEW li1 ( 2215590 2359770 ) L1M1_PR_MR
-    NEW met1 ( 2215590 2359770 ) M1M2_PR
-    NEW met2 ( 2216510 3443010 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 2215130 2428450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215590 2656250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215590 2621570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2216050 3042830 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 2215130 2656590 ) RECT ( -70 0 70 315 )
-    NEW met1 ( 2215130 2656590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215130 2670530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215130 2753150 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215130 2767090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2216970 2994210 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 2216050 3043340 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 2216970 3187330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2216970 3380450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2215590 2359770 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2468130 3517300 ) ( 2468590 3517300 )
+    NEW met2 ( 2468130 3517300 ) ( 2468130 3517980 0 )
+    NEW met2 ( 1420250 2299420 0 ) ( 1420250 2316250 )
+    NEW met1 ( 1420250 2316250 ) ( 1435430 2316250 )
+    NEW met1 ( 1435430 2315910 ) ( 1435430 2316250 )
+    NEW met1 ( 1435430 2315910 ) ( 1456590 2315910 )
+    NEW li1 ( 1456590 2315910 ) ( 1462570 2315910 )
+    NEW met1 ( 1462570 2315910 ) ( 2464450 2315910 )
+    NEW met1 ( 2463990 2380510 ) ( 2464910 2380510 )
+    NEW met1 ( 2463990 2477410 ) ( 2464910 2477410 )
+    NEW met2 ( 2464910 3443180 ) ( 2465370 3443180 )
+    NEW met2 ( 2465370 3443180 ) ( 2465370 3491290 )
+    NEW met1 ( 2465370 3491290 ) ( 2468590 3491290 )
+    NEW met2 ( 2468590 3491290 ) ( 2468590 3517300 )
+    NEW met2 ( 2463990 2380340 ) ( 2464910 2380340 )
+    NEW met2 ( 2463990 2380340 ) ( 2463990 2380510 )
+    NEW met2 ( 2463990 2476900 ) ( 2464450 2476900 )
+    NEW met2 ( 2463990 2476900 ) ( 2463990 2477410 )
+    NEW met2 ( 2464450 2863140 ) ( 2465370 2863140 )
+    NEW met2 ( 2464450 3201780 ) ( 2465370 3201780 )
+    NEW met2 ( 2464910 3298340 ) ( 2465830 3298340 )
+    NEW met1 ( 2464910 3443010 ) ( 2465830 3443010 )
+    NEW met2 ( 2464910 3443010 ) ( 2464910 3443180 )
+    NEW li1 ( 2464450 2318630 ) ( 2464450 2332570 )
+    NEW met1 ( 2464450 2332570 ) ( 2464910 2332570 )
+    NEW met2 ( 2464450 2315910 ) ( 2464450 2318630 )
+    NEW met2 ( 2464910 2332570 ) ( 2464910 2380340 )
+    NEW li1 ( 2464450 2428450 ) ( 2464450 2463130 )
+    NEW met2 ( 2464450 2415020 ) ( 2464450 2428450 )
+    NEW met2 ( 2464450 2415020 ) ( 2464910 2415020 )
+    NEW met2 ( 2464450 2463130 ) ( 2464450 2476900 )
+    NEW met2 ( 2464910 2380510 ) ( 2464910 2415020 )
+    NEW met3 ( 2463530 2559860 ) ( 2464450 2559860 )
+    NEW met2 ( 2463530 2511750 ) ( 2463530 2559860 )
+    NEW met1 ( 2463530 2511750 ) ( 2464910 2511750 )
+    NEW met2 ( 2464910 2477410 ) ( 2464910 2511750 )
+    NEW met1 ( 2463530 2718130 ) ( 2463530 2718810 )
+    NEW met1 ( 2463530 2718130 ) ( 2463990 2718130 )
+    NEW met2 ( 2463990 2801940 ) ( 2464450 2801940 )
+    NEW met2 ( 2464450 2801940 ) ( 2464450 2802110 )
+    NEW met1 ( 2463990 2802110 ) ( 2464450 2802110 )
+    NEW li1 ( 2463990 2802110 ) ( 2463990 2815710 )
+    NEW met2 ( 2463990 2815710 ) ( 2463990 2849540 )
+    NEW met2 ( 2463990 2849540 ) ( 2464450 2849540 )
+    NEW met2 ( 2464450 2849540 ) ( 2464450 2863140 )
+    NEW met1 ( 2465370 2911590 ) ( 2465370 2912270 )
+    NEW met1 ( 2465370 2912270 ) ( 2465830 2912270 )
+    NEW met2 ( 2465370 2863140 ) ( 2465370 2911590 )
+    NEW li1 ( 2464450 3007810 ) ( 2464450 3042830 )
+    NEW met1 ( 2464450 3007810 ) ( 2465370 3007810 )
+    NEW met1 ( 2464910 3091450 ) ( 2465830 3091450 )
+    NEW met2 ( 2465370 3405100 ) ( 2465830 3405100 )
+    NEW met2 ( 2465830 3405100 ) ( 2465830 3443010 )
+    NEW met1 ( 2463990 2560030 ) ( 2464450 2560030 )
+    NEW met2 ( 2464450 2559860 ) ( 2464450 2560030 )
+    NEW li1 ( 2463530 2670190 ) ( 2463530 2671550 )
+    NEW met1 ( 2463530 2671550 ) ( 2463990 2671550 )
+    NEW met2 ( 2463990 2671550 ) ( 2463990 2718130 )
+    NEW met1 ( 2463530 2753150 ) ( 2464910 2753150 )
+    NEW met2 ( 2464910 2753150 ) ( 2464910 2801260 )
+    NEW met3 ( 2463990 2801260 ) ( 2464910 2801260 )
+    NEW met2 ( 2463530 2718810 ) ( 2463530 2753150 )
+    NEW met2 ( 2463990 2801260 ) ( 2463990 2801940 )
+    NEW li1 ( 2465370 2946610 ) ( 2465370 2994210 )
+    NEW met1 ( 2465370 2946610 ) ( 2465830 2946610 )
+    NEW met2 ( 2465370 2994210 ) ( 2465370 3007810 )
+    NEW met2 ( 2465830 2912270 ) ( 2465830 2946610 )
+    NEW met3 ( 2464450 3043340 ) ( 2466290 3043340 )
+    NEW met2 ( 2466290 3043340 ) ( 2466290 3090770 )
+    NEW met1 ( 2464910 3090770 ) ( 2466290 3090770 )
+    NEW met2 ( 2464450 3042830 ) ( 2464450 3043340 )
+    NEW met2 ( 2464910 3090770 ) ( 2464910 3091450 )
+    NEW li1 ( 2465370 3139730 ) ( 2465370 3187330 )
+    NEW met1 ( 2465370 3139730 ) ( 2465830 3139730 )
+    NEW met2 ( 2465370 3187330 ) ( 2465370 3201780 )
+    NEW met2 ( 2465830 3091450 ) ( 2465830 3139730 )
+    NEW met1 ( 2464450 3236290 ) ( 2464910 3236290 )
+    NEW met2 ( 2464450 3201780 ) ( 2464450 3236290 )
+    NEW met2 ( 2464910 3236290 ) ( 2464910 3298340 )
+    NEW li1 ( 2465370 3332850 ) ( 2465370 3380450 )
+    NEW met1 ( 2465370 3332850 ) ( 2465830 3332850 )
+    NEW met2 ( 2465370 3380450 ) ( 2465370 3405100 )
+    NEW met2 ( 2465830 3298340 ) ( 2465830 3332850 )
+    NEW li1 ( 2463530 2621570 ) ( 2463530 2648770 )
+    NEW met2 ( 2463530 2601340 ) ( 2463530 2621570 )
+    NEW met2 ( 2463530 2601340 ) ( 2463990 2601340 )
+    NEW met2 ( 2463530 2648770 ) ( 2463530 2670190 )
+    NEW met2 ( 2463990 2560030 ) ( 2463990 2601340 )
+    NEW met1 ( 1420250 2316250 ) M1M2_PR
+    NEW li1 ( 1456590 2315910 ) L1M1_PR_MR
+    NEW li1 ( 1462570 2315910 ) L1M1_PR_MR
+    NEW met1 ( 2464450 2315910 ) M1M2_PR
+    NEW met1 ( 2463990 2380510 ) M1M2_PR
+    NEW met1 ( 2464910 2380510 ) M1M2_PR
+    NEW met1 ( 2463990 2477410 ) M1M2_PR
+    NEW met1 ( 2464910 2477410 ) M1M2_PR
+    NEW met1 ( 2465370 3491290 ) M1M2_PR
+    NEW met1 ( 2468590 3491290 ) M1M2_PR
+    NEW met1 ( 2464910 3443010 ) M1M2_PR
+    NEW met1 ( 2465830 3443010 ) M1M2_PR
+    NEW li1 ( 2464450 2318630 ) L1M1_PR_MR
+    NEW met1 ( 2464450 2318630 ) M1M2_PR
+    NEW li1 ( 2464450 2332570 ) L1M1_PR_MR
+    NEW met1 ( 2464910 2332570 ) M1M2_PR
+    NEW li1 ( 2464450 2463130 ) L1M1_PR_MR
+    NEW met1 ( 2464450 2463130 ) M1M2_PR
+    NEW li1 ( 2464450 2428450 ) L1M1_PR_MR
+    NEW met1 ( 2464450 2428450 ) M1M2_PR
+    NEW met2 ( 2464450 2559860 ) via2_FR
+    NEW met2 ( 2463530 2559860 ) via2_FR
+    NEW met1 ( 2463530 2511750 ) M1M2_PR
+    NEW met1 ( 2464910 2511750 ) M1M2_PR
+    NEW met1 ( 2463530 2718810 ) M1M2_PR
+    NEW met1 ( 2463990 2718130 ) M1M2_PR
+    NEW met1 ( 2464450 2802110 ) M1M2_PR
+    NEW li1 ( 2463990 2802110 ) L1M1_PR_MR
+    NEW li1 ( 2463990 2815710 ) L1M1_PR_MR
+    NEW met1 ( 2463990 2815710 ) M1M2_PR
+    NEW met1 ( 2465370 2911590 ) M1M2_PR
+    NEW met1 ( 2465830 2912270 ) M1M2_PR
+    NEW li1 ( 2464450 3042830 ) L1M1_PR_MR
+    NEW met1 ( 2464450 3042830 ) M1M2_PR
+    NEW li1 ( 2464450 3007810 ) L1M1_PR_MR
+    NEW met1 ( 2465370 3007810 ) M1M2_PR
+    NEW met1 ( 2464910 3091450 ) M1M2_PR
+    NEW met1 ( 2465830 3091450 ) M1M2_PR
+    NEW met1 ( 2463990 2560030 ) M1M2_PR
+    NEW met1 ( 2464450 2560030 ) M1M2_PR
+    NEW li1 ( 2463530 2670190 ) L1M1_PR_MR
+    NEW met1 ( 2463530 2670190 ) M1M2_PR
+    NEW li1 ( 2463530 2671550 ) L1M1_PR_MR
+    NEW met1 ( 2463990 2671550 ) M1M2_PR
+    NEW met1 ( 2463530 2753150 ) M1M2_PR
+    NEW met1 ( 2464910 2753150 ) M1M2_PR
+    NEW met2 ( 2464910 2801260 ) via2_FR
+    NEW met2 ( 2463990 2801260 ) via2_FR
+    NEW li1 ( 2465370 2994210 ) L1M1_PR_MR
+    NEW met1 ( 2465370 2994210 ) M1M2_PR
+    NEW li1 ( 2465370 2946610 ) L1M1_PR_MR
+    NEW met1 ( 2465830 2946610 ) M1M2_PR
+    NEW met2 ( 2464450 3043340 ) via2_FR
+    NEW met2 ( 2466290 3043340 ) via2_FR
+    NEW met1 ( 2466290 3090770 ) M1M2_PR
+    NEW met1 ( 2464910 3090770 ) M1M2_PR
+    NEW li1 ( 2465370 3187330 ) L1M1_PR_MR
+    NEW met1 ( 2465370 3187330 ) M1M2_PR
+    NEW li1 ( 2465370 3139730 ) L1M1_PR_MR
+    NEW met1 ( 2465830 3139730 ) M1M2_PR
+    NEW met1 ( 2464450 3236290 ) M1M2_PR
+    NEW met1 ( 2464910 3236290 ) M1M2_PR
+    NEW li1 ( 2465370 3380450 ) L1M1_PR_MR
+    NEW met1 ( 2465370 3380450 ) M1M2_PR
+    NEW li1 ( 2465370 3332850 ) L1M1_PR_MR
+    NEW met1 ( 2465830 3332850 ) M1M2_PR
+    NEW li1 ( 2463530 2648770 ) L1M1_PR_MR
+    NEW met1 ( 2463530 2648770 ) M1M2_PR
+    NEW li1 ( 2463530 2621570 ) L1M1_PR_MR
+    NEW met1 ( 2463530 2621570 ) M1M2_PR
+    NEW met2 ( 2464910 3443010 ) RECT ( -70 -315 70 0 )
+    NEW met1 ( 2464450 2318630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2464450 2463130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2464450 2428450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2463990 2815710 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2464450 3042830 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2463530 2670190 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 2465370 2994210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2465370 3187330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2465370 3380450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2463530 2648770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2463530 2621570 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) 
-  + ROUTED met1 ( 1890830 2401250 ) ( 1891750 2401250 )
-    NEW met2 ( 1890830 3029060 ) ( 1891750 3029060 )
-    NEW met1 ( 1890830 3077510 ) ( 1891750 3077510 )
-    NEW met2 ( 1890830 3222180 ) ( 1891750 3222180 )
-    NEW met1 ( 1890830 3270630 ) ( 1891750 3270630 )
-    NEW met2 ( 1891290 3511860 ) ( 1892210 3511860 )
-    NEW met2 ( 1890830 2400740 ) ( 1891290 2400740 )
-    NEW met2 ( 1891290 2353140 ) ( 1891290 2400740 )
-    NEW met2 ( 1891290 2353140 ) ( 1891750 2353140 )
-    NEW met2 ( 1890830 2400740 ) ( 1890830 2401250 )
-    NEW met2 ( 1891750 2316250 ) ( 1891750 2353140 )
-    NEW met2 ( 1891290 2449700 ) ( 1891750 2449700 )
-    NEW met2 ( 1891750 2401250 ) ( 1891750 2449700 )
-    NEW met2 ( 1890830 3029060 ) ( 1890830 3077510 )
-    NEW met2 ( 1890830 3222180 ) ( 1890830 3270630 )
-    NEW met2 ( 1892210 3511860 ) ( 1892210 3517980 0 )
-    NEW met2 ( 1435890 2299420 0 ) ( 1438190 2299420 )
-    NEW met2 ( 1438190 2299420 ) ( 1438190 2300100 )
-    NEW met2 ( 1438190 2300100 ) ( 1439110 2300100 )
-    NEW met2 ( 1439110 2300100 ) ( 1439110 2315740 )
-    NEW met2 ( 1439110 2315740 ) ( 1439570 2315740 )
-    NEW met2 ( 1463490 2315740 ) ( 1463490 2316250 )
-    NEW met3 ( 1439570 2315740 ) ( 1463490 2315740 )
-    NEW met1 ( 1463490 2316250 ) ( 1891750 2316250 )
-    NEW met2 ( 1890830 2863140 ) ( 1891290 2863140 )
-    NEW met2 ( 1891290 2959700 ) ( 1891750 2959700 )
-    NEW met2 ( 1891750 2959700 ) ( 1891750 3029060 )
-    NEW met2 ( 1891750 3077510 ) ( 1891750 3222180 )
-    NEW met1 ( 1891750 2511750 ) ( 1892670 2511750 )
-    NEW met1 ( 1892210 2718470 ) ( 1892210 2719150 )
-    NEW met1 ( 1892210 2719150 ) ( 1892670 2719150 )
-    NEW li1 ( 1891290 2814690 ) ( 1891290 2849370 )
-    NEW met1 ( 1891290 2814690 ) ( 1892210 2814690 )
-    NEW met2 ( 1891290 2849370 ) ( 1891290 2863140 )
-    NEW li1 ( 1890830 2898330 ) ( 1890830 2946270 )
-    NEW met1 ( 1890830 2946270 ) ( 1891290 2946270 )
-    NEW met2 ( 1890830 2863140 ) ( 1890830 2898330 )
-    NEW met2 ( 1891290 2946270 ) ( 1891290 2959700 )
-    NEW met1 ( 1891290 3298510 ) ( 1891750 3298510 )
-    NEW met2 ( 1891750 3270630 ) ( 1891750 3298510 )
-    NEW met2 ( 1890830 3394220 ) ( 1891290 3394220 )
-    NEW met2 ( 1891290 3394220 ) ( 1891290 3395580 )
-    NEW met2 ( 1891290 3395580 ) ( 1891750 3395580 )
-    NEW met3 ( 1890370 2463300 ) ( 1891290 2463300 )
-    NEW met2 ( 1890370 2463300 ) ( 1890370 2511580 )
-    NEW met3 ( 1890370 2511580 ) ( 1891750 2511580 )
-    NEW met2 ( 1891290 2449700 ) ( 1891290 2463300 )
-    NEW met2 ( 1891750 2511580 ) ( 1891750 2511750 )
-    NEW met1 ( 1892670 2572610 ) ( 1893590 2572610 )
-    NEW met2 ( 1892670 2511750 ) ( 1892670 2572610 )
-    NEW met1 ( 1892210 2669850 ) ( 1892210 2670530 )
-    NEW met1 ( 1892210 2669850 ) ( 1892670 2669850 )
-    NEW met2 ( 1892210 2670530 ) ( 1892210 2718470 )
-    NEW met3 ( 1891290 2801260 ) ( 1892210 2801260 )
-    NEW met2 ( 1891290 2753150 ) ( 1891290 2801260 )
-    NEW met1 ( 1891290 2753150 ) ( 1892670 2753150 )
-    NEW met2 ( 1892210 2801260 ) ( 1892210 2814690 )
-    NEW met2 ( 1892670 2719150 ) ( 1892670 2753150 )
-    NEW li1 ( 1890830 3332850 ) ( 1890830 3380450 )
-    NEW met1 ( 1890830 3332850 ) ( 1891290 3332850 )
-    NEW met2 ( 1890830 3380450 ) ( 1890830 3394220 )
-    NEW met2 ( 1891290 3298510 ) ( 1891290 3332850 )
-    NEW li1 ( 1891290 3429410 ) ( 1891290 3477350 )
-    NEW met1 ( 1891290 3429410 ) ( 1891750 3429410 )
-    NEW met2 ( 1891290 3477350 ) ( 1891290 3511860 )
-    NEW met2 ( 1891750 3395580 ) ( 1891750 3429410 )
-    NEW met3 ( 1892670 2648940 ) ( 1892900 2648940 )
-    NEW met4 ( 1892900 2601340 ) ( 1892900 2648940 )
-    NEW met3 ( 1892900 2601340 ) ( 1893590 2601340 )
-    NEW met2 ( 1892670 2648940 ) ( 1892670 2669850 )
-    NEW met2 ( 1893590 2572610 ) ( 1893590 2601340 )
-    NEW met1 ( 1891750 2316250 ) M1M2_PR
-    NEW met1 ( 1890830 2401250 ) M1M2_PR
-    NEW met1 ( 1891750 2401250 ) M1M2_PR
-    NEW met1 ( 1890830 3077510 ) M1M2_PR
-    NEW met1 ( 1891750 3077510 ) M1M2_PR
-    NEW met1 ( 1890830 3270630 ) M1M2_PR
-    NEW met1 ( 1891750 3270630 ) M1M2_PR
-    NEW met2 ( 1439570 2315740 ) via2_FR
-    NEW met2 ( 1463490 2315740 ) via2_FR
-    NEW met1 ( 1463490 2316250 ) M1M2_PR
-    NEW met1 ( 1891750 2511750 ) M1M2_PR
-    NEW met1 ( 1892670 2511750 ) M1M2_PR
-    NEW met1 ( 1892210 2718470 ) M1M2_PR
-    NEW met1 ( 1892670 2719150 ) M1M2_PR
-    NEW li1 ( 1891290 2849370 ) L1M1_PR_MR
-    NEW met1 ( 1891290 2849370 ) M1M2_PR
-    NEW li1 ( 1891290 2814690 ) L1M1_PR_MR
-    NEW met1 ( 1892210 2814690 ) M1M2_PR
-    NEW li1 ( 1890830 2898330 ) L1M1_PR_MR
-    NEW met1 ( 1890830 2898330 ) M1M2_PR
-    NEW li1 ( 1890830 2946270 ) L1M1_PR_MR
-    NEW met1 ( 1891290 2946270 ) M1M2_PR
-    NEW met1 ( 1891290 3298510 ) M1M2_PR
-    NEW met1 ( 1891750 3298510 ) M1M2_PR
-    NEW met2 ( 1891290 2463300 ) via2_FR
-    NEW met2 ( 1890370 2463300 ) via2_FR
-    NEW met2 ( 1890370 2511580 ) via2_FR
-    NEW met2 ( 1891750 2511580 ) via2_FR
-    NEW met1 ( 1893590 2572610 ) M1M2_PR
-    NEW met1 ( 1892670 2572610 ) M1M2_PR
-    NEW met1 ( 1892210 2670530 ) M1M2_PR
-    NEW met1 ( 1892670 2669850 ) M1M2_PR
-    NEW met2 ( 1892210 2801260 ) via2_FR
-    NEW met2 ( 1891290 2801260 ) via2_FR
-    NEW met1 ( 1891290 2753150 ) M1M2_PR
-    NEW met1 ( 1892670 2753150 ) M1M2_PR
-    NEW li1 ( 1890830 3380450 ) L1M1_PR_MR
-    NEW met1 ( 1890830 3380450 ) M1M2_PR
-    NEW li1 ( 1890830 3332850 ) L1M1_PR_MR
-    NEW met1 ( 1891290 3332850 ) M1M2_PR
-    NEW li1 ( 1891290 3477350 ) L1M1_PR_MR
-    NEW met1 ( 1891290 3477350 ) M1M2_PR
-    NEW li1 ( 1891290 3429410 ) L1M1_PR_MR
-    NEW met1 ( 1891750 3429410 ) M1M2_PR
-    NEW met2 ( 1892670 2648940 ) via2_FR
-    NEW met3 ( 1892900 2648940 ) M3M4_PR_M
-    NEW met3 ( 1892900 2601340 ) M3M4_PR_M
-    NEW met2 ( 1893590 2601340 ) via2_FR
-    NEW met1 ( 1891290 2849370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1890830 2898330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1890830 3380450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1891290 3477350 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1892670 2648940 ) RECT ( -390 -150 0 150 )
+  + ROUTED met2 ( 1435890 2299420 0 ) ( 1435890 2316250 )
+    NEW met1 ( 1435890 2316250 ) ( 2257450 2316250 )
+    NEW met1 ( 2256990 2380510 ) ( 2257910 2380510 )
+    NEW met1 ( 2256990 2477410 ) ( 2257910 2477410 )
+    NEW met2 ( 2257910 3443180 ) ( 2258370 3443180 )
+    NEW met2 ( 2258370 3443180 ) ( 2258370 3443860 )
+    NEW met2 ( 2258370 3443860 ) ( 2259290 3443860 )
+    NEW met2 ( 2256990 2380340 ) ( 2257910 2380340 )
+    NEW met2 ( 2256990 2380340 ) ( 2256990 2380510 )
+    NEW met2 ( 2256990 2476900 ) ( 2257450 2476900 )
+    NEW met2 ( 2256990 2476900 ) ( 2256990 2477410 )
+    NEW met2 ( 2256990 2573460 ) ( 2257450 2573460 )
+    NEW met2 ( 2256990 2815540 ) ( 2257450 2815540 )
+    NEW met2 ( 2257450 2815540 ) ( 2257450 2863140 )
+    NEW met2 ( 2257450 2863140 ) ( 2258370 2863140 )
+    NEW met2 ( 2257450 3201780 ) ( 2258370 3201780 )
+    NEW met2 ( 2257910 3298340 ) ( 2258830 3298340 )
+    NEW met1 ( 2257910 3443010 ) ( 2258830 3443010 )
+    NEW met2 ( 2257910 3443010 ) ( 2257910 3443180 )
+    NEW met2 ( 2259290 3443860 ) ( 2259290 3517980 0 )
+    NEW li1 ( 2257450 2318630 ) ( 2257450 2332570 )
+    NEW met1 ( 2257450 2332570 ) ( 2257910 2332570 )
+    NEW met2 ( 2257450 2316250 ) ( 2257450 2318630 )
+    NEW met2 ( 2257910 2332570 ) ( 2257910 2380340 )
+    NEW li1 ( 2257450 2428450 ) ( 2257450 2463130 )
+    NEW met2 ( 2257450 2415020 ) ( 2257450 2428450 )
+    NEW met2 ( 2257450 2415020 ) ( 2257910 2415020 )
+    NEW met2 ( 2257450 2463130 ) ( 2257450 2476900 )
+    NEW met2 ( 2257910 2380510 ) ( 2257910 2415020 )
+    NEW met3 ( 2256530 2559860 ) ( 2257450 2559860 )
+    NEW met2 ( 2256530 2511750 ) ( 2256530 2559860 )
+    NEW met1 ( 2256530 2511750 ) ( 2257910 2511750 )
+    NEW met2 ( 2257450 2559860 ) ( 2257450 2573460 )
+    NEW met2 ( 2257910 2477410 ) ( 2257910 2511750 )
+    NEW met2 ( 2256530 2656420 ) ( 2256990 2656420 )
+    NEW met2 ( 2256990 2656250 ) ( 2256990 2656420 )
+    NEW li1 ( 2256990 2621570 ) ( 2256990 2656250 )
+    NEW met2 ( 2256990 2573460 ) ( 2256990 2621570 )
+    NEW met1 ( 2256530 2718130 ) ( 2256530 2718810 )
+    NEW met1 ( 2256530 2718130 ) ( 2256990 2718130 )
+    NEW met1 ( 2258370 2911590 ) ( 2258370 2912270 )
+    NEW met1 ( 2258370 2912270 ) ( 2258830 2912270 )
+    NEW met2 ( 2258370 2863140 ) ( 2258370 2911590 )
+    NEW li1 ( 2257450 3007810 ) ( 2257450 3042830 )
+    NEW met1 ( 2257450 3007810 ) ( 2258370 3007810 )
+    NEW met1 ( 2257910 3091450 ) ( 2258830 3091450 )
+    NEW met2 ( 2258370 3405100 ) ( 2258830 3405100 )
+    NEW met2 ( 2258830 3405100 ) ( 2258830 3443010 )
+    NEW li1 ( 2256530 2656590 ) ( 2256530 2670530 )
+    NEW met2 ( 2256530 2670530 ) ( 2256530 2704700 )
+    NEW met2 ( 2256530 2704700 ) ( 2256990 2704700 )
+    NEW met2 ( 2256530 2656420 ) ( 2256530 2656590 )
+    NEW met2 ( 2256990 2704700 ) ( 2256990 2718130 )
+    NEW li1 ( 2256530 2753150 ) ( 2256530 2767090 )
+    NEW met2 ( 2256530 2767090 ) ( 2256530 2801260 )
+    NEW met2 ( 2256530 2801260 ) ( 2256990 2801260 )
+    NEW met2 ( 2256530 2718810 ) ( 2256530 2753150 )
+    NEW met2 ( 2256990 2801260 ) ( 2256990 2815540 )
+    NEW li1 ( 2258370 2946610 ) ( 2258370 2994210 )
+    NEW met1 ( 2258370 2946610 ) ( 2258830 2946610 )
+    NEW met2 ( 2258370 2994210 ) ( 2258370 3007810 )
+    NEW met2 ( 2258830 2912270 ) ( 2258830 2946610 )
+    NEW met3 ( 2257450 3043340 ) ( 2259290 3043340 )
+    NEW met2 ( 2259290 3043340 ) ( 2259290 3090770 )
+    NEW met1 ( 2257910 3090770 ) ( 2259290 3090770 )
+    NEW met2 ( 2257450 3042830 ) ( 2257450 3043340 )
+    NEW met2 ( 2257910 3090770 ) ( 2257910 3091450 )
+    NEW li1 ( 2258370 3139730 ) ( 2258370 3187330 )
+    NEW met1 ( 2258370 3139730 ) ( 2258830 3139730 )
+    NEW met2 ( 2258370 3187330 ) ( 2258370 3201780 )
+    NEW met2 ( 2258830 3091450 ) ( 2258830 3139730 )
+    NEW met1 ( 2257450 3236290 ) ( 2257910 3236290 )
+    NEW met2 ( 2257450 3201780 ) ( 2257450 3236290 )
+    NEW met2 ( 2257910 3236290 ) ( 2257910 3298340 )
+    NEW li1 ( 2258370 3332850 ) ( 2258370 3380450 )
+    NEW met1 ( 2258370 3332850 ) ( 2258830 3332850 )
+    NEW met2 ( 2258370 3380450 ) ( 2258370 3405100 )
+    NEW met2 ( 2258830 3298340 ) ( 2258830 3332850 )
+    NEW met1 ( 1435890 2316250 ) M1M2_PR
+    NEW met1 ( 2257450 2316250 ) M1M2_PR
+    NEW met1 ( 2256990 2380510 ) M1M2_PR
+    NEW met1 ( 2257910 2380510 ) M1M2_PR
+    NEW met1 ( 2256990 2477410 ) M1M2_PR
+    NEW met1 ( 2257910 2477410 ) M1M2_PR
+    NEW met1 ( 2257910 3443010 ) M1M2_PR
+    NEW met1 ( 2258830 3443010 ) M1M2_PR
+    NEW li1 ( 2257450 2318630 ) L1M1_PR_MR
+    NEW met1 ( 2257450 2318630 ) M1M2_PR
+    NEW li1 ( 2257450 2332570 ) L1M1_PR_MR
+    NEW met1 ( 2257910 2332570 ) M1M2_PR
+    NEW li1 ( 2257450 2463130 ) L1M1_PR_MR
+    NEW met1 ( 2257450 2463130 ) M1M2_PR
+    NEW li1 ( 2257450 2428450 ) L1M1_PR_MR
+    NEW met1 ( 2257450 2428450 ) M1M2_PR
+    NEW met2 ( 2257450 2559860 ) via2_FR
+    NEW met2 ( 2256530 2559860 ) via2_FR
+    NEW met1 ( 2256530 2511750 ) M1M2_PR
+    NEW met1 ( 2257910 2511750 ) M1M2_PR
+    NEW li1 ( 2256990 2656250 ) L1M1_PR_MR
+    NEW met1 ( 2256990 2656250 ) M1M2_PR
+    NEW li1 ( 2256990 2621570 ) L1M1_PR_MR
+    NEW met1 ( 2256990 2621570 ) M1M2_PR
+    NEW met1 ( 2256530 2718810 ) M1M2_PR
+    NEW met1 ( 2256990 2718130 ) M1M2_PR
+    NEW met1 ( 2258370 2911590 ) M1M2_PR
+    NEW met1 ( 2258830 2912270 ) M1M2_PR
+    NEW li1 ( 2257450 3042830 ) L1M1_PR_MR
+    NEW met1 ( 2257450 3042830 ) M1M2_PR
+    NEW li1 ( 2257450 3007810 ) L1M1_PR_MR
+    NEW met1 ( 2258370 3007810 ) M1M2_PR
+    NEW met1 ( 2257910 3091450 ) M1M2_PR
+    NEW met1 ( 2258830 3091450 ) M1M2_PR
+    NEW li1 ( 2256530 2656590 ) L1M1_PR_MR
+    NEW met1 ( 2256530 2656590 ) M1M2_PR
+    NEW li1 ( 2256530 2670530 ) L1M1_PR_MR
+    NEW met1 ( 2256530 2670530 ) M1M2_PR
+    NEW li1 ( 2256530 2753150 ) L1M1_PR_MR
+    NEW met1 ( 2256530 2753150 ) M1M2_PR
+    NEW li1 ( 2256530 2767090 ) L1M1_PR_MR
+    NEW met1 ( 2256530 2767090 ) M1M2_PR
+    NEW li1 ( 2258370 2994210 ) L1M1_PR_MR
+    NEW met1 ( 2258370 2994210 ) M1M2_PR
+    NEW li1 ( 2258370 2946610 ) L1M1_PR_MR
+    NEW met1 ( 2258830 2946610 ) M1M2_PR
+    NEW met2 ( 2257450 3043340 ) via2_FR
+    NEW met2 ( 2259290 3043340 ) via2_FR
+    NEW met1 ( 2259290 3090770 ) M1M2_PR
+    NEW met1 ( 2257910 3090770 ) M1M2_PR
+    NEW li1 ( 2258370 3187330 ) L1M1_PR_MR
+    NEW met1 ( 2258370 3187330 ) M1M2_PR
+    NEW li1 ( 2258370 3139730 ) L1M1_PR_MR
+    NEW met1 ( 2258830 3139730 ) M1M2_PR
+    NEW met1 ( 2257450 3236290 ) M1M2_PR
+    NEW met1 ( 2257910 3236290 ) M1M2_PR
+    NEW li1 ( 2258370 3380450 ) L1M1_PR_MR
+    NEW met1 ( 2258370 3380450 ) M1M2_PR
+    NEW li1 ( 2258370 3332850 ) L1M1_PR_MR
+    NEW met1 ( 2258830 3332850 ) M1M2_PR
+    NEW met2 ( 2257910 3443010 ) RECT ( -70 -315 70 0 )
+    NEW met1 ( 2257450 2318630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2257450 2463130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2257450 2428450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2256990 2656250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2256990 2621570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2257450 3042830 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 2256530 2656590 ) RECT ( -70 0 70 315 )
+    NEW met1 ( 2256530 2656590 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2256530 2670530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2256530 2753150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2256530 2767090 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2258370 2994210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2258370 3187330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2258370 3380450 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) 
-  + ROUTED met1 ( 1566530 2401250 ) ( 1567910 2401250 )
-    NEW met2 ( 1567910 2401250 ) ( 1567910 2449190 )
-    NEW met1 ( 1566530 2449190 ) ( 1567910 2449190 )
-    NEW met1 ( 1566530 2497810 ) ( 1567910 2497810 )
-    NEW met3 ( 1566530 2594540 ) ( 1567910 2594540 )
-    NEW met2 ( 1567910 2594540 ) ( 1567910 2642650 )
-    NEW met1 ( 1566530 2642650 ) ( 1567910 2642650 )
-    NEW met1 ( 1566530 2691270 ) ( 1567910 2691270 )
-    NEW met3 ( 1566530 2787660 ) ( 1567910 2787660 )
-    NEW met1 ( 1566530 2376770 ) ( 1567910 2376770 )
-    NEW met2 ( 1566530 2376770 ) ( 1566530 2401250 )
-    NEW met2 ( 1566530 2449190 ) ( 1566530 2497810 )
-    NEW met1 ( 1566530 2569890 ) ( 1567910 2569890 )
-    NEW met2 ( 1566530 2569890 ) ( 1566530 2594540 )
-    NEW met2 ( 1567910 2497810 ) ( 1567910 2569890 )
-    NEW met2 ( 1566530 2642650 ) ( 1566530 2691270 )
-    NEW met1 ( 1566530 2763010 ) ( 1567910 2763010 )
-    NEW met2 ( 1566530 2763010 ) ( 1566530 2787660 )
-    NEW met2 ( 1567910 2691270 ) ( 1567910 2763010 )
-    NEW met2 ( 1451990 2299420 0 ) ( 1453370 2299420 )
-    NEW met2 ( 1453370 2299420 ) ( 1453370 2311490 )
-    NEW met1 ( 1453370 2311490 ) ( 1460730 2311490 )
-    NEW li1 ( 1460730 2311490 ) ( 1460730 2315570 )
-    NEW li1 ( 1512250 2310810 ) ( 1512250 2318630 )
-    NEW li1 ( 1503970 2310810 ) ( 1503970 2315570 )
-    NEW met1 ( 1460730 2315570 ) ( 1503970 2315570 )
-    NEW met1 ( 1503970 2310810 ) ( 1512250 2310810 )
-    NEW met1 ( 1512250 2318630 ) ( 1567910 2318630 )
-    NEW met2 ( 1567910 2318630 ) ( 1567910 2376770 )
-    NEW met2 ( 1567450 3443180 ) ( 1567910 3443180 )
-    NEW met2 ( 1566990 3008660 ) ( 1567450 3008660 )
-    NEW met2 ( 1567450 3201780 ) ( 1568370 3201780 )
-    NEW met2 ( 1567910 3298340 ) ( 1568830 3298340 )
-    NEW met1 ( 1567910 3443010 ) ( 1568830 3443010 )
-    NEW met2 ( 1567910 3443010 ) ( 1567910 3443180 )
-    NEW met2 ( 1567450 3517300 ) ( 1567910 3517300 )
-    NEW met2 ( 1567910 3517300 ) ( 1567910 3517980 0 )
-    NEW met2 ( 1567450 3443180 ) ( 1567450 3517300 )
-    NEW met2 ( 1567450 2825740 ) ( 1568370 2825740 )
-    NEW met2 ( 1568370 2814860 ) ( 1568370 2825740 )
-    NEW met2 ( 1567910 2814860 ) ( 1568370 2814860 )
-    NEW met2 ( 1567910 2787660 ) ( 1567910 2814860 )
-    NEW met1 ( 1567910 2911590 ) ( 1567910 2911930 )
-    NEW met1 ( 1567910 2911590 ) ( 1568370 2911590 )
-    NEW met1 ( 1567910 3091450 ) ( 1568830 3091450 )
-    NEW met2 ( 1568370 3405100 ) ( 1568830 3405100 )
-    NEW met2 ( 1568830 3405100 ) ( 1568830 3443010 )
-    NEW li1 ( 1567450 2850050 ) ( 1567450 2897990 )
-    NEW met1 ( 1567450 2897990 ) ( 1568370 2897990 )
-    NEW met2 ( 1567450 2825740 ) ( 1567450 2850050 )
-    NEW met2 ( 1568370 2897990 ) ( 1568370 2911590 )
-    NEW li1 ( 1566990 2946610 ) ( 1566990 2994210 )
-    NEW met1 ( 1566990 2946610 ) ( 1567910 2946610 )
-    NEW met2 ( 1566990 2994210 ) ( 1566990 3008660 )
-    NEW met2 ( 1567910 2911930 ) ( 1567910 2946610 )
-    NEW met1 ( 1566530 3043170 ) ( 1567450 3043170 )
-    NEW met2 ( 1566530 3043170 ) ( 1566530 3090940 )
-    NEW met3 ( 1566530 3090940 ) ( 1567910 3090940 )
-    NEW met2 ( 1567450 3008660 ) ( 1567450 3043170 )
-    NEW met2 ( 1567910 3090940 ) ( 1567910 3091450 )
-    NEW li1 ( 1568370 3139730 ) ( 1568370 3187330 )
-    NEW met1 ( 1568370 3139730 ) ( 1568830 3139730 )
-    NEW met2 ( 1568370 3187330 ) ( 1568370 3201780 )
-    NEW met2 ( 1568830 3091450 ) ( 1568830 3139730 )
-    NEW met1 ( 1567450 3236290 ) ( 1567910 3236290 )
-    NEW met2 ( 1567450 3201780 ) ( 1567450 3236290 )
-    NEW met2 ( 1567910 3236290 ) ( 1567910 3298340 )
-    NEW li1 ( 1568370 3332850 ) ( 1568370 3380450 )
-    NEW met1 ( 1568370 3332850 ) ( 1568830 3332850 )
-    NEW met2 ( 1568370 3380450 ) ( 1568370 3405100 )
-    NEW met2 ( 1568830 3298340 ) ( 1568830 3332850 )
-    NEW met1 ( 1566530 2401250 ) M1M2_PR
-    NEW met1 ( 1567910 2401250 ) M1M2_PR
-    NEW met1 ( 1567910 2449190 ) M1M2_PR
-    NEW met1 ( 1566530 2449190 ) M1M2_PR
-    NEW met1 ( 1566530 2497810 ) M1M2_PR
-    NEW met1 ( 1567910 2497810 ) M1M2_PR
-    NEW met2 ( 1566530 2594540 ) via2_FR
-    NEW met2 ( 1567910 2594540 ) via2_FR
-    NEW met1 ( 1567910 2642650 ) M1M2_PR
-    NEW met1 ( 1566530 2642650 ) M1M2_PR
-    NEW met1 ( 1566530 2691270 ) M1M2_PR
-    NEW met1 ( 1567910 2691270 ) M1M2_PR
-    NEW met2 ( 1566530 2787660 ) via2_FR
-    NEW met2 ( 1567910 2787660 ) via2_FR
-    NEW met1 ( 1566530 2376770 ) M1M2_PR
-    NEW met1 ( 1567910 2376770 ) M1M2_PR
-    NEW met1 ( 1566530 2569890 ) M1M2_PR
-    NEW met1 ( 1567910 2569890 ) M1M2_PR
-    NEW met1 ( 1566530 2763010 ) M1M2_PR
-    NEW met1 ( 1567910 2763010 ) M1M2_PR
-    NEW met1 ( 1453370 2311490 ) M1M2_PR
-    NEW li1 ( 1460730 2311490 ) L1M1_PR_MR
-    NEW li1 ( 1460730 2315570 ) L1M1_PR_MR
-    NEW li1 ( 1512250 2310810 ) L1M1_PR_MR
-    NEW li1 ( 1512250 2318630 ) L1M1_PR_MR
-    NEW li1 ( 1503970 2315570 ) L1M1_PR_MR
-    NEW li1 ( 1503970 2310810 ) L1M1_PR_MR
-    NEW met1 ( 1567910 2318630 ) M1M2_PR
-    NEW met1 ( 1567910 3443010 ) M1M2_PR
-    NEW met1 ( 1568830 3443010 ) M1M2_PR
-    NEW met1 ( 1567910 2911930 ) M1M2_PR
-    NEW met1 ( 1568370 2911590 ) M1M2_PR
-    NEW met1 ( 1567910 3091450 ) M1M2_PR
-    NEW met1 ( 1568830 3091450 ) M1M2_PR
-    NEW li1 ( 1567450 2850050 ) L1M1_PR_MR
-    NEW met1 ( 1567450 2850050 ) M1M2_PR
-    NEW li1 ( 1567450 2897990 ) L1M1_PR_MR
-    NEW met1 ( 1568370 2897990 ) M1M2_PR
-    NEW li1 ( 1566990 2994210 ) L1M1_PR_MR
-    NEW met1 ( 1566990 2994210 ) M1M2_PR
-    NEW li1 ( 1566990 2946610 ) L1M1_PR_MR
-    NEW met1 ( 1567910 2946610 ) M1M2_PR
-    NEW met1 ( 1567450 3043170 ) M1M2_PR
-    NEW met1 ( 1566530 3043170 ) M1M2_PR
-    NEW met2 ( 1566530 3090940 ) via2_FR
-    NEW met2 ( 1567910 3090940 ) via2_FR
-    NEW li1 ( 1568370 3187330 ) L1M1_PR_MR
-    NEW met1 ( 1568370 3187330 ) M1M2_PR
-    NEW li1 ( 1568370 3139730 ) L1M1_PR_MR
-    NEW met1 ( 1568830 3139730 ) M1M2_PR
-    NEW met1 ( 1567450 3236290 ) M1M2_PR
-    NEW met1 ( 1567910 3236290 ) M1M2_PR
-    NEW li1 ( 1568370 3380450 ) L1M1_PR_MR
-    NEW met1 ( 1568370 3380450 ) M1M2_PR
-    NEW li1 ( 1568370 3332850 ) L1M1_PR_MR
-    NEW met1 ( 1568830 3332850 ) M1M2_PR
-    NEW met2 ( 1567910 3443010 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1567450 2850050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1566990 2994210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1568370 3187330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1568370 3380450 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1451990 2299420 0 ) ( 1453370 2299420 )
+    NEW met2 ( 1453370 2299420 ) ( 1453370 2310810 )
+    NEW li1 ( 1511790 2310810 ) ( 1511790 2314210 )
+    NEW met1 ( 1511790 2314210 ) ( 1533870 2314210 )
+    NEW li1 ( 1533870 2314210 ) ( 1534790 2314210 )
+    NEW li1 ( 1534790 2313190 ) ( 1534790 2314210 )
+    NEW li1 ( 1534790 2313190 ) ( 1535710 2313190 )
+    NEW li1 ( 1464410 2310810 ) ( 1464410 2312510 )
+    NEW met1 ( 1464410 2312510 ) ( 1509950 2312510 )
+    NEW li1 ( 1509950 2310810 ) ( 1509950 2312510 )
+    NEW met1 ( 1453370 2310810 ) ( 1464410 2310810 )
+    NEW met1 ( 1509950 2310810 ) ( 1511790 2310810 )
+    NEW met1 ( 1535710 2313190 ) ( 2050450 2313190 )
+    NEW met1 ( 2049990 2380510 ) ( 2050910 2380510 )
+    NEW met1 ( 2049990 2477410 ) ( 2050910 2477410 )
+    NEW met2 ( 2050450 3443180 ) ( 2050910 3443180 )
+    NEW met2 ( 2049990 2380340 ) ( 2050910 2380340 )
+    NEW met2 ( 2049990 2380340 ) ( 2049990 2380510 )
+    NEW met2 ( 2049990 2476900 ) ( 2050450 2476900 )
+    NEW met2 ( 2049990 2476900 ) ( 2049990 2477410 )
+    NEW met2 ( 2049990 2573460 ) ( 2050450 2573460 )
+    NEW met2 ( 2049990 2815540 ) ( 2050450 2815540 )
+    NEW met2 ( 2050450 2815540 ) ( 2050450 2863140 )
+    NEW met2 ( 2050450 2863140 ) ( 2051370 2863140 )
+    NEW met2 ( 2050450 3201780 ) ( 2051370 3201780 )
+    NEW met2 ( 2050910 3298340 ) ( 2051830 3298340 )
+    NEW met1 ( 2050910 3443010 ) ( 2051830 3443010 )
+    NEW met2 ( 2050910 3443010 ) ( 2050910 3443180 )
+    NEW met2 ( 2050450 3517300 ) ( 2050910 3517300 )
+    NEW met2 ( 2050910 3517300 ) ( 2050910 3517980 0 )
+    NEW met2 ( 2050450 3443180 ) ( 2050450 3517300 )
+    NEW li1 ( 2050450 2318630 ) ( 2050450 2332570 )
+    NEW met1 ( 2050450 2332570 ) ( 2050910 2332570 )
+    NEW met2 ( 2050450 2313190 ) ( 2050450 2318630 )
+    NEW met2 ( 2050910 2332570 ) ( 2050910 2380340 )
+    NEW li1 ( 2050450 2428450 ) ( 2050450 2463130 )
+    NEW met2 ( 2050450 2415020 ) ( 2050450 2428450 )
+    NEW met2 ( 2050450 2415020 ) ( 2050910 2415020 )
+    NEW met2 ( 2050450 2463130 ) ( 2050450 2476900 )
+    NEW met2 ( 2050910 2380510 ) ( 2050910 2415020 )
+    NEW met3 ( 2049530 2559860 ) ( 2050450 2559860 )
+    NEW met2 ( 2049530 2511750 ) ( 2049530 2559860 )
+    NEW met1 ( 2049530 2511750 ) ( 2050910 2511750 )
+    NEW met2 ( 2050450 2559860 ) ( 2050450 2573460 )
+    NEW met2 ( 2050910 2477410 ) ( 2050910 2511750 )
+    NEW met2 ( 2049530 2656420 ) ( 2049990 2656420 )
+    NEW met2 ( 2049990 2656250 ) ( 2049990 2656420 )
+    NEW li1 ( 2049990 2621570 ) ( 2049990 2656250 )
+    NEW met2 ( 2049990 2573460 ) ( 2049990 2621570 )
+    NEW met1 ( 2049530 2718130 ) ( 2049530 2718810 )
+    NEW met1 ( 2049530 2718130 ) ( 2049990 2718130 )
+    NEW met1 ( 2051370 2911590 ) ( 2051370 2912270 )
+    NEW met1 ( 2051370 2912270 ) ( 2051830 2912270 )
+    NEW met2 ( 2051370 2863140 ) ( 2051370 2911590 )
+    NEW li1 ( 2050450 3007810 ) ( 2050450 3042830 )
+    NEW met1 ( 2050450 3007810 ) ( 2051370 3007810 )
+    NEW met1 ( 2050910 3091450 ) ( 2051830 3091450 )
+    NEW met2 ( 2051370 3405100 ) ( 2051830 3405100 )
+    NEW met2 ( 2051830 3405100 ) ( 2051830 3443010 )
+    NEW li1 ( 2049530 2656590 ) ( 2049530 2670530 )
+    NEW met2 ( 2049530 2670530 ) ( 2049530 2704700 )
+    NEW met2 ( 2049530 2704700 ) ( 2049990 2704700 )
+    NEW met2 ( 2049530 2656420 ) ( 2049530 2656590 )
+    NEW met2 ( 2049990 2704700 ) ( 2049990 2718130 )
+    NEW li1 ( 2049530 2753150 ) ( 2049530 2767090 )
+    NEW met2 ( 2049530 2767090 ) ( 2049530 2801260 )
+    NEW met2 ( 2049530 2801260 ) ( 2049990 2801260 )
+    NEW met2 ( 2049530 2718810 ) ( 2049530 2753150 )
+    NEW met2 ( 2049990 2801260 ) ( 2049990 2815540 )
+    NEW li1 ( 2051370 2946610 ) ( 2051370 2994210 )
+    NEW met1 ( 2051370 2946610 ) ( 2051830 2946610 )
+    NEW met2 ( 2051370 2994210 ) ( 2051370 3007810 )
+    NEW met2 ( 2051830 2912270 ) ( 2051830 2946610 )
+    NEW met3 ( 2050450 3043340 ) ( 2052290 3043340 )
+    NEW met2 ( 2052290 3043340 ) ( 2052290 3090770 )
+    NEW met1 ( 2050910 3090770 ) ( 2052290 3090770 )
+    NEW met2 ( 2050450 3042830 ) ( 2050450 3043340 )
+    NEW met2 ( 2050910 3090770 ) ( 2050910 3091450 )
+    NEW li1 ( 2051370 3139730 ) ( 2051370 3187330 )
+    NEW met1 ( 2051370 3139730 ) ( 2051830 3139730 )
+    NEW met2 ( 2051370 3187330 ) ( 2051370 3201780 )
+    NEW met2 ( 2051830 3091450 ) ( 2051830 3139730 )
+    NEW met1 ( 2050450 3236290 ) ( 2050910 3236290 )
+    NEW met2 ( 2050450 3201780 ) ( 2050450 3236290 )
+    NEW met2 ( 2050910 3236290 ) ( 2050910 3298340 )
+    NEW li1 ( 2051370 3332850 ) ( 2051370 3380450 )
+    NEW met1 ( 2051370 3332850 ) ( 2051830 3332850 )
+    NEW met2 ( 2051370 3380450 ) ( 2051370 3405100 )
+    NEW met2 ( 2051830 3298340 ) ( 2051830 3332850 )
+    NEW met1 ( 1453370 2310810 ) M1M2_PR
+    NEW li1 ( 1511790 2310810 ) L1M1_PR_MR
+    NEW li1 ( 1511790 2314210 ) L1M1_PR_MR
+    NEW li1 ( 1533870 2314210 ) L1M1_PR_MR
+    NEW li1 ( 1535710 2313190 ) L1M1_PR_MR
+    NEW li1 ( 1464410 2310810 ) L1M1_PR_MR
+    NEW li1 ( 1464410 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1509950 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1509950 2310810 ) L1M1_PR_MR
+    NEW met1 ( 2050450 2313190 ) M1M2_PR
+    NEW met1 ( 2049990 2380510 ) M1M2_PR
+    NEW met1 ( 2050910 2380510 ) M1M2_PR
+    NEW met1 ( 2049990 2477410 ) M1M2_PR
+    NEW met1 ( 2050910 2477410 ) M1M2_PR
+    NEW met1 ( 2050910 3443010 ) M1M2_PR
+    NEW met1 ( 2051830 3443010 ) M1M2_PR
+    NEW li1 ( 2050450 2318630 ) L1M1_PR_MR
+    NEW met1 ( 2050450 2318630 ) M1M2_PR
+    NEW li1 ( 2050450 2332570 ) L1M1_PR_MR
+    NEW met1 ( 2050910 2332570 ) M1M2_PR
+    NEW li1 ( 2050450 2463130 ) L1M1_PR_MR
+    NEW met1 ( 2050450 2463130 ) M1M2_PR
+    NEW li1 ( 2050450 2428450 ) L1M1_PR_MR
+    NEW met1 ( 2050450 2428450 ) M1M2_PR
+    NEW met2 ( 2050450 2559860 ) via2_FR
+    NEW met2 ( 2049530 2559860 ) via2_FR
+    NEW met1 ( 2049530 2511750 ) M1M2_PR
+    NEW met1 ( 2050910 2511750 ) M1M2_PR
+    NEW li1 ( 2049990 2656250 ) L1M1_PR_MR
+    NEW met1 ( 2049990 2656250 ) M1M2_PR
+    NEW li1 ( 2049990 2621570 ) L1M1_PR_MR
+    NEW met1 ( 2049990 2621570 ) M1M2_PR
+    NEW met1 ( 2049530 2718810 ) M1M2_PR
+    NEW met1 ( 2049990 2718130 ) M1M2_PR
+    NEW met1 ( 2051370 2911590 ) M1M2_PR
+    NEW met1 ( 2051830 2912270 ) M1M2_PR
+    NEW li1 ( 2050450 3042830 ) L1M1_PR_MR
+    NEW met1 ( 2050450 3042830 ) M1M2_PR
+    NEW li1 ( 2050450 3007810 ) L1M1_PR_MR
+    NEW met1 ( 2051370 3007810 ) M1M2_PR
+    NEW met1 ( 2050910 3091450 ) M1M2_PR
+    NEW met1 ( 2051830 3091450 ) M1M2_PR
+    NEW li1 ( 2049530 2656590 ) L1M1_PR_MR
+    NEW met1 ( 2049530 2656590 ) M1M2_PR
+    NEW li1 ( 2049530 2670530 ) L1M1_PR_MR
+    NEW met1 ( 2049530 2670530 ) M1M2_PR
+    NEW li1 ( 2049530 2753150 ) L1M1_PR_MR
+    NEW met1 ( 2049530 2753150 ) M1M2_PR
+    NEW li1 ( 2049530 2767090 ) L1M1_PR_MR
+    NEW met1 ( 2049530 2767090 ) M1M2_PR
+    NEW li1 ( 2051370 2994210 ) L1M1_PR_MR
+    NEW met1 ( 2051370 2994210 ) M1M2_PR
+    NEW li1 ( 2051370 2946610 ) L1M1_PR_MR
+    NEW met1 ( 2051830 2946610 ) M1M2_PR
+    NEW met2 ( 2050450 3043340 ) via2_FR
+    NEW met2 ( 2052290 3043340 ) via2_FR
+    NEW met1 ( 2052290 3090770 ) M1M2_PR
+    NEW met1 ( 2050910 3090770 ) M1M2_PR
+    NEW li1 ( 2051370 3187330 ) L1M1_PR_MR
+    NEW met1 ( 2051370 3187330 ) M1M2_PR
+    NEW li1 ( 2051370 3139730 ) L1M1_PR_MR
+    NEW met1 ( 2051830 3139730 ) M1M2_PR
+    NEW met1 ( 2050450 3236290 ) M1M2_PR
+    NEW met1 ( 2050910 3236290 ) M1M2_PR
+    NEW li1 ( 2051370 3380450 ) L1M1_PR_MR
+    NEW met1 ( 2051370 3380450 ) M1M2_PR
+    NEW li1 ( 2051370 3332850 ) L1M1_PR_MR
+    NEW met1 ( 2051830 3332850 ) M1M2_PR
+    NEW met2 ( 2050910 3443010 ) RECT ( -70 -315 70 0 )
+    NEW met1 ( 2050450 2318630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2050450 2463130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2050450 2428450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2049990 2656250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2049990 2621570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2050450 3042830 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 2049530 2656590 ) RECT ( -70 0 70 315 )
+    NEW met1 ( 2049530 2656590 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2049530 2670530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2049530 2753150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2049530 2767090 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2051370 2994210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2051370 3187330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2051370 3380450 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) 
-  + ROUTED li1 ( 1169090 2291090 ) ( 1169090 2298230 )
+  + ROUTED li1 ( 1169090 2291430 ) ( 1169090 2298230 )
     NEW met2 ( 1169090 2298060 ) ( 1169090 2298230 )
     NEW met2 ( 1167710 2298060 0 ) ( 1169090 2298060 )
-    NEW met3 ( 2901450 273700 ) ( 2917780 273700 0 )
-    NEW met2 ( 2901450 273700 ) ( 2901450 2291090 )
-    NEW met1 ( 1169090 2291090 ) ( 2901450 2291090 )
-    NEW li1 ( 1169090 2291090 ) L1M1_PR_MR
+    NEW met2 ( 2900990 245820 ) ( 2900990 248370 )
+    NEW met3 ( 2900990 245820 ) ( 2917780 245820 0 )
+    NEW met1 ( 2832450 248370 ) ( 2900990 248370 )
+    NEW met1 ( 1169090 2291430 ) ( 2832450 2291430 )
+    NEW met2 ( 2832450 248370 ) ( 2832450 2291430 )
+    NEW li1 ( 1169090 2291430 ) L1M1_PR_MR
     NEW li1 ( 1169090 2298230 ) L1M1_PR_MR
     NEW met1 ( 1169090 2298230 ) M1M2_PR
-    NEW met2 ( 2901450 273700 ) via2_FR
-    NEW met1 ( 2901450 2291090 ) M1M2_PR
+    NEW met1 ( 2832450 248370 ) M1M2_PR
+    NEW met1 ( 2900990 248370 ) M1M2_PR
+    NEW met2 ( 2900990 245820 ) via2_FR
+    NEW met1 ( 2832450 2291430 ) M1M2_PR
     NEW met1 ( 1169090 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) 
-  + ROUTED li1 ( 1421170 2315910 ) ( 1421170 2316250 )
-    NEW li1 ( 1421170 2316250 ) ( 1422090 2316250 )
-    NEW met1 ( 1243610 3504550 ) ( 1248670 3504550 )
-    NEW met2 ( 1243610 3504550 ) ( 1243610 3517980 0 )
-    NEW met2 ( 1248670 2315910 ) ( 1248670 3504550 )
-    NEW met1 ( 1248670 2315910 ) ( 1421170 2315910 )
-    NEW met2 ( 1463030 2299420 ) ( 1463030 2316250 )
-    NEW met2 ( 1463030 2299420 ) ( 1467630 2299420 0 )
-    NEW met1 ( 1422090 2316250 ) ( 1463030 2316250 )
-    NEW met1 ( 1248670 2315910 ) M1M2_PR
-    NEW li1 ( 1421170 2315910 ) L1M1_PR_MR
-    NEW li1 ( 1422090 2316250 ) L1M1_PR_MR
-    NEW met1 ( 1248670 3504550 ) M1M2_PR
-    NEW met1 ( 1243610 3504550 ) M1M2_PR
-    NEW met1 ( 1463030 2316250 ) M1M2_PR
+  + ROUTED met1 ( 1835630 3487890 ) ( 1842070 3487890 )
+    NEW met2 ( 1842070 3487890 ) ( 1842070 3517980 0 )
+    NEW li1 ( 1534330 2312510 ) ( 1534330 2313870 )
+    NEW met1 ( 1607930 2310810 ) ( 1607930 2311150 )
+    NEW met1 ( 1607930 2310810 ) ( 1608390 2310810 )
+    NEW li1 ( 1608390 2310810 ) ( 1608390 2313530 )
+    NEW met1 ( 1608390 2313530 ) ( 1632310 2313530 )
+    NEW met1 ( 1632310 2313530 ) ( 1632310 2313870 )
+    NEW met2 ( 1835630 2313870 ) ( 1835630 3487890 )
+    NEW met2 ( 1467630 2299420 0 ) ( 1469010 2299420 )
+    NEW met2 ( 1469010 2299420 ) ( 1469010 2310470 )
+    NEW met1 ( 1469010 2310470 ) ( 1510870 2310470 )
+    NEW li1 ( 1510870 2310470 ) ( 1510870 2313870 )
+    NEW met1 ( 1510870 2313870 ) ( 1534330 2313870 )
+    NEW li1 ( 1583090 2312510 ) ( 1583090 2314210 )
+    NEW met1 ( 1583090 2314210 ) ( 1606090 2314210 )
+    NEW li1 ( 1606090 2311150 ) ( 1606090 2314210 )
+    NEW met1 ( 1534330 2312510 ) ( 1583090 2312510 )
+    NEW met1 ( 1606090 2311150 ) ( 1607930 2311150 )
+    NEW met1 ( 1632310 2313870 ) ( 1835630 2313870 )
+    NEW met1 ( 1835630 3487890 ) M1M2_PR
+    NEW met1 ( 1842070 3487890 ) M1M2_PR
+    NEW li1 ( 1534330 2313870 ) L1M1_PR_MR
+    NEW li1 ( 1534330 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1608390 2310810 ) L1M1_PR_MR
+    NEW li1 ( 1608390 2313530 ) L1M1_PR_MR
+    NEW met1 ( 1835630 2313870 ) M1M2_PR
+    NEW met1 ( 1469010 2310470 ) M1M2_PR
+    NEW li1 ( 1510870 2310470 ) L1M1_PR_MR
+    NEW li1 ( 1510870 2313870 ) L1M1_PR_MR
+    NEW li1 ( 1583090 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1583090 2314210 ) L1M1_PR_MR
+    NEW li1 ( 1606090 2314210 ) L1M1_PR_MR
+    NEW li1 ( 1606090 2311150 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) 
-  + ROUTED met1 ( 918850 3504210 ) ( 924370 3504210 )
-    NEW met2 ( 924370 2316250 ) ( 924370 3504210 )
-    NEW met2 ( 918850 3504210 ) ( 918850 3517980 0 )
-    NEW met2 ( 1415650 2315740 ) ( 1415650 2316250 )
-    NEW met3 ( 1415650 2315740 ) ( 1438650 2315740 )
-    NEW met2 ( 1438650 2315570 ) ( 1438650 2315740 )
-    NEW met1 ( 1438650 2315570 ) ( 1455670 2315570 )
-    NEW li1 ( 1455670 2310810 ) ( 1455670 2315570 )
-    NEW met1 ( 924370 2316250 ) ( 1415650 2316250 )
-    NEW met2 ( 1481430 2299420 ) ( 1481430 2310810 )
-    NEW met2 ( 1481430 2299420 ) ( 1483270 2299420 0 )
-    NEW met1 ( 1455670 2310810 ) ( 1481430 2310810 )
-    NEW met1 ( 924370 2316250 ) M1M2_PR
-    NEW met1 ( 918850 3504210 ) M1M2_PR
-    NEW met1 ( 924370 3504210 ) M1M2_PR
-    NEW met1 ( 1415650 2316250 ) M1M2_PR
-    NEW met2 ( 1415650 2315740 ) via2_FR
-    NEW met2 ( 1438650 2315740 ) via2_FR
-    NEW met1 ( 1438650 2315570 ) M1M2_PR
-    NEW li1 ( 1455670 2315570 ) L1M1_PR_MR
-    NEW li1 ( 1455670 2310810 ) L1M1_PR_MR
-    NEW met1 ( 1481430 2310810 ) M1M2_PR
+  + ROUTED met1 ( 1628630 2401250 ) ( 1629550 2401250 )
+    NEW met2 ( 1628630 3029060 ) ( 1629550 3029060 )
+    NEW met1 ( 1628630 3077510 ) ( 1629550 3077510 )
+    NEW met2 ( 1628630 3222180 ) ( 1629550 3222180 )
+    NEW met1 ( 1628630 3270630 ) ( 1629550 3270630 )
+    NEW met2 ( 1629090 2449700 ) ( 1629550 2449700 )
+    NEW met2 ( 1629550 2401250 ) ( 1629550 2449700 )
+    NEW met2 ( 1628630 3029060 ) ( 1628630 3077510 )
+    NEW met2 ( 1628630 3222180 ) ( 1628630 3270630 )
+    NEW met2 ( 1633690 3517300 ) ( 1634150 3517300 )
+    NEW met2 ( 1633690 3517300 ) ( 1633690 3517980 0 )
+    NEW li1 ( 1512250 2311490 ) ( 1512250 2312850 )
+    NEW met1 ( 1512250 2312850 ) ( 1517770 2312850 )
+    NEW met2 ( 1517770 2312850 ) ( 1517770 2314380 )
+    NEW li1 ( 1607930 2317950 ) ( 1608390 2317950 )
+    NEW li1 ( 1608390 2316590 ) ( 1608390 2317950 )
+    NEW met1 ( 1608390 2316590 ) ( 1628630 2316590 )
+    NEW met2 ( 1628630 2316590 ) ( 1628630 2401250 )
+    NEW met2 ( 1628630 2863140 ) ( 1629090 2863140 )
+    NEW met2 ( 1629090 2959700 ) ( 1629550 2959700 )
+    NEW met2 ( 1629550 2959700 ) ( 1629550 3029060 )
+    NEW met2 ( 1629550 3077510 ) ( 1629550 3222180 )
+    NEW met2 ( 1483270 2299420 0 ) ( 1483270 2311490 )
+    NEW met1 ( 1483270 2311490 ) ( 1512250 2311490 )
+    NEW met2 ( 1607010 2314380 ) ( 1607010 2317100 )
+    NEW met2 ( 1607010 2317100 ) ( 1607470 2317100 )
+    NEW met2 ( 1607470 2317100 ) ( 1607470 2317950 )
+    NEW met3 ( 1517770 2314380 ) ( 1607010 2314380 )
+    NEW met1 ( 1607470 2317950 ) ( 1607930 2317950 )
+    NEW met1 ( 1629550 2511750 ) ( 1630470 2511750 )
+    NEW met1 ( 1630010 2718470 ) ( 1630010 2719150 )
+    NEW met1 ( 1630010 2719150 ) ( 1630470 2719150 )
+    NEW li1 ( 1629090 2814690 ) ( 1629090 2849370 )
+    NEW met1 ( 1629090 2814690 ) ( 1630010 2814690 )
+    NEW met2 ( 1629090 2849370 ) ( 1629090 2863140 )
+    NEW li1 ( 1628630 2898330 ) ( 1628630 2946270 )
+    NEW met1 ( 1628630 2946270 ) ( 1629090 2946270 )
+    NEW met2 ( 1628630 2863140 ) ( 1628630 2898330 )
+    NEW met2 ( 1629090 2946270 ) ( 1629090 2959700 )
+    NEW met1 ( 1629090 3298510 ) ( 1629550 3298510 )
+    NEW met2 ( 1629550 3270630 ) ( 1629550 3298510 )
+    NEW met2 ( 1628630 3394220 ) ( 1629090 3394220 )
+    NEW met2 ( 1629090 3394220 ) ( 1629090 3395580 )
+    NEW met2 ( 1629090 3395580 ) ( 1629550 3395580 )
+    NEW met3 ( 1628170 2463300 ) ( 1629090 2463300 )
+    NEW met2 ( 1628170 2463300 ) ( 1628170 2511580 )
+    NEW met3 ( 1628170 2511580 ) ( 1629550 2511580 )
+    NEW met2 ( 1629090 2449700 ) ( 1629090 2463300 )
+    NEW met2 ( 1629550 2511580 ) ( 1629550 2511750 )
+    NEW met1 ( 1630470 2572610 ) ( 1631390 2572610 )
+    NEW met2 ( 1630470 2511750 ) ( 1630470 2572610 )
+    NEW met1 ( 1630010 2669850 ) ( 1630010 2670530 )
+    NEW met1 ( 1630010 2669850 ) ( 1630470 2669850 )
+    NEW met2 ( 1630010 2670530 ) ( 1630010 2718470 )
+    NEW met3 ( 1629090 2801260 ) ( 1630010 2801260 )
+    NEW met2 ( 1629090 2753150 ) ( 1629090 2801260 )
+    NEW met1 ( 1629090 2753150 ) ( 1630470 2753150 )
+    NEW met2 ( 1630010 2801260 ) ( 1630010 2814690 )
+    NEW met2 ( 1630470 2719150 ) ( 1630470 2753150 )
+    NEW li1 ( 1628630 3332850 ) ( 1628630 3380450 )
+    NEW met1 ( 1628630 3332850 ) ( 1629090 3332850 )
+    NEW met2 ( 1628630 3380450 ) ( 1628630 3394220 )
+    NEW met2 ( 1629090 3298510 ) ( 1629090 3332850 )
+    NEW met1 ( 1629550 3429410 ) ( 1634150 3429410 )
+    NEW met2 ( 1629550 3395580 ) ( 1629550 3429410 )
+    NEW met2 ( 1634150 3429410 ) ( 1634150 3517300 )
+    NEW met3 ( 1630470 2648940 ) ( 1630700 2648940 )
+    NEW met4 ( 1630700 2601340 ) ( 1630700 2648940 )
+    NEW met3 ( 1630700 2601340 ) ( 1631390 2601340 )
+    NEW met2 ( 1630470 2648940 ) ( 1630470 2669850 )
+    NEW met2 ( 1631390 2572610 ) ( 1631390 2601340 )
+    NEW met1 ( 1628630 2401250 ) M1M2_PR
+    NEW met1 ( 1629550 2401250 ) M1M2_PR
+    NEW met1 ( 1628630 3077510 ) M1M2_PR
+    NEW met1 ( 1629550 3077510 ) M1M2_PR
+    NEW met1 ( 1628630 3270630 ) M1M2_PR
+    NEW met1 ( 1629550 3270630 ) M1M2_PR
+    NEW li1 ( 1512250 2311490 ) L1M1_PR_MR
+    NEW li1 ( 1512250 2312850 ) L1M1_PR_MR
+    NEW met1 ( 1517770 2312850 ) M1M2_PR
+    NEW met2 ( 1517770 2314380 ) via2_FR
+    NEW li1 ( 1607930 2317950 ) L1M1_PR_MR
+    NEW li1 ( 1608390 2316590 ) L1M1_PR_MR
+    NEW met1 ( 1628630 2316590 ) M1M2_PR
+    NEW met1 ( 1483270 2311490 ) M1M2_PR
+    NEW met2 ( 1607010 2314380 ) via2_FR
+    NEW met1 ( 1607470 2317950 ) M1M2_PR
+    NEW met1 ( 1629550 2511750 ) M1M2_PR
+    NEW met1 ( 1630470 2511750 ) M1M2_PR
+    NEW met1 ( 1630010 2718470 ) M1M2_PR
+    NEW met1 ( 1630470 2719150 ) M1M2_PR
+    NEW li1 ( 1629090 2849370 ) L1M1_PR_MR
+    NEW met1 ( 1629090 2849370 ) M1M2_PR
+    NEW li1 ( 1629090 2814690 ) L1M1_PR_MR
+    NEW met1 ( 1630010 2814690 ) M1M2_PR
+    NEW li1 ( 1628630 2898330 ) L1M1_PR_MR
+    NEW met1 ( 1628630 2898330 ) M1M2_PR
+    NEW li1 ( 1628630 2946270 ) L1M1_PR_MR
+    NEW met1 ( 1629090 2946270 ) M1M2_PR
+    NEW met1 ( 1629090 3298510 ) M1M2_PR
+    NEW met1 ( 1629550 3298510 ) M1M2_PR
+    NEW met2 ( 1629090 2463300 ) via2_FR
+    NEW met2 ( 1628170 2463300 ) via2_FR
+    NEW met2 ( 1628170 2511580 ) via2_FR
+    NEW met2 ( 1629550 2511580 ) via2_FR
+    NEW met1 ( 1631390 2572610 ) M1M2_PR
+    NEW met1 ( 1630470 2572610 ) M1M2_PR
+    NEW met1 ( 1630010 2670530 ) M1M2_PR
+    NEW met1 ( 1630470 2669850 ) M1M2_PR
+    NEW met2 ( 1630010 2801260 ) via2_FR
+    NEW met2 ( 1629090 2801260 ) via2_FR
+    NEW met1 ( 1629090 2753150 ) M1M2_PR
+    NEW met1 ( 1630470 2753150 ) M1M2_PR
+    NEW li1 ( 1628630 3380450 ) L1M1_PR_MR
+    NEW met1 ( 1628630 3380450 ) M1M2_PR
+    NEW li1 ( 1628630 3332850 ) L1M1_PR_MR
+    NEW met1 ( 1629090 3332850 ) M1M2_PR
+    NEW met1 ( 1629550 3429410 ) M1M2_PR
+    NEW met1 ( 1634150 3429410 ) M1M2_PR
+    NEW met2 ( 1630470 2648940 ) via2_FR
+    NEW met3 ( 1630700 2648940 ) M3M4_PR_M
+    NEW met3 ( 1630700 2601340 ) M3M4_PR_M
+    NEW met2 ( 1631390 2601340 ) via2_FR
+    NEW met1 ( 1629090 2849370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1628630 2898330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1628630 3380450 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1630470 2648940 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) 
-  + ROUTED li1 ( 1459350 3504890 ) ( 1460270 3504890 )
-    NEW met2 ( 594550 3504890 ) ( 594550 3517980 0 )
-    NEW met1 ( 1460270 3504890 ) ( 1497530 3504890 )
+  + ROUTED met2 ( 1424850 3499450 ) ( 1424850 3517980 0 )
     NEW met2 ( 1497530 2299420 ) ( 1498910 2299420 0 )
-    NEW met2 ( 1497530 2299420 ) ( 1497530 3504890 )
-    NEW met1 ( 594550 3504890 ) ( 1459350 3504890 )
-    NEW met1 ( 594550 3504890 ) M1M2_PR
-    NEW li1 ( 1459350 3504890 ) L1M1_PR_MR
-    NEW li1 ( 1460270 3504890 ) L1M1_PR_MR
-    NEW met1 ( 1497530 3504890 ) M1M2_PR
+    NEW met1 ( 1475910 3499450 ) ( 1475910 3500130 )
+    NEW met1 ( 1475910 3500130 ) ( 1486030 3500130 )
+    NEW li1 ( 1486030 3500130 ) ( 1486030 3500470 )
+    NEW li1 ( 1486030 3500470 ) ( 1487870 3500470 )
+    NEW li1 ( 1487870 3498770 ) ( 1487870 3500470 )
+    NEW met1 ( 1487870 3498770 ) ( 1496610 3498770 )
+    NEW met2 ( 1496610 3498770 ) ( 1496610 3499620 )
+    NEW met2 ( 1496610 3499620 ) ( 1497530 3499620 )
+    NEW met1 ( 1424850 3499450 ) ( 1475910 3499450 )
+    NEW met2 ( 1497530 2299420 ) ( 1497530 3499620 )
+    NEW met1 ( 1424850 3499450 ) M1M2_PR
+    NEW li1 ( 1486030 3500130 ) L1M1_PR_MR
+    NEW li1 ( 1487870 3498770 ) L1M1_PR_MR
+    NEW met1 ( 1496610 3498770 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) 
-  + ROUTED met2 ( 270250 3502510 ) ( 270250 3517980 0 )
-    NEW met2 ( 1512250 2298740 ) ( 1515010 2298740 0 )
-    NEW met2 ( 1512250 2298740 ) ( 1512250 2300100 )
-    NEW met2 ( 1511330 2300100 ) ( 1512250 2300100 )
-    NEW met2 ( 1511330 2300100 ) ( 1511330 3502510 )
-    NEW met1 ( 270250 3502510 ) ( 1511330 3502510 )
-    NEW met1 ( 270250 3502510 ) M1M2_PR
-    NEW met1 ( 1511330 3502510 ) M1M2_PR
+  + ROUTED li1 ( 1242230 3498430 ) ( 1242230 3499790 )
+    NEW met1 ( 1242230 3498430 ) ( 1290070 3498430 )
+    NEW li1 ( 1290070 3498430 ) ( 1290070 3499790 )
+    NEW met1 ( 1216470 3499790 ) ( 1242230 3499790 )
+    NEW met2 ( 1216470 3499790 ) ( 1216470 3517980 0 )
+    NEW met2 ( 1512710 2298740 ) ( 1515010 2298740 0 )
+    NEW met2 ( 1512710 2298740 ) ( 1512710 2300100 )
+    NEW met2 ( 1511330 2300100 ) ( 1512710 2300100 )
+    NEW met2 ( 1511330 2300100 ) ( 1511330 3499790 )
+    NEW met1 ( 1424390 3499110 ) ( 1424390 3499790 )
+    NEW met1 ( 1290070 3499790 ) ( 1424390 3499790 )
+    NEW li1 ( 1486490 3498430 ) ( 1486490 3499110 )
+    NEW li1 ( 1486490 3498430 ) ( 1487410 3498430 )
+    NEW li1 ( 1487410 3498430 ) ( 1487410 3499790 )
+    NEW met1 ( 1424390 3499110 ) ( 1486490 3499110 )
+    NEW met1 ( 1487410 3499790 ) ( 1511330 3499790 )
+    NEW li1 ( 1242230 3499790 ) L1M1_PR_MR
+    NEW li1 ( 1242230 3498430 ) L1M1_PR_MR
+    NEW li1 ( 1290070 3498430 ) L1M1_PR_MR
+    NEW li1 ( 1290070 3499790 ) L1M1_PR_MR
+    NEW met1 ( 1216470 3499790 ) M1M2_PR
+    NEW met1 ( 1511330 3499790 ) M1M2_PR
+    NEW li1 ( 1486490 3499110 ) L1M1_PR_MR
+    NEW li1 ( 1487410 3499790 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) 
-  + ROUTED met3 ( 2300 3477180 0 ) ( 16330 3477180 )
-    NEW met2 ( 16330 3471230 ) ( 16330 3477180 )
-    NEW met1 ( 16330 3471230 ) ( 1525590 3471230 )
-    NEW met2 ( 1529270 2299420 ) ( 1530650 2299420 0 )
-    NEW met2 ( 1529270 2299420 ) ( 1529270 2301460 )
-    NEW met2 ( 1525590 2301460 ) ( 1529270 2301460 )
-    NEW met2 ( 1525590 2301460 ) ( 1525590 3471230 )
-    NEW met2 ( 16330 3477180 ) via2_FR
-    NEW met1 ( 16330 3471230 ) M1M2_PR
-    NEW met1 ( 1525590 3471230 ) M1M2_PR
+  + ROUTED met3 ( 2300 3483980 0 ) ( 17250 3483980 )
+    NEW met2 ( 17250 3477690 ) ( 17250 3483980 )
+    NEW met1 ( 17250 3477690 ) ( 1525590 3477690 )
+    NEW met2 ( 1528350 2298740 ) ( 1530650 2298740 0 )
+    NEW met2 ( 1528350 2298740 ) ( 1528350 2301460 )
+    NEW met2 ( 1525590 2301460 ) ( 1528350 2301460 )
+    NEW met2 ( 1525590 2301460 ) ( 1525590 3477690 )
+    NEW met2 ( 17250 3483980 ) via2_FR
+    NEW met1 ( 17250 3477690 ) M1M2_PR
+    NEW met1 ( 1525590 3477690 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) 
-  + ROUTED met3 ( 2300 3226260 0 ) ( 15410 3226260 )
-    NEW met2 ( 15410 3222350 ) ( 15410 3226260 )
-    NEW met1 ( 15410 3222350 ) ( 1545830 3222350 )
+  + ROUTED met3 ( 2300 3272500 0 ) ( 17250 3272500 )
+    NEW met2 ( 17250 3270630 ) ( 17250 3272500 )
+    NEW met1 ( 17250 3270630 ) ( 1545830 3270630 )
     NEW met2 ( 1545830 2299420 ) ( 1546290 2299420 0 )
-    NEW met2 ( 1545830 2299420 ) ( 1545830 3222350 )
-    NEW met2 ( 15410 3226260 ) via2_FR
-    NEW met1 ( 15410 3222350 ) M1M2_PR
-    NEW met1 ( 1545830 3222350 ) M1M2_PR
+    NEW met2 ( 1545830 2299420 ) ( 1545830 3270630 )
+    NEW met2 ( 17250 3272500 ) via2_FR
+    NEW met1 ( 17250 3270630 ) M1M2_PR
+    NEW met1 ( 1545830 3270630 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) 
-  + ROUTED met3 ( 2300 2974660 0 ) ( 17250 2974660 )
-    NEW met2 ( 17250 2974150 ) ( 17250 2974660 )
+  + ROUTED met3 ( 2300 3061700 0 ) ( 15870 3061700 )
+    NEW met2 ( 15870 3056770 ) ( 15870 3061700 )
+    NEW met1 ( 15870 3056770 ) ( 1559630 3056770 )
     NEW met2 ( 1560550 2299420 ) ( 1562390 2299420 0 )
-    NEW met2 ( 1560550 2299420 ) ( 1560550 2300100 )
-    NEW met2 ( 1559630 2300100 ) ( 1560550 2300100 )
-    NEW met1 ( 17250 2974150 ) ( 1559630 2974150 )
-    NEW met2 ( 1559630 2300100 ) ( 1559630 2974150 )
-    NEW met2 ( 17250 2974660 ) via2_FR
-    NEW met1 ( 17250 2974150 ) M1M2_PR
-    NEW met1 ( 1559630 2974150 ) M1M2_PR
+    NEW met2 ( 1560550 2299420 ) ( 1560550 2317100 )
+    NEW met2 ( 1559630 2317100 ) ( 1560550 2317100 )
+    NEW met2 ( 1559630 2317100 ) ( 1559630 3056770 )
+    NEW met2 ( 15870 3061700 ) via2_FR
+    NEW met1 ( 15870 3056770 ) M1M2_PR
+    NEW met1 ( 1559630 3056770 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) 
-  + ROUTED met3 ( 2300 2723060 0 ) ( 15870 2723060 )
-    NEW met2 ( 15870 2718810 ) ( 15870 2723060 )
-    NEW met1 ( 15870 2718810 ) ( 1573430 2718810 )
+  + ROUTED met3 ( 2300 2850220 0 ) ( 17250 2850220 )
+    NEW met2 ( 17250 2849710 ) ( 17250 2850220 )
+    NEW met1 ( 17250 2849710 ) ( 1573430 2849710 )
     NEW met2 ( 1576190 2299420 ) ( 1578030 2299420 0 )
     NEW met2 ( 1576190 2299420 ) ( 1576190 2300100 )
     NEW met2 ( 1573430 2300100 ) ( 1576190 2300100 )
-    NEW met2 ( 1573430 2300100 ) ( 1573430 2718810 )
-    NEW met2 ( 15870 2723060 ) via2_FR
-    NEW met1 ( 15870 2718810 ) M1M2_PR
-    NEW met1 ( 1573430 2718810 ) M1M2_PR
+    NEW met2 ( 1573430 2300100 ) ( 1573430 2849710 )
+    NEW met2 ( 17250 2850220 ) via2_FR
+    NEW met1 ( 17250 2849710 ) M1M2_PR
+    NEW met1 ( 1573430 2849710 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) 
-  + ROUTED met3 ( 2300 2471460 0 ) ( 16330 2471460 )
-    NEW met2 ( 16330 2470270 ) ( 16330 2471460 )
-    NEW met1 ( 16330 2470270 ) ( 1587690 2470270 )
-    NEW met2 ( 1591830 2299420 ) ( 1593670 2299420 0 )
-    NEW met2 ( 1591830 2299420 ) ( 1591830 2302140 )
-    NEW met2 ( 1587690 2302140 ) ( 1591830 2302140 )
-    NEW met2 ( 1587690 2302140 ) ( 1587690 2470270 )
-    NEW met2 ( 16330 2471460 ) via2_FR
-    NEW met1 ( 16330 2470270 ) M1M2_PR
-    NEW met1 ( 1587690 2470270 ) M1M2_PR
+  + ROUTED met3 ( 2300 2639420 0 ) ( 15870 2639420 )
+    NEW met2 ( 15870 2636190 ) ( 15870 2639420 )
+    NEW met2 ( 1591370 2299420 ) ( 1593670 2299420 0 )
+    NEW met2 ( 1591370 2299420 ) ( 1591370 2300780 )
+    NEW met2 ( 1590910 2300780 ) ( 1591370 2300780 )
+    NEW li1 ( 1587690 2511750 ) ( 1587690 2525690 )
+    NEW li1 ( 1588150 2621570 ) ( 1588150 2636190 )
+    NEW met1 ( 15870 2636190 ) ( 1588150 2636190 )
+    NEW met3 ( 1587460 2463300 ) ( 1587690 2463300 )
+    NEW met4 ( 1587460 2463300 ) ( 1587460 2511580 )
+    NEW met3 ( 1587460 2511580 ) ( 1587690 2511580 )
+    NEW met2 ( 1587690 2511580 ) ( 1587690 2511750 )
+    NEW met1 ( 1587690 2573630 ) ( 1587690 2573970 )
+    NEW met1 ( 1587690 2573970 ) ( 1588150 2573970 )
+    NEW met2 ( 1587690 2525690 ) ( 1587690 2573630 )
+    NEW met2 ( 1588150 2573970 ) ( 1588150 2621570 )
+    NEW met1 ( 1587690 2455650 ) ( 1590910 2455650 )
+    NEW met2 ( 1587690 2455650 ) ( 1587690 2463300 )
+    NEW met2 ( 1590910 2300780 ) ( 1590910 2455650 )
+    NEW met2 ( 15870 2639420 ) via2_FR
+    NEW met1 ( 15870 2636190 ) M1M2_PR
+    NEW li1 ( 1587690 2511750 ) L1M1_PR_MR
+    NEW met1 ( 1587690 2511750 ) M1M2_PR
+    NEW li1 ( 1587690 2525690 ) L1M1_PR_MR
+    NEW met1 ( 1587690 2525690 ) M1M2_PR
+    NEW li1 ( 1588150 2636190 ) L1M1_PR_MR
+    NEW li1 ( 1588150 2621570 ) L1M1_PR_MR
+    NEW met1 ( 1588150 2621570 ) M1M2_PR
+    NEW met2 ( 1587690 2463300 ) via2_FR
+    NEW met3 ( 1587460 2463300 ) M3M4_PR_M
+    NEW met3 ( 1587460 2511580 ) M3M4_PR_M
+    NEW met2 ( 1587690 2511580 ) via2_FR
+    NEW met1 ( 1587690 2573630 ) M1M2_PR
+    NEW met1 ( 1588150 2573970 ) M1M2_PR
+    NEW met1 ( 1587690 2455650 ) M1M2_PR
+    NEW met1 ( 1590910 2455650 ) M1M2_PR
+    NEW met1 ( 1587690 2511750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1587690 2525690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1588150 2621570 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1587690 2463300 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1587460 2511580 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) 
-  + ROUTED met3 ( 2300 2220540 0 ) ( 15870 2220540 )
-    NEW met2 ( 15870 2220540 ) ( 15870 2220710 )
-    NEW met1 ( 15870 2220710 ) ( 31510 2220710 )
-    NEW met2 ( 31510 2220710 ) ( 31510 2305030 )
-    NEW met2 ( 1609770 2299420 0 ) ( 1609770 2305030 )
-    NEW met1 ( 31510 2305030 ) ( 1609770 2305030 )
-    NEW met2 ( 15870 2220540 ) via2_FR
-    NEW met1 ( 15870 2220710 ) M1M2_PR
-    NEW met1 ( 31510 2220710 ) M1M2_PR
-    NEW met1 ( 31510 2305030 ) M1M2_PR
-    NEW met1 ( 1609770 2305030 ) M1M2_PR
+  + ROUTED met3 ( 2300 2427940 0 ) ( 16330 2427940 )
+    NEW met2 ( 16330 2421990 ) ( 16330 2427940 )
+    NEW met1 ( 16330 2421990 ) ( 1607930 2421990 )
+    NEW met2 ( 1607930 2299420 ) ( 1609770 2299420 0 )
+    NEW met2 ( 1607930 2299420 ) ( 1607930 2421990 )
+    NEW met2 ( 16330 2427940 ) via2_FR
+    NEW met1 ( 16330 2421990 ) M1M2_PR
+    NEW met1 ( 1607930 2421990 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) 
-  + ROUTED met3 ( 2111860 504220 ) ( 2111860 505580 )
-    NEW met3 ( 2208460 504220 ) ( 2208460 505580 )
-    NEW met3 ( 2305060 504220 ) ( 2305060 505580 )
-    NEW met3 ( 2401660 504220 ) ( 2401660 505580 )
-    NEW met3 ( 2498260 504220 ) ( 2498260 505580 )
-    NEW met3 ( 2594860 504220 ) ( 2594860 505580 )
-    NEW met3 ( 2691460 504220 ) ( 2691460 505580 )
-    NEW met3 ( 2884660 504220 ) ( 2884660 504900 )
-    NEW met3 ( 2884660 504900 ) ( 2916860 504900 )
-    NEW met3 ( 2916860 504900 ) ( 2916860 508300 )
-    NEW met3 ( 2916860 508300 ) ( 2917780 508300 0 )
-    NEW met3 ( 2063100 504900 ) ( 2063100 505580 )
-    NEW met3 ( 2063100 504900 ) ( 2110940 504900 )
-    NEW met3 ( 2110940 504220 ) ( 2110940 504900 )
-    NEW met3 ( 2110940 504220 ) ( 2111860 504220 )
-    NEW met3 ( 2159700 504900 ) ( 2159700 505580 )
-    NEW met3 ( 2159700 504900 ) ( 2207540 504900 )
-    NEW met3 ( 2207540 504220 ) ( 2207540 504900 )
-    NEW met3 ( 2111860 505580 ) ( 2159700 505580 )
-    NEW met3 ( 2207540 504220 ) ( 2208460 504220 )
-    NEW met3 ( 2256300 504900 ) ( 2256300 505580 )
-    NEW met3 ( 2256300 504900 ) ( 2304140 504900 )
-    NEW met3 ( 2304140 504220 ) ( 2304140 504900 )
-    NEW met3 ( 2208460 505580 ) ( 2256300 505580 )
-    NEW met3 ( 2304140 504220 ) ( 2305060 504220 )
-    NEW met3 ( 2352900 504900 ) ( 2352900 505580 )
-    NEW met3 ( 2352900 504900 ) ( 2400740 504900 )
-    NEW met3 ( 2400740 504220 ) ( 2400740 504900 )
-    NEW met3 ( 2305060 505580 ) ( 2352900 505580 )
-    NEW met3 ( 2400740 504220 ) ( 2401660 504220 )
-    NEW met3 ( 2449500 504900 ) ( 2449500 505580 )
-    NEW met3 ( 2449500 504900 ) ( 2497340 504900 )
-    NEW met3 ( 2497340 504220 ) ( 2497340 504900 )
-    NEW met3 ( 2401660 505580 ) ( 2449500 505580 )
-    NEW met3 ( 2497340 504220 ) ( 2498260 504220 )
-    NEW met3 ( 2546100 504900 ) ( 2546100 505580 )
-    NEW met3 ( 2546100 504900 ) ( 2593940 504900 )
-    NEW met3 ( 2593940 504220 ) ( 2593940 504900 )
-    NEW met3 ( 2498260 505580 ) ( 2546100 505580 )
-    NEW met3 ( 2593940 504220 ) ( 2594860 504220 )
-    NEW met3 ( 2642700 504900 ) ( 2642700 505580 )
-    NEW met3 ( 2642700 504900 ) ( 2690540 504900 )
-    NEW met3 ( 2690540 504220 ) ( 2690540 504900 )
-    NEW met3 ( 2594860 505580 ) ( 2642700 505580 )
-    NEW met3 ( 2690540 504220 ) ( 2691460 504220 )
-    NEW met3 ( 2835900 504900 ) ( 2835900 505580 )
-    NEW met3 ( 2835900 504900 ) ( 2883740 504900 )
-    NEW met3 ( 2883740 504220 ) ( 2883740 504900 )
-    NEW met3 ( 2883740 504220 ) ( 2884660 504220 )
-    NEW met3 ( 1222220 504220 ) ( 1222220 505580 )
-    NEW met3 ( 1318820 504220 ) ( 1318820 505580 )
-    NEW met3 ( 1704300 504220 ) ( 1704300 505580 )
-    NEW met3 ( 1184730 2298060 ) ( 1186340 2298060 )
+  + ROUTED met3 ( 1184730 2298060 ) ( 1186340 2298060 )
     NEW met2 ( 1183350 2298060 0 ) ( 1184730 2298060 )
-    NEW met3 ( 1186340 505580 ) ( 1222220 505580 )
-    NEW met4 ( 1186340 505580 ) ( 1186340 2298060 )
-    NEW met3 ( 1753060 504220 ) ( 1753060 504900 )
-    NEW met3 ( 1704300 504220 ) ( 1753060 504220 )
-    NEW met3 ( 1849660 504220 ) ( 1849660 505580 )
-    NEW met3 ( 2719060 504220 ) ( 2719060 505580 )
-    NEW met3 ( 2691460 505580 ) ( 2719060 505580 )
-    NEW met2 ( 1256030 504220 ) ( 1256030 504390 )
-    NEW met1 ( 1256030 504390 ) ( 1303410 504390 )
-    NEW met2 ( 1303410 504390 ) ( 1303410 505580 )
-    NEW met3 ( 1222220 504220 ) ( 1256030 504220 )
-    NEW met3 ( 1303410 505580 ) ( 1318820 505580 )
-    NEW met3 ( 1376780 504220 ) ( 1376780 505580 )
-    NEW met3 ( 1318820 504220 ) ( 1376780 504220 )
-    NEW met3 ( 1932460 504900 ) ( 1932460 506260 )
-    NEW met3 ( 1932460 506260 ) ( 1956150 506260 )
-    NEW met2 ( 1956150 504220 ) ( 1956150 506260 )
-    NEW met4 ( 1883700 505580 ) ( 1883700 506940 )
-    NEW met3 ( 1883700 506940 ) ( 1931770 506940 )
-    NEW met2 ( 1931770 504900 ) ( 1931770 506940 )
-    NEW met3 ( 1849660 505580 ) ( 1883700 505580 )
-    NEW met3 ( 1931770 504900 ) ( 1932460 504900 )
-    NEW met4 ( 1980300 504220 ) ( 1980300 505580 )
-    NEW met3 ( 1956150 504220 ) ( 1980300 504220 )
-    NEW met3 ( 1980300 505580 ) ( 2063100 505580 )
-    NEW met4 ( 2753100 504220 ) ( 2753100 505580 )
-    NEW met3 ( 2719060 504220 ) ( 2753100 504220 )
-    NEW met3 ( 2753100 505580 ) ( 2835900 505580 )
-    NEW met2 ( 1448770 505580 ) ( 1449690 505580 )
-    NEW met3 ( 1449690 505580 ) ( 1466020 505580 )
-    NEW met3 ( 1466020 504900 ) ( 1466020 505580 )
-    NEW met3 ( 1376780 505580 ) ( 1448770 505580 )
-    NEW met3 ( 1510180 503540 ) ( 1510180 504900 )
-    NEW met3 ( 1466020 504900 ) ( 1510180 504900 )
-    NEW met2 ( 1799750 504730 ) ( 1799750 504900 )
-    NEW met1 ( 1799750 504730 ) ( 1828270 504730 )
-    NEW met2 ( 1828270 504220 ) ( 1828270 504730 )
-    NEW met3 ( 1753060 504900 ) ( 1799750 504900 )
-    NEW met3 ( 1828270 504220 ) ( 1849660 504220 )
-    NEW met3 ( 1537780 502860 ) ( 1537780 503540 )
-    NEW met3 ( 1537780 502860 ) ( 1579180 502860 )
-    NEW met3 ( 1579180 502860 ) ( 1579180 504220 )
-    NEW met3 ( 1510180 503540 ) ( 1537780 503540 )
-    NEW met2 ( 1652550 502860 ) ( 1652550 504220 )
-    NEW met3 ( 1652550 504220 ) ( 1675780 504220 )
-    NEW met3 ( 1675780 504220 ) ( 1675780 505580 )
-    NEW met3 ( 1675780 505580 ) ( 1704300 505580 )
-    NEW met4 ( 1580100 502860 ) ( 1580100 504220 )
-    NEW met3 ( 1579180 504220 ) ( 1580100 504220 )
-    NEW met3 ( 1580100 502860 ) ( 1652550 502860 )
+    NEW met3 ( 2015260 455940 ) ( 2015260 457300 )
+    NEW met3 ( 2111860 455940 ) ( 2111860 457300 )
+    NEW met3 ( 2208460 455940 ) ( 2208460 457300 )
+    NEW met3 ( 2305060 455940 ) ( 2305060 457300 )
+    NEW met3 ( 2401660 455940 ) ( 2401660 457300 )
+    NEW met3 ( 2498260 455940 ) ( 2498260 457300 )
+    NEW met3 ( 2594860 455940 ) ( 2594860 457300 )
+    NEW met3 ( 2916860 456620 ) ( 2916860 457300 )
+    NEW met3 ( 2916860 457300 ) ( 2917780 457300 0 )
+    NEW met3 ( 1966500 456620 ) ( 1966500 457300 )
+    NEW met3 ( 1966500 456620 ) ( 2014340 456620 )
+    NEW met3 ( 2014340 455940 ) ( 2014340 456620 )
+    NEW met3 ( 2014340 455940 ) ( 2015260 455940 )
+    NEW met3 ( 2063100 456620 ) ( 2063100 457300 )
+    NEW met3 ( 2063100 456620 ) ( 2110940 456620 )
+    NEW met3 ( 2110940 455940 ) ( 2110940 456620 )
+    NEW met3 ( 2015260 457300 ) ( 2063100 457300 )
+    NEW met3 ( 2110940 455940 ) ( 2111860 455940 )
+    NEW met3 ( 2159700 456620 ) ( 2159700 457300 )
+    NEW met3 ( 2159700 456620 ) ( 2207540 456620 )
+    NEW met3 ( 2207540 455940 ) ( 2207540 456620 )
+    NEW met3 ( 2111860 457300 ) ( 2159700 457300 )
+    NEW met3 ( 2207540 455940 ) ( 2208460 455940 )
+    NEW met3 ( 2256300 456620 ) ( 2256300 457300 )
+    NEW met3 ( 2256300 456620 ) ( 2304140 456620 )
+    NEW met3 ( 2304140 455940 ) ( 2304140 456620 )
+    NEW met3 ( 2208460 457300 ) ( 2256300 457300 )
+    NEW met3 ( 2304140 455940 ) ( 2305060 455940 )
+    NEW met3 ( 2352900 456620 ) ( 2352900 457300 )
+    NEW met3 ( 2352900 456620 ) ( 2400740 456620 )
+    NEW met3 ( 2400740 455940 ) ( 2400740 456620 )
+    NEW met3 ( 2305060 457300 ) ( 2352900 457300 )
+    NEW met3 ( 2400740 455940 ) ( 2401660 455940 )
+    NEW met3 ( 2449500 456620 ) ( 2449500 457300 )
+    NEW met3 ( 2449500 456620 ) ( 2497340 456620 )
+    NEW met3 ( 2497340 455940 ) ( 2497340 456620 )
+    NEW met3 ( 2401660 457300 ) ( 2449500 457300 )
+    NEW met3 ( 2497340 455940 ) ( 2498260 455940 )
+    NEW met3 ( 2546100 456620 ) ( 2546100 457300 )
+    NEW met3 ( 2546100 456620 ) ( 2593940 456620 )
+    NEW met3 ( 2593940 455940 ) ( 2593940 456620 )
+    NEW met3 ( 2498260 457300 ) ( 2546100 457300 )
+    NEW met3 ( 2593940 455940 ) ( 2594860 455940 )
+    NEW met3 ( 1414500 455940 ) ( 1414500 457300 )
+    NEW met3 ( 1512020 456620 ) ( 1512020 457980 )
+    NEW met3 ( 1608620 456620 ) ( 1608620 457980 )
+    NEW met3 ( 1704300 455940 ) ( 1704300 457300 )
+    NEW met3 ( 1680380 456620 ) ( 1680380 457300 )
+    NEW met3 ( 1608620 456620 ) ( 1680380 456620 )
+    NEW met3 ( 1680380 457300 ) ( 1704300 457300 )
+    NEW met3 ( 1753060 455940 ) ( 1753060 457300 )
+    NEW met3 ( 1704300 455940 ) ( 1753060 455940 )
+    NEW met3 ( 2622460 456620 ) ( 2622460 457300 )
+    NEW met3 ( 2594860 457300 ) ( 2622460 457300 )
+    NEW met4 ( 1186340 457300 ) ( 1186340 2298060 )
+    NEW met2 ( 1269830 456450 ) ( 1269830 457300 )
+    NEW met1 ( 1269830 456450 ) ( 1279950 456450 )
+    NEW met2 ( 1279950 455940 ) ( 1279950 456450 )
+    NEW met3 ( 1186340 457300 ) ( 1269830 457300 )
+    NEW met2 ( 1449230 455940 ) ( 1449230 460020 )
+    NEW met3 ( 1449230 460020 ) ( 1496380 460020 )
+    NEW met4 ( 1496380 457980 ) ( 1496380 460020 )
+    NEW met3 ( 1414500 455940 ) ( 1449230 455940 )
+    NEW met3 ( 1496380 457980 ) ( 1512020 457980 )
+    NEW met3 ( 1558940 456620 ) ( 1558940 457980 )
+    NEW met3 ( 1512020 456620 ) ( 1558940 456620 )
+    NEW met3 ( 1558940 457980 ) ( 1608620 457980 )
+    NEW met3 ( 1835860 456620 ) ( 1835860 457980 )
+    NEW met3 ( 1835860 457980 ) ( 1859550 457980 )
+    NEW met2 ( 1859550 455940 ) ( 1859550 457980 )
+    NEW met2 ( 2705490 456450 ) ( 2705490 457300 )
+    NEW met1 ( 2705490 456450 ) ( 2728950 456450 )
+    NEW met2 ( 2728950 455940 ) ( 2728950 456450 )
+    NEW met4 ( 1304100 455940 ) ( 1304100 457300 )
+    NEW met3 ( 1304100 457300 ) ( 1328940 457300 )
+    NEW met3 ( 1328940 456620 ) ( 1328940 457300 )
+    NEW met3 ( 1279950 455940 ) ( 1304100 455940 )
+    NEW met4 ( 1787100 457300 ) ( 1787100 458660 )
+    NEW met3 ( 1787100 458660 ) ( 1835170 458660 )
+    NEW met2 ( 1835170 456620 ) ( 1835170 458660 )
+    NEW met3 ( 1753060 457300 ) ( 1787100 457300 )
+    NEW met3 ( 1835170 456620 ) ( 1835860 456620 )
+    NEW met4 ( 1883700 455940 ) ( 1883700 457300 )
+    NEW met3 ( 1859550 455940 ) ( 1883700 455940 )
+    NEW met3 ( 1883700 457300 ) ( 1966500 457300 )
+    NEW met2 ( 2670070 456450 ) ( 2670070 456620 )
+    NEW met1 ( 2670070 456450 ) ( 2704570 456450 )
+    NEW met2 ( 2704570 456450 ) ( 2704570 457300 )
+    NEW met3 ( 2622460 456620 ) ( 2670070 456620 )
+    NEW met3 ( 2704570 457300 ) ( 2705490 457300 )
+    NEW met3 ( 2777020 455940 ) ( 2777020 456620 )
+    NEW met3 ( 2728950 455940 ) ( 2777020 455940 )
+    NEW met3 ( 2884660 455940 ) ( 2884660 456620 )
+    NEW met3 ( 2884660 456620 ) ( 2916860 456620 )
+    NEW met3 ( 1369420 456620 ) ( 1369420 457300 )
+    NEW met3 ( 1328940 456620 ) ( 1369420 456620 )
+    NEW met3 ( 1369420 457300 ) ( 1414500 457300 )
+    NEW met4 ( 2794500 456620 ) ( 2794500 457980 )
+    NEW met3 ( 2794500 457980 ) ( 2842570 457980 )
+    NEW met2 ( 2842570 455940 ) ( 2842570 457980 )
+    NEW met3 ( 2777020 456620 ) ( 2794500 456620 )
+    NEW met3 ( 2842570 455940 ) ( 2884660 455940 )
     NEW met3 ( 1186340 2298060 ) M3M4_PR_M
     NEW met2 ( 1184730 2298060 ) via2_FR
-    NEW met3 ( 1186340 505580 ) M3M4_PR_M
-    NEW met2 ( 1256030 504220 ) via2_FR
-    NEW met1 ( 1256030 504390 ) M1M2_PR
-    NEW met1 ( 1303410 504390 ) M1M2_PR
-    NEW met2 ( 1303410 505580 ) via2_FR
-    NEW met2 ( 1956150 506260 ) via2_FR
-    NEW met2 ( 1956150 504220 ) via2_FR
-    NEW met3 ( 1883700 505580 ) M3M4_PR_M
-    NEW met3 ( 1883700 506940 ) M3M4_PR_M
-    NEW met2 ( 1931770 506940 ) via2_FR
-    NEW met2 ( 1931770 504900 ) via2_FR
-    NEW met3 ( 1980300 504220 ) M3M4_PR_M
-    NEW met3 ( 1980300 505580 ) M3M4_PR_M
-    NEW met3 ( 2753100 504220 ) M3M4_PR_M
-    NEW met3 ( 2753100 505580 ) M3M4_PR_M
-    NEW met2 ( 1448770 505580 ) via2_FR
-    NEW met2 ( 1449690 505580 ) via2_FR
-    NEW met2 ( 1799750 504900 ) via2_FR
-    NEW met1 ( 1799750 504730 ) M1M2_PR
-    NEW met1 ( 1828270 504730 ) M1M2_PR
-    NEW met2 ( 1828270 504220 ) via2_FR
-    NEW met2 ( 1652550 502860 ) via2_FR
-    NEW met2 ( 1652550 504220 ) via2_FR
-    NEW met3 ( 1580100 504220 ) M3M4_PR_M
-    NEW met3 ( 1580100 502860 ) M3M4_PR_M
+    NEW met3 ( 1186340 457300 ) M3M4_PR_M
+    NEW met2 ( 1269830 457300 ) via2_FR
+    NEW met1 ( 1269830 456450 ) M1M2_PR
+    NEW met1 ( 1279950 456450 ) M1M2_PR
+    NEW met2 ( 1279950 455940 ) via2_FR
+    NEW met2 ( 1449230 455940 ) via2_FR
+    NEW met2 ( 1449230 460020 ) via2_FR
+    NEW met3 ( 1496380 460020 ) M3M4_PR_M
+    NEW met3 ( 1496380 457980 ) M3M4_PR_M
+    NEW met2 ( 1859550 457980 ) via2_FR
+    NEW met2 ( 1859550 455940 ) via2_FR
+    NEW met2 ( 2705490 457300 ) via2_FR
+    NEW met1 ( 2705490 456450 ) M1M2_PR
+    NEW met1 ( 2728950 456450 ) M1M2_PR
+    NEW met2 ( 2728950 455940 ) via2_FR
+    NEW met3 ( 1304100 455940 ) M3M4_PR_M
+    NEW met3 ( 1304100 457300 ) M3M4_PR_M
+    NEW met3 ( 1787100 457300 ) M3M4_PR_M
+    NEW met3 ( 1787100 458660 ) M3M4_PR_M
+    NEW met2 ( 1835170 458660 ) via2_FR
+    NEW met2 ( 1835170 456620 ) via2_FR
+    NEW met3 ( 1883700 455940 ) M3M4_PR_M
+    NEW met3 ( 1883700 457300 ) M3M4_PR_M
+    NEW met2 ( 2670070 456620 ) via2_FR
+    NEW met1 ( 2670070 456450 ) M1M2_PR
+    NEW met1 ( 2704570 456450 ) M1M2_PR
+    NEW met2 ( 2704570 457300 ) via2_FR
+    NEW met3 ( 2794500 456620 ) M3M4_PR_M
+    NEW met3 ( 2794500 457980 ) M3M4_PR_M
+    NEW met2 ( 2842570 457980 ) via2_FR
+    NEW met2 ( 2842570 455940 ) via2_FR
 + USE SIGNAL ;
 - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) 
-  + ROUTED met3 ( 2300 1968940 0 ) ( 16790 1968940 )
-    NEW met2 ( 16790 1968940 ) ( 16790 1973190 )
-    NEW met1 ( 16790 1973190 ) ( 1135510 1973190 )
-    NEW met2 ( 1135510 1973190 ) ( 1135510 2300270 )
-    NEW met2 ( 1624030 2299420 ) ( 1624030 2300270 )
+  + ROUTED met3 ( 2300 2217140 0 ) ( 15410 2217140 )
+    NEW met2 ( 15410 2217140 ) ( 15410 2221730 )
+    NEW met1 ( 15410 2221730 ) ( 1142410 2221730 )
+    NEW met2 ( 1142410 2221730 ) ( 1142410 2299930 )
+    NEW met2 ( 1624030 2299420 ) ( 1624030 2299930 )
     NEW met2 ( 1624030 2299420 ) ( 1625410 2299420 0 )
-    NEW met1 ( 1135510 2300270 ) ( 1624030 2300270 )
-    NEW met2 ( 16790 1968940 ) via2_FR
-    NEW met1 ( 16790 1973190 ) M1M2_PR
-    NEW met1 ( 1135510 1973190 ) M1M2_PR
-    NEW met1 ( 1135510 2300270 ) M1M2_PR
-    NEW met1 ( 1624030 2300270 ) M1M2_PR
+    NEW met1 ( 1142410 2299930 ) ( 1624030 2299930 )
+    NEW met2 ( 15410 2217140 ) via2_FR
+    NEW met1 ( 15410 2221730 ) M1M2_PR
+    NEW met1 ( 1142410 2221730 ) M1M2_PR
+    NEW met1 ( 1142410 2299930 ) M1M2_PR
+    NEW met1 ( 1624030 2299930 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) 
-  + ROUTED met3 ( 2300 1717340 0 ) ( 16790 1717340 )
-    NEW met2 ( 16790 1717340 ) ( 16790 1717850 )
-    NEW met2 ( 1641050 2299420 0 ) ( 1641050 2307750 )
-    NEW met1 ( 16790 1717850 ) ( 1142410 1717850 )
-    NEW met2 ( 1142410 1717850 ) ( 1142410 2307750 )
-    NEW met1 ( 1142410 2307750 ) ( 1641050 2307750 )
-    NEW met1 ( 1641050 2307750 ) M1M2_PR
-    NEW met2 ( 16790 1717340 ) via2_FR
-    NEW met1 ( 16790 1717850 ) M1M2_PR
-    NEW met1 ( 1142410 2307750 ) M1M2_PR
-    NEW met1 ( 1142410 1717850 ) M1M2_PR
+  + ROUTED met3 ( 2300 2005660 0 ) ( 17710 2005660 )
+    NEW met2 ( 17710 2005660 ) ( 17710 2005830 )
+    NEW met1 ( 17710 2005830 ) ( 44850 2005830 )
+    NEW met2 ( 44850 2005830 ) ( 44850 2305030 )
+    NEW met2 ( 1641050 2299420 0 ) ( 1641050 2305030 )
+    NEW met1 ( 44850 2305030 ) ( 1641050 2305030 )
+    NEW met2 ( 17710 2005660 ) via2_FR
+    NEW met1 ( 17710 2005830 ) M1M2_PR
+    NEW met1 ( 44850 2305030 ) M1M2_PR
+    NEW met1 ( 44850 2005830 ) M1M2_PR
+    NEW met1 ( 1641050 2305030 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) 
-  + ROUTED met3 ( 2300 1466420 0 ) ( 15410 1466420 )
-    NEW met2 ( 15410 1466420 ) ( 15410 1469310 )
-    NEW met1 ( 15410 1469310 ) ( 31050 1469310 )
-    NEW met2 ( 31050 1469310 ) ( 31050 2291770 )
-    NEW li1 ( 1656230 2291770 ) ( 1656230 2298230 )
+  + ROUTED met3 ( 2300 1794860 0 ) ( 16330 1794860 )
+    NEW met2 ( 16330 1794860 ) ( 16330 1800130 )
+    NEW met1 ( 16330 1800130 ) ( 51750 1800130 )
+    NEW met2 ( 51750 1800130 ) ( 51750 2292110 )
+    NEW li1 ( 1656230 2292110 ) ( 1656230 2298230 )
     NEW met2 ( 1656230 2298060 ) ( 1656230 2298230 )
     NEW met2 ( 1656230 2298060 ) ( 1657150 2298060 0 )
-    NEW met1 ( 31050 2291770 ) ( 1656230 2291770 )
-    NEW met2 ( 15410 1466420 ) via2_FR
-    NEW met1 ( 15410 1469310 ) M1M2_PR
-    NEW met1 ( 31050 1469310 ) M1M2_PR
-    NEW met1 ( 31050 2291770 ) M1M2_PR
-    NEW li1 ( 1656230 2291770 ) L1M1_PR_MR
+    NEW met1 ( 51750 2292110 ) ( 1656230 2292110 )
+    NEW met2 ( 16330 1794860 ) via2_FR
+    NEW met1 ( 16330 1800130 ) M1M2_PR
+    NEW met1 ( 51750 1800130 ) M1M2_PR
+    NEW met1 ( 51750 2292110 ) M1M2_PR
+    NEW li1 ( 1656230 2292110 ) L1M1_PR_MR
     NEW li1 ( 1656230 2298230 ) L1M1_PR_MR
     NEW met1 ( 1656230 2298230 ) M1M2_PR
-    NEW met1 ( 1656230 2298230 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1656230 2298230 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
 - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) 
-  + ROUTED met3 ( 2300 1214820 0 ) ( 16790 1214820 )
-    NEW met2 ( 16790 1214820 ) ( 16790 1220770 )
-    NEW met2 ( 1672790 2299420 0 ) ( 1672790 2301630 )
-    NEW met1 ( 16790 1220770 ) ( 1141950 1220770 )
-    NEW met2 ( 1141950 1220770 ) ( 1141950 2301630 )
-    NEW met1 ( 1141950 2301630 ) ( 1672790 2301630 )
-    NEW met2 ( 16790 1214820 ) via2_FR
-    NEW met1 ( 16790 1220770 ) M1M2_PR
-    NEW met1 ( 1672790 2301630 ) M1M2_PR
-    NEW met1 ( 1141950 2301630 ) M1M2_PR
-    NEW met1 ( 1141950 1220770 ) M1M2_PR
+  + ROUTED met3 ( 2300 1583380 0 ) ( 14950 1583380 )
+    NEW met2 ( 14950 1583380 ) ( 14950 1586950 )
+    NEW met2 ( 1072950 1586950 ) ( 1072950 2298910 )
+    NEW met1 ( 14950 1586950 ) ( 1072950 1586950 )
+    NEW met2 ( 1670950 2298740 ) ( 1670950 2298910 )
+    NEW met2 ( 1670950 2298740 ) ( 1672790 2298740 0 )
+    NEW met1 ( 1072950 2298910 ) ( 1670950 2298910 )
+    NEW met2 ( 14950 1583380 ) via2_FR
+    NEW met1 ( 14950 1586950 ) M1M2_PR
+    NEW met1 ( 1072950 1586950 ) M1M2_PR
+    NEW met1 ( 1072950 2298910 ) M1M2_PR
+    NEW met1 ( 1670950 2298910 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) 
-  + ROUTED met3 ( 2300 963220 0 ) ( 16790 963220 )
-    NEW met2 ( 16790 963220 ) ( 16790 963390 )
-    NEW met1 ( 16790 963390 ) ( 44850 963390 )
-    NEW met2 ( 44850 963390 ) ( 44850 2291430 )
-    NEW li1 ( 1686590 2291430 ) ( 1686590 2298230 )
-    NEW met2 ( 1686590 2298060 ) ( 1686590 2298230 )
-    NEW met2 ( 1686590 2298060 ) ( 1688430 2298060 0 )
-    NEW met1 ( 44850 2291430 ) ( 1686590 2291430 )
-    NEW met2 ( 16790 963220 ) via2_FR
-    NEW met1 ( 16790 963390 ) M1M2_PR
-    NEW met1 ( 44850 963390 ) M1M2_PR
-    NEW met1 ( 44850 2291430 ) M1M2_PR
-    NEW li1 ( 1686590 2291430 ) L1M1_PR_MR
-    NEW li1 ( 1686590 2298230 ) L1M1_PR_MR
-    NEW met1 ( 1686590 2298230 ) M1M2_PR
-    NEW met1 ( 1686590 2298230 ) RECT ( -355 -70 0 70 )
+  + ROUTED met3 ( 2300 1371900 0 ) ( 17710 1371900 )
+    NEW met2 ( 17710 1371900 ) ( 17710 1373090 )
+    NEW met2 ( 1135050 1373090 ) ( 1135050 2306050 )
+    NEW met2 ( 1688430 2299420 0 ) ( 1688430 2306050 )
+    NEW met1 ( 1135050 2306050 ) ( 1688430 2306050 )
+    NEW met1 ( 17710 1373090 ) ( 1135050 1373090 )
+    NEW met2 ( 17710 1371900 ) via2_FR
+    NEW met1 ( 17710 1373090 ) M1M2_PR
+    NEW met1 ( 1135050 2306050 ) M1M2_PR
+    NEW met1 ( 1135050 1373090 ) M1M2_PR
+    NEW met1 ( 1688430 2306050 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) 
-  + ROUTED met3 ( 2300 711620 0 ) ( 17710 711620 )
-    NEW met2 ( 17710 711620 ) ( 17710 717570 )
-    NEW met1 ( 1703610 2294830 ) ( 1703610 2298230 )
+  + ROUTED met3 ( 2300 1161100 0 ) ( 15870 1161100 )
+    NEW met2 ( 15870 1161100 ) ( 15870 1166030 )
+    NEW met1 ( 15870 1166030 ) ( 1121250 1166030 )
+    NEW li1 ( 1703610 2292450 ) ( 1703610 2298230 )
     NEW met2 ( 1703610 2298060 ) ( 1703610 2298230 )
+    NEW met1 ( 1121250 2292450 ) ( 1703610 2292450 )
     NEW met2 ( 1703610 2298060 ) ( 1704530 2298060 0 )
-    NEW met1 ( 17710 717570 ) ( 1135050 717570 )
-    NEW met2 ( 1135050 717570 ) ( 1135050 2294830 )
-    NEW met1 ( 1135050 2294830 ) ( 1703610 2294830 )
-    NEW met2 ( 17710 711620 ) via2_FR
-    NEW met1 ( 17710 717570 ) M1M2_PR
-    NEW met1 ( 1135050 2294830 ) M1M2_PR
+    NEW met2 ( 1121250 1166030 ) ( 1121250 2292450 )
+    NEW met2 ( 15870 1161100 ) via2_FR
+    NEW met1 ( 15870 1166030 ) M1M2_PR
+    NEW met1 ( 1121250 1166030 ) M1M2_PR
+    NEW met1 ( 1121250 2292450 ) M1M2_PR
+    NEW li1 ( 1703610 2292450 ) L1M1_PR_MR
+    NEW li1 ( 1703610 2298230 ) L1M1_PR_MR
     NEW met1 ( 1703610 2298230 ) M1M2_PR
-    NEW met1 ( 1135050 717570 ) M1M2_PR
+    NEW met1 ( 1703610 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) 
-  + ROUTED met3 ( 2300 460700 0 ) ( 3220 460700 )
-    NEW met3 ( 3220 460700 ) ( 3220 462060 )
+  + ROUTED met3 ( 2300 949620 0 ) ( 3220 949620 )
+    NEW met3 ( 3220 949620 ) ( 3220 951660 )
     NEW met3 ( 1718100 2298060 ) ( 1718790 2298060 )
     NEW met2 ( 1718790 2298060 ) ( 1720170 2298060 0 )
-    NEW met4 ( 1718100 462060 ) ( 1718100 2298060 )
-    NEW met3 ( 3220 462060 ) ( 1718100 462060 )
-    NEW met3 ( 1718100 462060 ) M3M4_PR_M
+    NEW met4 ( 1718100 951660 ) ( 1718100 2298060 )
+    NEW met3 ( 3220 951660 ) ( 1718100 951660 )
+    NEW met3 ( 1718100 951660 ) M3M4_PR_M
     NEW met3 ( 1718100 2298060 ) M3M4_PR_M
     NEW met2 ( 1718790 2298060 ) via2_FR
 + USE SIGNAL ;
 - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) 
-  + ROUTED met3 ( 2300 209100 0 ) ( 17250 209100 )
-    NEW met2 ( 17250 209100 ) ( 17250 213860 )
+  + ROUTED met3 ( 2300 738820 0 ) ( 17250 738820 )
+    NEW met2 ( 17250 738820 ) ( 17250 744940 )
     NEW met3 ( 1731900 2298060 ) ( 1734430 2298060 )
     NEW met2 ( 1734430 2298060 ) ( 1735810 2298060 0 )
-    NEW met4 ( 1731900 213860 ) ( 1731900 2298060 )
-    NEW met3 ( 17250 213860 ) ( 1731900 213860 )
-    NEW met2 ( 17250 209100 ) via2_FR
-    NEW met2 ( 17250 213860 ) via2_FR
-    NEW met3 ( 1731900 213860 ) M3M4_PR_M
+    NEW met4 ( 1731900 744940 ) ( 1731900 2298060 )
+    NEW met3 ( 17250 744940 ) ( 1731900 744940 )
+    NEW met2 ( 17250 738820 ) via2_FR
+    NEW met2 ( 17250 744940 ) via2_FR
+    NEW met3 ( 1731900 744940 ) M3M4_PR_M
     NEW met3 ( 1731900 2298060 ) M3M4_PR_M
     NEW met2 ( 1734430 2298060 ) via2_FR
 + USE SIGNAL ;
 - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) 
-  + ROUTED met2 ( 2899610 742900 ) ( 2899610 744770 )
-    NEW met3 ( 2899610 742900 ) ( 2917780 742900 0 )
-    NEW met2 ( 1198990 2299420 0 ) ( 1198990 2307070 )
-    NEW met1 ( 1198990 2307070 ) ( 1756050 2307070 )
-    NEW met1 ( 1756050 744770 ) ( 2899610 744770 )
-    NEW met2 ( 1756050 744770 ) ( 1756050 2307070 )
-    NEW met1 ( 1756050 2307070 ) M1M2_PR
-    NEW met1 ( 1756050 744770 ) M1M2_PR
-    NEW met1 ( 2899610 744770 ) M1M2_PR
-    NEW met2 ( 2899610 742900 ) via2_FR
-    NEW met1 ( 1198990 2307070 ) M1M2_PR
+  + ROUTED met2 ( 2900990 668100 ) ( 2900990 668610 )
+    NEW met3 ( 2900990 668100 ) ( 2917780 668100 0 )
+    NEW met1 ( 1776750 668610 ) ( 2900990 668610 )
+    NEW met2 ( 1198990 2299420 0 ) ( 1198990 2300610 )
+    NEW met1 ( 1198990 2300610 ) ( 1776750 2300610 )
+    NEW met2 ( 1776750 668610 ) ( 1776750 2300610 )
+    NEW met1 ( 2900990 668610 ) M1M2_PR
+    NEW met2 ( 2900990 668100 ) via2_FR
+    NEW met1 ( 1776750 668610 ) M1M2_PR
+    NEW met1 ( 1198990 2300610 ) M1M2_PR
+    NEW met1 ( 1776750 2300610 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) 
-  + ROUTED met2 ( 2900990 977500 ) ( 2900990 979710 )
-    NEW met3 ( 2900990 977500 ) ( 2917780 977500 0 )
-    NEW met2 ( 1215090 2299420 0 ) ( 1215090 2300610 )
-    NEW met1 ( 1215090 2300610 ) ( 1762950 2300610 )
-    NEW met1 ( 1762950 979710 ) ( 2900990 979710 )
-    NEW met2 ( 1762950 979710 ) ( 1762950 2300610 )
-    NEW met1 ( 1762950 979710 ) M1M2_PR
-    NEW met1 ( 2900990 979710 ) M1M2_PR
-    NEW met2 ( 2900990 977500 ) via2_FR
-    NEW met1 ( 1762950 2300610 ) M1M2_PR
-    NEW met1 ( 1215090 2300610 ) M1M2_PR
+  + ROUTED met2 ( 2900990 879580 ) ( 2900990 883150 )
+    NEW met3 ( 2900990 879580 ) ( 2917780 879580 0 )
+    NEW met1 ( 1756050 883150 ) ( 2900990 883150 )
+    NEW met2 ( 1215090 2299420 0 ) ( 1215090 2307070 )
+    NEW met1 ( 1215090 2307070 ) ( 1756050 2307070 )
+    NEW met2 ( 1756050 883150 ) ( 1756050 2307070 )
+    NEW met1 ( 1756050 883150 ) M1M2_PR
+    NEW met1 ( 2900990 883150 ) M1M2_PR
+    NEW met2 ( 2900990 879580 ) via2_FR
+    NEW met1 ( 1215090 2307070 ) M1M2_PR
+    NEW met1 ( 1756050 2307070 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) 
-  + ROUTED met3 ( 2015260 1208020 ) ( 2015260 1209380 )
-    NEW met3 ( 2111860 1208020 ) ( 2111860 1209380 )
-    NEW met3 ( 2208460 1208020 ) ( 2208460 1209380 )
-    NEW met3 ( 2305060 1208020 ) ( 2305060 1209380 )
-    NEW met3 ( 2401660 1208020 ) ( 2401660 1209380 )
-    NEW met3 ( 2498260 1208020 ) ( 2498260 1209380 )
-    NEW met3 ( 2594860 1208020 ) ( 2594860 1209380 )
-    NEW met3 ( 2691460 1208020 ) ( 2691460 1209380 )
-    NEW met3 ( 2884660 1208020 ) ( 2884660 1208700 )
-    NEW met3 ( 2884660 1208700 ) ( 2916860 1208700 )
-    NEW met3 ( 2916860 1208700 ) ( 2916860 1212100 )
-    NEW met3 ( 2916860 1212100 ) ( 2917780 1212100 0 )
-    NEW met3 ( 1966500 1208700 ) ( 1966500 1209380 )
-    NEW met3 ( 1966500 1208700 ) ( 2014340 1208700 )
-    NEW met3 ( 2014340 1208020 ) ( 2014340 1208700 )
-    NEW met3 ( 2014340 1208020 ) ( 2015260 1208020 )
-    NEW met3 ( 2063100 1208700 ) ( 2063100 1209380 )
-    NEW met3 ( 2063100 1208700 ) ( 2110940 1208700 )
-    NEW met3 ( 2110940 1208020 ) ( 2110940 1208700 )
-    NEW met3 ( 2015260 1209380 ) ( 2063100 1209380 )
-    NEW met3 ( 2110940 1208020 ) ( 2111860 1208020 )
-    NEW met3 ( 2159700 1208700 ) ( 2159700 1209380 )
-    NEW met3 ( 2159700 1208700 ) ( 2207540 1208700 )
-    NEW met3 ( 2207540 1208020 ) ( 2207540 1208700 )
-    NEW met3 ( 2111860 1209380 ) ( 2159700 1209380 )
-    NEW met3 ( 2207540 1208020 ) ( 2208460 1208020 )
-    NEW met3 ( 2256300 1208700 ) ( 2256300 1209380 )
-    NEW met3 ( 2256300 1208700 ) ( 2304140 1208700 )
-    NEW met3 ( 2304140 1208020 ) ( 2304140 1208700 )
-    NEW met3 ( 2208460 1209380 ) ( 2256300 1209380 )
-    NEW met3 ( 2304140 1208020 ) ( 2305060 1208020 )
-    NEW met3 ( 2352900 1208700 ) ( 2352900 1209380 )
-    NEW met3 ( 2352900 1208700 ) ( 2400740 1208700 )
-    NEW met3 ( 2400740 1208020 ) ( 2400740 1208700 )
-    NEW met3 ( 2305060 1209380 ) ( 2352900 1209380 )
-    NEW met3 ( 2400740 1208020 ) ( 2401660 1208020 )
-    NEW met3 ( 2449500 1208700 ) ( 2449500 1209380 )
-    NEW met3 ( 2449500 1208700 ) ( 2497340 1208700 )
-    NEW met3 ( 2497340 1208020 ) ( 2497340 1208700 )
-    NEW met3 ( 2401660 1209380 ) ( 2449500 1209380 )
-    NEW met3 ( 2497340 1208020 ) ( 2498260 1208020 )
-    NEW met3 ( 2546100 1208700 ) ( 2546100 1209380 )
-    NEW met3 ( 2546100 1208700 ) ( 2593940 1208700 )
-    NEW met3 ( 2593940 1208020 ) ( 2593940 1208700 )
-    NEW met3 ( 2498260 1209380 ) ( 2546100 1209380 )
-    NEW met3 ( 2593940 1208020 ) ( 2594860 1208020 )
-    NEW met3 ( 2642700 1208700 ) ( 2642700 1209380 )
-    NEW met3 ( 2642700 1208700 ) ( 2690540 1208700 )
-    NEW met3 ( 2690540 1208020 ) ( 2690540 1208700 )
-    NEW met3 ( 2594860 1209380 ) ( 2642700 1209380 )
-    NEW met3 ( 2690540 1208020 ) ( 2691460 1208020 )
-    NEW met3 ( 2835900 1208700 ) ( 2835900 1209380 )
-    NEW met3 ( 2835900 1208700 ) ( 2883740 1208700 )
-    NEW met3 ( 2883740 1208020 ) ( 2883740 1208700 )
-    NEW met3 ( 2883740 1208020 ) ( 2884660 1208020 )
-    NEW met3 ( 1232570 2298060 ) ( 1234180 2298060 )
+  + ROUTED met3 ( 1232570 2298060 ) ( 1234180 2298060 )
     NEW met2 ( 1230730 2298060 0 ) ( 1232570 2298060 )
-    NEW met4 ( 1234180 1208700 ) ( 1234180 2298060 )
-    NEW met3 ( 1318820 1208700 ) ( 1318820 1210060 )
-    NEW met3 ( 1608620 1208700 ) ( 1608620 1210060 )
-    NEW met3 ( 1704300 1208020 ) ( 1704300 1209380 )
-    NEW met3 ( 1680380 1208700 ) ( 1680380 1209380 )
-    NEW met3 ( 1608620 1208700 ) ( 1680380 1208700 )
-    NEW met3 ( 1680380 1209380 ) ( 1704300 1209380 )
-    NEW met3 ( 1753060 1208020 ) ( 1753060 1208700 )
-    NEW met3 ( 1704300 1208020 ) ( 1753060 1208020 )
-    NEW met3 ( 2719060 1208020 ) ( 2719060 1209380 )
-    NEW met3 ( 2691460 1209380 ) ( 2719060 1209380 )
-    NEW met2 ( 1256030 1208700 ) ( 1256030 1208870 )
-    NEW met1 ( 1256030 1208870 ) ( 1303410 1208870 )
-    NEW met2 ( 1303410 1208870 ) ( 1303410 1210060 )
-    NEW met3 ( 1234180 1208700 ) ( 1256030 1208700 )
-    NEW met3 ( 1303410 1210060 ) ( 1318820 1210060 )
-    NEW met2 ( 1449230 1208020 ) ( 1449230 1208190 )
-    NEW met1 ( 1449230 1208190 ) ( 1471770 1208190 )
-    NEW met2 ( 1471770 1208190 ) ( 1471770 1209380 )
-    NEW met2 ( 1545830 1208700 ) ( 1545830 1212100 )
-    NEW met3 ( 1545830 1212100 ) ( 1592980 1212100 )
-    NEW met4 ( 1592980 1210060 ) ( 1592980 1212100 )
-    NEW met3 ( 1592980 1210060 ) ( 1608620 1210060 )
-    NEW met2 ( 1447390 1208700 ) ( 1447850 1208700 )
-    NEW met2 ( 1447850 1208020 ) ( 1447850 1208700 )
-    NEW met3 ( 1318820 1208700 ) ( 1447390 1208700 )
-    NEW met3 ( 1447850 1208020 ) ( 1449230 1208020 )
-    NEW met4 ( 1497300 1208700 ) ( 1497300 1209380 )
-    NEW met4 ( 1497300 1208700 ) ( 1498220 1208700 )
-    NEW met3 ( 1471770 1209380 ) ( 1497300 1209380 )
-    NEW met3 ( 1498220 1208700 ) ( 1545830 1208700 )
-    NEW met3 ( 1799980 1208700 ) ( 1799980 1209380 )
-    NEW met3 ( 1799980 1209380 ) ( 1834940 1209380 )
-    NEW met3 ( 1834940 1208700 ) ( 1834940 1209380 )
-    NEW met3 ( 1753060 1208700 ) ( 1799980 1208700 )
-    NEW met4 ( 1883700 1208700 ) ( 1883700 1210740 )
-    NEW met3 ( 1883700 1210740 ) ( 1917970 1210740 )
-    NEW met2 ( 1917970 1209380 ) ( 1917970 1210740 )
-    NEW met3 ( 1834940 1208700 ) ( 1883700 1208700 )
-    NEW met3 ( 1917970 1209380 ) ( 1966500 1209380 )
-    NEW met4 ( 2753100 1208020 ) ( 2753100 1209380 )
-    NEW met3 ( 2719060 1208020 ) ( 2753100 1208020 )
-    NEW met3 ( 2753100 1209380 ) ( 2835900 1209380 )
+    NEW met4 ( 1234180 1090380 ) ( 1234180 2298060 )
+    NEW met3 ( 1234180 1090380 ) ( 2917780 1090380 0 )
     NEW met3 ( 1234180 2298060 ) M3M4_PR_M
     NEW met2 ( 1232570 2298060 ) via2_FR
-    NEW met3 ( 1234180 1208700 ) M3M4_PR_M
-    NEW met2 ( 1256030 1208700 ) via2_FR
-    NEW met1 ( 1256030 1208870 ) M1M2_PR
-    NEW met1 ( 1303410 1208870 ) M1M2_PR
-    NEW met2 ( 1303410 1210060 ) via2_FR
-    NEW met2 ( 1449230 1208020 ) via2_FR
-    NEW met1 ( 1449230 1208190 ) M1M2_PR
-    NEW met1 ( 1471770 1208190 ) M1M2_PR
-    NEW met2 ( 1471770 1209380 ) via2_FR
-    NEW met2 ( 1545830 1208700 ) via2_FR
-    NEW met2 ( 1545830 1212100 ) via2_FR
-    NEW met3 ( 1592980 1212100 ) M3M4_PR_M
-    NEW met3 ( 1592980 1210060 ) M3M4_PR_M
-    NEW met2 ( 1447390 1208700 ) via2_FR
-    NEW met2 ( 1447850 1208020 ) via2_FR
-    NEW met3 ( 1497300 1209380 ) M3M4_PR_M
-    NEW met3 ( 1498220 1208700 ) M3M4_PR_M
-    NEW met3 ( 1883700 1208700 ) M3M4_PR_M
-    NEW met3 ( 1883700 1210740 ) M3M4_PR_M
-    NEW met2 ( 1917970 1210740 ) via2_FR
-    NEW met2 ( 1917970 1209380 ) via2_FR
-    NEW met3 ( 2753100 1208020 ) M3M4_PR_M
-    NEW met3 ( 2753100 1209380 ) M3M4_PR_M
+    NEW met3 ( 1234180 1090380 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) 
-  + ROUTED met2 ( 2900990 1446700 ) ( 2900990 1448910 )
-    NEW met3 ( 2900990 1446700 ) ( 2917780 1446700 0 )
-    NEW li1 ( 1248210 2294490 ) ( 1248210 2298230 )
+  + ROUTED li1 ( 1248210 2291770 ) ( 1248210 2298230 )
     NEW met2 ( 1248210 2298060 ) ( 1248210 2298230 )
     NEW met2 ( 1246370 2298060 0 ) ( 1248210 2298060 )
-    NEW met1 ( 1248210 2294490 ) ( 1769850 2294490 )
-    NEW met1 ( 1769850 1448910 ) ( 2900990 1448910 )
-    NEW met2 ( 1769850 1448910 ) ( 1769850 2294490 )
-    NEW met1 ( 1769850 1448910 ) M1M2_PR
-    NEW met1 ( 2900990 1448910 ) M1M2_PR
-    NEW met2 ( 2900990 1446700 ) via2_FR
-    NEW met1 ( 1769850 2294490 ) M1M2_PR
-    NEW li1 ( 1248210 2294490 ) L1M1_PR_MR
+    NEW met3 ( 2901450 1301860 ) ( 2917780 1301860 0 )
+    NEW met2 ( 2901450 1301860 ) ( 2901450 2291770 )
+    NEW met1 ( 1248210 2291770 ) ( 2901450 2291770 )
+    NEW li1 ( 1248210 2291770 ) L1M1_PR_MR
     NEW li1 ( 1248210 2298230 ) L1M1_PR_MR
     NEW met1 ( 1248210 2298230 ) M1M2_PR
+    NEW met2 ( 2901450 1301860 ) via2_FR
+    NEW met1 ( 2901450 2291770 ) M1M2_PR
     NEW met1 ( 1248210 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) 
-  + ROUTED met2 ( 2899610 1681300 ) ( 2899610 1683170 )
-    NEW met3 ( 2899610 1681300 ) ( 2917780 1681300 0 )
-    NEW met2 ( 1776750 1683170 ) ( 1776750 2299590 )
-    NEW met2 ( 1261550 2299420 ) ( 1262470 2299420 0 )
+  + ROUTED met2 ( 1261550 2299420 ) ( 1262470 2299420 0 )
     NEW met2 ( 1261550 2299420 ) ( 1261550 2299590 )
-    NEW met1 ( 1261550 2299590 ) ( 1776750 2299590 )
-    NEW met1 ( 1776750 1683170 ) ( 2899610 1683170 )
-    NEW met1 ( 2899610 1683170 ) M1M2_PR
-    NEW met2 ( 2899610 1681300 ) via2_FR
-    NEW met1 ( 1776750 1683170 ) M1M2_PR
-    NEW met1 ( 1776750 2299590 ) M1M2_PR
+    NEW met2 ( 2900990 1512660 ) ( 2900990 1517250 )
+    NEW met3 ( 2900990 1512660 ) ( 2917780 1512660 0 )
+    NEW met1 ( 1762950 1517250 ) ( 2900990 1517250 )
+    NEW met1 ( 1261550 2299590 ) ( 1762950 2299590 )
+    NEW met2 ( 1762950 1517250 ) ( 1762950 2299590 )
     NEW met1 ( 1261550 2299590 ) M1M2_PR
+    NEW met1 ( 1762950 1517250 ) M1M2_PR
+    NEW met1 ( 2900990 1517250 ) M1M2_PR
+    NEW met2 ( 2900990 1512660 ) via2_FR
+    NEW met1 ( 1762950 2299590 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) 
-  + ROUTED met2 ( 2032050 1918110 ) ( 2032050 2292110 )
-    NEW met2 ( 2900990 1915900 ) ( 2900990 1918110 )
-    NEW met3 ( 2900990 1915900 ) ( 2917780 1915900 0 )
-    NEW met1 ( 2032050 1918110 ) ( 2900990 1918110 )
-    NEW li1 ( 1279490 2292110 ) ( 1279490 2298230 )
+  + ROUTED met2 ( 2900070 1724140 ) ( 2900070 1724990 )
+    NEW met3 ( 2900070 1724140 ) ( 2917780 1724140 0 )
+    NEW met1 ( 1769850 1724990 ) ( 2900070 1724990 )
+    NEW li1 ( 1279490 2293810 ) ( 1279490 2298230 )
     NEW met2 ( 1279490 2298060 ) ( 1279490 2298230 )
     NEW met2 ( 1278110 2298060 0 ) ( 1279490 2298060 )
-    NEW met1 ( 1279490 2292110 ) ( 2032050 2292110 )
-    NEW met1 ( 2032050 1918110 ) M1M2_PR
-    NEW met1 ( 2032050 2292110 ) M1M2_PR
-    NEW met1 ( 2900990 1918110 ) M1M2_PR
-    NEW met2 ( 2900990 1915900 ) via2_FR
-    NEW li1 ( 1279490 2292110 ) L1M1_PR_MR
+    NEW met1 ( 1279490 2293810 ) ( 1769850 2293810 )
+    NEW met2 ( 1769850 1724990 ) ( 1769850 2293810 )
+    NEW met1 ( 1769850 1724990 ) M1M2_PR
+    NEW met1 ( 2900070 1724990 ) M1M2_PR
+    NEW met2 ( 2900070 1724140 ) via2_FR
+    NEW li1 ( 1279490 2293810 ) L1M1_PR_MR
     NEW li1 ( 1279490 2298230 ) L1M1_PR_MR
     NEW met1 ( 1279490 2298230 ) M1M2_PR
+    NEW met1 ( 1769850 2293810 ) M1M2_PR
     NEW met1 ( 1279490 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) 
-  + ROUTED met2 ( 2900990 2150500 ) ( 2900990 2152710 )
-    NEW met3 ( 2900990 2150500 ) ( 2917780 2150500 0 )
-    NEW met2 ( 2052750 2152710 ) ( 2052750 2305710 )
-    NEW met1 ( 2052750 2152710 ) ( 2900990 2152710 )
-    NEW met2 ( 1293750 2299420 0 ) ( 1293750 2305710 )
-    NEW met1 ( 1293750 2305710 ) ( 2052750 2305710 )
-    NEW met1 ( 2052750 2152710 ) M1M2_PR
-    NEW met1 ( 2052750 2305710 ) M1M2_PR
-    NEW met1 ( 2900990 2152710 ) M1M2_PR
-    NEW met2 ( 2900990 2150500 ) via2_FR
-    NEW met1 ( 1293750 2305710 ) M1M2_PR
+  + ROUTED met2 ( 2900990 1935620 ) ( 2900990 1938850 )
+    NEW met3 ( 2900990 1935620 ) ( 2917780 1935620 0 )
+    NEW met1 ( 1811250 1938850 ) ( 2900990 1938850 )
+    NEW met2 ( 1811250 1938850 ) ( 1811250 2307410 )
+    NEW met2 ( 1293750 2299420 0 ) ( 1293750 2307410 )
+    NEW met1 ( 1293750 2307410 ) ( 1811250 2307410 )
+    NEW met1 ( 2900990 1938850 ) M1M2_PR
+    NEW met2 ( 2900990 1935620 ) via2_FR
+    NEW met1 ( 1811250 1938850 ) M1M2_PR
+    NEW met1 ( 1811250 2307410 ) M1M2_PR
+    NEW met1 ( 1293750 2307410 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) 
   + ROUTED met3 ( 1158510 2298060 ) ( 1158740 2298060 )
     NEW met2 ( 1157130 2298060 0 ) ( 1158510 2298060 )
-    NEW met3 ( 2111860 193460 ) ( 2111860 194820 )
-    NEW met3 ( 2208460 193460 ) ( 2208460 194820 )
-    NEW met3 ( 2305060 193460 ) ( 2305060 194820 )
-    NEW met3 ( 2401660 193460 ) ( 2401660 194820 )
-    NEW met3 ( 2498260 193460 ) ( 2498260 194820 )
-    NEW met3 ( 2594860 193460 ) ( 2594860 194820 )
-    NEW met3 ( 2691460 193460 ) ( 2691460 194820 )
-    NEW met3 ( 2884660 193460 ) ( 2884660 194140 )
-    NEW met3 ( 2884660 194140 ) ( 2916860 194140 )
-    NEW met3 ( 2916860 194140 ) ( 2916860 195500 )
-    NEW met3 ( 2916860 195500 ) ( 2917780 195500 0 )
-    NEW met3 ( 2063100 194140 ) ( 2063100 194820 )
-    NEW met3 ( 2063100 194140 ) ( 2110940 194140 )
-    NEW met3 ( 2110940 193460 ) ( 2110940 194140 )
-    NEW met3 ( 2110940 193460 ) ( 2111860 193460 )
-    NEW met3 ( 2159700 194140 ) ( 2159700 194820 )
-    NEW met3 ( 2159700 194140 ) ( 2207540 194140 )
-    NEW met3 ( 2207540 193460 ) ( 2207540 194140 )
-    NEW met3 ( 2111860 194820 ) ( 2159700 194820 )
-    NEW met3 ( 2207540 193460 ) ( 2208460 193460 )
-    NEW met3 ( 2256300 194140 ) ( 2256300 194820 )
-    NEW met3 ( 2256300 194140 ) ( 2304140 194140 )
-    NEW met3 ( 2304140 193460 ) ( 2304140 194140 )
-    NEW met3 ( 2208460 194820 ) ( 2256300 194820 )
-    NEW met3 ( 2304140 193460 ) ( 2305060 193460 )
-    NEW met3 ( 2352900 194140 ) ( 2352900 194820 )
-    NEW met3 ( 2352900 194140 ) ( 2400740 194140 )
-    NEW met3 ( 2400740 193460 ) ( 2400740 194140 )
-    NEW met3 ( 2305060 194820 ) ( 2352900 194820 )
-    NEW met3 ( 2400740 193460 ) ( 2401660 193460 )
-    NEW met3 ( 2449500 194140 ) ( 2449500 194820 )
-    NEW met3 ( 2449500 194140 ) ( 2497340 194140 )
-    NEW met3 ( 2497340 193460 ) ( 2497340 194140 )
-    NEW met3 ( 2401660 194820 ) ( 2449500 194820 )
-    NEW met3 ( 2497340 193460 ) ( 2498260 193460 )
-    NEW met3 ( 2546100 194140 ) ( 2546100 194820 )
-    NEW met3 ( 2546100 194140 ) ( 2593940 194140 )
-    NEW met3 ( 2593940 193460 ) ( 2593940 194140 )
-    NEW met3 ( 2498260 194820 ) ( 2546100 194820 )
-    NEW met3 ( 2593940 193460 ) ( 2594860 193460 )
-    NEW met3 ( 2642700 194140 ) ( 2642700 194820 )
-    NEW met3 ( 2642700 194140 ) ( 2690540 194140 )
-    NEW met3 ( 2690540 193460 ) ( 2690540 194140 )
-    NEW met3 ( 2594860 194820 ) ( 2642700 194820 )
-    NEW met3 ( 2690540 193460 ) ( 2691460 193460 )
-    NEW met3 ( 2835900 194140 ) ( 2835900 194820 )
-    NEW met3 ( 2835900 194140 ) ( 2883740 194140 )
-    NEW met3 ( 2883740 193460 ) ( 2883740 194140 )
-    NEW met3 ( 2883740 193460 ) ( 2884660 193460 )
-    NEW met3 ( 1222220 193460 ) ( 1222220 194820 )
-    NEW met4 ( 1158740 194820 ) ( 1158740 2298060 )
-    NEW met3 ( 2719060 193460 ) ( 2719060 194820 )
-    NEW met3 ( 2691460 194820 ) ( 2719060 194820 )
-    NEW met3 ( 1158740 194820 ) ( 1222220 194820 )
-    NEW met2 ( 1884390 193460 ) ( 1884390 193630 )
-    NEW met1 ( 1884390 193630 ) ( 1907850 193630 )
-    NEW met2 ( 1907850 193630 ) ( 1907850 194140 )
-    NEW met3 ( 1980300 193460 ) ( 1980300 194140 )
-    NEW met3 ( 1980300 194140 ) ( 1993870 194140 )
-    NEW met2 ( 1993870 194140 ) ( 1993870 194310 )
-    NEW met1 ( 1993870 194310 ) ( 2028370 194310 )
-    NEW met2 ( 2028370 194310 ) ( 2028370 194820 )
-    NEW met3 ( 2028370 194820 ) ( 2063100 194820 )
-    NEW met4 ( 2753100 193460 ) ( 2753100 194820 )
-    NEW met3 ( 2719060 193460 ) ( 2753100 193460 )
-    NEW met3 ( 2753100 194820 ) ( 2835900 194820 )
-    NEW met3 ( 1442100 194140 ) ( 1442100 194820 )
-    NEW met3 ( 1538700 193460 ) ( 1538700 194820 )
-    NEW met3 ( 1538700 194820 ) ( 1586540 194820 )
-    NEW met4 ( 1586540 193460 ) ( 1586540 194820 )
-    NEW met4 ( 1635300 193460 ) ( 1635300 195500 )
-    NEW met3 ( 1635300 195500 ) ( 1635300 196180 )
-    NEW met3 ( 1635300 196180 ) ( 1683140 196180 )
-    NEW met3 ( 1683140 194820 ) ( 1683140 196180 )
-    NEW met4 ( 1731900 193460 ) ( 1731900 194820 )
-    NEW met2 ( 1848970 194140 ) ( 1849890 194140 )
-    NEW met2 ( 1849890 193460 ) ( 1849890 194140 )
-    NEW met3 ( 1849890 193460 ) ( 1884390 193460 )
-    NEW met2 ( 1945570 194140 ) ( 1946490 194140 )
-    NEW met2 ( 1946490 193460 ) ( 1946490 194140 )
-    NEW met3 ( 1907850 194140 ) ( 1945570 194140 )
-    NEW met3 ( 1946490 193460 ) ( 1980300 193460 )
-    NEW met3 ( 1418180 193460 ) ( 1418180 194820 )
-    NEW met3 ( 1418180 194820 ) ( 1442100 194820 )
-    NEW met2 ( 1490630 193970 ) ( 1490630 194140 )
-    NEW met1 ( 1490630 193970 ) ( 1538470 193970 )
-    NEW met2 ( 1538470 193460 ) ( 1538470 193970 )
-    NEW met3 ( 1442100 194140 ) ( 1490630 194140 )
-    NEW met3 ( 1538470 193460 ) ( 1538700 193460 )
-    NEW met3 ( 1586540 193460 ) ( 1635300 193460 )
-    NEW met2 ( 1683830 194820 ) ( 1683830 196180 )
-    NEW met3 ( 1683830 196180 ) ( 1730980 196180 )
-    NEW met4 ( 1730980 193460 ) ( 1730980 196180 )
-    NEW met3 ( 1683140 194820 ) ( 1683830 194820 )
-    NEW met3 ( 1730980 193460 ) ( 1731900 193460 )
-    NEW met4 ( 1780660 192780 ) ( 1780660 194820 )
-    NEW met3 ( 1780660 192780 ) ( 1828270 192780 )
-    NEW met2 ( 1828270 192780 ) ( 1828270 194140 )
-    NEW met3 ( 1731900 194820 ) ( 1780660 194820 )
-    NEW met3 ( 1828270 194140 ) ( 1848970 194140 )
-    NEW met2 ( 1302950 193460 ) ( 1302950 193630 )
-    NEW met1 ( 1302950 193630 ) ( 1338370 193630 )
-    NEW met2 ( 1338370 193460 ) ( 1338370 193630 )
-    NEW met3 ( 1222220 193460 ) ( 1302950 193460 )
-    NEW met3 ( 1338370 193460 ) ( 1418180 193460 )
+    NEW met3 ( 2015260 173060 ) ( 2015260 174420 )
+    NEW met3 ( 2111860 173060 ) ( 2111860 174420 )
+    NEW met3 ( 2208460 173060 ) ( 2208460 174420 )
+    NEW met3 ( 2305060 173060 ) ( 2305060 174420 )
+    NEW met3 ( 2401660 173060 ) ( 2401660 174420 )
+    NEW met3 ( 2498260 173060 ) ( 2498260 174420 )
+    NEW met3 ( 2594860 173060 ) ( 2594860 174420 )
+    NEW met3 ( 2916860 173740 ) ( 2916860 175780 )
+    NEW met3 ( 2916860 175780 ) ( 2917780 175780 0 )
+    NEW met3 ( 1966500 173740 ) ( 1966500 174420 )
+    NEW met3 ( 1966500 173740 ) ( 2014340 173740 )
+    NEW met3 ( 2014340 173060 ) ( 2014340 173740 )
+    NEW met3 ( 2014340 173060 ) ( 2015260 173060 )
+    NEW met3 ( 2063100 173740 ) ( 2063100 174420 )
+    NEW met3 ( 2063100 173740 ) ( 2110940 173740 )
+    NEW met3 ( 2110940 173060 ) ( 2110940 173740 )
+    NEW met3 ( 2015260 174420 ) ( 2063100 174420 )
+    NEW met3 ( 2110940 173060 ) ( 2111860 173060 )
+    NEW met3 ( 2159700 173740 ) ( 2159700 174420 )
+    NEW met3 ( 2159700 173740 ) ( 2207540 173740 )
+    NEW met3 ( 2207540 173060 ) ( 2207540 173740 )
+    NEW met3 ( 2111860 174420 ) ( 2159700 174420 )
+    NEW met3 ( 2207540 173060 ) ( 2208460 173060 )
+    NEW met3 ( 2256300 173740 ) ( 2256300 174420 )
+    NEW met3 ( 2256300 173740 ) ( 2304140 173740 )
+    NEW met3 ( 2304140 173060 ) ( 2304140 173740 )
+    NEW met3 ( 2208460 174420 ) ( 2256300 174420 )
+    NEW met3 ( 2304140 173060 ) ( 2305060 173060 )
+    NEW met3 ( 2352900 173740 ) ( 2352900 174420 )
+    NEW met3 ( 2352900 173740 ) ( 2400740 173740 )
+    NEW met3 ( 2400740 173060 ) ( 2400740 173740 )
+    NEW met3 ( 2305060 174420 ) ( 2352900 174420 )
+    NEW met3 ( 2400740 173060 ) ( 2401660 173060 )
+    NEW met3 ( 2449500 173740 ) ( 2449500 174420 )
+    NEW met3 ( 2449500 173740 ) ( 2497340 173740 )
+    NEW met3 ( 2497340 173060 ) ( 2497340 173740 )
+    NEW met3 ( 2401660 174420 ) ( 2449500 174420 )
+    NEW met3 ( 2497340 173060 ) ( 2498260 173060 )
+    NEW met3 ( 2546100 173740 ) ( 2546100 174420 )
+    NEW met3 ( 2546100 173740 ) ( 2593940 173740 )
+    NEW met3 ( 2593940 173060 ) ( 2593940 173740 )
+    NEW met3 ( 2498260 174420 ) ( 2546100 174420 )
+    NEW met3 ( 2593940 173060 ) ( 2594860 173060 )
+    NEW met3 ( 1414500 173060 ) ( 1414500 174420 )
+    NEW met3 ( 1512020 173740 ) ( 1512020 175100 )
+    NEW met3 ( 1608620 173740 ) ( 1608620 175100 )
+    NEW met3 ( 1704300 173060 ) ( 1704300 174420 )
+    NEW met3 ( 1680380 173740 ) ( 1680380 174420 )
+    NEW met3 ( 1608620 173740 ) ( 1680380 173740 )
+    NEW met3 ( 1680380 174420 ) ( 1704300 174420 )
+    NEW met3 ( 1753060 173060 ) ( 1753060 174420 )
+    NEW met3 ( 1704300 173060 ) ( 1753060 173060 )
+    NEW met3 ( 2622460 173740 ) ( 2622460 174420 )
+    NEW met3 ( 2594860 174420 ) ( 2622460 174420 )
+    NEW met3 ( 1558940 173740 ) ( 1558940 175100 )
+    NEW met3 ( 1512020 173740 ) ( 1558940 173740 )
+    NEW met3 ( 1558940 175100 ) ( 1608620 175100 )
+    NEW met3 ( 1835860 173740 ) ( 1835860 175100 )
+    NEW met3 ( 1835860 175100 ) ( 1859550 175100 )
+    NEW met2 ( 1859550 173060 ) ( 1859550 175100 )
+    NEW met2 ( 2705490 173570 ) ( 2705490 174420 )
+    NEW met1 ( 2705490 173570 ) ( 2728950 173570 )
+    NEW met2 ( 2728950 173060 ) ( 2728950 173570 )
+    NEW met4 ( 1304100 173060 ) ( 1304100 174420 )
+    NEW met3 ( 1304100 174420 ) ( 1328940 174420 )
+    NEW met3 ( 1328940 173740 ) ( 1328940 174420 )
+    NEW met4 ( 1787100 174420 ) ( 1787100 175780 )
+    NEW met3 ( 1787100 175780 ) ( 1835170 175780 )
+    NEW met2 ( 1835170 173740 ) ( 1835170 175780 )
+    NEW met3 ( 1753060 174420 ) ( 1787100 174420 )
+    NEW met3 ( 1835170 173740 ) ( 1835860 173740 )
+    NEW met4 ( 1883700 173060 ) ( 1883700 174420 )
+    NEW met3 ( 1859550 173060 ) ( 1883700 173060 )
+    NEW met3 ( 1883700 174420 ) ( 1966500 174420 )
+    NEW met2 ( 2670070 173570 ) ( 2670070 173740 )
+    NEW met1 ( 2670070 173570 ) ( 2704570 173570 )
+    NEW met2 ( 2704570 173570 ) ( 2704570 174420 )
+    NEW met3 ( 2622460 173740 ) ( 2670070 173740 )
+    NEW met3 ( 2704570 174420 ) ( 2705490 174420 )
+    NEW met3 ( 2777020 173060 ) ( 2777020 173740 )
+    NEW met3 ( 2728950 173060 ) ( 2777020 173060 )
+    NEW met3 ( 2884660 173060 ) ( 2884660 173740 )
+    NEW met3 ( 2884660 173740 ) ( 2916860 173740 )
+    NEW met2 ( 1296970 173060 ) ( 1296970 173570 )
+    NEW met3 ( 1296970 173060 ) ( 1304100 173060 )
+    NEW met3 ( 1369420 173740 ) ( 1369420 174420 )
+    NEW met3 ( 1328940 173740 ) ( 1369420 173740 )
+    NEW met3 ( 1369420 174420 ) ( 1414500 174420 )
+    NEW met4 ( 2794500 173740 ) ( 2794500 175100 )
+    NEW met3 ( 2794500 175100 ) ( 2842570 175100 )
+    NEW met2 ( 2842570 173060 ) ( 2842570 175100 )
+    NEW met3 ( 2777020 173740 ) ( 2794500 173740 )
+    NEW met3 ( 2842570 173060 ) ( 2884660 173060 )
+    NEW met3 ( 1158740 177140 ) ( 1193470 177140 )
+    NEW met2 ( 1193470 174420 ) ( 1193470 177140 )
+    NEW met4 ( 1158740 177140 ) ( 1158740 2298060 )
+    NEW met2 ( 1242230 173570 ) ( 1242230 174420 )
+    NEW met3 ( 1193470 174420 ) ( 1242230 174420 )
+    NEW met1 ( 1242230 173570 ) ( 1296970 173570 )
+    NEW met3 ( 1459580 173060 ) ( 1459580 175100 )
+    NEW met3 ( 1414500 173060 ) ( 1459580 173060 )
+    NEW met3 ( 1459580 175100 ) ( 1512020 175100 )
     NEW met3 ( 1158740 2298060 ) M3M4_PR_M
     NEW met2 ( 1158510 2298060 ) via2_FR
-    NEW met3 ( 1158740 194820 ) M3M4_PR_M
-    NEW met2 ( 1884390 193460 ) via2_FR
-    NEW met1 ( 1884390 193630 ) M1M2_PR
-    NEW met1 ( 1907850 193630 ) M1M2_PR
-    NEW met2 ( 1907850 194140 ) via2_FR
-    NEW met2 ( 1993870 194140 ) via2_FR
-    NEW met1 ( 1993870 194310 ) M1M2_PR
-    NEW met1 ( 2028370 194310 ) M1M2_PR
-    NEW met2 ( 2028370 194820 ) via2_FR
-    NEW met3 ( 2753100 193460 ) M3M4_PR_M
-    NEW met3 ( 2753100 194820 ) M3M4_PR_M
-    NEW met3 ( 1586540 194820 ) M3M4_PR_M
-    NEW met3 ( 1586540 193460 ) M3M4_PR_M
-    NEW met3 ( 1635300 193460 ) M3M4_PR_M
-    NEW met3 ( 1635300 195500 ) M3M4_PR_M
-    NEW met3 ( 1731900 193460 ) M3M4_PR_M
-    NEW met3 ( 1731900 194820 ) M3M4_PR_M
-    NEW met2 ( 1848970 194140 ) via2_FR
-    NEW met2 ( 1849890 193460 ) via2_FR
-    NEW met2 ( 1945570 194140 ) via2_FR
-    NEW met2 ( 1946490 193460 ) via2_FR
-    NEW met2 ( 1490630 194140 ) via2_FR
-    NEW met1 ( 1490630 193970 ) M1M2_PR
-    NEW met1 ( 1538470 193970 ) M1M2_PR
-    NEW met2 ( 1538470 193460 ) via2_FR
-    NEW met2 ( 1683830 194820 ) via2_FR
-    NEW met2 ( 1683830 196180 ) via2_FR
-    NEW met3 ( 1730980 196180 ) M3M4_PR_M
-    NEW met3 ( 1730980 193460 ) M3M4_PR_M
-    NEW met3 ( 1780660 194820 ) M3M4_PR_M
-    NEW met3 ( 1780660 192780 ) M3M4_PR_M
-    NEW met2 ( 1828270 192780 ) via2_FR
-    NEW met2 ( 1828270 194140 ) via2_FR
-    NEW met2 ( 1302950 193460 ) via2_FR
-    NEW met1 ( 1302950 193630 ) M1M2_PR
-    NEW met1 ( 1338370 193630 ) M1M2_PR
-    NEW met2 ( 1338370 193460 ) via2_FR
+    NEW met2 ( 1859550 175100 ) via2_FR
+    NEW met2 ( 1859550 173060 ) via2_FR
+    NEW met2 ( 2705490 174420 ) via2_FR
+    NEW met1 ( 2705490 173570 ) M1M2_PR
+    NEW met1 ( 2728950 173570 ) M1M2_PR
+    NEW met2 ( 2728950 173060 ) via2_FR
+    NEW met3 ( 1304100 173060 ) M3M4_PR_M
+    NEW met3 ( 1304100 174420 ) M3M4_PR_M
+    NEW met3 ( 1787100 174420 ) M3M4_PR_M
+    NEW met3 ( 1787100 175780 ) M3M4_PR_M
+    NEW met2 ( 1835170 175780 ) via2_FR
+    NEW met2 ( 1835170 173740 ) via2_FR
+    NEW met3 ( 1883700 173060 ) M3M4_PR_M
+    NEW met3 ( 1883700 174420 ) M3M4_PR_M
+    NEW met2 ( 2670070 173740 ) via2_FR
+    NEW met1 ( 2670070 173570 ) M1M2_PR
+    NEW met1 ( 2704570 173570 ) M1M2_PR
+    NEW met2 ( 2704570 174420 ) via2_FR
+    NEW met1 ( 1296970 173570 ) M1M2_PR
+    NEW met2 ( 1296970 173060 ) via2_FR
+    NEW met3 ( 2794500 173740 ) M3M4_PR_M
+    NEW met3 ( 2794500 175100 ) M3M4_PR_M
+    NEW met2 ( 2842570 175100 ) via2_FR
+    NEW met2 ( 2842570 173060 ) via2_FR
+    NEW met3 ( 1158740 177140 ) M3M4_PR_M
+    NEW met2 ( 1193470 177140 ) via2_FR
+    NEW met2 ( 1193470 174420 ) via2_FR
+    NEW met2 ( 1242230 174420 ) via2_FR
+    NEW met1 ( 1242230 173570 ) M1M2_PR
     NEW met3 ( 1158740 2298060 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 1538470 193460 ) RECT ( -570 -150 0 150 )
 + USE SIGNAL ;
 - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) 
-  + ROUTED met2 ( 2898230 2539290 ) ( 2898230 2541500 )
-    NEW met3 ( 2898230 2541500 ) ( 2917780 2541500 0 )
-    NEW met2 ( 1314910 2299420 0 ) ( 1316290 2299420 )
-    NEW met2 ( 1316290 2299420 ) ( 1316290 2300100 )
-    NEW met2 ( 1316290 2300100 ) ( 1317670 2300100 )
-    NEW met2 ( 1317670 2300100 ) ( 1317670 2539290 )
-    NEW met1 ( 1317670 2539290 ) ( 2898230 2539290 )
-    NEW met1 ( 1317670 2539290 ) M1M2_PR
-    NEW met1 ( 2898230 2539290 ) M1M2_PR
-    NEW met2 ( 2898230 2541500 ) via2_FR
+  + ROUTED met3 ( 1800900 2288540 ) ( 1800900 2289900 )
+    NEW met3 ( 1897500 2288540 ) ( 1897500 2289900 )
+    NEW met3 ( 1800900 2289900 ) ( 1897500 2289900 )
+    NEW met3 ( 1946260 2288540 ) ( 1946260 2289220 )
+    NEW met3 ( 1897500 2288540 ) ( 1946260 2288540 )
+    NEW met3 ( 2042860 2288540 ) ( 2042860 2289220 )
+    NEW met3 ( 2139460 2288540 ) ( 2139460 2289220 )
+    NEW met3 ( 2236060 2288540 ) ( 2236060 2289220 )
+    NEW met3 ( 2332660 2288540 ) ( 2332660 2289220 )
+    NEW met3 ( 2429260 2288540 ) ( 2429260 2289220 )
+    NEW met3 ( 2525860 2288540 ) ( 2525860 2289220 )
+    NEW met3 ( 2622460 2288540 ) ( 2622460 2289220 )
+    NEW met3 ( 2719060 2288540 ) ( 2719060 2289220 )
+    NEW met3 ( 1739260 2287860 ) ( 1739260 2288540 )
+    NEW met3 ( 1739260 2288540 ) ( 1752370 2288540 )
+    NEW met2 ( 1752370 2288370 ) ( 1752370 2288540 )
+    NEW met1 ( 1752370 2288370 ) ( 1786870 2288370 )
+    NEW met2 ( 1786870 2288370 ) ( 1786870 2288540 )
+    NEW met3 ( 1786870 2288540 ) ( 1800900 2288540 )
+    NEW met2 ( 2801630 2288540 ) ( 2801630 2288710 )
+    NEW met1 ( 2801630 2288710 ) ( 2819110 2288710 )
+    NEW met2 ( 2819110 2287180 ) ( 2819110 2288710 )
+    NEW met3 ( 2898460 2286500 ) ( 2898460 2287860 )
+    NEW met3 ( 2898460 2286500 ) ( 2917090 2286500 )
+    NEW met2 ( 2917090 2286500 ) ( 2917090 2287180 )
+    NEW met3 ( 2917090 2287180 ) ( 2917780 2287180 0 )
+    NEW met4 ( 1333540 2288540 ) ( 1333540 2298060 )
+    NEW met3 ( 1316290 2298060 ) ( 1333540 2298060 )
+    NEW met2 ( 1314910 2298060 0 ) ( 1316290 2298060 )
+    NEW met4 ( 1690500 2289220 ) ( 1690500 2290580 )
+    NEW met3 ( 1690500 2290580 ) ( 1714420 2290580 )
+    NEW met4 ( 1714420 2287860 ) ( 1714420 2290580 )
+    NEW met3 ( 1714420 2287860 ) ( 1739260 2287860 )
+    NEW met2 ( 1993870 2289050 ) ( 1993870 2289220 )
+    NEW met1 ( 1993870 2289050 ) ( 2028370 2289050 )
+    NEW met2 ( 2028370 2288540 ) ( 2028370 2289050 )
+    NEW met3 ( 1946260 2289220 ) ( 1993870 2289220 )
+    NEW met3 ( 2028370 2288540 ) ( 2042860 2288540 )
+    NEW met2 ( 2090470 2289050 ) ( 2090470 2289220 )
+    NEW met1 ( 2090470 2289050 ) ( 2124970 2289050 )
+    NEW met2 ( 2124970 2288540 ) ( 2124970 2289050 )
+    NEW met3 ( 2042860 2289220 ) ( 2090470 2289220 )
+    NEW met3 ( 2124970 2288540 ) ( 2139460 2288540 )
+    NEW met2 ( 2187070 2289050 ) ( 2187070 2289220 )
+    NEW met1 ( 2187070 2289050 ) ( 2221570 2289050 )
+    NEW met2 ( 2221570 2288540 ) ( 2221570 2289050 )
+    NEW met3 ( 2139460 2289220 ) ( 2187070 2289220 )
+    NEW met3 ( 2221570 2288540 ) ( 2236060 2288540 )
+    NEW met2 ( 2283670 2289050 ) ( 2283670 2289220 )
+    NEW met1 ( 2283670 2289050 ) ( 2318170 2289050 )
+    NEW met2 ( 2318170 2288540 ) ( 2318170 2289050 )
+    NEW met3 ( 2236060 2289220 ) ( 2283670 2289220 )
+    NEW met3 ( 2318170 2288540 ) ( 2332660 2288540 )
+    NEW met2 ( 2380270 2289050 ) ( 2380270 2289220 )
+    NEW met1 ( 2380270 2289050 ) ( 2414770 2289050 )
+    NEW met2 ( 2414770 2288540 ) ( 2414770 2289050 )
+    NEW met3 ( 2332660 2289220 ) ( 2380270 2289220 )
+    NEW met3 ( 2414770 2288540 ) ( 2429260 2288540 )
+    NEW met2 ( 2476870 2289050 ) ( 2476870 2289220 )
+    NEW met1 ( 2476870 2289050 ) ( 2511370 2289050 )
+    NEW met2 ( 2511370 2288540 ) ( 2511370 2289050 )
+    NEW met3 ( 2429260 2289220 ) ( 2476870 2289220 )
+    NEW met3 ( 2511370 2288540 ) ( 2525860 2288540 )
+    NEW met2 ( 2573470 2289050 ) ( 2573470 2289220 )
+    NEW met1 ( 2573470 2289050 ) ( 2607970 2289050 )
+    NEW met2 ( 2607970 2288540 ) ( 2607970 2289050 )
+    NEW met3 ( 2525860 2289220 ) ( 2573470 2289220 )
+    NEW met3 ( 2607970 2288540 ) ( 2622460 2288540 )
+    NEW met2 ( 2670070 2289050 ) ( 2670070 2289220 )
+    NEW met1 ( 2670070 2289050 ) ( 2704570 2289050 )
+    NEW met2 ( 2704570 2288540 ) ( 2704570 2289050 )
+    NEW met3 ( 2622460 2289220 ) ( 2670070 2289220 )
+    NEW met3 ( 2704570 2288540 ) ( 2719060 2288540 )
+    NEW met2 ( 2766670 2287180 ) ( 2766670 2289220 )
+    NEW met3 ( 2766670 2287180 ) ( 2800940 2287180 )
+    NEW met3 ( 2800940 2287180 ) ( 2800940 2288540 )
+    NEW met3 ( 2719060 2289220 ) ( 2766670 2289220 )
+    NEW met3 ( 2800940 2288540 ) ( 2801630 2288540 )
+    NEW met4 ( 2849700 2285820 ) ( 2849700 2287180 )
+    NEW met3 ( 2849700 2285820 ) ( 2873850 2285820 )
+    NEW met2 ( 2873850 2285820 ) ( 2873850 2287180 )
+    NEW met3 ( 2873850 2287180 ) ( 2897540 2287180 )
+    NEW met3 ( 2897540 2287180 ) ( 2897540 2287860 )
+    NEW met3 ( 2819110 2287180 ) ( 2849700 2287180 )
+    NEW met3 ( 2897540 2287860 ) ( 2898460 2287860 )
+    NEW met4 ( 1345500 2288540 ) ( 1345500 2291260 )
+    NEW met3 ( 1345500 2291260 ) ( 1392420 2291260 )
+    NEW met4 ( 1392420 2289900 ) ( 1392420 2291260 )
+    NEW met4 ( 1392420 2289900 ) ( 1393340 2289900 )
+    NEW met4 ( 1393340 2289220 ) ( 1393340 2289900 )
+    NEW met3 ( 1333540 2288540 ) ( 1345500 2288540 )
+    NEW met4 ( 1538700 2288540 ) ( 1538700 2289900 )
+    NEW met3 ( 1538700 2289900 ) ( 1586540 2289900 )
+    NEW met3 ( 1586540 2288540 ) ( 1586540 2289900 )
+    NEW met4 ( 1635300 2288540 ) ( 1635300 2290580 )
+    NEW met3 ( 1635300 2290580 ) ( 1683140 2290580 )
+    NEW met4 ( 1683140 2289220 ) ( 1683140 2290580 )
+    NEW met3 ( 1586540 2288540 ) ( 1635300 2288540 )
+    NEW met3 ( 1683140 2289220 ) ( 1690500 2289220 )
+    NEW met3 ( 1394260 2288540 ) ( 1394260 2289220 )
+    NEW met4 ( 1394260 2288540 ) ( 1394260 2289220 )
+    NEW met4 ( 1394260 2289220 ) ( 1395180 2289220 )
+    NEW met4 ( 1395180 2289220 ) ( 1395180 2291940 )
+    NEW met3 ( 1395180 2291940 ) ( 1440260 2291940 )
+    NEW met4 ( 1440260 2289220 ) ( 1440260 2291940 )
+    NEW met4 ( 1440260 2289220 ) ( 1441180 2289220 )
+    NEW met3 ( 1393340 2289220 ) ( 1394260 2289220 )
+    NEW met4 ( 1490860 2288540 ) ( 1490860 2289220 )
+    NEW met4 ( 1490860 2288540 ) ( 1491780 2288540 )
+    NEW met4 ( 1491780 2288540 ) ( 1491780 2291260 )
+    NEW met3 ( 1491780 2291260 ) ( 1537780 2291260 )
+    NEW met4 ( 1537780 2288540 ) ( 1537780 2291260 )
+    NEW met3 ( 1441180 2289220 ) ( 1490860 2289220 )
+    NEW met3 ( 1537780 2288540 ) ( 1538700 2288540 )
+    NEW met2 ( 1752370 2288540 ) via2_FR
+    NEW met1 ( 1752370 2288370 ) M1M2_PR
+    NEW met1 ( 1786870 2288370 ) M1M2_PR
+    NEW met2 ( 1786870 2288540 ) via2_FR
+    NEW met2 ( 2801630 2288540 ) via2_FR
+    NEW met1 ( 2801630 2288710 ) M1M2_PR
+    NEW met1 ( 2819110 2288710 ) M1M2_PR
+    NEW met2 ( 2819110 2287180 ) via2_FR
+    NEW met2 ( 2917090 2286500 ) via2_FR
+    NEW met2 ( 2917090 2287180 ) via2_FR
+    NEW met3 ( 1333540 2288540 ) M3M4_PR_M
+    NEW met3 ( 1333540 2298060 ) M3M4_PR_M
+    NEW met2 ( 1316290 2298060 ) via2_FR
+    NEW met3 ( 1690500 2289220 ) M3M4_PR_M
+    NEW met3 ( 1690500 2290580 ) M3M4_PR_M
+    NEW met3 ( 1714420 2290580 ) M3M4_PR_M
+    NEW met3 ( 1714420 2287860 ) M3M4_PR_M
+    NEW met2 ( 1993870 2289220 ) via2_FR
+    NEW met1 ( 1993870 2289050 ) M1M2_PR
+    NEW met1 ( 2028370 2289050 ) M1M2_PR
+    NEW met2 ( 2028370 2288540 ) via2_FR
+    NEW met2 ( 2090470 2289220 ) via2_FR
+    NEW met1 ( 2090470 2289050 ) M1M2_PR
+    NEW met1 ( 2124970 2289050 ) M1M2_PR
+    NEW met2 ( 2124970 2288540 ) via2_FR
+    NEW met2 ( 2187070 2289220 ) via2_FR
+    NEW met1 ( 2187070 2289050 ) M1M2_PR
+    NEW met1 ( 2221570 2289050 ) M1M2_PR
+    NEW met2 ( 2221570 2288540 ) via2_FR
+    NEW met2 ( 2283670 2289220 ) via2_FR
+    NEW met1 ( 2283670 2289050 ) M1M2_PR
+    NEW met1 ( 2318170 2289050 ) M1M2_PR
+    NEW met2 ( 2318170 2288540 ) via2_FR
+    NEW met2 ( 2380270 2289220 ) via2_FR
+    NEW met1 ( 2380270 2289050 ) M1M2_PR
+    NEW met1 ( 2414770 2289050 ) M1M2_PR
+    NEW met2 ( 2414770 2288540 ) via2_FR
+    NEW met2 ( 2476870 2289220 ) via2_FR
+    NEW met1 ( 2476870 2289050 ) M1M2_PR
+    NEW met1 ( 2511370 2289050 ) M1M2_PR
+    NEW met2 ( 2511370 2288540 ) via2_FR
+    NEW met2 ( 2573470 2289220 ) via2_FR
+    NEW met1 ( 2573470 2289050 ) M1M2_PR
+    NEW met1 ( 2607970 2289050 ) M1M2_PR
+    NEW met2 ( 2607970 2288540 ) via2_FR
+    NEW met2 ( 2670070 2289220 ) via2_FR
+    NEW met1 ( 2670070 2289050 ) M1M2_PR
+    NEW met1 ( 2704570 2289050 ) M1M2_PR
+    NEW met2 ( 2704570 2288540 ) via2_FR
+    NEW met2 ( 2766670 2289220 ) via2_FR
+    NEW met2 ( 2766670 2287180 ) via2_FR
+    NEW met3 ( 2849700 2287180 ) M3M4_PR_M
+    NEW met3 ( 2849700 2285820 ) M3M4_PR_M
+    NEW met2 ( 2873850 2285820 ) via2_FR
+    NEW met2 ( 2873850 2287180 ) via2_FR
+    NEW met3 ( 1345500 2288540 ) M3M4_PR_M
+    NEW met3 ( 1345500 2291260 ) M3M4_PR_M
+    NEW met3 ( 1392420 2291260 ) M3M4_PR_M
+    NEW met3 ( 1393340 2289220 ) M3M4_PR_M
+    NEW met3 ( 1538700 2288540 ) M3M4_PR_M
+    NEW met3 ( 1538700 2289900 ) M3M4_PR_M
+    NEW met3 ( 1635300 2288540 ) M3M4_PR_M
+    NEW met3 ( 1635300 2290580 ) M3M4_PR_M
+    NEW met3 ( 1683140 2290580 ) M3M4_PR_M
+    NEW met3 ( 1683140 2289220 ) M3M4_PR_M
+    NEW met3 ( 1394260 2288540 ) M3M4_PR_M
+    NEW met3 ( 1395180 2291940 ) M3M4_PR_M
+    NEW met3 ( 1440260 2291940 ) M3M4_PR_M
+    NEW met3 ( 1441180 2289220 ) M3M4_PR_M
+    NEW met3 ( 1490860 2289220 ) M3M4_PR_M
+    NEW met3 ( 1491780 2291260 ) M3M4_PR_M
+    NEW met3 ( 1537780 2291260 ) M3M4_PR_M
+    NEW met3 ( 1537780 2288540 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) 
-  + ROUTED met2 ( 1330550 2299420 0 ) ( 1331470 2299420 )
-    NEW met2 ( 1331470 2299420 ) ( 1331470 2773890 )
-    NEW met2 ( 2898230 2773890 ) ( 2898230 2776100 )
-    NEW met3 ( 2898230 2776100 ) ( 2917780 2776100 0 )
-    NEW met1 ( 1331470 2773890 ) ( 2898230 2773890 )
-    NEW met1 ( 1331470 2773890 ) M1M2_PR
-    NEW met1 ( 2898230 2773890 ) M1M2_PR
-    NEW met2 ( 2898230 2776100 ) via2_FR
+  + ROUTED met2 ( 2900990 2498150 ) ( 2900990 2498660 )
+    NEW met3 ( 2900990 2498660 ) ( 2917780 2498660 0 )
+    NEW met2 ( 1330550 2299420 0 ) ( 1331470 2299420 )
+    NEW met2 ( 1331470 2299420 ) ( 1331470 2498150 )
+    NEW met1 ( 1331470 2498150 ) ( 2900990 2498150 )
+    NEW met1 ( 2900990 2498150 ) M1M2_PR
+    NEW met2 ( 2900990 2498660 ) via2_FR
+    NEW met1 ( 1331470 2498150 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) 
   + ROUTED met2 ( 1346650 2299420 0 ) ( 1346650 2315230 )
     NEW met1 ( 1346650 2315230 ) ( 1352170 2315230 )
-    NEW met2 ( 1352170 2315230 ) ( 1352170 3008830 )
-    NEW met2 ( 2898230 3008830 ) ( 2898230 3010700 )
-    NEW met3 ( 2898230 3010700 ) ( 2917780 3010700 0 )
-    NEW met1 ( 1352170 3008830 ) ( 2898230 3008830 )
-    NEW met1 ( 1352170 3008830 ) M1M2_PR
+    NEW met2 ( 1352170 2315230 ) ( 1352170 2705210 )
+    NEW met2 ( 2898230 2705210 ) ( 2898230 2709460 )
+    NEW met3 ( 2898230 2709460 ) ( 2917780 2709460 0 )
+    NEW met1 ( 1352170 2705210 ) ( 2898230 2705210 )
+    NEW met1 ( 1352170 2705210 ) M1M2_PR
     NEW met1 ( 1346650 2315230 ) M1M2_PR
     NEW met1 ( 1352170 2315230 ) M1M2_PR
-    NEW met1 ( 2898230 3008830 ) M1M2_PR
-    NEW met2 ( 2898230 3010700 ) via2_FR
+    NEW met1 ( 2898230 2705210 ) M1M2_PR
+    NEW met2 ( 2898230 2709460 ) via2_FR
 + USE SIGNAL ;
 - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) 
-  + ROUTED met2 ( 1362290 2299420 0 ) ( 1362290 2315230 )
-    NEW met1 ( 1362290 2315230 ) ( 1365970 2315230 )
-    NEW met2 ( 1365970 2315230 ) ( 1365970 3243090 )
-    NEW met2 ( 2898230 3243090 ) ( 2898230 3245300 )
-    NEW met3 ( 2898230 3245300 ) ( 2917780 3245300 0 )
-    NEW met1 ( 1365970 3243090 ) ( 2898230 3243090 )
-    NEW met1 ( 1365970 3243090 ) M1M2_PR
-    NEW met1 ( 1362290 2315230 ) M1M2_PR
-    NEW met1 ( 1365970 2315230 ) M1M2_PR
-    NEW met1 ( 2898230 3243090 ) M1M2_PR
-    NEW met2 ( 2898230 3245300 ) via2_FR
+  + ROUTED met2 ( 1362290 2299420 0 ) ( 1364130 2299420 )
+    NEW met2 ( 1364130 2299420 ) ( 1364130 2300100 )
+    NEW met2 ( 1364130 2300100 ) ( 1365970 2300100 )
+    NEW met2 ( 1365970 2300100 ) ( 1365970 2919410 )
+    NEW met2 ( 2898230 2919410 ) ( 2898230 2920940 )
+    NEW met3 ( 2898230 2920940 ) ( 2917780 2920940 0 )
+    NEW met1 ( 1365970 2919410 ) ( 2898230 2919410 )
+    NEW met1 ( 1365970 2919410 ) M1M2_PR
+    NEW met1 ( 2898230 2919410 ) M1M2_PR
+    NEW met2 ( 2898230 2920940 ) via2_FR
 + USE SIGNAL ;
 - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) 
-  + ROUTED met2 ( 2898230 3477690 ) ( 2898230 3479900 )
-    NEW met3 ( 2898230 3479900 ) ( 2917780 3479900 0 )
+  + ROUTED met2 ( 2898230 3126130 ) ( 2898230 3131740 )
+    NEW met3 ( 2898230 3131740 ) ( 2917780 3131740 0 )
     NEW met2 ( 1377930 2299420 0 ) ( 1379770 2299420 )
-    NEW met2 ( 1379770 2299420 ) ( 1379770 3477690 )
-    NEW met1 ( 1379770 3477690 ) ( 2898230 3477690 )
-    NEW met1 ( 1379770 3477690 ) M1M2_PR
-    NEW met1 ( 2898230 3477690 ) M1M2_PR
-    NEW met2 ( 2898230 3479900 ) via2_FR
+    NEW met2 ( 1379770 2299420 ) ( 1379770 3126130 )
+    NEW met1 ( 1379770 3126130 ) ( 2898230 3126130 )
+    NEW met1 ( 1379770 3126130 ) M1M2_PR
+    NEW met1 ( 2898230 3126130 ) M1M2_PR
+    NEW met2 ( 2898230 3131740 ) via2_FR
 + USE SIGNAL ;
 - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) 
-  + ROUTED met2 ( 2649370 3502170 ) ( 2649370 3517980 0 )
+  + ROUTED met2 ( 2745970 3501490 ) ( 2745970 3517980 0 )
     NEW met2 ( 1394030 2299420 0 ) ( 1394030 2315230 )
-    NEW met1 ( 1394030 2315230 ) ( 1400470 2315230 )
-    NEW met2 ( 1400470 2315230 ) ( 1400470 3502170 )
-    NEW met1 ( 1400470 3502170 ) ( 2649370 3502170 )
-    NEW met1 ( 2649370 3502170 ) M1M2_PR
+    NEW met1 ( 1394030 2315230 ) ( 1400010 2315230 )
+    NEW met2 ( 1400010 2315230 ) ( 1400010 3501490 )
+    NEW met1 ( 1400010 3501490 ) ( 2745970 3501490 )
+    NEW met1 ( 1400010 3501490 ) M1M2_PR
+    NEW met1 ( 2745970 3501490 ) M1M2_PR
     NEW met1 ( 1394030 2315230 ) M1M2_PR
-    NEW met1 ( 1400470 2315230 ) M1M2_PR
-    NEW met1 ( 1400470 3502170 ) M1M2_PR
+    NEW met1 ( 1400010 2315230 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) 
-  + ROUTED li1 ( 1447850 3499450 ) ( 1447850 3505570 )
-    NEW met1 ( 1447850 3505570 ) ( 1479130 3505570 )
-    NEW li1 ( 1479130 3504550 ) ( 1479130 3505570 )
-    NEW met2 ( 2325070 3504550 ) ( 2325070 3517980 0 )
-    NEW met2 ( 1409670 2299420 0 ) ( 1409670 2315570 )
-    NEW met1 ( 1409670 2315570 ) ( 1414270 2315570 )
-    NEW met2 ( 1414270 2315570 ) ( 1414270 3499450 )
-    NEW met1 ( 1414270 3499450 ) ( 1447850 3499450 )
-    NEW met1 ( 1479130 3504550 ) ( 2325070 3504550 )
-    NEW li1 ( 1447850 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1447850 3505570 ) L1M1_PR_MR
-    NEW li1 ( 1479130 3505570 ) L1M1_PR_MR
-    NEW li1 ( 1479130 3504550 ) L1M1_PR_MR
-    NEW met1 ( 2325070 3504550 ) M1M2_PR
-    NEW met1 ( 1409670 2315570 ) M1M2_PR
-    NEW met1 ( 1414270 2315570 ) M1M2_PR
-    NEW met1 ( 1414270 3499450 ) M1M2_PR
+  + ROUTED met2 ( 2537590 3502170 ) ( 2537590 3517980 0 )
+    NEW met2 ( 1409670 2299420 0 ) ( 1409670 2315910 )
+    NEW met1 ( 1409670 2315910 ) ( 1414270 2315910 )
+    NEW met2 ( 1414270 2315910 ) ( 1414270 3502170 )
+    NEW met1 ( 1414270 3502170 ) ( 2537590 3502170 )
+    NEW met1 ( 2537590 3502170 ) M1M2_PR
+    NEW met1 ( 1414270 3502170 ) M1M2_PR
+    NEW met1 ( 1409670 2315910 ) M1M2_PR
+    NEW met1 ( 1414270 2315910 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) 
-  + ROUTED li1 ( 1441410 3504210 ) ( 1441410 3505230 )
-    NEW met1 ( 1441410 3505230 ) ( 1459810 3505230 )
-    NEW met1 ( 1459810 3504550 ) ( 1459810 3505230 )
-    NEW met1 ( 1459810 3504550 ) ( 1478670 3504550 )
-    NEW li1 ( 1478670 3499450 ) ( 1478670 3504550 )
-    NEW met1 ( 1428070 3504210 ) ( 1441410 3504210 )
-    NEW met2 ( 2000770 3499450 ) ( 2000770 3517980 0 )
+  + ROUTED met2 ( 2328750 3502850 ) ( 2328750 3517980 0 )
     NEW met2 ( 1425310 2298740 0 ) ( 1428070 2298740 )
-    NEW met2 ( 1428070 2298740 ) ( 1428070 3504210 )
-    NEW met1 ( 1478670 3499450 ) ( 2000770 3499450 )
-    NEW li1 ( 1441410 3504210 ) L1M1_PR_MR
-    NEW li1 ( 1441410 3505230 ) L1M1_PR_MR
-    NEW li1 ( 1478670 3504550 ) L1M1_PR_MR
-    NEW li1 ( 1478670 3499450 ) L1M1_PR_MR
-    NEW met1 ( 1428070 3504210 ) M1M2_PR
-    NEW met1 ( 2000770 3499450 ) M1M2_PR
+    NEW met2 ( 1428070 2298740 ) ( 1428070 3502850 )
+    NEW met1 ( 1428070 3502850 ) ( 2328750 3502850 )
+    NEW met1 ( 2328750 3502850 ) M1M2_PR
+    NEW met1 ( 1428070 3502850 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) 
-  + ROUTED met2 ( 1676010 3499110 ) ( 1676010 3517980 0 )
+  + ROUTED met2 ( 2120370 3504210 ) ( 2120370 3517980 0 )
     NEW met2 ( 1441410 2299420 0 ) ( 1441870 2299420 )
     NEW met2 ( 1441870 2299420 ) ( 1441870 3504210 )
-    NEW li1 ( 1458890 3504210 ) ( 1459810 3504210 )
-    NEW li1 ( 1459810 3500130 ) ( 1459810 3504210 )
-    NEW met1 ( 1459810 3500130 ) ( 1478210 3500130 )
-    NEW li1 ( 1478210 3499110 ) ( 1478210 3500130 )
-    NEW met1 ( 1441870 3504210 ) ( 1458890 3504210 )
-    NEW met1 ( 1478210 3499110 ) ( 1676010 3499110 )
+    NEW met1 ( 1441870 3504210 ) ( 2120370 3504210 )
+    NEW met1 ( 2120370 3504210 ) M1M2_PR
     NEW met1 ( 1441870 3504210 ) M1M2_PR
-    NEW met1 ( 1676010 3499110 ) M1M2_PR
-    NEW li1 ( 1458890 3504210 ) L1M1_PR_MR
-    NEW li1 ( 1459810 3500130 ) L1M1_PR_MR
-    NEW li1 ( 1478210 3500130 ) L1M1_PR_MR
-    NEW li1 ( 1478210 3499110 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) 
-  + ROUTED li1 ( 1441870 3498430 ) ( 1441870 3498770 )
-    NEW li1 ( 1441870 3498770 ) ( 1442790 3498770 )
-    NEW met1 ( 1442790 3498770 ) ( 1456590 3498770 )
-    NEW met2 ( 1456590 2299420 ) ( 1457050 2299420 0 )
-    NEW met2 ( 1456590 2299420 ) ( 1456590 3498770 )
-    NEW met2 ( 1351710 3499790 ) ( 1351710 3517980 0 )
-    NEW met1 ( 1389890 3499450 ) ( 1389890 3499790 )
-    NEW met1 ( 1389890 3499450 ) ( 1390810 3499450 )
-    NEW li1 ( 1390810 3498430 ) ( 1390810 3499450 )
-    NEW met1 ( 1351710 3499790 ) ( 1389890 3499790 )
-    NEW met1 ( 1390810 3498430 ) ( 1441870 3498430 )
-    NEW li1 ( 1441870 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1442790 3498770 ) L1M1_PR_MR
-    NEW met1 ( 1456590 3498770 ) M1M2_PR
-    NEW met1 ( 1351710 3499790 ) M1M2_PR
-    NEW li1 ( 1390810 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1390810 3498430 ) L1M1_PR_MR
+  + ROUTED li1 ( 1525590 3500130 ) ( 1525590 3504890 )
+    NEW met1 ( 1525590 3504890 ) ( 1911530 3504890 )
+    NEW met2 ( 1911530 3504890 ) ( 1911530 3517980 0 )
+    NEW met2 ( 1457050 2299420 0 ) ( 1457050 2315910 )
+    NEW met1 ( 1457050 2315910 ) ( 1462110 2315910 )
+    NEW met2 ( 1462110 2315910 ) ( 1462110 3498770 )
+    NEW li1 ( 1486950 3498770 ) ( 1486950 3500130 )
+    NEW met1 ( 1462110 3498770 ) ( 1486950 3498770 )
+    NEW met1 ( 1486950 3500130 ) ( 1525590 3500130 )
+    NEW li1 ( 1525590 3500130 ) L1M1_PR_MR
+    NEW li1 ( 1525590 3504890 ) L1M1_PR_MR
+    NEW met1 ( 1911530 3504890 ) M1M2_PR
+    NEW met1 ( 1457050 2315910 ) M1M2_PR
+    NEW met1 ( 1462110 2315910 ) M1M2_PR
+    NEW met1 ( 1462110 3498770 ) M1M2_PR
+    NEW li1 ( 1486950 3498770 ) L1M1_PR_MR
+    NEW li1 ( 1486950 3500130 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) 
-  + ROUTED met2 ( 1172770 2299420 0 ) ( 1172770 2306050 )
-    NEW met2 ( 2900990 430100 ) ( 2900990 434690 )
-    NEW met3 ( 2900990 430100 ) ( 2917780 430100 0 )
-    NEW met2 ( 1804350 434690 ) ( 1804350 2306050 )
-    NEW met1 ( 1172770 2306050 ) ( 1804350 2306050 )
-    NEW met1 ( 1804350 434690 ) ( 2900990 434690 )
-    NEW met1 ( 1172770 2306050 ) M1M2_PR
-    NEW met1 ( 2900990 434690 ) M1M2_PR
-    NEW met2 ( 2900990 430100 ) via2_FR
-    NEW met1 ( 1804350 2306050 ) M1M2_PR
-    NEW met1 ( 1804350 434690 ) M1M2_PR
+  + ROUTED met2 ( 2900990 386580 ) ( 2900990 393210 )
+    NEW met3 ( 2900990 386580 ) ( 2917780 386580 0 )
+    NEW met1 ( 1173690 2297890 ) ( 1173690 2298230 )
+    NEW met2 ( 1173690 2298060 ) ( 1173690 2298230 )
+    NEW met2 ( 1172770 2298060 0 ) ( 1173690 2298060 )
+    NEW met1 ( 1818150 393210 ) ( 2900990 393210 )
+    NEW met2 ( 1818150 393210 ) ( 1818150 2297890 )
+    NEW met1 ( 1173690 2297890 ) ( 1818150 2297890 )
+    NEW met1 ( 2900990 393210 ) M1M2_PR
+    NEW met2 ( 2900990 386580 ) via2_FR
+    NEW met1 ( 1173690 2298230 ) M1M2_PR
+    NEW met1 ( 1818150 393210 ) M1M2_PR
+    NEW met1 ( 1818150 2297890 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) 
-  + ROUTED met1 ( 1448310 3499110 ) ( 1448310 3499450 )
-    NEW met1 ( 1448310 3499450 ) ( 1469930 3499450 )
-    NEW met2 ( 1027410 3499110 ) ( 1027410 3517980 0 )
-    NEW met2 ( 1469930 2298740 ) ( 1472690 2298740 0 )
-    NEW met2 ( 1469930 2298740 ) ( 1469930 3499450 )
-    NEW met1 ( 1027410 3499110 ) ( 1448310 3499110 )
-    NEW met1 ( 1469930 3499450 ) M1M2_PR
-    NEW met1 ( 1027410 3499110 ) M1M2_PR
+  + ROUTED met2 ( 1703150 3499110 ) ( 1703150 3517980 0 )
+    NEW met2 ( 1472690 2298740 0 ) ( 1476370 2298740 )
+    NEW met1 ( 1476370 3499790 ) ( 1486490 3499790 )
+    NEW met2 ( 1486490 3499790 ) ( 1487410 3499790 )
+    NEW met2 ( 1487410 3499110 ) ( 1487410 3499790 )
+    NEW met2 ( 1476370 2298740 ) ( 1476370 3499790 )
+    NEW met1 ( 1487410 3499110 ) ( 1703150 3499110 )
+    NEW met1 ( 1703150 3499110 ) M1M2_PR
+    NEW met1 ( 1476370 3499790 ) M1M2_PR
+    NEW met1 ( 1486490 3499790 ) M1M2_PR
+    NEW met1 ( 1487410 3499110 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) 
-  + ROUTED li1 ( 1458890 3499110 ) ( 1458890 3501150 )
-    NEW li1 ( 1458890 3499110 ) ( 1460730 3499110 )
-    NEW met1 ( 1460730 3499110 ) ( 1477750 3499110 )
-    NEW met1 ( 1477750 3498770 ) ( 1477750 3499110 )
-    NEW met2 ( 702650 3501150 ) ( 702650 3517980 0 )
-    NEW met1 ( 1477750 3498770 ) ( 1483730 3498770 )
-    NEW met2 ( 1486030 2298740 ) ( 1488790 2298740 0 )
-    NEW met2 ( 1486030 2298740 ) ( 1486030 2300100 )
-    NEW met2 ( 1483730 2300100 ) ( 1486030 2300100 )
-    NEW met2 ( 1483730 2300100 ) ( 1483730 3498770 )
-    NEW met1 ( 702650 3501150 ) ( 1458890 3501150 )
-    NEW met1 ( 702650 3501150 ) M1M2_PR
-    NEW li1 ( 1458890 3501150 ) L1M1_PR_MR
-    NEW li1 ( 1460730 3499110 ) L1M1_PR_MR
-    NEW met1 ( 1483730 3498770 ) M1M2_PR
+  + ROUTED met2 ( 1488790 2299420 0 ) ( 1490170 2299420 )
+    NEW met1 ( 1490170 3504550 ) ( 1494770 3504550 )
+    NEW met2 ( 1494770 3504550 ) ( 1494770 3517980 0 )
+    NEW met2 ( 1490170 2299420 ) ( 1490170 3504550 )
+    NEW met1 ( 1490170 3504550 ) M1M2_PR
+    NEW met1 ( 1494770 3504550 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) 
-  + ROUTED met2 ( 378350 3502850 ) ( 378350 3517980 0 )
-    NEW met2 ( 1504430 2299420 0 ) ( 1504890 2299420 )
-    NEW met2 ( 1504890 2299420 ) ( 1504890 3502850 )
-    NEW met1 ( 378350 3502850 ) ( 1504890 3502850 )
-    NEW met1 ( 378350 3502850 ) M1M2_PR
-    NEW met1 ( 1504890 3502850 ) M1M2_PR
+  + ROUTED met1 ( 1285930 3504890 ) ( 1290070 3504890 )
+    NEW met2 ( 1290070 3499110 ) ( 1290070 3504890 )
+    NEW met1 ( 1290070 3498770 ) ( 1290070 3499110 )
+    NEW li1 ( 1338830 3498770 ) ( 1338830 3501490 )
+    NEW met1 ( 1338830 3501490 ) ( 1386670 3501490 )
+    NEW li1 ( 1386670 3498770 ) ( 1386670 3501490 )
+    NEW met2 ( 1285930 3504890 ) ( 1285930 3517980 0 )
+    NEW met1 ( 1290070 3498770 ) ( 1338830 3498770 )
+    NEW li1 ( 1461650 3497410 ) ( 1461650 3498770 )
+    NEW met1 ( 1386670 3498770 ) ( 1461650 3498770 )
+    NEW li1 ( 1463490 3497410 ) ( 1463490 3498430 )
+    NEW met1 ( 1463490 3498430 ) ( 1504430 3498430 )
+    NEW met1 ( 1461650 3497410 ) ( 1463490 3497410 )
+    NEW met2 ( 1504430 2299420 0 ) ( 1504430 3498430 )
+    NEW met1 ( 1285930 3504890 ) M1M2_PR
+    NEW met1 ( 1290070 3504890 ) M1M2_PR
+    NEW met1 ( 1290070 3499110 ) M1M2_PR
+    NEW li1 ( 1338830 3498770 ) L1M1_PR_MR
+    NEW li1 ( 1338830 3501490 ) L1M1_PR_MR
+    NEW li1 ( 1386670 3501490 ) L1M1_PR_MR
+    NEW li1 ( 1386670 3498770 ) L1M1_PR_MR
+    NEW li1 ( 1461650 3498770 ) L1M1_PR_MR
+    NEW li1 ( 1461650 3497410 ) L1M1_PR_MR
+    NEW li1 ( 1463490 3497410 ) L1M1_PR_MR
+    NEW li1 ( 1463490 3498430 ) L1M1_PR_MR
+    NEW met1 ( 1504430 3498430 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) 
-  + ROUTED met2 ( 54050 3501660 ) ( 54050 3517980 0 )
+  + ROUTED met2 ( 1077550 3501150 ) ( 1077550 3517980 0 )
     NEW met2 ( 1518230 2299420 ) ( 1520070 2299420 0 )
-    NEW met2 ( 1518230 2299420 ) ( 1518230 3501660 )
-    NEW met3 ( 54050 3501660 ) ( 1518230 3501660 )
-    NEW met2 ( 54050 3501660 ) via2_FR
-    NEW met2 ( 1518230 3501660 ) via2_FR
+    NEW met2 ( 1518230 2299420 ) ( 1518230 3501150 )
+    NEW met1 ( 1077550 3501150 ) ( 1518230 3501150 )
+    NEW met1 ( 1077550 3501150 ) M1M2_PR
+    NEW met1 ( 1518230 3501150 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) 
-  + ROUTED met3 ( 2300 3309900 0 ) ( 17250 3309900 )
-    NEW met2 ( 17250 3305310 ) ( 17250 3309900 )
-    NEW met1 ( 17250 3305310 ) ( 1532030 3305310 )
-    NEW met2 ( 1532030 2299420 ) ( 1536170 2299420 0 )
-    NEW met2 ( 1532030 2299420 ) ( 1532030 3305310 )
-    NEW met2 ( 17250 3309900 ) via2_FR
-    NEW met1 ( 17250 3305310 ) M1M2_PR
-    NEW met1 ( 1532030 3305310 ) M1M2_PR
+  + ROUTED met3 ( 2300 3343220 0 ) ( 17250 3343220 )
+    NEW met2 ( 17250 3339650 ) ( 17250 3343220 )
+    NEW met1 ( 17250 3339650 ) ( 1532030 3339650 )
+    NEW met2 ( 1532030 2298740 ) ( 1536170 2298740 0 )
+    NEW met2 ( 1532030 2298740 ) ( 1532030 3339650 )
+    NEW met2 ( 17250 3343220 ) via2_FR
+    NEW met1 ( 17250 3339650 ) M1M2_PR
+    NEW met1 ( 1532030 3339650 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) 
-  + ROUTED met3 ( 2300 3058300 0 ) ( 16790 3058300 )
-    NEW met2 ( 16790 3056770 ) ( 16790 3058300 )
-    NEW met1 ( 16790 3056770 ) ( 1546290 3056770 )
-    NEW met2 ( 1550430 2299420 ) ( 1551810 2299420 0 )
-    NEW met2 ( 1550430 2299420 ) ( 1550430 2302140 )
-    NEW met2 ( 1546290 2302140 ) ( 1550430 2302140 )
-    NEW met2 ( 1546290 2302140 ) ( 1546290 3056770 )
-    NEW met2 ( 16790 3058300 ) via2_FR
-    NEW met1 ( 16790 3056770 ) M1M2_PR
-    NEW met1 ( 1546290 3056770 ) M1M2_PR
+  + ROUTED met3 ( 2300 3131740 0 ) ( 16330 3131740 )
+    NEW met2 ( 16330 3125790 ) ( 16330 3131740 )
+    NEW met1 ( 16330 3125790 ) ( 1546290 3125790 )
+    NEW met2 ( 1549510 2298740 ) ( 1551810 2298740 0 )
+    NEW met2 ( 1549510 2298740 ) ( 1549510 2302140 )
+    NEW met2 ( 1546290 2302140 ) ( 1549510 2302140 )
+    NEW met2 ( 1546290 2302140 ) ( 1546290 3125790 )
+    NEW met2 ( 16330 3131740 ) via2_FR
+    NEW met1 ( 16330 3125790 ) M1M2_PR
+    NEW met1 ( 1546290 3125790 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) 
-  + ROUTED met3 ( 2300 2806700 0 ) ( 17250 2806700 )
-    NEW met2 ( 17250 2802110 ) ( 17250 2806700 )
-    NEW met1 ( 17250 2802110 ) ( 1567450 2802110 )
-    NEW met2 ( 1567450 2299420 0 ) ( 1567450 2802110 )
-    NEW met2 ( 17250 2806700 ) via2_FR
-    NEW met1 ( 17250 2802110 ) M1M2_PR
-    NEW met1 ( 1567450 2802110 ) M1M2_PR
+  + ROUTED met3 ( 2300 2920940 0 ) ( 17250 2920940 )
+    NEW met2 ( 17250 2919070 ) ( 17250 2920940 )
+    NEW met1 ( 17250 2919070 ) ( 1566990 2919070 )
+    NEW met2 ( 1566990 2299420 ) ( 1567450 2299420 0 )
+    NEW met2 ( 1566990 2299420 ) ( 1566990 2919070 )
+    NEW met2 ( 17250 2920940 ) via2_FR
+    NEW met1 ( 17250 2919070 ) M1M2_PR
+    NEW met1 ( 1566990 2919070 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) 
-  + ROUTED met3 ( 2300 2555780 0 ) ( 17710 2555780 )
-    NEW met2 ( 17710 2553230 ) ( 17710 2555780 )
-    NEW met1 ( 17710 2553230 ) ( 1580330 2553230 )
+  + ROUTED met3 ( 2300 2709460 0 ) ( 17250 2709460 )
+    NEW met2 ( 17250 2704870 ) ( 17250 2709460 )
+    NEW met1 ( 17250 2704870 ) ( 1580330 2704870 )
     NEW met2 ( 1581710 2299420 ) ( 1583090 2299420 0 )
     NEW met2 ( 1581710 2299420 ) ( 1581710 2300100 )
     NEW met2 ( 1580330 2300100 ) ( 1581710 2300100 )
-    NEW met2 ( 1580330 2300100 ) ( 1580330 2553230 )
-    NEW met2 ( 17710 2555780 ) via2_FR
-    NEW met1 ( 17710 2553230 ) M1M2_PR
-    NEW met1 ( 1580330 2553230 ) M1M2_PR
+    NEW met2 ( 1580330 2300100 ) ( 1580330 2704870 )
+    NEW met2 ( 17250 2709460 ) via2_FR
+    NEW met1 ( 17250 2704870 ) M1M2_PR
+    NEW met1 ( 1580330 2704870 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) 
-  + ROUTED met3 ( 2300 2304180 0 ) ( 15870 2304180 )
-    NEW met2 ( 15870 2298910 ) ( 15870 2304180 )
-    NEW met1 ( 15870 2298910 ) ( 34270 2298910 )
-    NEW li1 ( 34270 2297550 ) ( 34270 2298910 )
-    NEW li1 ( 83030 2297890 ) ( 83030 2298910 )
-    NEW met1 ( 83030 2298910 ) ( 130870 2298910 )
-    NEW li1 ( 130870 2297550 ) ( 130870 2298910 )
-    NEW li1 ( 179630 2297890 ) ( 179630 2298910 )
-    NEW met1 ( 179630 2298910 ) ( 227470 2298910 )
-    NEW li1 ( 227470 2297550 ) ( 227470 2298910 )
-    NEW li1 ( 276230 2297890 ) ( 276230 2298910 )
-    NEW met1 ( 276230 2298910 ) ( 324070 2298910 )
-    NEW li1 ( 324070 2297550 ) ( 324070 2298910 )
-    NEW li1 ( 372830 2297890 ) ( 372830 2298910 )
-    NEW met1 ( 372830 2298910 ) ( 420670 2298910 )
-    NEW li1 ( 420670 2297550 ) ( 420670 2298910 )
-    NEW li1 ( 469430 2297890 ) ( 469430 2298910 )
-    NEW met1 ( 469430 2298910 ) ( 517270 2298910 )
-    NEW li1 ( 517270 2297550 ) ( 517270 2298910 )
-    NEW li1 ( 566030 2297890 ) ( 566030 2298910 )
-    NEW met1 ( 566030 2298910 ) ( 613870 2298910 )
-    NEW li1 ( 613870 2297550 ) ( 613870 2298910 )
-    NEW li1 ( 662630 2297890 ) ( 662630 2298910 )
-    NEW met1 ( 662630 2298910 ) ( 710470 2298910 )
-    NEW li1 ( 710470 2297550 ) ( 710470 2298910 )
-    NEW li1 ( 759230 2297890 ) ( 759230 2298910 )
-    NEW met1 ( 759230 2298910 ) ( 807070 2298910 )
-    NEW li1 ( 807070 2297550 ) ( 807070 2298910 )
-    NEW li1 ( 855830 2297890 ) ( 855830 2298910 )
-    NEW met1 ( 855830 2298910 ) ( 903670 2298910 )
-    NEW li1 ( 903670 2297550 ) ( 903670 2298910 )
-    NEW li1 ( 952430 2297890 ) ( 952430 2298910 )
-    NEW met1 ( 952430 2298910 ) ( 1000270 2298910 )
-    NEW li1 ( 1000270 2297550 ) ( 1000270 2298910 )
-    NEW li1 ( 1049030 2297890 ) ( 1049030 2298910 )
-    NEW met1 ( 34730 2297550 ) ( 34730 2297890 )
-    NEW li1 ( 34730 2297890 ) ( 34730 2298910 )
-    NEW met1 ( 34730 2298910 ) ( 82570 2298910 )
-    NEW li1 ( 82570 2297890 ) ( 82570 2298910 )
-    NEW met1 ( 34270 2297550 ) ( 34730 2297550 )
-    NEW met1 ( 82570 2297890 ) ( 83030 2297890 )
-    NEW met1 ( 131330 2297550 ) ( 131330 2297890 )
-    NEW li1 ( 131330 2297890 ) ( 131330 2298910 )
-    NEW met1 ( 131330 2298910 ) ( 179170 2298910 )
-    NEW li1 ( 179170 2297890 ) ( 179170 2298910 )
-    NEW met1 ( 130870 2297550 ) ( 131330 2297550 )
-    NEW met1 ( 179170 2297890 ) ( 179630 2297890 )
-    NEW met1 ( 227930 2297550 ) ( 227930 2297890 )
-    NEW li1 ( 227930 2297890 ) ( 227930 2298910 )
-    NEW met1 ( 227930 2298910 ) ( 275770 2298910 )
-    NEW li1 ( 275770 2297890 ) ( 275770 2298910 )
-    NEW met1 ( 227470 2297550 ) ( 227930 2297550 )
-    NEW met1 ( 275770 2297890 ) ( 276230 2297890 )
-    NEW met1 ( 324530 2297550 ) ( 324530 2297890 )
-    NEW li1 ( 324530 2297890 ) ( 324530 2298910 )
-    NEW met1 ( 324530 2298910 ) ( 372370 2298910 )
-    NEW li1 ( 372370 2297890 ) ( 372370 2298910 )
-    NEW met1 ( 324070 2297550 ) ( 324530 2297550 )
-    NEW met1 ( 372370 2297890 ) ( 372830 2297890 )
-    NEW met1 ( 421130 2297550 ) ( 421130 2297890 )
-    NEW li1 ( 421130 2297890 ) ( 421130 2298910 )
-    NEW met1 ( 421130 2298910 ) ( 468970 2298910 )
-    NEW li1 ( 468970 2297890 ) ( 468970 2298910 )
-    NEW met1 ( 420670 2297550 ) ( 421130 2297550 )
-    NEW met1 ( 468970 2297890 ) ( 469430 2297890 )
-    NEW met1 ( 517730 2297550 ) ( 517730 2297890 )
-    NEW li1 ( 517730 2297890 ) ( 517730 2298910 )
-    NEW met1 ( 517730 2298910 ) ( 565570 2298910 )
-    NEW li1 ( 565570 2297890 ) ( 565570 2298910 )
-    NEW met1 ( 517270 2297550 ) ( 517730 2297550 )
-    NEW met1 ( 565570 2297890 ) ( 566030 2297890 )
-    NEW met1 ( 614330 2297550 ) ( 614330 2297890 )
-    NEW li1 ( 614330 2297890 ) ( 614330 2298910 )
-    NEW met1 ( 614330 2298910 ) ( 662170 2298910 )
-    NEW li1 ( 662170 2297890 ) ( 662170 2298910 )
-    NEW met1 ( 613870 2297550 ) ( 614330 2297550 )
-    NEW met1 ( 662170 2297890 ) ( 662630 2297890 )
-    NEW met1 ( 710930 2297550 ) ( 710930 2297890 )
-    NEW li1 ( 710930 2297890 ) ( 710930 2298910 )
-    NEW met1 ( 710930 2298910 ) ( 758770 2298910 )
-    NEW li1 ( 758770 2297890 ) ( 758770 2298910 )
-    NEW met1 ( 710470 2297550 ) ( 710930 2297550 )
-    NEW met1 ( 758770 2297890 ) ( 759230 2297890 )
-    NEW met1 ( 807530 2297550 ) ( 807530 2297890 )
-    NEW li1 ( 807530 2297890 ) ( 807530 2298910 )
-    NEW met1 ( 807530 2298910 ) ( 855370 2298910 )
-    NEW li1 ( 855370 2297890 ) ( 855370 2298910 )
-    NEW met1 ( 807070 2297550 ) ( 807530 2297550 )
-    NEW met1 ( 855370 2297890 ) ( 855830 2297890 )
-    NEW met1 ( 904130 2297550 ) ( 904130 2297890 )
-    NEW li1 ( 904130 2297890 ) ( 904130 2298910 )
-    NEW met1 ( 904130 2298910 ) ( 951970 2298910 )
-    NEW li1 ( 951970 2297890 ) ( 951970 2298910 )
-    NEW met1 ( 903670 2297550 ) ( 904130 2297550 )
-    NEW met1 ( 951970 2297890 ) ( 952430 2297890 )
-    NEW met1 ( 1000730 2297550 ) ( 1000730 2297890 )
-    NEW li1 ( 1000730 2297890 ) ( 1000730 2298910 )
-    NEW met1 ( 1000730 2298910 ) ( 1048570 2298910 )
-    NEW li1 ( 1048570 2297890 ) ( 1048570 2298910 )
-    NEW met1 ( 1000270 2297550 ) ( 1000730 2297550 )
-    NEW met1 ( 1048570 2297890 ) ( 1049030 2297890 )
-    NEW li1 ( 1145170 2297890 ) ( 1145170 2299250 )
-    NEW met1 ( 1076630 2298910 ) ( 1076630 2300270 )
-    NEW met1 ( 1076630 2300270 ) ( 1124470 2300270 )
-    NEW li1 ( 1124470 2299250 ) ( 1124470 2300270 )
-    NEW met1 ( 1049030 2298910 ) ( 1076630 2298910 )
-    NEW met1 ( 1124470 2299250 ) ( 1145170 2299250 )
-    NEW met2 ( 1597350 2298570 ) ( 1597350 2298740 )
-    NEW met2 ( 1597350 2298740 ) ( 1599190 2298740 0 )
-    NEW li1 ( 1172770 2297890 ) ( 1173230 2297890 )
-    NEW met1 ( 1173230 2297890 ) ( 1176910 2297890 )
-    NEW met1 ( 1176910 2297890 ) ( 1176910 2298230 )
-    NEW met1 ( 1145170 2297890 ) ( 1172770 2297890 )
-    NEW met1 ( 1256030 2297550 ) ( 1256030 2297890 )
-    NEW li1 ( 1256030 2296870 ) ( 1256030 2297550 )
-    NEW met1 ( 1256030 2296870 ) ( 1303870 2296870 )
-    NEW li1 ( 1303870 2296870 ) ( 1303870 2298230 )
-    NEW met1 ( 1303870 2298230 ) ( 1303870 2298570 )
-    NEW met1 ( 1376550 2297890 ) ( 1376550 2298570 )
-    NEW li1 ( 1462570 2298230 ) ( 1463490 2298230 )
-    NEW li1 ( 1463490 2297890 ) ( 1463490 2298230 )
-    NEW li1 ( 1559170 2298230 ) ( 1559630 2298230 )
-    NEW met1 ( 1559630 2298230 ) ( 1565610 2298230 )
-    NEW met1 ( 1565610 2298230 ) ( 1565610 2298570 )
-    NEW met1 ( 1565610 2298570 ) ( 1597350 2298570 )
-    NEW li1 ( 1207730 2297210 ) ( 1207730 2298230 )
-    NEW met1 ( 1207730 2297210 ) ( 1255570 2297210 )
-    NEW li1 ( 1255570 2297210 ) ( 1255570 2297890 )
-    NEW met1 ( 1176910 2298230 ) ( 1207730 2298230 )
-    NEW met1 ( 1255570 2297890 ) ( 1256030 2297890 )
-    NEW li1 ( 1304330 2297210 ) ( 1304330 2298570 )
-    NEW met1 ( 1304330 2297210 ) ( 1352170 2297210 )
-    NEW li1 ( 1352170 2297210 ) ( 1352170 2297890 )
-    NEW met1 ( 1303870 2298570 ) ( 1304330 2298570 )
-    NEW met1 ( 1352170 2297890 ) ( 1376550 2297890 )
-    NEW li1 ( 1400930 2297550 ) ( 1400930 2298570 )
-    NEW met1 ( 1400930 2297550 ) ( 1448770 2297550 )
-    NEW li1 ( 1448770 2297550 ) ( 1448770 2298230 )
-    NEW met1 ( 1376550 2298570 ) ( 1400930 2298570 )
-    NEW met1 ( 1448770 2298230 ) ( 1462570 2298230 )
-    NEW li1 ( 1497530 2297210 ) ( 1497530 2297890 )
-    NEW met1 ( 1497530 2297210 ) ( 1545370 2297210 )
-    NEW li1 ( 1545370 2297210 ) ( 1545370 2298230 )
-    NEW met1 ( 1463490 2297890 ) ( 1497530 2297890 )
-    NEW met1 ( 1545370 2298230 ) ( 1559170 2298230 )
-    NEW met2 ( 15870 2304180 ) via2_FR
-    NEW met1 ( 15870 2298910 ) M1M2_PR
-    NEW li1 ( 34270 2298910 ) L1M1_PR_MR
-    NEW li1 ( 34270 2297550 ) L1M1_PR_MR
-    NEW li1 ( 83030 2297890 ) L1M1_PR_MR
-    NEW li1 ( 83030 2298910 ) L1M1_PR_MR
-    NEW li1 ( 130870 2298910 ) L1M1_PR_MR
-    NEW li1 ( 130870 2297550 ) L1M1_PR_MR
-    NEW li1 ( 179630 2297890 ) L1M1_PR_MR
-    NEW li1 ( 179630 2298910 ) L1M1_PR_MR
-    NEW li1 ( 227470 2298910 ) L1M1_PR_MR
-    NEW li1 ( 227470 2297550 ) L1M1_PR_MR
-    NEW li1 ( 276230 2297890 ) L1M1_PR_MR
-    NEW li1 ( 276230 2298910 ) L1M1_PR_MR
-    NEW li1 ( 324070 2298910 ) L1M1_PR_MR
-    NEW li1 ( 324070 2297550 ) L1M1_PR_MR
-    NEW li1 ( 372830 2297890 ) L1M1_PR_MR
-    NEW li1 ( 372830 2298910 ) L1M1_PR_MR
-    NEW li1 ( 420670 2298910 ) L1M1_PR_MR
-    NEW li1 ( 420670 2297550 ) L1M1_PR_MR
-    NEW li1 ( 469430 2297890 ) L1M1_PR_MR
-    NEW li1 ( 469430 2298910 ) L1M1_PR_MR
-    NEW li1 ( 517270 2298910 ) L1M1_PR_MR
-    NEW li1 ( 517270 2297550 ) L1M1_PR_MR
-    NEW li1 ( 566030 2297890 ) L1M1_PR_MR
-    NEW li1 ( 566030 2298910 ) L1M1_PR_MR
-    NEW li1 ( 613870 2298910 ) L1M1_PR_MR
-    NEW li1 ( 613870 2297550 ) L1M1_PR_MR
-    NEW li1 ( 662630 2297890 ) L1M1_PR_MR
-    NEW li1 ( 662630 2298910 ) L1M1_PR_MR
-    NEW li1 ( 710470 2298910 ) L1M1_PR_MR
-    NEW li1 ( 710470 2297550 ) L1M1_PR_MR
-    NEW li1 ( 759230 2297890 ) L1M1_PR_MR
-    NEW li1 ( 759230 2298910 ) L1M1_PR_MR
-    NEW li1 ( 807070 2298910 ) L1M1_PR_MR
-    NEW li1 ( 807070 2297550 ) L1M1_PR_MR
-    NEW li1 ( 855830 2297890 ) L1M1_PR_MR
-    NEW li1 ( 855830 2298910 ) L1M1_PR_MR
-    NEW li1 ( 903670 2298910 ) L1M1_PR_MR
-    NEW li1 ( 903670 2297550 ) L1M1_PR_MR
-    NEW li1 ( 952430 2297890 ) L1M1_PR_MR
-    NEW li1 ( 952430 2298910 ) L1M1_PR_MR
-    NEW li1 ( 1000270 2298910 ) L1M1_PR_MR
-    NEW li1 ( 1000270 2297550 ) L1M1_PR_MR
-    NEW li1 ( 1049030 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1049030 2298910 ) L1M1_PR_MR
-    NEW li1 ( 34730 2297890 ) L1M1_PR_MR
-    NEW li1 ( 34730 2298910 ) L1M1_PR_MR
-    NEW li1 ( 82570 2298910 ) L1M1_PR_MR
-    NEW li1 ( 82570 2297890 ) L1M1_PR_MR
-    NEW li1 ( 131330 2297890 ) L1M1_PR_MR
-    NEW li1 ( 131330 2298910 ) L1M1_PR_MR
-    NEW li1 ( 179170 2298910 ) L1M1_PR_MR
-    NEW li1 ( 179170 2297890 ) L1M1_PR_MR
-    NEW li1 ( 227930 2297890 ) L1M1_PR_MR
-    NEW li1 ( 227930 2298910 ) L1M1_PR_MR
-    NEW li1 ( 275770 2298910 ) L1M1_PR_MR
-    NEW li1 ( 275770 2297890 ) L1M1_PR_MR
-    NEW li1 ( 324530 2297890 ) L1M1_PR_MR
-    NEW li1 ( 324530 2298910 ) L1M1_PR_MR
-    NEW li1 ( 372370 2298910 ) L1M1_PR_MR
-    NEW li1 ( 372370 2297890 ) L1M1_PR_MR
-    NEW li1 ( 421130 2297890 ) L1M1_PR_MR
-    NEW li1 ( 421130 2298910 ) L1M1_PR_MR
-    NEW li1 ( 468970 2298910 ) L1M1_PR_MR
-    NEW li1 ( 468970 2297890 ) L1M1_PR_MR
-    NEW li1 ( 517730 2297890 ) L1M1_PR_MR
-    NEW li1 ( 517730 2298910 ) L1M1_PR_MR
-    NEW li1 ( 565570 2298910 ) L1M1_PR_MR
-    NEW li1 ( 565570 2297890 ) L1M1_PR_MR
-    NEW li1 ( 614330 2297890 ) L1M1_PR_MR
-    NEW li1 ( 614330 2298910 ) L1M1_PR_MR
-    NEW li1 ( 662170 2298910 ) L1M1_PR_MR
-    NEW li1 ( 662170 2297890 ) L1M1_PR_MR
-    NEW li1 ( 710930 2297890 ) L1M1_PR_MR
-    NEW li1 ( 710930 2298910 ) L1M1_PR_MR
-    NEW li1 ( 758770 2298910 ) L1M1_PR_MR
-    NEW li1 ( 758770 2297890 ) L1M1_PR_MR
-    NEW li1 ( 807530 2297890 ) L1M1_PR_MR
-    NEW li1 ( 807530 2298910 ) L1M1_PR_MR
-    NEW li1 ( 855370 2298910 ) L1M1_PR_MR
-    NEW li1 ( 855370 2297890 ) L1M1_PR_MR
-    NEW li1 ( 904130 2297890 ) L1M1_PR_MR
-    NEW li1 ( 904130 2298910 ) L1M1_PR_MR
-    NEW li1 ( 951970 2298910 ) L1M1_PR_MR
-    NEW li1 ( 951970 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1000730 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1000730 2298910 ) L1M1_PR_MR
-    NEW li1 ( 1048570 2298910 ) L1M1_PR_MR
-    NEW li1 ( 1048570 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1145170 2299250 ) L1M1_PR_MR
-    NEW li1 ( 1145170 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1124470 2300270 ) L1M1_PR_MR
-    NEW li1 ( 1124470 2299250 ) L1M1_PR_MR
-    NEW met1 ( 1597350 2298570 ) M1M2_PR
-    NEW li1 ( 1172770 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1173230 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1256030 2297550 ) L1M1_PR_MR
-    NEW li1 ( 1256030 2296870 ) L1M1_PR_MR
-    NEW li1 ( 1303870 2296870 ) L1M1_PR_MR
-    NEW li1 ( 1303870 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1462570 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1463490 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1559170 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1559630 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1207730 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1207730 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1255570 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1255570 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1304330 2298570 ) L1M1_PR_MR
-    NEW li1 ( 1304330 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1352170 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1352170 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1400930 2298570 ) L1M1_PR_MR
-    NEW li1 ( 1400930 2297550 ) L1M1_PR_MR
-    NEW li1 ( 1448770 2297550 ) L1M1_PR_MR
-    NEW li1 ( 1448770 2298230 ) L1M1_PR_MR
-    NEW li1 ( 1497530 2297890 ) L1M1_PR_MR
-    NEW li1 ( 1497530 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1545370 2297210 ) L1M1_PR_MR
-    NEW li1 ( 1545370 2298230 ) L1M1_PR_MR
+  + ROUTED met3 ( 2300 2498660 0 ) ( 17250 2498660 )
+    NEW met2 ( 17250 2497810 ) ( 17250 2498660 )
+    NEW met1 ( 17250 2497810 ) ( 1594130 2497810 )
+    NEW met2 ( 1597350 2299420 ) ( 1599190 2299420 0 )
+    NEW met2 ( 1597350 2299420 ) ( 1597350 2300100 )
+    NEW met2 ( 1594130 2300100 ) ( 1597350 2300100 )
+    NEW met2 ( 1594130 2300100 ) ( 1594130 2497810 )
+    NEW met2 ( 17250 2498660 ) via2_FR
+    NEW met1 ( 17250 2497810 ) M1M2_PR
+    NEW met1 ( 1594130 2497810 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) 
-  + ROUTED met3 ( 2300 2052580 0 ) ( 16790 2052580 )
-    NEW met2 ( 16790 2052580 ) ( 16790 2055810 )
-    NEW met1 ( 16790 2055810 ) ( 1128610 2055810 )
-    NEW met2 ( 1128610 2055810 ) ( 1128610 2294150 )
-    NEW li1 ( 1615290 2294150 ) ( 1615290 2298230 )
-    NEW met2 ( 1615290 2298060 ) ( 1615290 2298230 )
+  + ROUTED met3 ( 2300 2287180 0 ) ( 17710 2287180 )
+    NEW met2 ( 17710 2287180 ) ( 17710 2290580 )
+    NEW met4 ( 1615060 2290580 ) ( 1615060 2298060 )
+    NEW met3 ( 1615060 2298060 ) ( 1615290 2298060 )
     NEW met2 ( 1614830 2298060 0 ) ( 1615290 2298060 )
-    NEW met1 ( 1128610 2294150 ) ( 1615290 2294150 )
-    NEW met2 ( 16790 2052580 ) via2_FR
-    NEW met1 ( 16790 2055810 ) M1M2_PR
-    NEW met1 ( 1128610 2055810 ) M1M2_PR
-    NEW met1 ( 1128610 2294150 ) M1M2_PR
-    NEW li1 ( 1615290 2294150 ) L1M1_PR_MR
-    NEW li1 ( 1615290 2298230 ) L1M1_PR_MR
-    NEW met1 ( 1615290 2298230 ) M1M2_PR
-    NEW met1 ( 1615290 2298230 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 17710 2290580 ) ( 1615060 2290580 )
+    NEW met2 ( 17710 2287180 ) via2_FR
+    NEW met2 ( 17710 2290580 ) via2_FR
+    NEW met3 ( 1615060 2290580 ) M3M4_PR_M
+    NEW met3 ( 1615060 2298060 ) M3M4_PR_M
+    NEW met2 ( 1615290 2298060 ) via2_FR
+    NEW met3 ( 1615060 2298060 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) 
-  + ROUTED met3 ( 2111860 662660 ) ( 2111860 664020 )
-    NEW met3 ( 2208460 662660 ) ( 2208460 664020 )
-    NEW met3 ( 2305060 662660 ) ( 2305060 664020 )
-    NEW met3 ( 2401660 662660 ) ( 2401660 664020 )
-    NEW met3 ( 2498260 662660 ) ( 2498260 664020 )
-    NEW met3 ( 2594860 662660 ) ( 2594860 664020 )
-    NEW met3 ( 2691460 662660 ) ( 2691460 664020 )
-    NEW met3 ( 2884660 662660 ) ( 2884660 663340 )
-    NEW met3 ( 2884660 663340 ) ( 2916860 663340 )
-    NEW met3 ( 2916860 663340 ) ( 2916860 664700 )
-    NEW met3 ( 2916860 664700 ) ( 2917780 664700 0 )
-    NEW met3 ( 2063100 663340 ) ( 2063100 664020 )
-    NEW met3 ( 2063100 663340 ) ( 2110940 663340 )
-    NEW met3 ( 2110940 662660 ) ( 2110940 663340 )
-    NEW met3 ( 2110940 662660 ) ( 2111860 662660 )
-    NEW met3 ( 2159700 663340 ) ( 2159700 664020 )
-    NEW met3 ( 2159700 663340 ) ( 2207540 663340 )
-    NEW met3 ( 2207540 662660 ) ( 2207540 663340 )
-    NEW met3 ( 2111860 664020 ) ( 2159700 664020 )
-    NEW met3 ( 2207540 662660 ) ( 2208460 662660 )
-    NEW met3 ( 2256300 663340 ) ( 2256300 664020 )
-    NEW met3 ( 2256300 663340 ) ( 2304140 663340 )
-    NEW met3 ( 2304140 662660 ) ( 2304140 663340 )
-    NEW met3 ( 2208460 664020 ) ( 2256300 664020 )
-    NEW met3 ( 2304140 662660 ) ( 2305060 662660 )
-    NEW met3 ( 2352900 663340 ) ( 2352900 664020 )
-    NEW met3 ( 2352900 663340 ) ( 2400740 663340 )
-    NEW met3 ( 2400740 662660 ) ( 2400740 663340 )
-    NEW met3 ( 2305060 664020 ) ( 2352900 664020 )
-    NEW met3 ( 2400740 662660 ) ( 2401660 662660 )
-    NEW met3 ( 2449500 663340 ) ( 2449500 664020 )
-    NEW met3 ( 2449500 663340 ) ( 2497340 663340 )
-    NEW met3 ( 2497340 662660 ) ( 2497340 663340 )
-    NEW met3 ( 2401660 664020 ) ( 2449500 664020 )
-    NEW met3 ( 2497340 662660 ) ( 2498260 662660 )
-    NEW met3 ( 2546100 663340 ) ( 2546100 664020 )
-    NEW met3 ( 2546100 663340 ) ( 2593940 663340 )
-    NEW met3 ( 2593940 662660 ) ( 2593940 663340 )
-    NEW met3 ( 2498260 664020 ) ( 2546100 664020 )
-    NEW met3 ( 2593940 662660 ) ( 2594860 662660 )
-    NEW met3 ( 2642700 663340 ) ( 2642700 664020 )
-    NEW met3 ( 2642700 663340 ) ( 2690540 663340 )
-    NEW met3 ( 2690540 662660 ) ( 2690540 663340 )
-    NEW met3 ( 2594860 664020 ) ( 2642700 664020 )
-    NEW met3 ( 2690540 662660 ) ( 2691460 662660 )
-    NEW met3 ( 2835900 663340 ) ( 2835900 664020 )
-    NEW met3 ( 2835900 663340 ) ( 2883740 663340 )
-    NEW met3 ( 2883740 662660 ) ( 2883740 663340 )
-    NEW met3 ( 2883740 662660 ) ( 2884660 662660 )
-    NEW met3 ( 1704300 662660 ) ( 1704300 664020 )
+  + ROUTED met3 ( 2015260 593980 ) ( 2015260 595340 )
+    NEW met3 ( 2111860 593980 ) ( 2111860 595340 )
+    NEW met3 ( 2208460 593980 ) ( 2208460 595340 )
+    NEW met3 ( 2305060 593980 ) ( 2305060 595340 )
+    NEW met3 ( 2401660 593980 ) ( 2401660 595340 )
+    NEW met3 ( 2498260 593980 ) ( 2498260 595340 )
+    NEW met3 ( 2594860 593980 ) ( 2594860 595340 )
+    NEW met3 ( 2916860 594660 ) ( 2916860 598060 )
+    NEW met3 ( 2916860 598060 ) ( 2917780 598060 0 )
     NEW met3 ( 1190250 2298060 ) ( 1192780 2298060 )
     NEW met2 ( 1188870 2298060 0 ) ( 1190250 2298060 )
-    NEW met3 ( 1753060 662660 ) ( 1753060 664020 )
-    NEW met3 ( 1704300 662660 ) ( 1753060 662660 )
-    NEW met3 ( 2719060 662660 ) ( 2719060 664020 )
-    NEW met3 ( 2691460 664020 ) ( 2719060 664020 )
-    NEW met3 ( 1192780 670140 ) ( 1206810 670140 )
-    NEW met2 ( 1206810 664020 ) ( 1206810 670140 )
-    NEW met4 ( 1192780 670140 ) ( 1192780 2298060 )
-    NEW met2 ( 1256490 663340 ) ( 1256490 664700 )
-    NEW met2 ( 1449230 664020 ) ( 1449230 664190 )
-    NEW met1 ( 1449230 664190 ) ( 1463950 664190 )
-    NEW met2 ( 1463950 663340 ) ( 1463950 664190 )
-    NEW met3 ( 1231420 663340 ) ( 1231420 664020 )
-    NEW met3 ( 1206810 664020 ) ( 1231420 664020 )
-    NEW met3 ( 1231420 663340 ) ( 1256490 663340 )
-    NEW met3 ( 1510180 662660 ) ( 1510180 663340 )
-    NEW met3 ( 1463950 663340 ) ( 1510180 663340 )
-    NEW met4 ( 1787100 664020 ) ( 1787100 665380 )
-    NEW met3 ( 1753060 664020 ) ( 1787100 664020 )
-    NEW met3 ( 1980300 662660 ) ( 1980300 663340 )
-    NEW met3 ( 1980300 663340 ) ( 1993870 663340 )
-    NEW met2 ( 1993870 663340 ) ( 1993870 664190 )
-    NEW met1 ( 1993870 664190 ) ( 2028370 664190 )
-    NEW met2 ( 2028370 664020 ) ( 2028370 664190 )
-    NEW met3 ( 2028370 664020 ) ( 2063100 664020 )
-    NEW met4 ( 2753100 662660 ) ( 2753100 664020 )
-    NEW met3 ( 2719060 662660 ) ( 2753100 662660 )
-    NEW met3 ( 2753100 664020 ) ( 2835900 664020 )
-    NEW met4 ( 1538700 662660 ) ( 1538700 664020 )
-    NEW met3 ( 1538700 664020 ) ( 1586540 664020 )
-    NEW met4 ( 1586540 662660 ) ( 1586540 664020 )
-    NEW met3 ( 1510180 662660 ) ( 1538700 662660 )
-    NEW met4 ( 1635300 662660 ) ( 1635300 664020 )
-    NEW met3 ( 1586540 662660 ) ( 1635300 662660 )
-    NEW met3 ( 1635300 664020 ) ( 1704300 664020 )
-    NEW met4 ( 1828500 663340 ) ( 1828500 665380 )
-    NEW met3 ( 1828500 663340 ) ( 1838620 663340 )
-    NEW met3 ( 1838620 662660 ) ( 1838620 663340 )
-    NEW met3 ( 1787100 665380 ) ( 1828500 665380 )
-    NEW met3 ( 1925100 663340 ) ( 1925100 664700 )
-    NEW met3 ( 1925100 664700 ) ( 1949250 664700 )
-    NEW met2 ( 1949250 662660 ) ( 1949250 664700 )
-    NEW met3 ( 1949250 662660 ) ( 1980300 662660 )
-    NEW met2 ( 1877030 662660 ) ( 1877030 662830 )
-    NEW met1 ( 1877030 662830 ) ( 1924870 662830 )
-    NEW met2 ( 1924870 662830 ) ( 1924870 663340 )
-    NEW met3 ( 1838620 662660 ) ( 1877030 662660 )
-    NEW met3 ( 1924870 663340 ) ( 1925100 663340 )
-    NEW met3 ( 1373100 664020 ) ( 1373100 664700 )
-    NEW met3 ( 1256490 664700 ) ( 1373100 664700 )
-    NEW met3 ( 1373100 664020 ) ( 1449230 664020 )
+    NEW met3 ( 1966500 594660 ) ( 1966500 595340 )
+    NEW met3 ( 1966500 594660 ) ( 2014340 594660 )
+    NEW met3 ( 2014340 593980 ) ( 2014340 594660 )
+    NEW met3 ( 2014340 593980 ) ( 2015260 593980 )
+    NEW met3 ( 2063100 594660 ) ( 2063100 595340 )
+    NEW met3 ( 2063100 594660 ) ( 2110940 594660 )
+    NEW met3 ( 2110940 593980 ) ( 2110940 594660 )
+    NEW met3 ( 2015260 595340 ) ( 2063100 595340 )
+    NEW met3 ( 2110940 593980 ) ( 2111860 593980 )
+    NEW met3 ( 2159700 594660 ) ( 2159700 595340 )
+    NEW met3 ( 2159700 594660 ) ( 2207540 594660 )
+    NEW met3 ( 2207540 593980 ) ( 2207540 594660 )
+    NEW met3 ( 2111860 595340 ) ( 2159700 595340 )
+    NEW met3 ( 2207540 593980 ) ( 2208460 593980 )
+    NEW met3 ( 2256300 594660 ) ( 2256300 595340 )
+    NEW met3 ( 2256300 594660 ) ( 2304140 594660 )
+    NEW met3 ( 2304140 593980 ) ( 2304140 594660 )
+    NEW met3 ( 2208460 595340 ) ( 2256300 595340 )
+    NEW met3 ( 2304140 593980 ) ( 2305060 593980 )
+    NEW met3 ( 2352900 594660 ) ( 2352900 595340 )
+    NEW met3 ( 2352900 594660 ) ( 2400740 594660 )
+    NEW met3 ( 2400740 593980 ) ( 2400740 594660 )
+    NEW met3 ( 2305060 595340 ) ( 2352900 595340 )
+    NEW met3 ( 2400740 593980 ) ( 2401660 593980 )
+    NEW met3 ( 2449500 594660 ) ( 2449500 595340 )
+    NEW met3 ( 2449500 594660 ) ( 2497340 594660 )
+    NEW met3 ( 2497340 593980 ) ( 2497340 594660 )
+    NEW met3 ( 2401660 595340 ) ( 2449500 595340 )
+    NEW met3 ( 2497340 593980 ) ( 2498260 593980 )
+    NEW met3 ( 2546100 594660 ) ( 2546100 595340 )
+    NEW met3 ( 2546100 594660 ) ( 2593940 594660 )
+    NEW met3 ( 2593940 593980 ) ( 2593940 594660 )
+    NEW met3 ( 2498260 595340 ) ( 2546100 595340 )
+    NEW met3 ( 2593940 593980 ) ( 2594860 593980 )
+    NEW met3 ( 1704300 593980 ) ( 1704300 595340 )
+    NEW met4 ( 1192780 593980 ) ( 1192780 2298060 )
+    NEW met3 ( 1753060 593980 ) ( 1753060 595340 )
+    NEW met3 ( 1704300 593980 ) ( 1753060 593980 )
+    NEW met3 ( 2622460 594660 ) ( 2622460 595340 )
+    NEW met3 ( 2594860 595340 ) ( 2622460 595340 )
+    NEW met3 ( 1655540 593980 ) ( 1655540 595340 )
+    NEW met3 ( 1192780 593980 ) ( 1655540 593980 )
+    NEW met3 ( 1655540 595340 ) ( 1704300 595340 )
+    NEW met3 ( 1835860 594660 ) ( 1835860 596020 )
+    NEW met3 ( 1835860 596020 ) ( 1859550 596020 )
+    NEW met2 ( 1859550 593980 ) ( 1859550 596020 )
+    NEW met4 ( 2705260 593980 ) ( 2705260 595340 )
+    NEW met3 ( 2705260 593980 ) ( 2718370 593980 )
+    NEW met2 ( 2718370 593980 ) ( 2719290 593980 )
+    NEW met4 ( 1787100 595340 ) ( 1787100 596700 )
+    NEW met3 ( 1787100 596700 ) ( 1835170 596700 )
+    NEW met2 ( 1835170 594660 ) ( 1835170 596700 )
+    NEW met3 ( 1753060 595340 ) ( 1787100 595340 )
+    NEW met3 ( 1835170 594660 ) ( 1835860 594660 )
+    NEW met4 ( 1883700 593980 ) ( 1883700 595340 )
+    NEW met3 ( 1859550 593980 ) ( 1883700 593980 )
+    NEW met3 ( 1883700 595340 ) ( 1966500 595340 )
+    NEW met2 ( 2670070 594660 ) ( 2670070 594830 )
+    NEW met1 ( 2670070 594830 ) ( 2704570 594830 )
+    NEW met2 ( 2704570 594830 ) ( 2704570 595340 )
+    NEW met3 ( 2622460 594660 ) ( 2670070 594660 )
+    NEW met3 ( 2704570 595340 ) ( 2705260 595340 )
+    NEW met3 ( 2777020 593980 ) ( 2777020 594660 )
+    NEW met3 ( 2719290 593980 ) ( 2777020 593980 )
+    NEW met3 ( 2884660 593980 ) ( 2884660 594660 )
+    NEW met3 ( 2884660 594660 ) ( 2916860 594660 )
+    NEW met4 ( 2794500 594660 ) ( 2794500 596020 )
+    NEW met3 ( 2794500 596020 ) ( 2842570 596020 )
+    NEW met2 ( 2842570 593980 ) ( 2842570 596020 )
+    NEW met3 ( 2777020 594660 ) ( 2794500 594660 )
+    NEW met3 ( 2842570 593980 ) ( 2884660 593980 )
     NEW met3 ( 1192780 2298060 ) M3M4_PR_M
     NEW met2 ( 1190250 2298060 ) via2_FR
-    NEW met3 ( 1192780 670140 ) M3M4_PR_M
-    NEW met2 ( 1206810 670140 ) via2_FR
-    NEW met2 ( 1206810 664020 ) via2_FR
-    NEW met2 ( 1256490 663340 ) via2_FR
-    NEW met2 ( 1256490 664700 ) via2_FR
-    NEW met2 ( 1449230 664020 ) via2_FR
-    NEW met1 ( 1449230 664190 ) M1M2_PR
-    NEW met1 ( 1463950 664190 ) M1M2_PR
-    NEW met2 ( 1463950 663340 ) via2_FR
-    NEW met3 ( 1787100 664020 ) M3M4_PR_M
-    NEW met3 ( 1787100 665380 ) M3M4_PR_M
-    NEW met2 ( 1993870 663340 ) via2_FR
-    NEW met1 ( 1993870 664190 ) M1M2_PR
-    NEW met1 ( 2028370 664190 ) M1M2_PR
-    NEW met2 ( 2028370 664020 ) via2_FR
-    NEW met3 ( 2753100 662660 ) M3M4_PR_M
-    NEW met3 ( 2753100 664020 ) M3M4_PR_M
-    NEW met3 ( 1538700 662660 ) M3M4_PR_M
-    NEW met3 ( 1538700 664020 ) M3M4_PR_M
-    NEW met3 ( 1586540 664020 ) M3M4_PR_M
-    NEW met3 ( 1586540 662660 ) M3M4_PR_M
-    NEW met3 ( 1635300 662660 ) M3M4_PR_M
-    NEW met3 ( 1635300 664020 ) M3M4_PR_M
-    NEW met3 ( 1828500 665380 ) M3M4_PR_M
-    NEW met3 ( 1828500 663340 ) M3M4_PR_M
-    NEW met2 ( 1949250 664700 ) via2_FR
-    NEW met2 ( 1949250 662660 ) via2_FR
-    NEW met2 ( 1877030 662660 ) via2_FR
-    NEW met1 ( 1877030 662830 ) M1M2_PR
-    NEW met1 ( 1924870 662830 ) M1M2_PR
-    NEW met2 ( 1924870 663340 ) via2_FR
-    NEW met3 ( 1924870 663340 ) RECT ( -570 -150 0 150 )
+    NEW met3 ( 1192780 593980 ) M3M4_PR_M
+    NEW met2 ( 1859550 596020 ) via2_FR
+    NEW met2 ( 1859550 593980 ) via2_FR
+    NEW met3 ( 2705260 595340 ) M3M4_PR_M
+    NEW met3 ( 2705260 593980 ) M3M4_PR_M
+    NEW met2 ( 2718370 593980 ) via2_FR
+    NEW met2 ( 2719290 593980 ) via2_FR
+    NEW met3 ( 1787100 595340 ) M3M4_PR_M
+    NEW met3 ( 1787100 596700 ) M3M4_PR_M
+    NEW met2 ( 1835170 596700 ) via2_FR
+    NEW met2 ( 1835170 594660 ) via2_FR
+    NEW met3 ( 1883700 593980 ) M3M4_PR_M
+    NEW met3 ( 1883700 595340 ) M3M4_PR_M
+    NEW met2 ( 2670070 594660 ) via2_FR
+    NEW met1 ( 2670070 594830 ) M1M2_PR
+    NEW met1 ( 2704570 594830 ) M1M2_PR
+    NEW met2 ( 2704570 595340 ) via2_FR
+    NEW met3 ( 2794500 594660 ) M3M4_PR_M
+    NEW met3 ( 2794500 596020 ) M3M4_PR_M
+    NEW met2 ( 2842570 596020 ) via2_FR
+    NEW met2 ( 2842570 593980 ) via2_FR
 + USE SIGNAL ;
 - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) 
-  + ROUTED met3 ( 2300 1801660 0 ) ( 16790 1801660 )
-    NEW met2 ( 16790 1801660 ) ( 16790 1807610 )
-    NEW met2 ( 1629550 2299420 ) ( 1629550 2299930 )
-    NEW met2 ( 1629550 2299420 ) ( 1630470 2299420 0 )
-    NEW met1 ( 16790 1807610 ) ( 1114350 1807610 )
-    NEW met2 ( 1114350 1807610 ) ( 1114350 2299930 )
-    NEW met1 ( 1114350 2299930 ) ( 1629550 2299930 )
-    NEW met2 ( 16790 1801660 ) via2_FR
-    NEW met1 ( 16790 1807610 ) M1M2_PR
-    NEW met1 ( 1629550 2299930 ) M1M2_PR
-    NEW met1 ( 1114350 1807610 ) M1M2_PR
-    NEW met1 ( 1114350 2299930 ) M1M2_PR
+  + ROUTED met3 ( 2300 2076380 0 ) ( 17710 2076380 )
+    NEW met2 ( 17710 2076380 ) ( 17710 2076890 )
+    NEW met1 ( 17710 2076890 ) ( 1128610 2076890 )
+    NEW met2 ( 1128610 2076890 ) ( 1128610 2293470 )
+    NEW li1 ( 1628630 2293470 ) ( 1628630 2298230 )
+    NEW met2 ( 1628630 2298060 ) ( 1628630 2298230 )
+    NEW met2 ( 1628630 2298060 ) ( 1630470 2298060 0 )
+    NEW met1 ( 1128610 2293470 ) ( 1628630 2293470 )
+    NEW met2 ( 17710 2076380 ) via2_FR
+    NEW met1 ( 17710 2076890 ) M1M2_PR
+    NEW met1 ( 1128610 2076890 ) M1M2_PR
+    NEW met1 ( 1128610 2293470 ) M1M2_PR
+    NEW li1 ( 1628630 2293470 ) L1M1_PR_MR
+    NEW li1 ( 1628630 2298230 ) L1M1_PR_MR
+    NEW met1 ( 1628630 2298230 ) M1M2_PR
+    NEW met1 ( 1628630 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) 
-  + ROUTED met3 ( 2300 1550060 0 ) ( 15870 1550060 )
-    NEW met2 ( 15870 1550060 ) ( 15870 1552270 )
-    NEW li1 ( 1645190 2293810 ) ( 1645190 2298230 )
+  + ROUTED met3 ( 2300 1864900 0 ) ( 15870 1864900 )
+    NEW met2 ( 15870 1864900 ) ( 15870 1869830 )
+    NEW met1 ( 15870 1869830 ) ( 1141950 1869830 )
+    NEW met2 ( 1141950 1869830 ) ( 1141950 2294150 )
+    NEW li1 ( 1645190 2294150 ) ( 1645190 2298230 )
     NEW met2 ( 1645190 2298060 ) ( 1645190 2298230 )
     NEW met2 ( 1645190 2298060 ) ( 1646570 2298060 0 )
-    NEW met1 ( 15870 1552270 ) ( 1128150 1552270 )
-    NEW met2 ( 1128150 1552270 ) ( 1128150 2293810 )
-    NEW met1 ( 1128150 2293810 ) ( 1645190 2293810 )
-    NEW met2 ( 15870 1550060 ) via2_FR
-    NEW met1 ( 15870 1552270 ) M1M2_PR
-    NEW li1 ( 1645190 2293810 ) L1M1_PR_MR
+    NEW met1 ( 1141950 2294150 ) ( 1645190 2294150 )
+    NEW met2 ( 15870 1864900 ) via2_FR
+    NEW met1 ( 15870 1869830 ) M1M2_PR
+    NEW met1 ( 1141950 1869830 ) M1M2_PR
+    NEW met1 ( 1141950 2294150 ) M1M2_PR
+    NEW li1 ( 1645190 2294150 ) L1M1_PR_MR
     NEW li1 ( 1645190 2298230 ) L1M1_PR_MR
     NEW met1 ( 1645190 2298230 ) M1M2_PR
-    NEW met1 ( 1128150 2293810 ) M1M2_PR
-    NEW met1 ( 1128150 1552270 ) M1M2_PR
     NEW met1 ( 1645190 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) 
-  + ROUTED met3 ( 2300 1298460 0 ) ( 16790 1298460 )
-    NEW met2 ( 16790 1298460 ) ( 16790 1304070 )
-    NEW met2 ( 893550 1304070 ) ( 893550 2305370 )
-    NEW met2 ( 1662210 2299420 0 ) ( 1662210 2305370 )
-    NEW met1 ( 16790 1304070 ) ( 893550 1304070 )
-    NEW met1 ( 893550 2305370 ) ( 1662210 2305370 )
-    NEW met1 ( 893550 2305370 ) M1M2_PR
-    NEW met1 ( 1662210 2305370 ) M1M2_PR
-    NEW met2 ( 16790 1298460 ) via2_FR
-    NEW met1 ( 16790 1304070 ) M1M2_PR
-    NEW met1 ( 893550 1304070 ) M1M2_PR
+  + ROUTED met3 ( 2300 1653420 0 ) ( 17710 1653420 )
+    NEW met2 ( 17710 1653420 ) ( 17710 1655970 )
+    NEW met1 ( 17710 1655970 ) ( 1107450 1655970 )
+    NEW met2 ( 1662210 2299420 0 ) ( 1662210 2305710 )
+    NEW met1 ( 1107450 2305710 ) ( 1662210 2305710 )
+    NEW met2 ( 1107450 1655970 ) ( 1107450 2305710 )
+    NEW met2 ( 17710 1653420 ) via2_FR
+    NEW met1 ( 17710 1655970 ) M1M2_PR
+    NEW met1 ( 1107450 1655970 ) M1M2_PR
+    NEW met1 ( 1107450 2305710 ) M1M2_PR
+    NEW met1 ( 1662210 2305710 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) 
-  + ROUTED met3 ( 2300 1046860 0 ) ( 16330 1046860 )
-    NEW met2 ( 16330 1046860 ) ( 16330 1048730 )
-    NEW met1 ( 16330 1048730 ) ( 1121250 1048730 )
-    NEW met2 ( 1676930 2298740 ) ( 1676930 2298910 )
-    NEW met2 ( 1676930 2298740 ) ( 1677850 2298740 0 )
-    NEW met2 ( 1121250 1048730 ) ( 1121250 2298910 )
-    NEW met1 ( 1121250 2298910 ) ( 1676930 2298910 )
-    NEW met2 ( 16330 1046860 ) via2_FR
-    NEW met1 ( 16330 1048730 ) M1M2_PR
-    NEW met1 ( 1121250 1048730 ) M1M2_PR
-    NEW met1 ( 1676930 2298910 ) M1M2_PR
-    NEW met1 ( 1121250 2298910 ) M1M2_PR
+  + ROUTED met3 ( 2300 1442620 0 ) ( 17710 1442620 )
+    NEW met2 ( 17710 1442620 ) ( 17710 1448910 )
+    NEW met1 ( 17710 1448910 ) ( 1114350 1448910 )
+    NEW met2 ( 1676930 2299250 ) ( 1676930 2299420 )
+    NEW met2 ( 1676930 2299420 ) ( 1677850 2299420 0 )
+    NEW met1 ( 1114350 2299250 ) ( 1676930 2299250 )
+    NEW met2 ( 1114350 1448910 ) ( 1114350 2299250 )
+    NEW met2 ( 17710 1442620 ) via2_FR
+    NEW met1 ( 17710 1448910 ) M1M2_PR
+    NEW met1 ( 1114350 1448910 ) M1M2_PR
+    NEW met1 ( 1114350 2299250 ) M1M2_PR
+    NEW met1 ( 1676930 2299250 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) 
-  + ROUTED met3 ( 2300 795940 0 ) ( 17710 795940 )
-    NEW met2 ( 17710 795940 ) ( 17710 2312850 )
-    NEW met2 ( 1693950 2299420 0 ) ( 1693950 2312850 )
-    NEW met1 ( 17710 2312850 ) ( 1693950 2312850 )
-    NEW met2 ( 17710 795940 ) via2_FR
-    NEW met1 ( 17710 2312850 ) M1M2_PR
-    NEW met1 ( 1693950 2312850 ) M1M2_PR
+  + ROUTED met3 ( 2300 1231140 0 ) ( 14030 1231140 )
+    NEW met2 ( 14030 1231140 ) ( 14030 1234030 )
+    NEW met1 ( 14030 1234030 ) ( 24150 1234030 )
+    NEW met2 ( 24150 1234030 ) ( 24150 2291090 )
+    NEW li1 ( 1692110 2291090 ) ( 1692110 2298230 )
+    NEW met2 ( 1692110 2298060 ) ( 1692110 2298230 )
+    NEW met2 ( 1692110 2298060 ) ( 1693950 2298060 0 )
+    NEW met1 ( 24150 2291090 ) ( 1692110 2291090 )
+    NEW met2 ( 14030 1231140 ) via2_FR
+    NEW met1 ( 14030 1234030 ) M1M2_PR
+    NEW met1 ( 24150 1234030 ) M1M2_PR
+    NEW met1 ( 24150 2291090 ) M1M2_PR
+    NEW li1 ( 1692110 2291090 ) L1M1_PR_MR
+    NEW li1 ( 1692110 2298230 ) L1M1_PR_MR
+    NEW met1 ( 1692110 2298230 ) M1M2_PR
+    NEW met1 ( 1692110 2298230 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) 
-  + ROUTED met3 ( 2300 544340 0 ) ( 17250 544340 )
-    NEW met2 ( 17250 544340 ) ( 17250 2312340 )
+  + ROUTED met3 ( 2300 1020340 0 ) ( 17250 1020340 )
+    NEW met2 ( 17250 1020340 ) ( 17250 2312340 )
     NEW met2 ( 1709590 2299420 0 ) ( 1709590 2312340 )
     NEW met3 ( 17250 2312340 ) ( 1709590 2312340 )
     NEW met2 ( 17250 2312340 ) via2_FR
-    NEW met2 ( 17250 544340 ) via2_FR
+    NEW met2 ( 17250 1020340 ) via2_FR
     NEW met2 ( 1709590 2312340 ) via2_FR
 + USE SIGNAL ;
 - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) 
-  + ROUTED met3 ( 2300 292740 0 ) ( 15870 292740 )
-    NEW met2 ( 15870 292740 ) ( 15870 295970 )
-    NEW li1 ( 1725690 2292450 ) ( 1725690 2298230 )
-    NEW met2 ( 1725690 2298060 ) ( 1725690 2298230 )
-    NEW met2 ( 1725230 2298060 0 ) ( 1725690 2298060 )
-    NEW met1 ( 15870 295970 ) ( 1093650 295970 )
-    NEW met2 ( 1093650 295970 ) ( 1093650 2292450 )
-    NEW met1 ( 1093650 2292450 ) ( 1725690 2292450 )
-    NEW met2 ( 15870 292740 ) via2_FR
-    NEW met1 ( 15870 295970 ) M1M2_PR
-    NEW met1 ( 1093650 295970 ) M1M2_PR
-    NEW li1 ( 1725690 2292450 ) L1M1_PR_MR
-    NEW li1 ( 1725690 2298230 ) L1M1_PR_MR
-    NEW met1 ( 1725690 2298230 ) M1M2_PR
-    NEW met1 ( 1093650 2292450 ) M1M2_PR
-    NEW met1 ( 1725690 2298230 ) RECT ( -355 -70 0 70 )
+  + ROUTED met3 ( 2300 808860 0 ) ( 17250 808860 )
+    NEW met2 ( 17250 808860 ) ( 17250 814130 )
+    NEW met2 ( 1725230 2299420 0 ) ( 1725230 2305370 )
+    NEW met2 ( 1128150 814130 ) ( 1128150 2305370 )
+    NEW met1 ( 1128150 2305370 ) ( 1725230 2305370 )
+    NEW met1 ( 17250 814130 ) ( 1128150 814130 )
+    NEW met2 ( 17250 808860 ) via2_FR
+    NEW met1 ( 17250 814130 ) M1M2_PR
+    NEW met1 ( 1128150 2305370 ) M1M2_PR
+    NEW met1 ( 1725230 2305370 ) M1M2_PR
+    NEW met1 ( 1128150 814130 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) 
-  + ROUTED met3 ( 2300 41820 0 ) ( 17250 41820 )
-    NEW met2 ( 17250 41820 ) ( 17250 47940 )
+  + ROUTED met3 ( 2300 598060 0 ) ( 3220 598060 )
+    NEW met3 ( 3220 598060 ) ( 3220 600100 )
     NEW met3 ( 1739260 2298060 ) ( 1739950 2298060 )
     NEW met2 ( 1739950 2298060 ) ( 1741330 2298060 0 )
-    NEW met4 ( 1739260 47940 ) ( 1739260 2298060 )
-    NEW met3 ( 17250 47940 ) ( 1739260 47940 )
-    NEW met2 ( 17250 41820 ) via2_FR
-    NEW met2 ( 17250 47940 ) via2_FR
+    NEW met4 ( 1739260 600100 ) ( 1739260 2298060 )
+    NEW met3 ( 3220 600100 ) ( 1739260 600100 )
     NEW met3 ( 1739260 2298060 ) M3M4_PR_M
     NEW met2 ( 1739950 2298060 ) via2_FR
-    NEW met3 ( 1739260 47940 ) M3M4_PR_M
+    NEW met3 ( 1739260 600100 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) 
-  + ROUTED met3 ( 2111860 897260 ) ( 2111860 898620 )
-    NEW met3 ( 2208460 897260 ) ( 2208460 898620 )
-    NEW met3 ( 2305060 897260 ) ( 2305060 898620 )
-    NEW met3 ( 2401660 897260 ) ( 2401660 898620 )
-    NEW met3 ( 2498260 897260 ) ( 2498260 898620 )
-    NEW met3 ( 2594860 897260 ) ( 2594860 898620 )
-    NEW met3 ( 2691460 897260 ) ( 2691460 898620 )
-    NEW met3 ( 2884660 897260 ) ( 2884660 897940 )
-    NEW met3 ( 2884660 897940 ) ( 2916860 897940 )
-    NEW met3 ( 2916860 897940 ) ( 2916860 899300 )
-    NEW met3 ( 2916860 899300 ) ( 2917780 899300 0 )
-    NEW met3 ( 2063100 897940 ) ( 2063100 898620 )
-    NEW met3 ( 2063100 897940 ) ( 2110940 897940 )
-    NEW met3 ( 2110940 897260 ) ( 2110940 897940 )
-    NEW met3 ( 2110940 897260 ) ( 2111860 897260 )
-    NEW met3 ( 2159700 897940 ) ( 2159700 898620 )
-    NEW met3 ( 2159700 897940 ) ( 2207540 897940 )
-    NEW met3 ( 2207540 897260 ) ( 2207540 897940 )
-    NEW met3 ( 2111860 898620 ) ( 2159700 898620 )
-    NEW met3 ( 2207540 897260 ) ( 2208460 897260 )
-    NEW met3 ( 2256300 897940 ) ( 2256300 898620 )
-    NEW met3 ( 2256300 897940 ) ( 2304140 897940 )
-    NEW met3 ( 2304140 897260 ) ( 2304140 897940 )
-    NEW met3 ( 2208460 898620 ) ( 2256300 898620 )
-    NEW met3 ( 2304140 897260 ) ( 2305060 897260 )
-    NEW met3 ( 2352900 897940 ) ( 2352900 898620 )
-    NEW met3 ( 2352900 897940 ) ( 2400740 897940 )
-    NEW met3 ( 2400740 897260 ) ( 2400740 897940 )
-    NEW met3 ( 2305060 898620 ) ( 2352900 898620 )
-    NEW met3 ( 2400740 897260 ) ( 2401660 897260 )
-    NEW met3 ( 2449500 897940 ) ( 2449500 898620 )
-    NEW met3 ( 2449500 897940 ) ( 2497340 897940 )
-    NEW met3 ( 2497340 897260 ) ( 2497340 897940 )
-    NEW met3 ( 2401660 898620 ) ( 2449500 898620 )
-    NEW met3 ( 2497340 897260 ) ( 2498260 897260 )
-    NEW met3 ( 2546100 897940 ) ( 2546100 898620 )
-    NEW met3 ( 2546100 897940 ) ( 2593940 897940 )
-    NEW met3 ( 2593940 897260 ) ( 2593940 897940 )
-    NEW met3 ( 2498260 898620 ) ( 2546100 898620 )
-    NEW met3 ( 2593940 897260 ) ( 2594860 897260 )
-    NEW met3 ( 2642700 897940 ) ( 2642700 898620 )
-    NEW met3 ( 2642700 897940 ) ( 2690540 897940 )
-    NEW met3 ( 2690540 897260 ) ( 2690540 897940 )
-    NEW met3 ( 2594860 898620 ) ( 2642700 898620 )
-    NEW met3 ( 2690540 897260 ) ( 2691460 897260 )
-    NEW met3 ( 2835900 897940 ) ( 2835900 898620 )
-    NEW met3 ( 2835900 897940 ) ( 2883740 897940 )
-    NEW met3 ( 2883740 897260 ) ( 2883740 897940 )
-    NEW met3 ( 2883740 897260 ) ( 2884660 897260 )
-    NEW met3 ( 1318820 897260 ) ( 1318820 898620 )
-    NEW met3 ( 1704300 897260 ) ( 1704300 898620 )
-    NEW met3 ( 1205890 2298060 ) ( 1206580 2298060 )
+  + ROUTED met3 ( 1205890 2298060 ) ( 1206580 2298060 )
     NEW met2 ( 1204510 2298060 0 ) ( 1205890 2298060 )
-    NEW met3 ( 2719060 897260 ) ( 2719060 898620 )
-    NEW met3 ( 2691460 898620 ) ( 2719060 898620 )
-    NEW met2 ( 1303410 896580 ) ( 1303410 898620 )
-    NEW met3 ( 1303410 898620 ) ( 1318820 898620 )
-    NEW met3 ( 1932460 897940 ) ( 1932460 899300 )
-    NEW met3 ( 1932460 899300 ) ( 1956150 899300 )
-    NEW met2 ( 1956150 897260 ) ( 1956150 899300 )
-    NEW met4 ( 1497300 895900 ) ( 1497300 897940 )
-    NEW met2 ( 1897270 897260 ) ( 1897270 897940 )
-    NEW met2 ( 1897270 897940 ) ( 1898190 897940 )
-    NEW met3 ( 1898190 897940 ) ( 1932460 897940 )
-    NEW met4 ( 1980300 897260 ) ( 1980300 898620 )
-    NEW met3 ( 1956150 897260 ) ( 1980300 897260 )
-    NEW met3 ( 1980300 898620 ) ( 2063100 898620 )
-    NEW met4 ( 2753100 897260 ) ( 2753100 898620 )
-    NEW met3 ( 2719060 897260 ) ( 2753100 897260 )
-    NEW met3 ( 2753100 898620 ) ( 2835900 898620 )
-    NEW met2 ( 1256030 897090 ) ( 1256030 897940 )
-    NEW met1 ( 1256030 897090 ) ( 1296970 897090 )
-    NEW met2 ( 1296970 896580 ) ( 1296970 897090 )
-    NEW met3 ( 1296970 896580 ) ( 1303410 896580 )
-    NEW met4 ( 1345500 897260 ) ( 1345500 898620 )
-    NEW met3 ( 1318820 897260 ) ( 1345500 897260 )
-    NEW met4 ( 1442100 898620 ) ( 1442100 899980 )
-    NEW met3 ( 1442100 899980 ) ( 1465790 899980 )
-    NEW met2 ( 1465790 898620 ) ( 1465790 899980 )
-    NEW met3 ( 1465790 898620 ) ( 1489940 898620 )
-    NEW met3 ( 1489940 897940 ) ( 1489940 898620 )
-    NEW met3 ( 1345500 898620 ) ( 1442100 898620 )
-    NEW met3 ( 1489940 897940 ) ( 1497300 897940 )
-    NEW met4 ( 1538700 895900 ) ( 1538700 897260 )
-    NEW met3 ( 1497300 895900 ) ( 1538700 895900 )
-    NEW met4 ( 1635300 897260 ) ( 1635300 898620 )
-    NEW met3 ( 1538700 897260 ) ( 1635300 897260 )
-    NEW met3 ( 1635300 898620 ) ( 1704300 898620 )
-    NEW met3 ( 1731900 897260 ) ( 1731900 897940 )
-    NEW met3 ( 1731900 897940 ) ( 1753980 897940 )
-    NEW met3 ( 1753980 897940 ) ( 1753980 898620 )
-    NEW met3 ( 1704300 897260 ) ( 1731900 897260 )
-    NEW met2 ( 1848970 897940 ) ( 1849890 897940 )
-    NEW met2 ( 1849890 897260 ) ( 1849890 897940 )
-    NEW met3 ( 1849890 897260 ) ( 1897270 897260 )
-    NEW met4 ( 1206580 897940 ) ( 1206580 2298060 )
-    NEW met3 ( 1206580 897940 ) ( 1256030 897940 )
-    NEW met4 ( 1780660 896580 ) ( 1780660 898620 )
-    NEW met3 ( 1780660 896580 ) ( 1828270 896580 )
-    NEW met2 ( 1828270 896580 ) ( 1828270 897940 )
-    NEW met3 ( 1753980 898620 ) ( 1780660 898620 )
-    NEW met3 ( 1828270 897940 ) ( 1848970 897940 )
+    NEW met3 ( 1512020 808180 ) ( 1512020 809540 )
+    NEW met3 ( 1608620 808180 ) ( 1608620 809540 )
+    NEW met3 ( 1704300 807500 ) ( 1704300 808860 )
+    NEW met3 ( 1848740 807500 ) ( 1848740 808180 )
+    NEW met3 ( 1897500 807500 ) ( 1897500 808860 )
+    NEW met3 ( 1897500 808860 ) ( 1945340 808860 )
+    NEW met3 ( 1945340 807500 ) ( 1945340 808860 )
+    NEW met3 ( 1994100 807500 ) ( 1994100 808860 )
+    NEW met3 ( 1994100 808860 ) ( 2041940 808860 )
+    NEW met3 ( 2041940 807500 ) ( 2041940 808860 )
+    NEW met3 ( 2090700 807500 ) ( 2090700 808860 )
+    NEW met3 ( 2090700 808860 ) ( 2138540 808860 )
+    NEW met3 ( 2138540 807500 ) ( 2138540 808860 )
+    NEW met3 ( 2187300 807500 ) ( 2187300 808860 )
+    NEW met3 ( 2187300 808860 ) ( 2235140 808860 )
+    NEW met3 ( 2235140 807500 ) ( 2235140 808860 )
+    NEW met3 ( 2283900 807500 ) ( 2283900 808860 )
+    NEW met3 ( 2283900 808860 ) ( 2331740 808860 )
+    NEW met3 ( 2331740 807500 ) ( 2331740 808860 )
+    NEW met3 ( 2380500 807500 ) ( 2380500 808860 )
+    NEW met3 ( 2380500 808860 ) ( 2428340 808860 )
+    NEW met3 ( 2428340 807500 ) ( 2428340 808860 )
+    NEW met3 ( 2477100 807500 ) ( 2477100 808860 )
+    NEW met3 ( 2477100 808860 ) ( 2524940 808860 )
+    NEW met3 ( 2524940 807500 ) ( 2524940 808860 )
+    NEW met3 ( 2573700 807500 ) ( 2573700 808860 )
+    NEW met3 ( 2573700 808860 ) ( 2621540 808860 )
+    NEW met3 ( 2621540 807500 ) ( 2621540 808860 )
+    NEW met3 ( 2814740 807500 ) ( 2814740 808180 )
+    NEW met3 ( 2863500 807500 ) ( 2863500 808860 )
+    NEW met4 ( 1206580 808860 ) ( 1206580 2298060 )
+    NEW met3 ( 1680380 808180 ) ( 1680380 808860 )
+    NEW met3 ( 1608620 808180 ) ( 1680380 808180 )
+    NEW met3 ( 1680380 808860 ) ( 1704300 808860 )
+    NEW met3 ( 1753060 807500 ) ( 1753060 808180 )
+    NEW met3 ( 1704300 807500 ) ( 1753060 807500 )
+    NEW met3 ( 1848740 807500 ) ( 1897500 807500 )
+    NEW met3 ( 1945340 807500 ) ( 1994100 807500 )
+    NEW met3 ( 2041940 807500 ) ( 2090700 807500 )
+    NEW met3 ( 2138540 807500 ) ( 2187300 807500 )
+    NEW met3 ( 2235140 807500 ) ( 2283900 807500 )
+    NEW met3 ( 2331740 807500 ) ( 2380500 807500 )
+    NEW met3 ( 2428340 807500 ) ( 2477100 807500 )
+    NEW met3 ( 2524940 807500 ) ( 2573700 807500 )
+    NEW met3 ( 2622460 807500 ) ( 2622460 808180 )
+    NEW met3 ( 2621540 807500 ) ( 2622460 807500 )
+    NEW met3 ( 2719060 807500 ) ( 2719060 808180 )
+    NEW met3 ( 2814740 807500 ) ( 2863500 807500 )
+    NEW met3 ( 2863500 808860 ) ( 2917780 808860 0 )
+    NEW met2 ( 1256030 808690 ) ( 1256030 808860 )
+    NEW met1 ( 1256030 808690 ) ( 1280410 808690 )
+    NEW met2 ( 1280410 807500 ) ( 1280410 808690 )
+    NEW met3 ( 1206580 808860 ) ( 1256030 808860 )
+    NEW met4 ( 1496380 806140 ) ( 1496380 809540 )
+    NEW met3 ( 1496380 809540 ) ( 1512020 809540 )
+    NEW met3 ( 1558940 808180 ) ( 1558940 809540 )
+    NEW met3 ( 1512020 808180 ) ( 1558940 808180 )
+    NEW met3 ( 1558940 809540 ) ( 1608620 809540 )
+    NEW met2 ( 1800670 808180 ) ( 1801590 808180 )
+    NEW met3 ( 1753060 808180 ) ( 1800670 808180 )
+    NEW met3 ( 1801590 808180 ) ( 1848740 808180 )
+    NEW met2 ( 2669150 808180 ) ( 2669150 808350 )
+    NEW met1 ( 2669150 808350 ) ( 2704570 808350 )
+    NEW met2 ( 2704570 807500 ) ( 2704570 808350 )
+    NEW met3 ( 2622460 808180 ) ( 2669150 808180 )
+    NEW met3 ( 2704570 807500 ) ( 2719060 807500 )
+    NEW met2 ( 2766670 808180 ) ( 2766670 808350 )
+    NEW met1 ( 2766670 808350 ) ( 2767590 808350 )
+    NEW met2 ( 2767590 808180 ) ( 2767590 808350 )
+    NEW met3 ( 2719060 808180 ) ( 2766670 808180 )
+    NEW met3 ( 2767590 808180 ) ( 2814740 808180 )
+    NEW met3 ( 1283860 807500 ) ( 1283860 808180 )
+    NEW met3 ( 1280410 807500 ) ( 1283860 807500 )
+    NEW met3 ( 1349180 808180 ) ( 1349180 810220 )
+    NEW met3 ( 1283860 808180 ) ( 1349180 808180 )
+    NEW met4 ( 1468780 806140 ) ( 1468780 808180 )
+    NEW met3 ( 1468780 806140 ) ( 1496380 806140 )
+    NEW met3 ( 1373100 809540 ) ( 1373100 810220 )
+    NEW met3 ( 1373100 809540 ) ( 1420940 809540 )
+    NEW met3 ( 1420940 808180 ) ( 1420940 809540 )
+    NEW met3 ( 1349180 810220 ) ( 1373100 810220 )
+    NEW met3 ( 1420940 808180 ) ( 1468780 808180 )
     NEW met3 ( 1206580 2298060 ) M3M4_PR_M
     NEW met2 ( 1205890 2298060 ) via2_FR
-    NEW met2 ( 1303410 896580 ) via2_FR
-    NEW met2 ( 1303410 898620 ) via2_FR
-    NEW met2 ( 1956150 899300 ) via2_FR
-    NEW met2 ( 1956150 897260 ) via2_FR
-    NEW met3 ( 1497300 897940 ) M3M4_PR_M
-    NEW met3 ( 1497300 895900 ) M3M4_PR_M
-    NEW met2 ( 1897270 897260 ) via2_FR
-    NEW met2 ( 1898190 897940 ) via2_FR
-    NEW met3 ( 1980300 897260 ) M3M4_PR_M
-    NEW met3 ( 1980300 898620 ) M3M4_PR_M
-    NEW met3 ( 2753100 897260 ) M3M4_PR_M
-    NEW met3 ( 2753100 898620 ) M3M4_PR_M
-    NEW met2 ( 1256030 897940 ) via2_FR
-    NEW met1 ( 1256030 897090 ) M1M2_PR
-    NEW met1 ( 1296970 897090 ) M1M2_PR
-    NEW met2 ( 1296970 896580 ) via2_FR
-    NEW met3 ( 1345500 897260 ) M3M4_PR_M
-    NEW met3 ( 1345500 898620 ) M3M4_PR_M
-    NEW met3 ( 1442100 898620 ) M3M4_PR_M
-    NEW met3 ( 1442100 899980 ) M3M4_PR_M
-    NEW met2 ( 1465790 899980 ) via2_FR
-    NEW met2 ( 1465790 898620 ) via2_FR
-    NEW met3 ( 1538700 895900 ) M3M4_PR_M
-    NEW met3 ( 1538700 897260 ) M3M4_PR_M
-    NEW met3 ( 1635300 897260 ) M3M4_PR_M
-    NEW met3 ( 1635300 898620 ) M3M4_PR_M
-    NEW met2 ( 1848970 897940 ) via2_FR
-    NEW met2 ( 1849890 897260 ) via2_FR
-    NEW met3 ( 1206580 897940 ) M3M4_PR_M
-    NEW met3 ( 1780660 898620 ) M3M4_PR_M
-    NEW met3 ( 1780660 896580 ) M3M4_PR_M
-    NEW met2 ( 1828270 896580 ) via2_FR
-    NEW met2 ( 1828270 897940 ) via2_FR
+    NEW met3 ( 1206580 808860 ) M3M4_PR_M
+    NEW met2 ( 1256030 808860 ) via2_FR
+    NEW met1 ( 1256030 808690 ) M1M2_PR
+    NEW met1 ( 1280410 808690 ) M1M2_PR
+    NEW met2 ( 1280410 807500 ) via2_FR
+    NEW met3 ( 1496380 806140 ) M3M4_PR_M
+    NEW met3 ( 1496380 809540 ) M3M4_PR_M
+    NEW met2 ( 1800670 808180 ) via2_FR
+    NEW met2 ( 1801590 808180 ) via2_FR
+    NEW met2 ( 2669150 808180 ) via2_FR
+    NEW met1 ( 2669150 808350 ) M1M2_PR
+    NEW met1 ( 2704570 808350 ) M1M2_PR
+    NEW met2 ( 2704570 807500 ) via2_FR
+    NEW met2 ( 2766670 808180 ) via2_FR
+    NEW met1 ( 2766670 808350 ) M1M2_PR
+    NEW met1 ( 2767590 808350 ) M1M2_PR
+    NEW met2 ( 2767590 808180 ) via2_FR
+    NEW met3 ( 1468780 808180 ) M3M4_PR_M
+    NEW met3 ( 1468780 806140 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) 
-  + ROUTED met3 ( 1918660 1131860 ) ( 1918660 1133220 )
-    NEW met3 ( 2015260 1131860 ) ( 2015260 1133220 )
-    NEW met3 ( 2111860 1131860 ) ( 2111860 1133220 )
-    NEW met3 ( 2208460 1131860 ) ( 2208460 1133220 )
-    NEW met3 ( 2305060 1131860 ) ( 2305060 1133220 )
-    NEW met3 ( 2401660 1131860 ) ( 2401660 1133220 )
-    NEW met3 ( 2498260 1131860 ) ( 2498260 1133220 )
-    NEW met3 ( 2594860 1131860 ) ( 2594860 1133220 )
-    NEW met3 ( 2691460 1131860 ) ( 2691460 1133220 )
-    NEW met3 ( 2884660 1131860 ) ( 2884660 1132540 )
-    NEW met3 ( 2884660 1132540 ) ( 2916860 1132540 )
-    NEW met3 ( 2916860 1132540 ) ( 2916860 1133900 )
-    NEW met3 ( 2916860 1133900 ) ( 2917780 1133900 0 )
-    NEW met3 ( 1869900 1131860 ) ( 1869900 1133220 )
-    NEW met3 ( 1869900 1133220 ) ( 1917740 1133220 )
-    NEW met3 ( 1917740 1131860 ) ( 1917740 1133220 )
-    NEW met3 ( 1917740 1131860 ) ( 1918660 1131860 )
-    NEW met3 ( 1966500 1132540 ) ( 1966500 1133220 )
-    NEW met3 ( 1966500 1132540 ) ( 2014340 1132540 )
-    NEW met3 ( 2014340 1131860 ) ( 2014340 1132540 )
-    NEW met3 ( 1918660 1133220 ) ( 1966500 1133220 )
-    NEW met3 ( 2014340 1131860 ) ( 2015260 1131860 )
-    NEW met3 ( 2063100 1132540 ) ( 2063100 1133220 )
-    NEW met3 ( 2063100 1132540 ) ( 2110940 1132540 )
-    NEW met3 ( 2110940 1131860 ) ( 2110940 1132540 )
-    NEW met3 ( 2015260 1133220 ) ( 2063100 1133220 )
-    NEW met3 ( 2110940 1131860 ) ( 2111860 1131860 )
-    NEW met3 ( 2159700 1132540 ) ( 2159700 1133220 )
-    NEW met3 ( 2159700 1132540 ) ( 2207540 1132540 )
-    NEW met3 ( 2207540 1131860 ) ( 2207540 1132540 )
-    NEW met3 ( 2111860 1133220 ) ( 2159700 1133220 )
-    NEW met3 ( 2207540 1131860 ) ( 2208460 1131860 )
-    NEW met3 ( 2256300 1132540 ) ( 2256300 1133220 )
-    NEW met3 ( 2256300 1132540 ) ( 2304140 1132540 )
-    NEW met3 ( 2304140 1131860 ) ( 2304140 1132540 )
-    NEW met3 ( 2208460 1133220 ) ( 2256300 1133220 )
-    NEW met3 ( 2304140 1131860 ) ( 2305060 1131860 )
-    NEW met3 ( 2352900 1132540 ) ( 2352900 1133220 )
-    NEW met3 ( 2352900 1132540 ) ( 2400740 1132540 )
-    NEW met3 ( 2400740 1131860 ) ( 2400740 1132540 )
-    NEW met3 ( 2305060 1133220 ) ( 2352900 1133220 )
-    NEW met3 ( 2400740 1131860 ) ( 2401660 1131860 )
-    NEW met3 ( 2449500 1132540 ) ( 2449500 1133220 )
-    NEW met3 ( 2449500 1132540 ) ( 2497340 1132540 )
-    NEW met3 ( 2497340 1131860 ) ( 2497340 1132540 )
-    NEW met3 ( 2401660 1133220 ) ( 2449500 1133220 )
-    NEW met3 ( 2497340 1131860 ) ( 2498260 1131860 )
-    NEW met3 ( 2546100 1132540 ) ( 2546100 1133220 )
-    NEW met3 ( 2546100 1132540 ) ( 2593940 1132540 )
-    NEW met3 ( 2593940 1131860 ) ( 2593940 1132540 )
-    NEW met3 ( 2498260 1133220 ) ( 2546100 1133220 )
-    NEW met3 ( 2593940 1131860 ) ( 2594860 1131860 )
-    NEW met3 ( 2642700 1132540 ) ( 2642700 1133220 )
-    NEW met3 ( 2642700 1132540 ) ( 2690540 1132540 )
-    NEW met3 ( 2690540 1131860 ) ( 2690540 1132540 )
-    NEW met3 ( 2594860 1133220 ) ( 2642700 1133220 )
-    NEW met3 ( 2690540 1131860 ) ( 2691460 1131860 )
-    NEW met3 ( 2835900 1132540 ) ( 2835900 1133220 )
-    NEW met3 ( 2835900 1132540 ) ( 2883740 1132540 )
-    NEW met3 ( 2883740 1131860 ) ( 2883740 1132540 )
-    NEW met3 ( 2883740 1131860 ) ( 2884660 1131860 )
-    NEW met3 ( 1414500 1131860 ) ( 1414500 1133220 )
-    NEW met3 ( 1608620 1132540 ) ( 1608620 1133900 )
-    NEW met3 ( 1704300 1131860 ) ( 1704300 1133220 )
-    NEW met3 ( 1848740 1131860 ) ( 1848740 1132540 )
-    NEW met3 ( 1848740 1131860 ) ( 1869900 1131860 )
+  + ROUTED met3 ( 2015260 1014900 ) ( 2015260 1016260 )
+    NEW met3 ( 2111860 1014900 ) ( 2111860 1016260 )
+    NEW met3 ( 2208460 1014900 ) ( 2208460 1016260 )
+    NEW met3 ( 2305060 1014900 ) ( 2305060 1016260 )
+    NEW met3 ( 2401660 1014900 ) ( 2401660 1016260 )
+    NEW met3 ( 2498260 1014900 ) ( 2498260 1016260 )
+    NEW met3 ( 2594860 1014900 ) ( 2594860 1016260 )
+    NEW met3 ( 2916860 1015580 ) ( 2916860 1020340 )
+    NEW met3 ( 2916860 1020340 ) ( 2917780 1020340 0 )
     NEW met3 ( 1220380 2298060 ) ( 1220610 2298060 )
     NEW met2 ( 1220150 2298060 0 ) ( 1220610 2298060 )
-    NEW met3 ( 1270060 1132540 ) ( 1270060 1133220 )
-    NEW met3 ( 1680380 1132540 ) ( 1680380 1133220 )
-    NEW met3 ( 1608620 1132540 ) ( 1680380 1132540 )
-    NEW met3 ( 1680380 1133220 ) ( 1704300 1133220 )
-    NEW met3 ( 1753060 1131860 ) ( 1753060 1132540 )
-    NEW met3 ( 1704300 1131860 ) ( 1753060 1131860 )
-    NEW met3 ( 2719060 1131860 ) ( 2719060 1133220 )
-    NEW met3 ( 2691460 1133220 ) ( 2719060 1133220 )
-    NEW met2 ( 1545830 1132540 ) ( 1545830 1135940 )
-    NEW met3 ( 1545830 1135940 ) ( 1592980 1135940 )
-    NEW met4 ( 1592980 1133900 ) ( 1592980 1135940 )
-    NEW met3 ( 1592980 1133900 ) ( 1608620 1133900 )
-    NEW met4 ( 1220380 1133220 ) ( 1220380 2298060 )
-    NEW met3 ( 1220380 1133220 ) ( 1270060 1133220 )
-    NEW met4 ( 1304100 1131180 ) ( 1304100 1132540 )
-    NEW met3 ( 1304100 1131180 ) ( 1352170 1131180 )
-    NEW met2 ( 1352170 1131180 ) ( 1352170 1133220 )
-    NEW met3 ( 1270060 1132540 ) ( 1304100 1132540 )
-    NEW met3 ( 1352170 1133220 ) ( 1414500 1133220 )
-    NEW met2 ( 1800670 1132540 ) ( 1800670 1132710 )
-    NEW met1 ( 1800670 1132710 ) ( 1808950 1132710 )
-    NEW met2 ( 1808950 1132540 ) ( 1808950 1132710 )
-    NEW met3 ( 1753060 1132540 ) ( 1800670 1132540 )
-    NEW met3 ( 1808950 1132540 ) ( 1848740 1132540 )
-    NEW met4 ( 2753100 1131860 ) ( 2753100 1133220 )
-    NEW met3 ( 2719060 1131860 ) ( 2753100 1131860 )
-    NEW met3 ( 2753100 1133220 ) ( 2835900 1133220 )
-    NEW met4 ( 1442100 1131860 ) ( 1442100 1133220 )
-    NEW met3 ( 1414500 1131860 ) ( 1442100 1131860 )
-    NEW met2 ( 1499830 1133050 ) ( 1499830 1133220 )
-    NEW met1 ( 1499830 1133050 ) ( 1538470 1133050 )
-    NEW met2 ( 1538470 1132540 ) ( 1538470 1133050 )
-    NEW met3 ( 1442100 1133220 ) ( 1499830 1133220 )
-    NEW met3 ( 1538470 1132540 ) ( 1545830 1132540 )
+    NEW met3 ( 1966500 1015580 ) ( 1966500 1016260 )
+    NEW met3 ( 1966500 1015580 ) ( 2014340 1015580 )
+    NEW met3 ( 2014340 1014900 ) ( 2014340 1015580 )
+    NEW met3 ( 2014340 1014900 ) ( 2015260 1014900 )
+    NEW met3 ( 2063100 1015580 ) ( 2063100 1016260 )
+    NEW met3 ( 2063100 1015580 ) ( 2110940 1015580 )
+    NEW met3 ( 2110940 1014900 ) ( 2110940 1015580 )
+    NEW met3 ( 2015260 1016260 ) ( 2063100 1016260 )
+    NEW met3 ( 2110940 1014900 ) ( 2111860 1014900 )
+    NEW met3 ( 2159700 1015580 ) ( 2159700 1016260 )
+    NEW met3 ( 2159700 1015580 ) ( 2207540 1015580 )
+    NEW met3 ( 2207540 1014900 ) ( 2207540 1015580 )
+    NEW met3 ( 2111860 1016260 ) ( 2159700 1016260 )
+    NEW met3 ( 2207540 1014900 ) ( 2208460 1014900 )
+    NEW met3 ( 2256300 1015580 ) ( 2256300 1016260 )
+    NEW met3 ( 2256300 1015580 ) ( 2304140 1015580 )
+    NEW met3 ( 2304140 1014900 ) ( 2304140 1015580 )
+    NEW met3 ( 2208460 1016260 ) ( 2256300 1016260 )
+    NEW met3 ( 2304140 1014900 ) ( 2305060 1014900 )
+    NEW met3 ( 2352900 1015580 ) ( 2352900 1016260 )
+    NEW met3 ( 2352900 1015580 ) ( 2400740 1015580 )
+    NEW met3 ( 2400740 1014900 ) ( 2400740 1015580 )
+    NEW met3 ( 2305060 1016260 ) ( 2352900 1016260 )
+    NEW met3 ( 2400740 1014900 ) ( 2401660 1014900 )
+    NEW met3 ( 2449500 1015580 ) ( 2449500 1016260 )
+    NEW met3 ( 2449500 1015580 ) ( 2497340 1015580 )
+    NEW met3 ( 2497340 1014900 ) ( 2497340 1015580 )
+    NEW met3 ( 2401660 1016260 ) ( 2449500 1016260 )
+    NEW met3 ( 2497340 1014900 ) ( 2498260 1014900 )
+    NEW met3 ( 2546100 1015580 ) ( 2546100 1016260 )
+    NEW met3 ( 2546100 1015580 ) ( 2593940 1015580 )
+    NEW met3 ( 2593940 1014900 ) ( 2593940 1015580 )
+    NEW met3 ( 2498260 1016260 ) ( 2546100 1016260 )
+    NEW met3 ( 2593940 1014900 ) ( 2594860 1014900 )
+    NEW met3 ( 1414500 1014900 ) ( 1414500 1016260 )
+    NEW met3 ( 1512020 1015580 ) ( 1512020 1016940 )
+    NEW met3 ( 1608620 1015580 ) ( 1608620 1016940 )
+    NEW met4 ( 1220380 1016260 ) ( 1220380 2298060 )
+    NEW met3 ( 1680380 1015580 ) ( 1680380 1016260 )
+    NEW met3 ( 1608620 1015580 ) ( 1680380 1015580 )
+    NEW met3 ( 1753060 1014900 ) ( 1753060 1016260 )
+    NEW met3 ( 2622460 1015580 ) ( 2622460 1016260 )
+    NEW met3 ( 2594860 1016260 ) ( 2622460 1016260 )
+    NEW met2 ( 1256030 1016090 ) ( 1256030 1016260 )
+    NEW met1 ( 1256030 1016090 ) ( 1280410 1016090 )
+    NEW met2 ( 1280410 1014900 ) ( 1280410 1016090 )
+    NEW met3 ( 1220380 1016260 ) ( 1256030 1016260 )
+    NEW met3 ( 1376780 1015580 ) ( 1376780 1016260 )
+    NEW met3 ( 1376780 1016260 ) ( 1414500 1016260 )
+    NEW met2 ( 1449230 1014900 ) ( 1449230 1015070 )
+    NEW met1 ( 1449230 1015070 ) ( 1463950 1015070 )
+    NEW met2 ( 1463950 1014900 ) ( 1463950 1015070 )
+    NEW met3 ( 1463950 1014900 ) ( 1496380 1014900 )
+    NEW met4 ( 1496380 1014900 ) ( 1496380 1016940 )
+    NEW met3 ( 1414500 1014900 ) ( 1449230 1014900 )
+    NEW met3 ( 1496380 1016940 ) ( 1512020 1016940 )
+    NEW met2 ( 1546290 1015580 ) ( 1546290 1015750 )
+    NEW met1 ( 1546290 1015750 ) ( 1559630 1015750 )
+    NEW met2 ( 1559630 1015580 ) ( 1559630 1015750 )
+    NEW met3 ( 1559630 1015580 ) ( 1592980 1015580 )
+    NEW met4 ( 1592980 1015580 ) ( 1592980 1016940 )
+    NEW met3 ( 1512020 1015580 ) ( 1546290 1015580 )
+    NEW met3 ( 1592980 1016940 ) ( 1608620 1016940 )
+    NEW met3 ( 1835860 1014900 ) ( 1835860 1015580 )
+    NEW met3 ( 1835860 1014900 ) ( 1836090 1014900 )
+    NEW met2 ( 1836090 1014900 ) ( 1836090 1015070 )
+    NEW met1 ( 1836090 1015070 ) ( 1853110 1015070 )
+    NEW met2 ( 1853110 1014900 ) ( 1853110 1015070 )
+    NEW met4 ( 2705260 1016260 ) ( 2705260 1017620 )
+    NEW met3 ( 2705260 1017620 ) ( 2728950 1017620 )
+    NEW met2 ( 2728950 1014900 ) ( 2728950 1017620 )
+    NEW met2 ( 2801630 1015580 ) ( 2802090 1015580 )
+    NEW met2 ( 2802090 1015410 ) ( 2802090 1015580 )
+    NEW met1 ( 2802090 1015410 ) ( 2819110 1015410 )
+    NEW met2 ( 2819110 1014900 ) ( 2819110 1015410 )
+    NEW met2 ( 1317670 1014900 ) ( 1317670 1015070 )
+    NEW met1 ( 1317670 1015070 ) ( 1319970 1015070 )
+    NEW met2 ( 1319970 1015070 ) ( 1319970 1015580 )
+    NEW met3 ( 1280410 1014900 ) ( 1317670 1014900 )
+    NEW met3 ( 1319970 1015580 ) ( 1376780 1015580 )
+    NEW met3 ( 1704300 1014900 ) ( 1704300 1016260 )
+    NEW met3 ( 1680380 1016260 ) ( 1704300 1016260 )
+    NEW met3 ( 1704300 1014900 ) ( 1753060 1014900 )
+    NEW met4 ( 1787100 1016260 ) ( 1787100 1017620 )
+    NEW met3 ( 1787100 1017620 ) ( 1835170 1017620 )
+    NEW met2 ( 1835170 1015580 ) ( 1835170 1017620 )
+    NEW met3 ( 1753060 1016260 ) ( 1787100 1016260 )
+    NEW met3 ( 1835170 1015580 ) ( 1835860 1015580 )
+    NEW met4 ( 1883700 1014900 ) ( 1883700 1016260 )
+    NEW met3 ( 1853110 1014900 ) ( 1883700 1014900 )
+    NEW met3 ( 1883700 1016260 ) ( 1966500 1016260 )
+    NEW met2 ( 2670070 1015580 ) ( 2670070 1015750 )
+    NEW met1 ( 2670070 1015750 ) ( 2704570 1015750 )
+    NEW met2 ( 2704570 1015750 ) ( 2704570 1016260 )
+    NEW met3 ( 2622460 1015580 ) ( 2670070 1015580 )
+    NEW met3 ( 2704570 1016260 ) ( 2705260 1016260 )
+    NEW met2 ( 2766670 1014900 ) ( 2766670 1015070 )
+    NEW met1 ( 2766670 1015070 ) ( 2777710 1015070 )
+    NEW met2 ( 2777710 1015070 ) ( 2777710 1015580 )
+    NEW met3 ( 2728950 1014900 ) ( 2766670 1014900 )
+    NEW met3 ( 2777710 1015580 ) ( 2801630 1015580 )
+    NEW met4 ( 2849700 1014900 ) ( 2849700 1016940 )
+    NEW met3 ( 2849700 1016940 ) ( 2883970 1016940 )
+    NEW met2 ( 2883970 1015580 ) ( 2883970 1016940 )
+    NEW met3 ( 2819110 1014900 ) ( 2849700 1014900 )
+    NEW met3 ( 2883970 1015580 ) ( 2916860 1015580 )
     NEW met3 ( 1220380 2298060 ) M3M4_PR_M
     NEW met2 ( 1220610 2298060 ) via2_FR
-    NEW met2 ( 1545830 1132540 ) via2_FR
-    NEW met2 ( 1545830 1135940 ) via2_FR
-    NEW met3 ( 1592980 1135940 ) M3M4_PR_M
-    NEW met3 ( 1592980 1133900 ) M3M4_PR_M
-    NEW met3 ( 1220380 1133220 ) M3M4_PR_M
-    NEW met3 ( 1304100 1132540 ) M3M4_PR_M
-    NEW met3 ( 1304100 1131180 ) M3M4_PR_M
-    NEW met2 ( 1352170 1131180 ) via2_FR
-    NEW met2 ( 1352170 1133220 ) via2_FR
-    NEW met2 ( 1800670 1132540 ) via2_FR
-    NEW met1 ( 1800670 1132710 ) M1M2_PR
-    NEW met1 ( 1808950 1132710 ) M1M2_PR
-    NEW met2 ( 1808950 1132540 ) via2_FR
-    NEW met3 ( 2753100 1131860 ) M3M4_PR_M
-    NEW met3 ( 2753100 1133220 ) M3M4_PR_M
-    NEW met3 ( 1442100 1131860 ) M3M4_PR_M
-    NEW met3 ( 1442100 1133220 ) M3M4_PR_M
-    NEW met2 ( 1499830 1133220 ) via2_FR
-    NEW met1 ( 1499830 1133050 ) M1M2_PR
-    NEW met1 ( 1538470 1133050 ) M1M2_PR
-    NEW met2 ( 1538470 1132540 ) via2_FR
+    NEW met3 ( 1220380 1016260 ) M3M4_PR_M
+    NEW met2 ( 1256030 1016260 ) via2_FR
+    NEW met1 ( 1256030 1016090 ) M1M2_PR
+    NEW met1 ( 1280410 1016090 ) M1M2_PR
+    NEW met2 ( 1280410 1014900 ) via2_FR
+    NEW met2 ( 1449230 1014900 ) via2_FR
+    NEW met1 ( 1449230 1015070 ) M1M2_PR
+    NEW met1 ( 1463950 1015070 ) M1M2_PR
+    NEW met2 ( 1463950 1014900 ) via2_FR
+    NEW met3 ( 1496380 1014900 ) M3M4_PR_M
+    NEW met3 ( 1496380 1016940 ) M3M4_PR_M
+    NEW met2 ( 1546290 1015580 ) via2_FR
+    NEW met1 ( 1546290 1015750 ) M1M2_PR
+    NEW met1 ( 1559630 1015750 ) M1M2_PR
+    NEW met2 ( 1559630 1015580 ) via2_FR
+    NEW met3 ( 1592980 1015580 ) M3M4_PR_M
+    NEW met3 ( 1592980 1016940 ) M3M4_PR_M
+    NEW met2 ( 1836090 1014900 ) via2_FR
+    NEW met1 ( 1836090 1015070 ) M1M2_PR
+    NEW met1 ( 1853110 1015070 ) M1M2_PR
+    NEW met2 ( 1853110 1014900 ) via2_FR
+    NEW met3 ( 2705260 1016260 ) M3M4_PR_M
+    NEW met3 ( 2705260 1017620 ) M3M4_PR_M
+    NEW met2 ( 2728950 1017620 ) via2_FR
+    NEW met2 ( 2728950 1014900 ) via2_FR
+    NEW met2 ( 2801630 1015580 ) via2_FR
+    NEW met1 ( 2802090 1015410 ) M1M2_PR
+    NEW met1 ( 2819110 1015410 ) M1M2_PR
+    NEW met2 ( 2819110 1014900 ) via2_FR
+    NEW met2 ( 1317670 1014900 ) via2_FR
+    NEW met1 ( 1317670 1015070 ) M1M2_PR
+    NEW met1 ( 1319970 1015070 ) M1M2_PR
+    NEW met2 ( 1319970 1015580 ) via2_FR
+    NEW met3 ( 1787100 1016260 ) M3M4_PR_M
+    NEW met3 ( 1787100 1017620 ) M3M4_PR_M
+    NEW met2 ( 1835170 1017620 ) via2_FR
+    NEW met2 ( 1835170 1015580 ) via2_FR
+    NEW met3 ( 1883700 1014900 ) M3M4_PR_M
+    NEW met3 ( 1883700 1016260 ) M3M4_PR_M
+    NEW met2 ( 2670070 1015580 ) via2_FR
+    NEW met1 ( 2670070 1015750 ) M1M2_PR
+    NEW met1 ( 2704570 1015750 ) M1M2_PR
+    NEW met2 ( 2704570 1016260 ) via2_FR
+    NEW met2 ( 2766670 1014900 ) via2_FR
+    NEW met1 ( 2766670 1015070 ) M1M2_PR
+    NEW met1 ( 2777710 1015070 ) M1M2_PR
+    NEW met2 ( 2777710 1015580 ) via2_FR
+    NEW met3 ( 2849700 1014900 ) M3M4_PR_M
+    NEW met3 ( 2849700 1016940 ) M3M4_PR_M
+    NEW met2 ( 2883970 1016940 ) via2_FR
+    NEW met2 ( 2883970 1015580 ) via2_FR
     NEW met3 ( 1220380 2298060 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) 
-  + ROUTED met2 ( 2900990 1368500 ) ( 2900990 1373090 )
-    NEW met3 ( 2900990 1368500 ) ( 2917780 1368500 0 )
-    NEW met2 ( 1238090 2299250 ) ( 1238090 2299420 )
+  + ROUTED met2 ( 2900990 1231140 ) ( 2900990 1234370 )
+    NEW met3 ( 2900990 1231140 ) ( 2917780 1231140 0 )
     NEW met2 ( 1236250 2299420 0 ) ( 1238090 2299420 )
-    NEW met1 ( 1238090 2299250 ) ( 1790550 2299250 )
-    NEW met2 ( 1790550 1373090 ) ( 1790550 2299250 )
-    NEW met1 ( 1790550 1373090 ) ( 2900990 1373090 )
-    NEW met1 ( 2900990 1373090 ) M1M2_PR
-    NEW met2 ( 2900990 1368500 ) via2_FR
-    NEW met1 ( 1790550 2299250 ) M1M2_PR
-    NEW met1 ( 1238090 2299250 ) M1M2_PR
-    NEW met1 ( 1790550 1373090 ) M1M2_PR
+    NEW met2 ( 1238090 2299420 ) ( 1238090 2300270 )
+    NEW met1 ( 1783650 1234370 ) ( 2900990 1234370 )
+    NEW met1 ( 1238090 2300270 ) ( 1783650 2300270 )
+    NEW met2 ( 1783650 1234370 ) ( 1783650 2300270 )
+    NEW met1 ( 2900990 1234370 ) M1M2_PR
+    NEW met2 ( 2900990 1231140 ) via2_FR
+    NEW met1 ( 1238090 2300270 ) M1M2_PR
+    NEW met1 ( 1783650 1234370 ) M1M2_PR
+    NEW met1 ( 1783650 2300270 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) 
-  + ROUTED met3 ( 2691460 1601060 ) ( 2691460 1602420 )
-    NEW met3 ( 2884660 1601060 ) ( 2884660 1601740 )
-    NEW met3 ( 2884660 1601740 ) ( 2916860 1601740 )
-    NEW met3 ( 2916860 1601740 ) ( 2916860 1603100 )
-    NEW met3 ( 2916860 1603100 ) ( 2917780 1603100 0 )
-    NEW met3 ( 2642700 1601740 ) ( 2642700 1602420 )
-    NEW met3 ( 2642700 1601740 ) ( 2690540 1601740 )
-    NEW met3 ( 2690540 1601060 ) ( 2690540 1601740 )
-    NEW met3 ( 2690540 1601060 ) ( 2691460 1601060 )
-    NEW met3 ( 2835900 1601740 ) ( 2835900 1602420 )
-    NEW met3 ( 2835900 1601740 ) ( 2883740 1601740 )
-    NEW met3 ( 2883740 1601060 ) ( 2883740 1601740 )
-    NEW met3 ( 2883740 1601060 ) ( 2884660 1601060 )
-    NEW met3 ( 1253730 2298060 ) ( 1255340 2298060 )
+  + ROUTED met3 ( 1253730 2298060 ) ( 1255340 2298060 )
     NEW met2 ( 1251890 2298060 0 ) ( 1253730 2298060 )
-    NEW met4 ( 1255340 1602420 ) ( 1255340 2298060 )
-    NEW met3 ( 1848740 1601060 ) ( 1848740 1601740 )
-    NEW met3 ( 1994100 1601060 ) ( 1994100 1602420 )
-    NEW met3 ( 1753060 1601740 ) ( 1753060 1603100 )
-    NEW met3 ( 1849660 1601060 ) ( 1849660 1601740 )
-    NEW met3 ( 1848740 1601060 ) ( 1849660 1601060 )
-    NEW met3 ( 2042860 1601740 ) ( 2042860 1602420 )
-    NEW met3 ( 1994100 1602420 ) ( 2042860 1602420 )
-    NEW met3 ( 2139460 1601740 ) ( 2139460 1602420 )
-    NEW met3 ( 2236060 1601740 ) ( 2236060 1602420 )
-    NEW met3 ( 2332660 1601740 ) ( 2332660 1602420 )
-    NEW met2 ( 1268450 1602420 ) ( 1268450 1602590 )
-    NEW met1 ( 1268450 1602590 ) ( 1303410 1602590 )
-    NEW met2 ( 1303410 1601740 ) ( 1303410 1602590 )
-    NEW met2 ( 1303410 1601740 ) ( 1303870 1601740 )
-    NEW met3 ( 1255340 1602420 ) ( 1268450 1602420 )
-    NEW met2 ( 1449230 1601060 ) ( 1449230 1601230 )
-    NEW met1 ( 1449230 1601230 ) ( 1470850 1601230 )
-    NEW met2 ( 1470850 1601230 ) ( 1470850 1602420 )
-    NEW met3 ( 1558940 1601740 ) ( 1558940 1602420 )
-    NEW met3 ( 1558940 1602420 ) ( 1592980 1602420 )
-    NEW met3 ( 1592980 1601060 ) ( 1592980 1602420 )
-    NEW met3 ( 1642660 1601740 ) ( 1642660 1603100 )
-    NEW met3 ( 1642660 1603100 ) ( 1666350 1603100 )
-    NEW met2 ( 1666350 1601060 ) ( 1666350 1603100 )
-    NEW met2 ( 2439150 1602420 ) ( 2439150 1602590 )
-    NEW met1 ( 2439150 1602590 ) ( 2463070 1602590 )
-    NEW met2 ( 2463070 1602420 ) ( 2463070 1602590 )
-    NEW met2 ( 2525630 1602420 ) ( 2526090 1602420 )
-    NEW met2 ( 2526090 1601060 ) ( 2526090 1602420 )
-    NEW met3 ( 2463070 1602420 ) ( 2525630 1602420 )
-    NEW met2 ( 2705490 1601570 ) ( 2705490 1602420 )
-    NEW met1 ( 2705490 1601570 ) ( 2719290 1601570 )
-    NEW met2 ( 2719290 1601060 ) ( 2719290 1601570 )
-    NEW met3 ( 2691460 1602420 ) ( 2705490 1602420 )
-    NEW met3 ( 1400700 1602420 ) ( 1400700 1603100 )
-    NEW met4 ( 1400700 1603100 ) ( 1401620 1603100 )
-    NEW met4 ( 1401620 1601060 ) ( 1401620 1603100 )
-    NEW met3 ( 1401620 1601060 ) ( 1449230 1601060 )
-    NEW met4 ( 1497300 1600380 ) ( 1497300 1602420 )
-    NEW met3 ( 1497300 1600380 ) ( 1545140 1600380 )
-    NEW met4 ( 1545140 1600380 ) ( 1545140 1601740 )
-    NEW met3 ( 1470850 1602420 ) ( 1497300 1602420 )
-    NEW met3 ( 1545140 1601740 ) ( 1558940 1601740 )
-    NEW met3 ( 1617820 1601060 ) ( 1617820 1601740 )
-    NEW met3 ( 1592980 1601060 ) ( 1617820 1601060 )
-    NEW met3 ( 1617820 1601740 ) ( 1642660 1601740 )
-    NEW met4 ( 1690500 1599700 ) ( 1690500 1601060 )
-    NEW met3 ( 1690500 1599700 ) ( 1714650 1599700 )
-    NEW met2 ( 1714650 1599700 ) ( 1714650 1601060 )
-    NEW met3 ( 1714650 1601060 ) ( 1738340 1601060 )
-    NEW met3 ( 1738340 1601060 ) ( 1738340 1601740 )
-    NEW met3 ( 1666350 1601060 ) ( 1690500 1601060 )
-    NEW met3 ( 1738340 1601740 ) ( 1753060 1601740 )
-    NEW met2 ( 1800670 1603100 ) ( 1801130 1603100 )
-    NEW met2 ( 1801130 1601740 ) ( 1801130 1603100 )
-    NEW met3 ( 1753060 1603100 ) ( 1800670 1603100 )
-    NEW met3 ( 1801130 1601740 ) ( 1848740 1601740 )
-    NEW met3 ( 1896580 1601060 ) ( 1896580 1601740 )
-    NEW met3 ( 1849660 1601740 ) ( 1896580 1601740 )
-    NEW met3 ( 1896580 1601060 ) ( 1994100 1601060 )
-    NEW met2 ( 2090470 1601570 ) ( 2090470 1601740 )
-    NEW met1 ( 2090470 1601570 ) ( 2124970 1601570 )
-    NEW met2 ( 2124970 1601570 ) ( 2124970 1602420 )
-    NEW met3 ( 2042860 1601740 ) ( 2090470 1601740 )
-    NEW met3 ( 2124970 1602420 ) ( 2139460 1602420 )
-    NEW met2 ( 2187070 1601570 ) ( 2187070 1601740 )
-    NEW met1 ( 2187070 1601570 ) ( 2221570 1601570 )
-    NEW met2 ( 2221570 1601570 ) ( 2221570 1602420 )
-    NEW met3 ( 2139460 1601740 ) ( 2187070 1601740 )
-    NEW met3 ( 2221570 1602420 ) ( 2236060 1602420 )
-    NEW met2 ( 2283670 1601570 ) ( 2283670 1601740 )
-    NEW met1 ( 2283670 1601570 ) ( 2318170 1601570 )
-    NEW met2 ( 2318170 1601570 ) ( 2318170 1602420 )
-    NEW met3 ( 2236060 1601740 ) ( 2283670 1601740 )
-    NEW met3 ( 2318170 1602420 ) ( 2332660 1602420 )
-    NEW met2 ( 2380270 1601570 ) ( 2380270 1601740 )
-    NEW met1 ( 2380270 1601570 ) ( 2414770 1601570 )
-    NEW met2 ( 2414770 1601570 ) ( 2414770 1602420 )
-    NEW met3 ( 2332660 1601740 ) ( 2380270 1601740 )
-    NEW met3 ( 2414770 1602420 ) ( 2439150 1602420 )
-    NEW met4 ( 2559900 1601060 ) ( 2559900 1602420 )
-    NEW met3 ( 2526090 1601060 ) ( 2559900 1601060 )
-    NEW met3 ( 2559900 1602420 ) ( 2642700 1602420 )
-    NEW met4 ( 2753100 1601060 ) ( 2753100 1602420 )
-    NEW met3 ( 2719290 1601060 ) ( 2753100 1601060 )
-    NEW met3 ( 2753100 1602420 ) ( 2835900 1602420 )
-    NEW met3 ( 1369420 1601740 ) ( 1369420 1602420 )
-    NEW met3 ( 1303870 1601740 ) ( 1369420 1601740 )
-    NEW met3 ( 1369420 1602420 ) ( 1400700 1602420 )
+    NEW met4 ( 1255340 1442620 ) ( 1255340 2298060 )
+    NEW met3 ( 1255340 1442620 ) ( 2917780 1442620 0 )
     NEW met3 ( 1255340 2298060 ) M3M4_PR_M
     NEW met2 ( 1253730 2298060 ) via2_FR
-    NEW met3 ( 1255340 1602420 ) M3M4_PR_M
-    NEW met2 ( 1268450 1602420 ) via2_FR
-    NEW met1 ( 1268450 1602590 ) M1M2_PR
-    NEW met1 ( 1303410 1602590 ) M1M2_PR
-    NEW met2 ( 1303870 1601740 ) via2_FR
-    NEW met2 ( 1449230 1601060 ) via2_FR
-    NEW met1 ( 1449230 1601230 ) M1M2_PR
-    NEW met1 ( 1470850 1601230 ) M1M2_PR
-    NEW met2 ( 1470850 1602420 ) via2_FR
-    NEW met2 ( 1666350 1603100 ) via2_FR
-    NEW met2 ( 1666350 1601060 ) via2_FR
-    NEW met2 ( 2439150 1602420 ) via2_FR
-    NEW met1 ( 2439150 1602590 ) M1M2_PR
-    NEW met1 ( 2463070 1602590 ) M1M2_PR
-    NEW met2 ( 2463070 1602420 ) via2_FR
-    NEW met2 ( 2525630 1602420 ) via2_FR
-    NEW met2 ( 2526090 1601060 ) via2_FR
-    NEW met2 ( 2705490 1602420 ) via2_FR
-    NEW met1 ( 2705490 1601570 ) M1M2_PR
-    NEW met1 ( 2719290 1601570 ) M1M2_PR
-    NEW met2 ( 2719290 1601060 ) via2_FR
-    NEW met3 ( 1400700 1603100 ) M3M4_PR_M
-    NEW met3 ( 1401620 1601060 ) M3M4_PR_M
-    NEW met3 ( 1497300 1602420 ) M3M4_PR_M
-    NEW met3 ( 1497300 1600380 ) M3M4_PR_M
-    NEW met3 ( 1545140 1600380 ) M3M4_PR_M
-    NEW met3 ( 1545140 1601740 ) M3M4_PR_M
-    NEW met3 ( 1690500 1601060 ) M3M4_PR_M
-    NEW met3 ( 1690500 1599700 ) M3M4_PR_M
-    NEW met2 ( 1714650 1599700 ) via2_FR
-    NEW met2 ( 1714650 1601060 ) via2_FR
-    NEW met2 ( 1800670 1603100 ) via2_FR
-    NEW met2 ( 1801130 1601740 ) via2_FR
-    NEW met2 ( 2090470 1601740 ) via2_FR
-    NEW met1 ( 2090470 1601570 ) M1M2_PR
-    NEW met1 ( 2124970 1601570 ) M1M2_PR
-    NEW met2 ( 2124970 1602420 ) via2_FR
-    NEW met2 ( 2187070 1601740 ) via2_FR
-    NEW met1 ( 2187070 1601570 ) M1M2_PR
-    NEW met1 ( 2221570 1601570 ) M1M2_PR
-    NEW met2 ( 2221570 1602420 ) via2_FR
-    NEW met2 ( 2283670 1601740 ) via2_FR
-    NEW met1 ( 2283670 1601570 ) M1M2_PR
-    NEW met1 ( 2318170 1601570 ) M1M2_PR
-    NEW met2 ( 2318170 1602420 ) via2_FR
-    NEW met2 ( 2380270 1601740 ) via2_FR
-    NEW met1 ( 2380270 1601570 ) M1M2_PR
-    NEW met1 ( 2414770 1601570 ) M1M2_PR
-    NEW met2 ( 2414770 1602420 ) via2_FR
-    NEW met3 ( 2559900 1601060 ) M3M4_PR_M
-    NEW met3 ( 2559900 1602420 ) M3M4_PR_M
-    NEW met3 ( 2753100 1601060 ) M3M4_PR_M
-    NEW met3 ( 2753100 1602420 ) M3M4_PR_M
+    NEW met3 ( 1255340 1442620 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) 
-  + ROUTED met2 ( 2900990 1837700 ) ( 2900990 1842290 )
-    NEW met3 ( 2900990 1837700 ) ( 2917780 1837700 0 )
-    NEW met2 ( 1770310 1842290 ) ( 1770310 2316590 )
-    NEW met1 ( 1770310 1842290 ) ( 2900990 1842290 )
-    NEW met2 ( 1267530 2299420 0 ) ( 1267530 2316590 )
-    NEW met1 ( 1267530 2316590 ) ( 1770310 2316590 )
-    NEW met1 ( 1770310 1842290 ) M1M2_PR
-    NEW met1 ( 1770310 2316590 ) M1M2_PR
-    NEW met1 ( 2900990 1842290 ) M1M2_PR
-    NEW met2 ( 2900990 1837700 ) via2_FR
-    NEW met1 ( 1267530 2316590 ) M1M2_PR
+  + ROUTED met3 ( 2788060 1649340 ) ( 2788060 1650700 )
+    NEW met3 ( 2884660 1649340 ) ( 2884660 1650020 )
+    NEW met3 ( 2884660 1650020 ) ( 2916860 1650020 )
+    NEW met3 ( 2916860 1650020 ) ( 2916860 1653420 )
+    NEW met3 ( 2916860 1653420 ) ( 2917780 1653420 0 )
+    NEW met3 ( 1268910 2298060 ) ( 1269140 2298060 )
+    NEW met2 ( 1267530 2298060 0 ) ( 1268910 2298060 )
+    NEW met3 ( 2642700 1650020 ) ( 2642700 1650700 )
+    NEW met3 ( 2642700 1650020 ) ( 2690540 1650020 )
+    NEW met3 ( 2690540 1649340 ) ( 2690540 1650020 )
+    NEW met3 ( 2690540 1649340 ) ( 2788060 1649340 )
+    NEW met3 ( 2835900 1650020 ) ( 2835900 1650700 )
+    NEW met3 ( 2835900 1650020 ) ( 2883740 1650020 )
+    NEW met3 ( 2883740 1649340 ) ( 2883740 1650020 )
+    NEW met3 ( 2788060 1650700 ) ( 2835900 1650700 )
+    NEW met3 ( 2883740 1649340 ) ( 2884660 1649340 )
+    NEW met3 ( 1414500 1649340 ) ( 1414500 1650700 )
+    NEW met3 ( 1512020 1650020 ) ( 1512020 1651380 )
+    NEW met3 ( 1849660 1650020 ) ( 1849660 1650700 )
+    NEW met3 ( 1946260 1650020 ) ( 1946260 1650700 )
+    NEW met3 ( 2042860 1650020 ) ( 2042860 1650700 )
+    NEW met3 ( 2139460 1650020 ) ( 2139460 1650700 )
+    NEW met3 ( 2236060 1650020 ) ( 2236060 1650700 )
+    NEW met3 ( 2332660 1650020 ) ( 2332660 1650700 )
+    NEW met3 ( 2525860 1650700 ) ( 2525860 1651380 )
+    NEW met4 ( 1269140 1650700 ) ( 1270060 1650700 )
+    NEW met4 ( 1269140 1650700 ) ( 1269140 2298060 )
+    NEW met2 ( 1376550 1648660 ) ( 1376550 1650700 )
+    NEW met3 ( 1376550 1650700 ) ( 1414500 1650700 )
+    NEW met3 ( 1462340 1649340 ) ( 1462340 1651380 )
+    NEW met3 ( 1414500 1649340 ) ( 1462340 1649340 )
+    NEW met3 ( 1462340 1651380 ) ( 1512020 1651380 )
+    NEW met3 ( 1558940 1648660 ) ( 1558940 1650020 )
+    NEW met3 ( 1512020 1650020 ) ( 1558940 1650020 )
+    NEW met4 ( 1304100 1648660 ) ( 1304100 1650700 )
+    NEW met3 ( 1270060 1650700 ) ( 1304100 1650700 )
+    NEW met3 ( 1304100 1648660 ) ( 1376550 1648660 )
+    NEW met3 ( 1618740 1648660 ) ( 1618740 1650020 )
+    NEW met3 ( 1558940 1648660 ) ( 1618740 1648660 )
+    NEW met4 ( 2076900 1650020 ) ( 2076900 1650700 )
+    NEW met4 ( 2076900 1650700 ) ( 2077820 1650700 )
+    NEW met3 ( 2042860 1650020 ) ( 2076900 1650020 )
+    NEW met3 ( 2077820 1650700 ) ( 2139460 1650700 )
+    NEW met4 ( 2173500 1650020 ) ( 2173500 1650700 )
+    NEW met4 ( 2173500 1650700 ) ( 2174420 1650700 )
+    NEW met3 ( 2139460 1650020 ) ( 2173500 1650020 )
+    NEW met3 ( 2174420 1650700 ) ( 2236060 1650700 )
+    NEW met3 ( 2282980 1650020 ) ( 2282980 1650700 )
+    NEW met3 ( 2236060 1650020 ) ( 2282980 1650020 )
+    NEW met3 ( 2282980 1650700 ) ( 2332660 1650700 )
+    NEW met2 ( 2380270 1650020 ) ( 2380270 1650190 )
+    NEW met3 ( 2332660 1650020 ) ( 2380270 1650020 )
+    NEW met2 ( 2573010 1650700 ) ( 2573930 1650700 )
+    NEW met3 ( 2525860 1650700 ) ( 2573010 1650700 )
+    NEW met3 ( 2573930 1650700 ) ( 2642700 1650700 )
+    NEW met2 ( 2432250 1650190 ) ( 2432250 1652740 )
+    NEW met1 ( 2380270 1650190 ) ( 2432250 1650190 )
+    NEW met2 ( 2021470 1650020 ) ( 2021470 1650700 )
+    NEW met3 ( 1946260 1650020 ) ( 2021470 1650020 )
+    NEW met3 ( 2021470 1650700 ) ( 2042860 1650700 )
+    NEW met2 ( 2456630 1652570 ) ( 2456630 1652740 )
+    NEW met1 ( 2456630 1652570 ) ( 2481010 1652570 )
+    NEW met2 ( 2481010 1651380 ) ( 2481010 1652570 )
+    NEW met3 ( 2432250 1652740 ) ( 2456630 1652740 )
+    NEW met3 ( 2481010 1651380 ) ( 2525860 1651380 )
+    NEW met2 ( 1628630 1650020 ) ( 1628630 1650190 )
+    NEW met1 ( 1628630 1650190 ) ( 1675550 1650190 )
+    NEW met2 ( 1675550 1650020 ) ( 1675550 1650190 )
+    NEW met2 ( 1675550 1650020 ) ( 1676470 1650020 )
+    NEW met3 ( 1618740 1650020 ) ( 1628630 1650020 )
+    NEW met2 ( 1731670 1648660 ) ( 1731670 1648830 )
+    NEW met1 ( 1731670 1648830 ) ( 1739030 1648830 )
+    NEW met2 ( 1739030 1648830 ) ( 1739030 1649340 )
+    NEW met4 ( 1676700 1648660 ) ( 1676700 1650020 )
+    NEW met3 ( 1676470 1650020 ) ( 1676700 1650020 )
+    NEW met3 ( 1676700 1648660 ) ( 1731670 1648660 )
+    NEW met4 ( 1773300 1649340 ) ( 1773300 1650700 )
+    NEW met3 ( 1739030 1649340 ) ( 1773300 1649340 )
+    NEW met3 ( 1773300 1650700 ) ( 1849660 1650700 )
+    NEW met3 ( 1893820 1650020 ) ( 1893820 1650700 )
+    NEW met3 ( 1849660 1650020 ) ( 1893820 1650020 )
+    NEW met3 ( 1893820 1650700 ) ( 1946260 1650700 )
+    NEW met3 ( 1269140 2298060 ) M3M4_PR_M
+    NEW met2 ( 1268910 2298060 ) via2_FR
+    NEW met3 ( 1270060 1650700 ) M3M4_PR_M
+    NEW met2 ( 1376550 1648660 ) via2_FR
+    NEW met2 ( 1376550 1650700 ) via2_FR
+    NEW met3 ( 1304100 1650700 ) M3M4_PR_M
+    NEW met3 ( 1304100 1648660 ) M3M4_PR_M
+    NEW met3 ( 2076900 1650020 ) M3M4_PR_M
+    NEW met3 ( 2077820 1650700 ) M3M4_PR_M
+    NEW met3 ( 2173500 1650020 ) M3M4_PR_M
+    NEW met3 ( 2174420 1650700 ) M3M4_PR_M
+    NEW met2 ( 2380270 1650020 ) via2_FR
+    NEW met1 ( 2380270 1650190 ) M1M2_PR
+    NEW met2 ( 2573010 1650700 ) via2_FR
+    NEW met2 ( 2573930 1650700 ) via2_FR
+    NEW met1 ( 2432250 1650190 ) M1M2_PR
+    NEW met2 ( 2432250 1652740 ) via2_FR
+    NEW met2 ( 2021470 1650020 ) via2_FR
+    NEW met2 ( 2021470 1650700 ) via2_FR
+    NEW met2 ( 2456630 1652740 ) via2_FR
+    NEW met1 ( 2456630 1652570 ) M1M2_PR
+    NEW met1 ( 2481010 1652570 ) M1M2_PR
+    NEW met2 ( 2481010 1651380 ) via2_FR
+    NEW met2 ( 1628630 1650020 ) via2_FR
+    NEW met1 ( 1628630 1650190 ) M1M2_PR
+    NEW met1 ( 1675550 1650190 ) M1M2_PR
+    NEW met2 ( 1676470 1650020 ) via2_FR
+    NEW met2 ( 1731670 1648660 ) via2_FR
+    NEW met1 ( 1731670 1648830 ) M1M2_PR
+    NEW met1 ( 1739030 1648830 ) M1M2_PR
+    NEW met2 ( 1739030 1649340 ) via2_FR
+    NEW met3 ( 1676700 1650020 ) M3M4_PR_M
+    NEW met3 ( 1676700 1648660 ) M3M4_PR_M
+    NEW met3 ( 1773300 1649340 ) M3M4_PR_M
+    NEW met3 ( 1773300 1650700 ) M3M4_PR_M
+    NEW met3 ( 1269140 2298060 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1676700 1650020 ) RECT ( 0 -150 570 150 )
 + USE SIGNAL ;
 - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) 
-  + ROUTED met2 ( 2900990 2072300 ) ( 2900990 2076890 )
-    NEW met3 ( 2900990 2072300 ) ( 2917780 2072300 0 )
-    NEW met2 ( 1777210 2076890 ) ( 1777210 2317950 )
-    NEW met1 ( 1777210 2076890 ) ( 2900990 2076890 )
-    NEW met2 ( 1283170 2299420 0 ) ( 1283170 2317950 )
-    NEW met1 ( 1283170 2317950 ) ( 1777210 2317950 )
-    NEW met1 ( 2900990 2076890 ) M1M2_PR
-    NEW met2 ( 2900990 2072300 ) via2_FR
-    NEW met1 ( 1777210 2317950 ) M1M2_PR
-    NEW met1 ( 1777210 2076890 ) M1M2_PR
-    NEW met1 ( 1283170 2317950 ) M1M2_PR
+  + ROUTED met2 ( 2899610 1864900 ) ( 2899610 1869830 )
+    NEW met3 ( 2899610 1864900 ) ( 2917780 1864900 0 )
+    NEW met1 ( 1777210 1869830 ) ( 2899610 1869830 )
+    NEW met2 ( 1283170 2299420 0 ) ( 1283170 2316930 )
+    NEW met1 ( 1283170 2316930 ) ( 1777210 2316930 )
+    NEW met2 ( 1777210 1869830 ) ( 1777210 2316930 )
+    NEW met1 ( 2899610 1869830 ) M1M2_PR
+    NEW met2 ( 2899610 1864900 ) via2_FR
+    NEW met1 ( 1777210 1869830 ) M1M2_PR
+    NEW met1 ( 1283170 2316930 ) M1M2_PR
+    NEW met1 ( 1777210 2316930 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) 
-  + ROUTED met2 ( 2900990 2304690 ) ( 2900990 2306900 )
-    NEW met3 ( 2900990 2306900 ) ( 2917780 2306900 0 )
-    NEW met2 ( 1299270 2299420 0 ) ( 1299270 2304690 )
-    NEW met1 ( 1299270 2304690 ) ( 2900990 2304690 )
-    NEW met1 ( 2900990 2304690 ) M1M2_PR
-    NEW met2 ( 2900990 2306900 ) via2_FR
-    NEW met1 ( 1299270 2304690 ) M1M2_PR
+  + ROUTED met2 ( 2900990 2076380 ) ( 2900990 2076890 )
+    NEW met3 ( 2900990 2076380 ) ( 2917780 2076380 0 )
+    NEW met1 ( 1784110 2076890 ) ( 2900990 2076890 )
+    NEW li1 ( 1342050 2316250 ) ( 1342050 2318290 )
+    NEW li1 ( 1608390 2318290 ) ( 1609310 2318290 )
+    NEW met2 ( 1299270 2299420 0 ) ( 1299270 2316250 )
+    NEW met1 ( 1299270 2316250 ) ( 1342050 2316250 )
+    NEW met1 ( 1342050 2318290 ) ( 1606090 2318290 )
+    NEW met1 ( 1607470 2318290 ) ( 1608390 2318290 )
+    NEW met1 ( 1609310 2318290 ) ( 1784110 2318290 )
+    NEW met2 ( 1784110 2076890 ) ( 1784110 2318290 )
+    NEW met1 ( 1606090 2319310 ) ( 1607930 2319310 )
+    NEW met1 ( 1607930 2318630 ) ( 1607930 2319310 )
+    NEW met1 ( 1607470 2318630 ) ( 1607930 2318630 )
+    NEW met1 ( 1606090 2318290 ) ( 1606090 2319310 )
+    NEW met1 ( 1607470 2318290 ) ( 1607470 2318630 )
+    NEW met1 ( 2900990 2076890 ) M1M2_PR
+    NEW met2 ( 2900990 2076380 ) via2_FR
+    NEW met1 ( 1784110 2076890 ) M1M2_PR
+    NEW li1 ( 1342050 2316250 ) L1M1_PR_MR
+    NEW li1 ( 1342050 2318290 ) L1M1_PR_MR
+    NEW li1 ( 1608390 2318290 ) L1M1_PR_MR
+    NEW li1 ( 1609310 2318290 ) L1M1_PR_MR
+    NEW met1 ( 1299270 2316250 ) M1M2_PR
+    NEW met1 ( 1784110 2318290 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) 
-  + ROUTED met3 ( 1163570 2298060 ) ( 1165180 2298060 )
+  + ROUTED met3 ( 2015260 103700 ) ( 2015260 105060 )
+    NEW met3 ( 2111860 103700 ) ( 2111860 105060 )
+    NEW met3 ( 2208460 103700 ) ( 2208460 105060 )
+    NEW met3 ( 2305060 103700 ) ( 2305060 105060 )
+    NEW met3 ( 2401660 103700 ) ( 2401660 105060 )
+    NEW met3 ( 2498260 103700 ) ( 2498260 105060 )
+    NEW met3 ( 2594860 103700 ) ( 2594860 105060 )
+    NEW met3 ( 2916860 104380 ) ( 2916860 105060 )
+    NEW met3 ( 2916860 105060 ) ( 2917780 105060 0 )
+    NEW met3 ( 1163570 2298060 ) ( 1165180 2298060 )
     NEW met2 ( 1162190 2298060 0 ) ( 1163570 2298060 )
-    NEW met4 ( 1165180 117300 ) ( 1165180 2298060 )
-    NEW met3 ( 1165180 117300 ) ( 2917780 117300 0 )
+    NEW met3 ( 1966500 104380 ) ( 1966500 105060 )
+    NEW met3 ( 1966500 104380 ) ( 2014340 104380 )
+    NEW met3 ( 2014340 103700 ) ( 2014340 104380 )
+    NEW met3 ( 2014340 103700 ) ( 2015260 103700 )
+    NEW met3 ( 2063100 104380 ) ( 2063100 105060 )
+    NEW met3 ( 2063100 104380 ) ( 2110940 104380 )
+    NEW met3 ( 2110940 103700 ) ( 2110940 104380 )
+    NEW met3 ( 2015260 105060 ) ( 2063100 105060 )
+    NEW met3 ( 2110940 103700 ) ( 2111860 103700 )
+    NEW met3 ( 2159700 104380 ) ( 2159700 105060 )
+    NEW met3 ( 2159700 104380 ) ( 2207540 104380 )
+    NEW met3 ( 2207540 103700 ) ( 2207540 104380 )
+    NEW met3 ( 2111860 105060 ) ( 2159700 105060 )
+    NEW met3 ( 2207540 103700 ) ( 2208460 103700 )
+    NEW met3 ( 2256300 104380 ) ( 2256300 105060 )
+    NEW met3 ( 2256300 104380 ) ( 2304140 104380 )
+    NEW met3 ( 2304140 103700 ) ( 2304140 104380 )
+    NEW met3 ( 2208460 105060 ) ( 2256300 105060 )
+    NEW met3 ( 2304140 103700 ) ( 2305060 103700 )
+    NEW met3 ( 2352900 104380 ) ( 2352900 105060 )
+    NEW met3 ( 2352900 104380 ) ( 2400740 104380 )
+    NEW met3 ( 2400740 103700 ) ( 2400740 104380 )
+    NEW met3 ( 2305060 105060 ) ( 2352900 105060 )
+    NEW met3 ( 2400740 103700 ) ( 2401660 103700 )
+    NEW met3 ( 2449500 104380 ) ( 2449500 105060 )
+    NEW met3 ( 2449500 104380 ) ( 2497340 104380 )
+    NEW met3 ( 2497340 103700 ) ( 2497340 104380 )
+    NEW met3 ( 2401660 105060 ) ( 2449500 105060 )
+    NEW met3 ( 2497340 103700 ) ( 2498260 103700 )
+    NEW met3 ( 2546100 104380 ) ( 2546100 105060 )
+    NEW met3 ( 2546100 104380 ) ( 2593940 104380 )
+    NEW met3 ( 2593940 103700 ) ( 2593940 104380 )
+    NEW met3 ( 2498260 105060 ) ( 2546100 105060 )
+    NEW met3 ( 2593940 103700 ) ( 2594860 103700 )
+    NEW met3 ( 1608620 103700 ) ( 1608620 105060 )
+    NEW met3 ( 1704300 103700 ) ( 1704300 105060 )
+    NEW met3 ( 1753060 103700 ) ( 1753060 105060 )
+    NEW met3 ( 1704300 103700 ) ( 1753060 103700 )
+    NEW met3 ( 2622460 104380 ) ( 2622460 105060 )
+    NEW met3 ( 2594860 105060 ) ( 2622460 105060 )
+    NEW met2 ( 1556870 104380 ) ( 1556870 104550 )
+    NEW met1 ( 1556870 104550 ) ( 1560550 104550 )
+    NEW met2 ( 1560550 104550 ) ( 1560550 105060 )
+    NEW met3 ( 1560550 105060 ) ( 1608620 105060 )
+    NEW met3 ( 1655540 103700 ) ( 1655540 105060 )
+    NEW met3 ( 1608620 103700 ) ( 1655540 103700 )
+    NEW met3 ( 1655540 105060 ) ( 1704300 105060 )
+    NEW met3 ( 1835860 104380 ) ( 1835860 105740 )
+    NEW met3 ( 1835860 105740 ) ( 1859550 105740 )
+    NEW met2 ( 1859550 103700 ) ( 1859550 105740 )
+    NEW met4 ( 2705260 105060 ) ( 2705260 106420 )
+    NEW met3 ( 2705260 106420 ) ( 2728950 106420 )
+    NEW met2 ( 2728950 103700 ) ( 2728950 106420 )
+    NEW met4 ( 1304100 103700 ) ( 1304100 105060 )
+    NEW met3 ( 1304100 105060 ) ( 1328940 105060 )
+    NEW met3 ( 1328940 104380 ) ( 1328940 105060 )
+    NEW met4 ( 1787100 105060 ) ( 1787100 106420 )
+    NEW met3 ( 1787100 106420 ) ( 1835170 106420 )
+    NEW met2 ( 1835170 104380 ) ( 1835170 106420 )
+    NEW met3 ( 1753060 105060 ) ( 1787100 105060 )
+    NEW met3 ( 1835170 104380 ) ( 1835860 104380 )
+    NEW met4 ( 1883700 103700 ) ( 1883700 105060 )
+    NEW met3 ( 1859550 103700 ) ( 1883700 103700 )
+    NEW met3 ( 1883700 105060 ) ( 1966500 105060 )
+    NEW met2 ( 2670070 104380 ) ( 2670070 104550 )
+    NEW met1 ( 2670070 104550 ) ( 2704570 104550 )
+    NEW met2 ( 2704570 104550 ) ( 2704570 105060 )
+    NEW met3 ( 2622460 104380 ) ( 2670070 104380 )
+    NEW met3 ( 2704570 105060 ) ( 2705260 105060 )
+    NEW met3 ( 2777020 103700 ) ( 2777020 104380 )
+    NEW met3 ( 2728950 103700 ) ( 2777020 103700 )
+    NEW met3 ( 2884660 103700 ) ( 2884660 104380 )
+    NEW met3 ( 2884660 104380 ) ( 2916860 104380 )
+    NEW met2 ( 1296970 103700 ) ( 1296970 104210 )
+    NEW met3 ( 1296970 103700 ) ( 1304100 103700 )
+    NEW met3 ( 1365740 104380 ) ( 1365740 105060 )
+    NEW met3 ( 1328940 104380 ) ( 1365740 104380 )
+    NEW met4 ( 2794500 104380 ) ( 2794500 105740 )
+    NEW met3 ( 2794500 105740 ) ( 2842570 105740 )
+    NEW met2 ( 2842570 103700 ) ( 2842570 105740 )
+    NEW met3 ( 2777020 104380 ) ( 2794500 104380 )
+    NEW met3 ( 2842570 103700 ) ( 2884660 103700 )
+    NEW met3 ( 1165180 117980 ) ( 1193470 117980 )
+    NEW met2 ( 1193470 104380 ) ( 1193470 117980 )
+    NEW met4 ( 1165180 117980 ) ( 1165180 2298060 )
+    NEW met2 ( 1290070 104210 ) ( 1290070 104380 )
+    NEW met3 ( 1193470 104380 ) ( 1290070 104380 )
+    NEW met1 ( 1290070 104210 ) ( 1296970 104210 )
+    NEW met3 ( 1459580 104380 ) ( 1459580 105060 )
+    NEW met3 ( 1365740 105060 ) ( 1459580 105060 )
+    NEW met3 ( 1459580 104380 ) ( 1556870 104380 )
     NEW met3 ( 1165180 2298060 ) M3M4_PR_M
     NEW met2 ( 1163570 2298060 ) via2_FR
-    NEW met3 ( 1165180 117300 ) M3M4_PR_M
+    NEW met2 ( 1556870 104380 ) via2_FR
+    NEW met1 ( 1556870 104550 ) M1M2_PR
+    NEW met1 ( 1560550 104550 ) M1M2_PR
+    NEW met2 ( 1560550 105060 ) via2_FR
+    NEW met2 ( 1859550 105740 ) via2_FR
+    NEW met2 ( 1859550 103700 ) via2_FR
+    NEW met3 ( 2705260 105060 ) M3M4_PR_M
+    NEW met3 ( 2705260 106420 ) M3M4_PR_M
+    NEW met2 ( 2728950 106420 ) via2_FR
+    NEW met2 ( 2728950 103700 ) via2_FR
+    NEW met3 ( 1304100 103700 ) M3M4_PR_M
+    NEW met3 ( 1304100 105060 ) M3M4_PR_M
+    NEW met3 ( 1787100 105060 ) M3M4_PR_M
+    NEW met3 ( 1787100 106420 ) M3M4_PR_M
+    NEW met2 ( 1835170 106420 ) via2_FR
+    NEW met2 ( 1835170 104380 ) via2_FR
+    NEW met3 ( 1883700 103700 ) M3M4_PR_M
+    NEW met3 ( 1883700 105060 ) M3M4_PR_M
+    NEW met2 ( 2670070 104380 ) via2_FR
+    NEW met1 ( 2670070 104550 ) M1M2_PR
+    NEW met1 ( 2704570 104550 ) M1M2_PR
+    NEW met2 ( 2704570 105060 ) via2_FR
+    NEW met1 ( 1296970 104210 ) M1M2_PR
+    NEW met2 ( 1296970 103700 ) via2_FR
+    NEW met3 ( 2794500 104380 ) M3M4_PR_M
+    NEW met3 ( 2794500 105740 ) M3M4_PR_M
+    NEW met2 ( 2842570 105740 ) via2_FR
+    NEW met2 ( 2842570 103700 ) via2_FR
+    NEW met3 ( 1165180 117980 ) M3M4_PR_M
+    NEW met2 ( 1193470 117980 ) via2_FR
+    NEW met2 ( 1193470 104380 ) via2_FR
+    NEW met2 ( 1290070 104380 ) via2_FR
+    NEW met1 ( 1290070 104210 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) 
-  + ROUTED met2 ( 1320430 2299420 0 ) ( 1320430 2315230 )
-    NEW met1 ( 1320430 2315230 ) ( 1324570 2315230 )
-    NEW met2 ( 1324570 2315230 ) ( 1324570 2463470 )
-    NEW met2 ( 2898230 2463300 ) ( 2898230 2463470 )
-    NEW met3 ( 2898230 2463300 ) ( 2917780 2463300 0 )
-    NEW met1 ( 1324570 2463470 ) ( 2898230 2463470 )
-    NEW met1 ( 1324570 2463470 ) M1M2_PR
-    NEW met1 ( 1320430 2315230 ) M1M2_PR
-    NEW met1 ( 1324570 2315230 ) M1M2_PR
-    NEW met1 ( 2898230 2463470 ) M1M2_PR
-    NEW met2 ( 2898230 2463300 ) via2_FR
+  + ROUTED met2 ( 2900990 2217140 ) ( 2900990 2221730 )
+    NEW met3 ( 2900990 2217140 ) ( 2917780 2217140 0 )
+    NEW met1 ( 1790550 2221730 ) ( 2900990 2221730 )
+    NEW met2 ( 1320430 2299420 0 ) ( 1320430 2312510 )
+    NEW met1 ( 1518230 2312510 ) ( 1518230 2312850 )
+    NEW met1 ( 1463490 2312510 ) ( 1463490 2312850 )
+    NEW met1 ( 1463490 2312850 ) ( 1510410 2312850 )
+    NEW met1 ( 1510410 2312510 ) ( 1510410 2312850 )
+    NEW met1 ( 1320430 2312510 ) ( 1463490 2312510 )
+    NEW met1 ( 1510410 2312510 ) ( 1518230 2312510 )
+    NEW met1 ( 1583550 2312510 ) ( 1583550 2312850 )
+    NEW met1 ( 1518230 2312850 ) ( 1583550 2312850 )
+    NEW met1 ( 1583550 2312510 ) ( 1790550 2312510 )
+    NEW met2 ( 1790550 2221730 ) ( 1790550 2312510 )
+    NEW met1 ( 2900990 2221730 ) M1M2_PR
+    NEW met2 ( 2900990 2217140 ) via2_FR
+    NEW met1 ( 1790550 2221730 ) M1M2_PR
+    NEW met1 ( 1320430 2312510 ) M1M2_PR
+    NEW met1 ( 1790550 2312510 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) 
-  + ROUTED met2 ( 1336070 2299420 0 ) ( 1337910 2299420 )
-    NEW met2 ( 1337910 2299420 ) ( 1337910 2300100 )
-    NEW met2 ( 1337910 2300100 ) ( 1338370 2300100 )
-    NEW met2 ( 1338370 2300100 ) ( 1338370 2698750 )
-    NEW met2 ( 2898230 2697900 ) ( 2898230 2698750 )
-    NEW met3 ( 2898230 2697900 ) ( 2917780 2697900 0 )
-    NEW met1 ( 1338370 2698750 ) ( 2898230 2698750 )
-    NEW met1 ( 1338370 2698750 ) M1M2_PR
-    NEW met1 ( 2898230 2698750 ) M1M2_PR
-    NEW met2 ( 2898230 2697900 ) via2_FR
+  + ROUTED met2 ( 2900990 2422330 ) ( 2900990 2427940 )
+    NEW met3 ( 2900990 2427940 ) ( 2917780 2427940 0 )
+    NEW met2 ( 1336070 2299420 0 ) ( 1337450 2299420 )
+    NEW met2 ( 1337450 2299420 ) ( 1337450 2300100 )
+    NEW met2 ( 1337450 2300100 ) ( 1338370 2300100 )
+    NEW met2 ( 1338370 2300100 ) ( 1338370 2422330 )
+    NEW met1 ( 1338370 2422330 ) ( 2900990 2422330 )
+    NEW met1 ( 2900990 2422330 ) M1M2_PR
+    NEW met2 ( 2900990 2427940 ) via2_FR
+    NEW met1 ( 1338370 2422330 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) 
-  + ROUTED met2 ( 1351710 2299420 0 ) ( 1351710 2932670 )
-    NEW met2 ( 2898230 2932500 ) ( 2898230 2932670 )
-    NEW met3 ( 2898230 2932500 ) ( 2917780 2932500 0 )
-    NEW met1 ( 1351710 2932670 ) ( 2898230 2932670 )
-    NEW met1 ( 1351710 2932670 ) M1M2_PR
-    NEW met1 ( 2898230 2932670 ) M1M2_PR
-    NEW met2 ( 2898230 2932500 ) via2_FR
+  + ROUTED met2 ( 1351710 2299420 0 ) ( 1351710 2636530 )
+    NEW met2 ( 2898230 2636530 ) ( 2898230 2639420 )
+    NEW met3 ( 2898230 2639420 ) ( 2917780 2639420 0 )
+    NEW met1 ( 1351710 2636530 ) ( 2898230 2636530 )
+    NEW met1 ( 1351710 2636530 ) M1M2_PR
+    NEW met1 ( 2898230 2636530 ) M1M2_PR
+    NEW met2 ( 2898230 2639420 ) via2_FR
 + USE SIGNAL ;
 - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) 
-  + ROUTED met2 ( 2898230 3167100 ) ( 2898230 3167270 )
-    NEW met3 ( 2898230 3167100 ) ( 2917780 3167100 0 )
+  + ROUTED met2 ( 2898230 2850050 ) ( 2898230 2850220 )
+    NEW met3 ( 2898230 2850220 ) ( 2917780 2850220 0 )
     NEW met2 ( 1367350 2299420 0 ) ( 1367350 2315230 )
     NEW met1 ( 1367350 2315230 ) ( 1372410 2315230 )
-    NEW met2 ( 1372410 2315230 ) ( 1372410 3167270 )
-    NEW met1 ( 1372410 3167270 ) ( 2898230 3167270 )
-    NEW met1 ( 1372410 3167270 ) M1M2_PR
-    NEW met1 ( 2898230 3167270 ) M1M2_PR
-    NEW met2 ( 2898230 3167100 ) via2_FR
+    NEW met2 ( 1372410 2315230 ) ( 1372410 2850050 )
+    NEW met1 ( 1372410 2850050 ) ( 2898230 2850050 )
+    NEW met1 ( 1372410 2850050 ) M1M2_PR
+    NEW met1 ( 2898230 2850050 ) M1M2_PR
+    NEW met2 ( 2898230 2850220 ) via2_FR
     NEW met1 ( 1367350 2315230 ) M1M2_PR
     NEW met1 ( 1372410 2315230 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) 
-  + ROUTED met2 ( 2898230 3401700 ) ( 2898230 3401870 )
-    NEW met3 ( 2898230 3401700 ) ( 2917780 3401700 0 )
+  + ROUTED met2 ( 2900990 3057790 ) ( 2900990 3061700 )
+    NEW met3 ( 2900990 3061700 ) ( 2917780 3061700 0 )
     NEW met2 ( 1383450 2299420 0 ) ( 1385290 2299420 )
     NEW met2 ( 1385290 2299420 ) ( 1385290 2300100 )
     NEW met2 ( 1385290 2300100 ) ( 1386670 2300100 )
-    NEW met2 ( 1386670 2300100 ) ( 1386670 3401870 )
-    NEW met1 ( 1386670 3401870 ) ( 2898230 3401870 )
-    NEW met1 ( 1386670 3401870 ) M1M2_PR
-    NEW met1 ( 2898230 3401870 ) M1M2_PR
-    NEW met2 ( 2898230 3401700 ) via2_FR
+    NEW met2 ( 1386670 2300100 ) ( 1386670 3057790 )
+    NEW met1 ( 1386670 3057790 ) ( 2900990 3057790 )
+    NEW met1 ( 1386670 3057790 ) M1M2_PR
+    NEW met1 ( 2900990 3057790 ) M1M2_PR
+    NEW met2 ( 2900990 3061700 ) via2_FR
 + USE SIGNAL ;
 - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) 
-  + ROUTED met2 ( 2757470 3501830 ) ( 2757470 3517980 0 )
-    NEW met2 ( 1399090 2299420 0 ) ( 1400010 2299420 )
-    NEW met2 ( 1400010 2299420 ) ( 1400010 3501830 )
-    NEW met1 ( 1400010 3501830 ) ( 2757470 3501830 )
-    NEW met1 ( 2757470 3501830 ) M1M2_PR
-    NEW met1 ( 1400010 3501830 ) M1M2_PR
+  + ROUTED met2 ( 2815430 3501660 ) ( 2815430 3517980 0 )
+    NEW met2 ( 1399090 2299420 0 ) ( 1400470 2299420 )
+    NEW met2 ( 1400470 2299420 ) ( 1400470 3501660 )
+    NEW met3 ( 1400470 3501660 ) ( 2815430 3501660 )
+    NEW met2 ( 2815430 3501660 ) via2_FR
+    NEW met2 ( 1400470 3501660 ) via2_FR
 + USE SIGNAL ;
 - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) 
-  + ROUTED met1 ( 1459350 3504210 ) ( 1459350 3504550 )
-    NEW met2 ( 2433170 3504210 ) ( 2433170 3517980 0 )
-    NEW met1 ( 1421170 3504550 ) ( 1459350 3504550 )
-    NEW met2 ( 1414730 2299420 0 ) ( 1416570 2299420 )
-    NEW met2 ( 1416570 2299420 ) ( 1416570 2315230 )
-    NEW met1 ( 1416570 2315230 ) ( 1421170 2315230 )
-    NEW met2 ( 1421170 2315230 ) ( 1421170 3504550 )
-    NEW met1 ( 1459350 3504210 ) ( 2433170 3504210 )
-    NEW met1 ( 2433170 3504210 ) M1M2_PR
-    NEW met1 ( 1421170 3504550 ) M1M2_PR
-    NEW met1 ( 1416570 2315230 ) M1M2_PR
-    NEW met1 ( 1421170 2315230 ) M1M2_PR
+  + ROUTED met2 ( 2607050 3501830 ) ( 2607050 3517980 0 )
+    NEW met2 ( 1414730 2299420 0 ) ( 1414730 2315910 )
+    NEW met1 ( 1414730 2315910 ) ( 1421170 2315910 )
+    NEW met2 ( 1421170 2315910 ) ( 1421170 3501830 )
+    NEW met1 ( 1421170 3501830 ) ( 2607050 3501830 )
+    NEW met1 ( 2607050 3501830 ) M1M2_PR
+    NEW met1 ( 1414730 2315910 ) M1M2_PR
+    NEW met1 ( 1421170 2315910 ) M1M2_PR
+    NEW met1 ( 1421170 3501830 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) 
-  + ROUTED met2 ( 2108870 3499790 ) ( 2108870 3517980 0 )
-    NEW met2 ( 1430830 2299420 0 ) ( 1430830 2315230 )
-    NEW met1 ( 1430830 2315230 ) ( 1434970 2315230 )
-    NEW met2 ( 1434970 2315230 ) ( 1434970 3499790 )
-    NEW li1 ( 1470390 3499790 ) ( 1471310 3499790 )
-    NEW met1 ( 1434970 3499790 ) ( 1470390 3499790 )
-    NEW met1 ( 1471310 3499790 ) ( 2108870 3499790 )
-    NEW met1 ( 1434970 3499790 ) M1M2_PR
-    NEW met1 ( 2108870 3499790 ) M1M2_PR
-    NEW met1 ( 1430830 2315230 ) M1M2_PR
-    NEW met1 ( 1434970 2315230 ) M1M2_PR
-    NEW li1 ( 1470390 3499790 ) L1M1_PR_MR
-    NEW li1 ( 1471310 3499790 ) L1M1_PR_MR
+  + ROUTED met2 ( 2398210 3502510 ) ( 2398210 3517980 0 )
+    NEW met2 ( 1430830 2299420 0 ) ( 1430830 2315910 )
+    NEW met1 ( 1430830 2315910 ) ( 1434970 2315910 )
+    NEW met2 ( 1434970 2315910 ) ( 1434970 3502510 )
+    NEW met1 ( 1434970 3502510 ) ( 2398210 3502510 )
+    NEW met1 ( 2398210 3502510 ) M1M2_PR
+    NEW met1 ( 1430830 2315910 ) M1M2_PR
+    NEW met1 ( 1434970 2315910 ) M1M2_PR
+    NEW met1 ( 1434970 3502510 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) 
-  + ROUTED met1 ( 1448770 3499110 ) ( 1460270 3499110 )
-    NEW met2 ( 1460270 3498430 ) ( 1460270 3499110 )
-    NEW met2 ( 1784110 3498430 ) ( 1784110 3517980 0 )
-    NEW met2 ( 1446470 2298740 0 ) ( 1448770 2298740 )
-    NEW met2 ( 1448770 2298740 ) ( 1448770 3499110 )
-    NEW met1 ( 1460270 3498430 ) ( 1784110 3498430 )
-    NEW met1 ( 1448770 3499110 ) M1M2_PR
-    NEW met1 ( 1460270 3499110 ) M1M2_PR
-    NEW met1 ( 1460270 3498430 ) M1M2_PR
-    NEW met1 ( 1784110 3498430 ) M1M2_PR
+  + ROUTED met2 ( 2189830 3503870 ) ( 2189830 3517980 0 )
+    NEW met2 ( 1446470 2299420 0 ) ( 1448310 2299420 )
+    NEW met2 ( 1448310 2299420 ) ( 1448310 2300100 )
+    NEW met2 ( 1448310 2300100 ) ( 1448770 2300100 )
+    NEW met2 ( 1448770 2300100 ) ( 1448770 3503870 )
+    NEW met1 ( 1448770 3503870 ) ( 2189830 3503870 )
+    NEW met1 ( 2189830 3503870 ) M1M2_PR
+    NEW met1 ( 1448770 3503870 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) 
-  + ROUTED met1 ( 1456130 3498430 ) ( 1459810 3498430 )
-    NEW met2 ( 1459810 3498430 ) ( 1459810 3517980 0 )
-    NEW met2 ( 1460270 2299420 ) ( 1462110 2299420 0 )
-    NEW met2 ( 1460270 2299420 ) ( 1460270 2315570 )
-    NEW met1 ( 1456130 2315570 ) ( 1460270 2315570 )
-    NEW met2 ( 1456130 2315570 ) ( 1456130 3498430 )
-    NEW met1 ( 1456130 3498430 ) M1M2_PR
-    NEW met1 ( 1459810 3498430 ) M1M2_PR
-    NEW met1 ( 1460270 2315570 ) M1M2_PR
-    NEW met1 ( 1456130 2315570 ) M1M2_PR
+  + ROUTED met2 ( 1981450 3504550 ) ( 1981450 3517980 0 )
+    NEW met2 ( 1462110 2299420 0 ) ( 1462570 2299420 )
+    NEW met2 ( 1462570 2299420 ) ( 1462570 3504890 )
+    NEW li1 ( 1488790 3504890 ) ( 1490630 3504890 )
+    NEW met1 ( 1490630 3504890 ) ( 1495230 3504890 )
+    NEW met1 ( 1495230 3504550 ) ( 1495230 3504890 )
+    NEW met1 ( 1462570 3504890 ) ( 1488790 3504890 )
+    NEW met1 ( 1495230 3504550 ) ( 1981450 3504550 )
+    NEW met1 ( 1981450 3504550 ) M1M2_PR
+    NEW met1 ( 1462570 3504890 ) M1M2_PR
+    NEW li1 ( 1488790 3504890 ) L1M1_PR_MR
+    NEW li1 ( 1490630 3504890 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) 
-  + ROUTED met3 ( 1178750 2298060 ) ( 1178980 2298060 )
+  + ROUTED met3 ( 2015260 311100 ) ( 2015260 312460 )
+    NEW met3 ( 2111860 311100 ) ( 2111860 312460 )
+    NEW met3 ( 2208460 311100 ) ( 2208460 312460 )
+    NEW met3 ( 2305060 311100 ) ( 2305060 312460 )
+    NEW met3 ( 2401660 311100 ) ( 2401660 312460 )
+    NEW met3 ( 2498260 311100 ) ( 2498260 312460 )
+    NEW met3 ( 2594860 311100 ) ( 2594860 312460 )
+    NEW met3 ( 2916860 311780 ) ( 2916860 316540 )
+    NEW met3 ( 2916860 316540 ) ( 2917780 316540 0 )
+    NEW met3 ( 1178750 2298060 ) ( 1178980 2298060 )
     NEW met2 ( 1178290 2298060 0 ) ( 1178750 2298060 )
-    NEW met3 ( 1178980 351900 ) ( 2917780 351900 0 )
-    NEW met4 ( 1178980 351900 ) ( 1178980 2298060 )
-    NEW met3 ( 1178980 351900 ) M3M4_PR_M
+    NEW met3 ( 1966500 311780 ) ( 1966500 312460 )
+    NEW met3 ( 1966500 311780 ) ( 2014340 311780 )
+    NEW met3 ( 2014340 311100 ) ( 2014340 311780 )
+    NEW met3 ( 2014340 311100 ) ( 2015260 311100 )
+    NEW met3 ( 2063100 311780 ) ( 2063100 312460 )
+    NEW met3 ( 2063100 311780 ) ( 2110940 311780 )
+    NEW met3 ( 2110940 311100 ) ( 2110940 311780 )
+    NEW met3 ( 2015260 312460 ) ( 2063100 312460 )
+    NEW met3 ( 2110940 311100 ) ( 2111860 311100 )
+    NEW met3 ( 2159700 311780 ) ( 2159700 312460 )
+    NEW met3 ( 2159700 311780 ) ( 2207540 311780 )
+    NEW met3 ( 2207540 311100 ) ( 2207540 311780 )
+    NEW met3 ( 2111860 312460 ) ( 2159700 312460 )
+    NEW met3 ( 2207540 311100 ) ( 2208460 311100 )
+    NEW met3 ( 2256300 311780 ) ( 2256300 312460 )
+    NEW met3 ( 2256300 311780 ) ( 2304140 311780 )
+    NEW met3 ( 2304140 311100 ) ( 2304140 311780 )
+    NEW met3 ( 2208460 312460 ) ( 2256300 312460 )
+    NEW met3 ( 2304140 311100 ) ( 2305060 311100 )
+    NEW met3 ( 2352900 311780 ) ( 2352900 312460 )
+    NEW met3 ( 2352900 311780 ) ( 2400740 311780 )
+    NEW met3 ( 2400740 311100 ) ( 2400740 311780 )
+    NEW met3 ( 2305060 312460 ) ( 2352900 312460 )
+    NEW met3 ( 2400740 311100 ) ( 2401660 311100 )
+    NEW met3 ( 2449500 311780 ) ( 2449500 312460 )
+    NEW met3 ( 2449500 311780 ) ( 2497340 311780 )
+    NEW met3 ( 2497340 311100 ) ( 2497340 311780 )
+    NEW met3 ( 2401660 312460 ) ( 2449500 312460 )
+    NEW met3 ( 2497340 311100 ) ( 2498260 311100 )
+    NEW met3 ( 2546100 311780 ) ( 2546100 312460 )
+    NEW met3 ( 2546100 311780 ) ( 2593940 311780 )
+    NEW met3 ( 2593940 311100 ) ( 2593940 311780 )
+    NEW met3 ( 2498260 312460 ) ( 2546100 312460 )
+    NEW met3 ( 2593940 311100 ) ( 2594860 311100 )
+    NEW met3 ( 1704300 311100 ) ( 1704300 312460 )
+    NEW met4 ( 1178980 311100 ) ( 1178980 2298060 )
+    NEW met3 ( 1753060 311100 ) ( 1753060 312460 )
+    NEW met3 ( 1704300 311100 ) ( 1753060 311100 )
+    NEW met3 ( 2622460 311780 ) ( 2622460 312460 )
+    NEW met3 ( 2594860 312460 ) ( 2622460 312460 )
+    NEW met2 ( 1655770 311100 ) ( 1655770 311950 )
+    NEW met1 ( 1655770 311950 ) ( 1690270 311950 )
+    NEW met2 ( 1690270 311950 ) ( 1690270 312460 )
+    NEW met3 ( 1178980 311100 ) ( 1655770 311100 )
+    NEW met3 ( 1690270 312460 ) ( 1704300 312460 )
+    NEW met3 ( 1835860 311780 ) ( 1835860 313140 )
+    NEW met3 ( 1835860 313140 ) ( 1859550 313140 )
+    NEW met2 ( 1859550 311100 ) ( 1859550 313140 )
+    NEW met4 ( 2705260 312460 ) ( 2705260 313820 )
+    NEW met3 ( 2705260 313820 ) ( 2728950 313820 )
+    NEW met2 ( 2728950 311100 ) ( 2728950 313820 )
+    NEW met4 ( 1787100 312460 ) ( 1787100 313820 )
+    NEW met3 ( 1787100 313820 ) ( 1835170 313820 )
+    NEW met2 ( 1835170 311780 ) ( 1835170 313820 )
+    NEW met3 ( 1753060 312460 ) ( 1787100 312460 )
+    NEW met3 ( 1835170 311780 ) ( 1835860 311780 )
+    NEW met4 ( 1883700 311100 ) ( 1883700 312460 )
+    NEW met3 ( 1859550 311100 ) ( 1883700 311100 )
+    NEW met3 ( 1883700 312460 ) ( 1966500 312460 )
+    NEW met2 ( 2670070 311780 ) ( 2670070 311950 )
+    NEW met1 ( 2670070 311950 ) ( 2704570 311950 )
+    NEW met2 ( 2704570 311950 ) ( 2704570 312460 )
+    NEW met3 ( 2622460 311780 ) ( 2670070 311780 )
+    NEW met3 ( 2704570 312460 ) ( 2705260 312460 )
+    NEW met3 ( 2777020 311100 ) ( 2777020 311780 )
+    NEW met3 ( 2728950 311100 ) ( 2777020 311100 )
+    NEW met3 ( 2884660 311100 ) ( 2884660 311780 )
+    NEW met3 ( 2884660 311780 ) ( 2916860 311780 )
+    NEW met4 ( 2794500 311780 ) ( 2794500 313140 )
+    NEW met3 ( 2794500 313140 ) ( 2842570 313140 )
+    NEW met2 ( 2842570 311100 ) ( 2842570 313140 )
+    NEW met3 ( 2777020 311780 ) ( 2794500 311780 )
+    NEW met3 ( 2842570 311100 ) ( 2884660 311100 )
     NEW met3 ( 1178980 2298060 ) M3M4_PR_M
     NEW met2 ( 1178750 2298060 ) via2_FR
+    NEW met3 ( 1178980 311100 ) M3M4_PR_M
+    NEW met2 ( 1655770 311100 ) via2_FR
+    NEW met1 ( 1655770 311950 ) M1M2_PR
+    NEW met1 ( 1690270 311950 ) M1M2_PR
+    NEW met2 ( 1690270 312460 ) via2_FR
+    NEW met2 ( 1859550 313140 ) via2_FR
+    NEW met2 ( 1859550 311100 ) via2_FR
+    NEW met3 ( 2705260 312460 ) M3M4_PR_M
+    NEW met3 ( 2705260 313820 ) M3M4_PR_M
+    NEW met2 ( 2728950 313820 ) via2_FR
+    NEW met2 ( 2728950 311100 ) via2_FR
+    NEW met3 ( 1787100 312460 ) M3M4_PR_M
+    NEW met3 ( 1787100 313820 ) M3M4_PR_M
+    NEW met2 ( 1835170 313820 ) via2_FR
+    NEW met2 ( 1835170 311780 ) via2_FR
+    NEW met3 ( 1883700 311100 ) M3M4_PR_M
+    NEW met3 ( 1883700 312460 ) M3M4_PR_M
+    NEW met2 ( 2670070 311780 ) via2_FR
+    NEW met1 ( 2670070 311950 ) M1M2_PR
+    NEW met1 ( 2704570 311950 ) M1M2_PR
+    NEW met2 ( 2704570 312460 ) via2_FR
+    NEW met3 ( 2794500 311780 ) M3M4_PR_M
+    NEW met3 ( 2794500 313140 ) M3M4_PR_M
+    NEW met2 ( 2842570 313140 ) via2_FR
+    NEW met2 ( 2842570 311100 ) via2_FR
     NEW met3 ( 1178980 2298060 ) RECT ( 0 -150 390 150 )
 + USE SIGNAL ;
 - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) 
-  + ROUTED li1 ( 1145630 3498770 ) ( 1145630 3499450 )
-    NEW met1 ( 1442330 3498430 ) ( 1442330 3498770 )
-    NEW met1 ( 1442330 3498430 ) ( 1455670 3498430 )
-    NEW li1 ( 1455670 3498430 ) ( 1455670 3498770 )
-    NEW li1 ( 1455670 3498770 ) ( 1457050 3498770 )
-    NEW met1 ( 1457050 3498770 ) ( 1477290 3498770 )
-    NEW met1 ( 1135510 3498770 ) ( 1145630 3498770 )
-    NEW li1 ( 1193930 3498430 ) ( 1193930 3499450 )
-    NEW met1 ( 1145630 3499450 ) ( 1193930 3499450 )
-    NEW li1 ( 1434510 3498770 ) ( 1434510 3499790 )
-    NEW li1 ( 1434510 3498770 ) ( 1434970 3498770 )
-    NEW met1 ( 1434970 3498770 ) ( 1442330 3498770 )
-    NEW met2 ( 1135510 3498770 ) ( 1135510 3517980 0 )
-    NEW li1 ( 1318130 3498430 ) ( 1318130 3499450 )
-    NEW met2 ( 1477290 2299420 ) ( 1478210 2299420 0 )
-    NEW met2 ( 1477290 2299420 ) ( 1477290 3498770 )
-    NEW li1 ( 1293750 3498430 ) ( 1293750 3499450 )
-    NEW met1 ( 1193930 3498430 ) ( 1293750 3498430 )
-    NEW met1 ( 1293750 3499450 ) ( 1318130 3499450 )
-    NEW li1 ( 1390350 3498430 ) ( 1390350 3499790 )
-    NEW met1 ( 1318130 3498430 ) ( 1390350 3498430 )
-    NEW met1 ( 1390350 3499790 ) ( 1434510 3499790 )
-    NEW li1 ( 1145630 3498770 ) L1M1_PR_MR
-    NEW li1 ( 1145630 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1455670 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1457050 3498770 ) L1M1_PR_MR
-    NEW met1 ( 1477290 3498770 ) M1M2_PR
-    NEW met1 ( 1135510 3498770 ) M1M2_PR
-    NEW li1 ( 1193930 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1193930 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1434510 3499790 ) L1M1_PR_MR
-    NEW li1 ( 1434970 3498770 ) L1M1_PR_MR
-    NEW li1 ( 1318130 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1318130 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1293750 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1293750 3499450 ) L1M1_PR_MR
-    NEW li1 ( 1390350 3498430 ) L1M1_PR_MR
-    NEW li1 ( 1390350 3499790 ) L1M1_PR_MR
+  + ROUTED met2 ( 1772610 3499450 ) ( 1772610 3517980 0 )
+    NEW met2 ( 1478210 2298740 0 ) ( 1480970 2298740 )
+    NEW met2 ( 1480970 2298740 ) ( 1480970 2312340 )
+    NEW met2 ( 1480970 2312340 ) ( 1483270 2312340 )
+    NEW met1 ( 1483270 3482450 ) ( 1488330 3482450 )
+    NEW li1 ( 1488330 3482450 ) ( 1488330 3499450 )
+    NEW met2 ( 1483270 2312340 ) ( 1483270 3482450 )
+    NEW met1 ( 1488330 3499450 ) ( 1772610 3499450 )
+    NEW met1 ( 1772610 3499450 ) M1M2_PR
+    NEW met1 ( 1483270 3482450 ) M1M2_PR
+    NEW li1 ( 1488330 3482450 ) L1M1_PR_MR
+    NEW li1 ( 1488330 3499450 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) 
-  + ROUTED li1 ( 1459350 3500130 ) ( 1459350 3501150 )
-    NEW met1 ( 1459350 3501150 ) ( 1490630 3501150 )
-    NEW met2 ( 810750 3500130 ) ( 810750 3517980 0 )
-    NEW met2 ( 1490630 2298740 ) ( 1493850 2298740 0 )
-    NEW met2 ( 1490630 2298740 ) ( 1490630 3501150 )
-    NEW met1 ( 810750 3500130 ) ( 1459350 3500130 )
-    NEW li1 ( 1459350 3500130 ) L1M1_PR_MR
-    NEW li1 ( 1459350 3501150 ) L1M1_PR_MR
-    NEW met1 ( 810750 3500130 ) M1M2_PR
-    NEW met1 ( 1490630 3501150 ) M1M2_PR
+  + ROUTED met2 ( 1564230 3498770 ) ( 1564230 3517980 0 )
+    NEW met2 ( 1493850 2298740 0 ) ( 1497070 2298740 )
+    NEW met2 ( 1497070 2298740 ) ( 1497070 3498770 )
+    NEW met1 ( 1497070 3498770 ) ( 1564230 3498770 )
+    NEW met1 ( 1564230 3498770 ) M1M2_PR
+    NEW met1 ( 1497070 3498770 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) 
-  + ROUTED met2 ( 486450 3503870 ) ( 486450 3517980 0 )
-    NEW met2 ( 1508110 2299420 ) ( 1509490 2299420 0 )
-    NEW met2 ( 1508110 2299420 ) ( 1508110 2315570 )
-    NEW met1 ( 1504430 2315570 ) ( 1508110 2315570 )
-    NEW met2 ( 1504430 2315570 ) ( 1504430 3503870 )
-    NEW met1 ( 486450 3503870 ) ( 1504430 3503870 )
-    NEW met1 ( 486450 3503870 ) M1M2_PR
-    NEW met1 ( 1504430 3503870 ) M1M2_PR
-    NEW met1 ( 1508110 2315570 ) M1M2_PR
-    NEW met1 ( 1504430 2315570 ) M1M2_PR
+  + ROUTED met2 ( 1355390 3498430 ) ( 1355390 3517980 0 )
+    NEW met2 ( 1504890 2298740 ) ( 1509490 2298740 0 )
+    NEW li1 ( 1463030 3498430 ) ( 1463030 3504550 )
+    NEW met1 ( 1463030 3504550 ) ( 1489710 3504550 )
+    NEW met1 ( 1489710 3504550 ) ( 1489710 3505230 )
+    NEW met1 ( 1489710 3505230 ) ( 1495690 3505230 )
+    NEW met1 ( 1495690 3504890 ) ( 1495690 3505230 )
+    NEW met1 ( 1495690 3504890 ) ( 1504890 3504890 )
+    NEW met1 ( 1355390 3498430 ) ( 1463030 3498430 )
+    NEW met2 ( 1504890 2298740 ) ( 1504890 3504890 )
+    NEW met1 ( 1355390 3498430 ) M1M2_PR
+    NEW li1 ( 1463030 3498430 ) L1M1_PR_MR
+    NEW li1 ( 1463030 3504550 ) L1M1_PR_MR
+    NEW met1 ( 1504890 3504890 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) 
-  + ROUTED met2 ( 162150 3501490 ) ( 162150 3517980 0 )
+  + ROUTED met2 ( 1147010 3500130 ) ( 1147010 3517980 0 )
     NEW met2 ( 1525130 2299420 ) ( 1525590 2299420 0 )
-    NEW met2 ( 1525130 2299420 ) ( 1525130 3501490 )
-    NEW met1 ( 162150 3501490 ) ( 1525130 3501490 )
-    NEW met1 ( 162150 3501490 ) M1M2_PR
-    NEW met1 ( 1525130 3501490 ) M1M2_PR
+    NEW met2 ( 1525130 2299420 ) ( 1525130 3504890 )
+    NEW li1 ( 1475450 3500130 ) ( 1475450 3505570 )
+    NEW met1 ( 1475450 3505570 ) ( 1505350 3505570 )
+    NEW met1 ( 1505350 3504890 ) ( 1505350 3505570 )
+    NEW met1 ( 1147010 3500130 ) ( 1475450 3500130 )
+    NEW met1 ( 1505350 3504890 ) ( 1525130 3504890 )
+    NEW met1 ( 1147010 3500130 ) M1M2_PR
+    NEW met1 ( 1525130 3504890 ) M1M2_PR
+    NEW li1 ( 1475450 3500130 ) L1M1_PR_MR
+    NEW li1 ( 1475450 3505570 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) 
-  + ROUTED met3 ( 2300 3393540 0 ) ( 17250 3393540 )
-    NEW met2 ( 17250 3387930 ) ( 17250 3393540 )
-    NEW met1 ( 17250 3387930 ) ( 1538930 3387930 )
+  + ROUTED met3 ( 2300 3413260 0 ) ( 17250 3413260 )
+    NEW met2 ( 17250 3408670 ) ( 17250 3413260 )
+    NEW met1 ( 17250 3408670 ) ( 1538930 3408670 )
     NEW met2 ( 1538930 2299420 ) ( 1541230 2299420 0 )
-    NEW met2 ( 1538930 2299420 ) ( 1538930 3387930 )
-    NEW met2 ( 17250 3393540 ) via2_FR
-    NEW met1 ( 17250 3387930 ) M1M2_PR
-    NEW met1 ( 1538930 3387930 ) M1M2_PR
+    NEW met2 ( 1538930 2299420 ) ( 1538930 3408670 )
+    NEW met2 ( 17250 3413260 ) via2_FR
+    NEW met1 ( 17250 3408670 ) M1M2_PR
+    NEW met1 ( 1538930 3408670 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) 
-  + ROUTED met3 ( 2300 3141940 0 ) ( 17250 3141940 )
-    NEW met2 ( 17250 3139730 ) ( 17250 3141940 )
-    NEW met1 ( 17250 3139730 ) ( 1552730 3139730 )
+  + ROUTED met3 ( 2300 3202460 0 ) ( 17250 3202460 )
+    NEW met2 ( 17250 3201950 ) ( 17250 3202460 )
+    NEW met1 ( 17250 3201950 ) ( 1552730 3201950 )
     NEW met2 ( 1552730 2298740 ) ( 1556870 2298740 0 )
-    NEW met2 ( 1552730 2298740 ) ( 1552730 3139730 )
-    NEW met2 ( 17250 3141940 ) via2_FR
-    NEW met1 ( 17250 3139730 ) M1M2_PR
-    NEW met1 ( 1552730 3139730 ) M1M2_PR
+    NEW met2 ( 1552730 2298740 ) ( 1552730 3201950 )
+    NEW met2 ( 17250 3202460 ) via2_FR
+    NEW met1 ( 17250 3201950 ) M1M2_PR
+    NEW met1 ( 1552730 3201950 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) 
-  + ROUTED met3 ( 2300 2891020 0 ) ( 16330 2891020 )
-    NEW met2 ( 16330 2884390 ) ( 16330 2891020 )
+  + ROUTED met3 ( 2300 2990980 0 ) ( 15870 2990980 )
+    NEW met2 ( 15870 2987750 ) ( 15870 2990980 )
+    NEW met1 ( 15870 2987750 ) ( 1566530 2987750 )
     NEW met2 ( 1571590 2299420 ) ( 1572970 2299420 0 )
-    NEW met2 ( 1571590 2299420 ) ( 1571590 2311490 )
-    NEW met1 ( 1566990 2311490 ) ( 1571590 2311490 )
-    NEW met1 ( 16330 2884390 ) ( 1566990 2884390 )
-    NEW met2 ( 1566990 2311490 ) ( 1566990 2884390 )
-    NEW met2 ( 16330 2891020 ) via2_FR
-    NEW met1 ( 16330 2884390 ) M1M2_PR
-    NEW met1 ( 1571590 2311490 ) M1M2_PR
-    NEW met1 ( 1566990 2311490 ) M1M2_PR
-    NEW met1 ( 1566990 2884390 ) M1M2_PR
+    NEW met2 ( 1571590 2299420 ) ( 1571590 2314210 )
+    NEW met1 ( 1566530 2314210 ) ( 1571590 2314210 )
+    NEW met2 ( 1566530 2314210 ) ( 1566530 2987750 )
+    NEW met2 ( 15870 2990980 ) via2_FR
+    NEW met1 ( 15870 2987750 ) M1M2_PR
+    NEW met1 ( 1566530 2987750 ) M1M2_PR
+    NEW met1 ( 1571590 2314210 ) M1M2_PR
+    NEW met1 ( 1566530 2314210 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) 
-  + ROUTED met3 ( 2300 2639420 0 ) ( 15870 2639420 )
-    NEW met2 ( 15870 2636190 ) ( 15870 2639420 )
-    NEW met1 ( 15870 2636190 ) ( 1587230 2636190 )
+  + ROUTED met3 ( 2300 2780180 0 ) ( 17250 2780180 )
+    NEW met2 ( 17250 2773890 ) ( 17250 2780180 )
+    NEW met1 ( 17250 2773890 ) ( 1587230 2773890 )
     NEW met2 ( 1587230 2299420 ) ( 1588610 2299420 0 )
-    NEW met2 ( 1587230 2299420 ) ( 1587230 2636190 )
-    NEW met2 ( 15870 2639420 ) via2_FR
-    NEW met1 ( 15870 2636190 ) M1M2_PR
-    NEW met1 ( 1587230 2636190 ) M1M2_PR
+    NEW met2 ( 1587230 2299420 ) ( 1587230 2773890 )
+    NEW met2 ( 17250 2780180 ) via2_FR
+    NEW met1 ( 17250 2773890 ) M1M2_PR
+    NEW met1 ( 1587230 2773890 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) 
-  + ROUTED met3 ( 2300 2387820 0 ) ( 17250 2387820 )
-    NEW met2 ( 17250 2387650 ) ( 17250 2387820 )
-    NEW met1 ( 17250 2387650 ) ( 1601030 2387650 )
+  + ROUTED met3 ( 2300 2568700 0 ) ( 17250 2568700 )
+    NEW met2 ( 17250 2566830 ) ( 17250 2568700 )
     NEW met2 ( 1602870 2299420 ) ( 1604250 2299420 0 )
     NEW met2 ( 1602870 2299420 ) ( 1602870 2300100 )
     NEW met2 ( 1601030 2300100 ) ( 1602870 2300100 )
-    NEW met2 ( 1601030 2300100 ) ( 1601030 2387650 )
-    NEW met2 ( 17250 2387820 ) via2_FR
-    NEW met1 ( 17250 2387650 ) M1M2_PR
-    NEW met1 ( 1601030 2387650 ) M1M2_PR
+    NEW met1 ( 17250 2566830 ) ( 1601030 2566830 )
+    NEW met2 ( 1601030 2300100 ) ( 1601030 2566830 )
+    NEW met2 ( 17250 2568700 ) via2_FR
+    NEW met1 ( 17250 2566830 ) M1M2_PR
+    NEW met1 ( 1601030 2566830 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) 
-  + ROUTED met3 ( 2300 2136220 0 ) ( 15870 2136220 )
-    NEW met2 ( 15870 2136220 ) ( 15870 2138770 )
-    NEW met1 ( 15870 2138770 ) ( 1100550 2138770 )
-    NEW met2 ( 1620350 2299420 0 ) ( 1620350 2307410 )
-    NEW met2 ( 1100550 2138770 ) ( 1100550 2307410 )
-    NEW met1 ( 1100550 2307410 ) ( 1620350 2307410 )
-    NEW met2 ( 15870 2136220 ) via2_FR
-    NEW met1 ( 15870 2138770 ) M1M2_PR
-    NEW met1 ( 1100550 2138770 ) M1M2_PR
-    NEW met1 ( 1620350 2307410 ) M1M2_PR
-    NEW met1 ( 1100550 2307410 ) M1M2_PR
+  + ROUTED met3 ( 2300 2357900 0 ) ( 17250 2357900 )
+    NEW met2 ( 17250 2353310 ) ( 17250 2357900 )
+    NEW met2 ( 1617590 2298740 ) ( 1620350 2298740 0 )
+    NEW met2 ( 1617590 2298740 ) ( 1617590 2301460 )
+    NEW met2 ( 1614830 2301460 ) ( 1617590 2301460 )
+    NEW met2 ( 1614830 2301460 ) ( 1614830 2353310 )
+    NEW met1 ( 17250 2353310 ) ( 1614830 2353310 )
+    NEW met2 ( 17250 2357900 ) via2_FR
+    NEW met1 ( 17250 2353310 ) M1M2_PR
+    NEW met1 ( 1614830 2353310 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) 
-  + ROUTED met3 ( 1195770 2298060 ) ( 1200140 2298060 )
+  + ROUTED met3 ( 2015260 524620 ) ( 2015260 525980 )
+    NEW met3 ( 2111860 524620 ) ( 2111860 525980 )
+    NEW met3 ( 2208460 524620 ) ( 2208460 525980 )
+    NEW met3 ( 2305060 524620 ) ( 2305060 525980 )
+    NEW met3 ( 2401660 524620 ) ( 2401660 525980 )
+    NEW met3 ( 2498260 524620 ) ( 2498260 525980 )
+    NEW met3 ( 2594860 524620 ) ( 2594860 525980 )
+    NEW met3 ( 2916860 525300 ) ( 2916860 527340 )
+    NEW met3 ( 2916860 527340 ) ( 2917780 527340 0 )
+    NEW met3 ( 1195770 2298060 ) ( 1200140 2298060 )
     NEW met2 ( 1193930 2298060 0 ) ( 1195770 2298060 )
-    NEW met3 ( 1200140 586500 ) ( 2917780 586500 0 )
-    NEW met4 ( 1200140 586500 ) ( 1200140 2298060 )
-    NEW met3 ( 1200140 586500 ) M3M4_PR_M
+    NEW met3 ( 1966500 525300 ) ( 1966500 525980 )
+    NEW met3 ( 1966500 525300 ) ( 2014340 525300 )
+    NEW met3 ( 2014340 524620 ) ( 2014340 525300 )
+    NEW met3 ( 2014340 524620 ) ( 2015260 524620 )
+    NEW met3 ( 2063100 525300 ) ( 2063100 525980 )
+    NEW met3 ( 2063100 525300 ) ( 2110940 525300 )
+    NEW met3 ( 2110940 524620 ) ( 2110940 525300 )
+    NEW met3 ( 2015260 525980 ) ( 2063100 525980 )
+    NEW met3 ( 2110940 524620 ) ( 2111860 524620 )
+    NEW met3 ( 2159700 525300 ) ( 2159700 525980 )
+    NEW met3 ( 2159700 525300 ) ( 2207540 525300 )
+    NEW met3 ( 2207540 524620 ) ( 2207540 525300 )
+    NEW met3 ( 2111860 525980 ) ( 2159700 525980 )
+    NEW met3 ( 2207540 524620 ) ( 2208460 524620 )
+    NEW met3 ( 2256300 525300 ) ( 2256300 525980 )
+    NEW met3 ( 2256300 525300 ) ( 2304140 525300 )
+    NEW met3 ( 2304140 524620 ) ( 2304140 525300 )
+    NEW met3 ( 2208460 525980 ) ( 2256300 525980 )
+    NEW met3 ( 2304140 524620 ) ( 2305060 524620 )
+    NEW met3 ( 2352900 525300 ) ( 2352900 525980 )
+    NEW met3 ( 2352900 525300 ) ( 2400740 525300 )
+    NEW met3 ( 2400740 524620 ) ( 2400740 525300 )
+    NEW met3 ( 2305060 525980 ) ( 2352900 525980 )
+    NEW met3 ( 2400740 524620 ) ( 2401660 524620 )
+    NEW met3 ( 2449500 525300 ) ( 2449500 525980 )
+    NEW met3 ( 2449500 525300 ) ( 2497340 525300 )
+    NEW met3 ( 2497340 524620 ) ( 2497340 525300 )
+    NEW met3 ( 2401660 525980 ) ( 2449500 525980 )
+    NEW met3 ( 2497340 524620 ) ( 2498260 524620 )
+    NEW met3 ( 2546100 525300 ) ( 2546100 525980 )
+    NEW met3 ( 2546100 525300 ) ( 2593940 525300 )
+    NEW met3 ( 2593940 524620 ) ( 2593940 525300 )
+    NEW met3 ( 2498260 525980 ) ( 2546100 525980 )
+    NEW met3 ( 2593940 524620 ) ( 2594860 524620 )
+    NEW met3 ( 1414500 524620 ) ( 1414500 525980 )
+    NEW met3 ( 1512020 525300 ) ( 1512020 526660 )
+    NEW met3 ( 1608620 525300 ) ( 1608620 526660 )
+    NEW met3 ( 1704300 524620 ) ( 1704300 525980 )
+    NEW met4 ( 1200140 525980 ) ( 1200140 2298060 )
+    NEW met3 ( 1680380 525300 ) ( 1680380 525980 )
+    NEW met3 ( 1608620 525300 ) ( 1680380 525300 )
+    NEW met3 ( 1680380 525980 ) ( 1704300 525980 )
+    NEW met3 ( 1753060 524620 ) ( 1753060 525980 )
+    NEW met3 ( 1704300 524620 ) ( 1753060 524620 )
+    NEW met3 ( 2622460 525300 ) ( 2622460 525980 )
+    NEW met3 ( 2594860 525980 ) ( 2622460 525980 )
+    NEW met3 ( 1462340 524620 ) ( 1462340 526660 )
+    NEW met3 ( 1414500 524620 ) ( 1462340 524620 )
+    NEW met3 ( 1462340 526660 ) ( 1512020 526660 )
+    NEW met3 ( 1558940 525300 ) ( 1558940 526660 )
+    NEW met3 ( 1512020 525300 ) ( 1558940 525300 )
+    NEW met3 ( 1558940 526660 ) ( 1608620 526660 )
+    NEW met3 ( 1835860 525300 ) ( 1835860 526660 )
+    NEW met3 ( 1835860 526660 ) ( 1859550 526660 )
+    NEW met2 ( 1859550 524620 ) ( 1859550 526660 )
+    NEW met4 ( 2705260 525980 ) ( 2705260 527340 )
+    NEW met3 ( 2705260 527340 ) ( 2728950 527340 )
+    NEW met2 ( 2728950 524620 ) ( 2728950 527340 )
+    NEW met4 ( 1787100 525980 ) ( 1787100 527340 )
+    NEW met3 ( 1787100 527340 ) ( 1835170 527340 )
+    NEW met2 ( 1835170 525300 ) ( 1835170 527340 )
+    NEW met3 ( 1753060 525980 ) ( 1787100 525980 )
+    NEW met3 ( 1835170 525300 ) ( 1835860 525300 )
+    NEW met4 ( 1883700 524620 ) ( 1883700 525980 )
+    NEW met3 ( 1859550 524620 ) ( 1883700 524620 )
+    NEW met3 ( 1883700 525980 ) ( 1966500 525980 )
+    NEW met2 ( 2670070 525300 ) ( 2670070 525470 )
+    NEW met1 ( 2670070 525470 ) ( 2704570 525470 )
+    NEW met2 ( 2704570 525470 ) ( 2704570 525980 )
+    NEW met3 ( 2622460 525300 ) ( 2670070 525300 )
+    NEW met3 ( 2704570 525980 ) ( 2705260 525980 )
+    NEW met3 ( 2777020 524620 ) ( 2777020 525300 )
+    NEW met3 ( 2728950 524620 ) ( 2777020 524620 )
+    NEW met3 ( 2884660 524620 ) ( 2884660 525300 )
+    NEW met3 ( 2884660 525300 ) ( 2916860 525300 )
+    NEW met2 ( 1249130 525810 ) ( 1249130 525980 )
+    NEW met1 ( 1249130 525810 ) ( 1274890 525810 )
+    NEW met2 ( 1274890 525300 ) ( 1274890 525810 )
+    NEW met3 ( 1200140 525980 ) ( 1249130 525980 )
+    NEW met3 ( 1345500 524620 ) ( 1345500 525300 )
+    NEW met3 ( 1345500 525300 ) ( 1346420 525300 )
+    NEW met3 ( 1346420 525300 ) ( 1346420 525980 )
+    NEW met3 ( 1346420 525980 ) ( 1414500 525980 )
+    NEW met4 ( 2794500 525300 ) ( 2794500 526660 )
+    NEW met3 ( 2794500 526660 ) ( 2842110 526660 )
+    NEW met2 ( 2842110 524620 ) ( 2842110 526660 )
+    NEW met2 ( 2842110 524620 ) ( 2842570 524620 )
+    NEW met3 ( 2777020 525300 ) ( 2794500 525300 )
+    NEW met3 ( 2842570 524620 ) ( 2884660 524620 )
+    NEW met2 ( 1344350 525300 ) ( 1344810 525300 )
+    NEW met2 ( 1344810 524620 ) ( 1344810 525300 )
+    NEW met3 ( 1274890 525300 ) ( 1344350 525300 )
+    NEW met3 ( 1344810 524620 ) ( 1345500 524620 )
     NEW met3 ( 1200140 2298060 ) M3M4_PR_M
     NEW met2 ( 1195770 2298060 ) via2_FR
+    NEW met3 ( 1200140 525980 ) M3M4_PR_M
+    NEW met2 ( 1859550 526660 ) via2_FR
+    NEW met2 ( 1859550 524620 ) via2_FR
+    NEW met3 ( 2705260 525980 ) M3M4_PR_M
+    NEW met3 ( 2705260 527340 ) M3M4_PR_M
+    NEW met2 ( 2728950 527340 ) via2_FR
+    NEW met2 ( 2728950 524620 ) via2_FR
+    NEW met3 ( 1787100 525980 ) M3M4_PR_M
+    NEW met3 ( 1787100 527340 ) M3M4_PR_M
+    NEW met2 ( 1835170 527340 ) via2_FR
+    NEW met2 ( 1835170 525300 ) via2_FR
+    NEW met3 ( 1883700 524620 ) M3M4_PR_M
+    NEW met3 ( 1883700 525980 ) M3M4_PR_M
+    NEW met2 ( 2670070 525300 ) via2_FR
+    NEW met1 ( 2670070 525470 ) M1M2_PR
+    NEW met1 ( 2704570 525470 ) M1M2_PR
+    NEW met2 ( 2704570 525980 ) via2_FR
+    NEW met2 ( 1249130 525980 ) via2_FR
+    NEW met1 ( 1249130 525810 ) M1M2_PR
+    NEW met1 ( 1274890 525810 ) M1M2_PR
+    NEW met2 ( 1274890 525300 ) via2_FR
+    NEW met3 ( 2794500 525300 ) M3M4_PR_M
+    NEW met3 ( 2794500 526660 ) M3M4_PR_M
+    NEW met2 ( 2842110 526660 ) via2_FR
+    NEW met2 ( 2842570 524620 ) via2_FR
+    NEW met2 ( 1344350 525300 ) via2_FR
+    NEW met2 ( 1344810 524620 ) via2_FR
 + USE SIGNAL ;
 - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) 
-  + ROUTED met3 ( 2300 1885300 0 ) ( 15410 1885300 )
-    NEW met2 ( 15410 1885300 ) ( 15410 1889890 )
-    NEW li1 ( 1635070 2293470 ) ( 1635070 2298230 )
-    NEW met2 ( 1635070 2298060 ) ( 1635070 2298230 )
-    NEW met2 ( 1635070 2298060 ) ( 1635990 2298060 0 )
-    NEW met1 ( 15410 1889890 ) ( 1107450 1889890 )
-    NEW met2 ( 1107450 1889890 ) ( 1107450 2293470 )
-    NEW met1 ( 1107450 2293470 ) ( 1635070 2293470 )
-    NEW met2 ( 15410 1885300 ) via2_FR
-    NEW met1 ( 15410 1889890 ) M1M2_PR
-    NEW li1 ( 1635070 2293470 ) L1M1_PR_MR
-    NEW li1 ( 1635070 2298230 ) L1M1_PR_MR
-    NEW met1 ( 1635070 2298230 ) M1M2_PR
-    NEW met1 ( 1107450 1889890 ) M1M2_PR
-    NEW met1 ( 1107450 2293470 ) M1M2_PR
-    NEW met1 ( 1635070 2298230 ) RECT ( -355 -70 0 70 )
+  + ROUTED met3 ( 2300 2146420 0 ) ( 14030 2146420 )
+    NEW met2 ( 14030 2146420 ) ( 14030 2146590 )
+    NEW met1 ( 14030 2146590 ) ( 24610 2146590 )
+    NEW met2 ( 24610 2146590 ) ( 24610 2313870 )
+    NEW li1 ( 1518690 2311150 ) ( 1518690 2312510 )
+    NEW met1 ( 1518690 2312510 ) ( 1533870 2312510 )
+    NEW li1 ( 1533870 2312170 ) ( 1533870 2312510 )
+    NEW li1 ( 1533870 2312170 ) ( 1536170 2312170 )
+    NEW li1 ( 1536170 2312170 ) ( 1536170 2313530 )
+    NEW met2 ( 1608390 2311660 ) ( 1608390 2312850 )
+    NEW met2 ( 1608390 2311660 ) ( 1608850 2311660 )
+    NEW met2 ( 1608850 2310810 ) ( 1608850 2311660 )
+    NEW met1 ( 1608850 2310810 ) ( 1635530 2310810 )
+    NEW met2 ( 1635530 2299420 ) ( 1635530 2310810 )
+    NEW met2 ( 1635530 2299420 ) ( 1635990 2299420 0 )
+    NEW li1 ( 1463030 2311150 ) ( 1463030 2313870 )
+    NEW met1 ( 24610 2313870 ) ( 1463030 2313870 )
+    NEW met1 ( 1463030 2311150 ) ( 1518690 2311150 )
+    NEW li1 ( 1584010 2312850 ) ( 1584010 2313530 )
+    NEW met1 ( 1536170 2313530 ) ( 1584010 2313530 )
+    NEW met1 ( 1584010 2312850 ) ( 1608390 2312850 )
+    NEW met2 ( 14030 2146420 ) via2_FR
+    NEW met1 ( 14030 2146590 ) M1M2_PR
+    NEW met1 ( 24610 2146590 ) M1M2_PR
+    NEW met1 ( 24610 2313870 ) M1M2_PR
+    NEW li1 ( 1518690 2311150 ) L1M1_PR_MR
+    NEW li1 ( 1518690 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1533870 2312510 ) L1M1_PR_MR
+    NEW li1 ( 1536170 2313530 ) L1M1_PR_MR
+    NEW met1 ( 1608390 2312850 ) M1M2_PR
+    NEW met1 ( 1608850 2310810 ) M1M2_PR
+    NEW met1 ( 1635530 2310810 ) M1M2_PR
+    NEW li1 ( 1463030 2313870 ) L1M1_PR_MR
+    NEW li1 ( 1463030 2311150 ) L1M1_PR_MR
+    NEW li1 ( 1584010 2313530 ) L1M1_PR_MR
+    NEW li1 ( 1584010 2312850 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) 
-  + ROUTED met3 ( 2300 1633700 0 ) ( 19550 1633700 )
-    NEW met2 ( 19550 1633700 ) ( 19550 2313870 )
-    NEW met2 ( 1651630 2299420 0 ) ( 1651630 2313870 )
-    NEW met1 ( 19550 2313870 ) ( 1651630 2313870 )
-    NEW met2 ( 19550 1633700 ) via2_FR
-    NEW met1 ( 19550 2313870 ) M1M2_PR
-    NEW met1 ( 1651630 2313870 ) M1M2_PR
+  + ROUTED met3 ( 2300 1935620 0 ) ( 14950 1935620 )
+    NEW met2 ( 14950 1935620 ) ( 14950 1937490 )
+    NEW met1 ( 14950 1937490 ) ( 31050 1937490 )
+    NEW met2 ( 31050 1937490 ) ( 31050 2313190 )
+    NEW met1 ( 1535250 2313190 ) ( 1535250 2313870 )
+    NEW met1 ( 1608850 2311150 ) ( 1608850 2311490 )
+    NEW met1 ( 1608850 2311150 ) ( 1649790 2311150 )
+    NEW met2 ( 1649790 2299420 ) ( 1649790 2311150 )
+    NEW met2 ( 1649790 2299420 ) ( 1651630 2299420 0 )
+    NEW met1 ( 31050 2313190 ) ( 1535250 2313190 )
+    NEW met2 ( 1565610 2313700 ) ( 1565610 2313870 )
+    NEW met3 ( 1565610 2313700 ) ( 1584930 2313700 )
+    NEW met2 ( 1584930 2313700 ) ( 1584930 2313870 )
+    NEW met1 ( 1584930 2313870 ) ( 1606550 2313870 )
+    NEW li1 ( 1606550 2311490 ) ( 1606550 2313870 )
+    NEW met1 ( 1535250 2313870 ) ( 1565610 2313870 )
+    NEW met1 ( 1606550 2311490 ) ( 1608850 2311490 )
+    NEW met2 ( 14950 1935620 ) via2_FR
+    NEW met1 ( 14950 1937490 ) M1M2_PR
+    NEW met1 ( 31050 1937490 ) M1M2_PR
+    NEW met1 ( 31050 2313190 ) M1M2_PR
+    NEW met1 ( 1649790 2311150 ) M1M2_PR
+    NEW met1 ( 1565610 2313870 ) M1M2_PR
+    NEW met2 ( 1565610 2313700 ) via2_FR
+    NEW met2 ( 1584930 2313700 ) via2_FR
+    NEW met1 ( 1584930 2313870 ) M1M2_PR
+    NEW li1 ( 1606550 2313870 ) L1M1_PR_MR
+    NEW li1 ( 1606550 2311490 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) 
-  + ROUTED met3 ( 2300 1382100 0 ) ( 19090 1382100 )
-    NEW met2 ( 19090 1382100 ) ( 19090 2313530 )
+  + ROUTED met3 ( 2300 1724140 0 ) ( 17710 1724140 )
+    NEW met2 ( 17710 1724140 ) ( 17710 1724990 )
+    NEW met1 ( 17710 1724990 ) ( 37950 1724990 )
+    NEW met2 ( 37950 1724990 ) ( 37950 2316590 )
+    NEW li1 ( 1558250 2316590 ) ( 1558250 2318630 )
+    NEW li1 ( 1607930 2316250 ) ( 1607930 2316590 )
+    NEW li1 ( 1607930 2316250 ) ( 1608850 2316250 )
+    NEW li1 ( 1608850 2312850 ) ( 1608850 2316250 )
+    NEW met1 ( 1608850 2312850 ) ( 1631390 2312850 )
+    NEW li1 ( 1631390 2312850 ) ( 1631390 2313530 )
+    NEW li1 ( 1631390 2313530 ) ( 1632770 2313530 )
+    NEW met1 ( 37950 2316590 ) ( 1558250 2316590 )
+    NEW li1 ( 1560550 2316590 ) ( 1560550 2318630 )
+    NEW met1 ( 1558250 2318630 ) ( 1560550 2318630 )
+    NEW met1 ( 1560550 2316590 ) ( 1607930 2316590 )
     NEW met2 ( 1667270 2299420 0 ) ( 1667270 2313530 )
-    NEW met1 ( 19090 2313530 ) ( 1667270 2313530 )
-    NEW met2 ( 19090 1382100 ) via2_FR
-    NEW met1 ( 19090 2313530 ) M1M2_PR
+    NEW met1 ( 1632770 2313530 ) ( 1667270 2313530 )
+    NEW met2 ( 17710 1724140 ) via2_FR
+    NEW met1 ( 17710 1724990 ) M1M2_PR
+    NEW met1 ( 37950 2316590 ) M1M2_PR
+    NEW met1 ( 37950 1724990 ) M1M2_PR
+    NEW li1 ( 1558250 2316590 ) L1M1_PR_MR
+    NEW li1 ( 1558250 2318630 ) L1M1_PR_MR
+    NEW li1 ( 1607930 2316590 ) L1M1_PR_MR
+    NEW li1 ( 1608850 2312850 ) L1M1_PR_MR
+    NEW li1 ( 1631390 2312850 ) L1M1_PR_MR
+    NEW li1 ( 1632770 2313530 ) L1M1_PR_MR
+    NEW li1 ( 1560550 2318630 ) L1M1_PR_MR
+    NEW li1 ( 1560550 2316590 ) L1M1_PR_MR
     NEW met1 ( 1667270 2313530 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) 
-  + ROUTED met3 ( 2300 1131180 0 ) ( 18630 1131180 )
-    NEW met2 ( 18630 1131180 ) ( 18630 2313190 )
-    NEW met2 ( 1683370 2299420 0 ) ( 1683370 2313190 )
-    NEW met1 ( 18630 2313190 ) ( 1683370 2313190 )
-    NEW met1 ( 18630 2313190 ) M1M2_PR
-    NEW met2 ( 18630 1131180 ) via2_FR
-    NEW met1 ( 1683370 2313190 ) M1M2_PR
+  + ROUTED met3 ( 2300 1512660 0 ) ( 15410 1512660 )
+    NEW met2 ( 15410 1512660 ) ( 15410 1517250 )
+    NEW met1 ( 15410 1517250 ) ( 65550 1517250 )
+    NEW met2 ( 65550 1517250 ) ( 65550 2313530 )
+    NEW met1 ( 1534790 2313530 ) ( 1534790 2314210 )
+    NEW li1 ( 1607930 2310130 ) ( 1607930 2313530 )
+    NEW met1 ( 1607930 2310130 ) ( 1629090 2310130 )
+    NEW li1 ( 1629090 2310130 ) ( 1629090 2316590 )
+    NEW met1 ( 65550 2313530 ) ( 1534790 2313530 )
+    NEW met1 ( 1566070 2313870 ) ( 1566070 2314210 )
+    NEW met1 ( 1566070 2313870 ) ( 1584470 2313870 )
+    NEW met1 ( 1584470 2313530 ) ( 1584470 2313870 )
+    NEW met1 ( 1534790 2314210 ) ( 1566070 2314210 )
+    NEW met1 ( 1584470 2313530 ) ( 1607930 2313530 )
+    NEW met2 ( 1683370 2299420 0 ) ( 1683370 2316590 )
+    NEW met1 ( 1629090 2316590 ) ( 1683370 2316590 )
+    NEW met2 ( 15410 1512660 ) via2_FR
+    NEW met1 ( 15410 1517250 ) M1M2_PR
+    NEW met1 ( 65550 2313530 ) M1M2_PR
+    NEW met1 ( 65550 1517250 ) M1M2_PR
+    NEW li1 ( 1607930 2313530 ) L1M1_PR_MR
+    NEW li1 ( 1607930 2310130 ) L1M1_PR_MR
+    NEW li1 ( 1629090 2310130 ) L1M1_PR_MR
+    NEW li1 ( 1629090 2316590 ) L1M1_PR_MR
+    NEW met1 ( 1683370 2316590 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) 
-  + ROUTED met3 ( 2300 879580 0 ) ( 18170 879580 )
-    NEW met2 ( 18170 879580 ) ( 18170 2312510 )
-    NEW met2 ( 1699010 2299420 0 ) ( 1699010 2312510 )
-    NEW met1 ( 18170 2312510 ) ( 1699010 2312510 )
-    NEW met2 ( 18170 879580 ) via2_FR
-    NEW met1 ( 18170 2312510 ) M1M2_PR
-    NEW met1 ( 1699010 2312510 ) M1M2_PR
+  + ROUTED met3 ( 2300 1301860 0 ) ( 16790 1301860 )
+    NEW met2 ( 16790 1301860 ) ( 16790 1304070 )
+    NEW met1 ( 16790 1304070 ) ( 72450 1304070 )
+    NEW met2 ( 72450 1304070 ) ( 72450 2312850 )
+    NEW met2 ( 1511790 2312850 ) ( 1511790 2313020 )
+    NEW met3 ( 1511790 2313020 ) ( 1558710 2313020 )
+    NEW met2 ( 1558710 2313020 ) ( 1558710 2316590 )
+    NEW met2 ( 1631850 2312850 ) ( 1631850 2313870 )
+    NEW met2 ( 1463030 2312850 ) ( 1463490 2312850 )
+    NEW met2 ( 1463490 2312850 ) ( 1463490 2313870 )
+    NEW met1 ( 1463490 2313870 ) ( 1510410 2313870 )
+    NEW met2 ( 1510410 2312850 ) ( 1510410 2313870 )
+    NEW met2 ( 1510410 2312850 ) ( 1510870 2312850 )
+    NEW met1 ( 72450 2312850 ) ( 1463030 2312850 )
+    NEW met1 ( 1510870 2312850 ) ( 1511790 2312850 )
+    NEW met2 ( 1560090 2315740 ) ( 1560090 2316590 )
+    NEW met3 ( 1560090 2315740 ) ( 1606550 2315740 )
+    NEW met2 ( 1606550 2313700 ) ( 1606550 2315740 )
+    NEW met2 ( 1606550 2313700 ) ( 1607010 2313700 )
+    NEW met2 ( 1607010 2313700 ) ( 1607010 2313870 )
+    NEW met1 ( 1558710 2316590 ) ( 1560090 2316590 )
+    NEW met1 ( 1607010 2313870 ) ( 1631850 2313870 )
+    NEW met2 ( 1699010 2299420 0 ) ( 1699010 2312850 )
+    NEW met1 ( 1631850 2312850 ) ( 1699010 2312850 )
+    NEW met2 ( 16790 1301860 ) via2_FR
+    NEW met1 ( 16790 1304070 ) M1M2_PR
+    NEW met1 ( 72450 2312850 ) M1M2_PR
+    NEW met1 ( 72450 1304070 ) M1M2_PR
+    NEW met1 ( 1511790 2312850 ) M1M2_PR
+    NEW met2 ( 1511790 2313020 ) via2_FR
+    NEW met2 ( 1558710 2313020 ) via2_FR
+    NEW met1 ( 1558710 2316590 ) M1M2_PR
+    NEW met1 ( 1631850 2313870 ) M1M2_PR
+    NEW met1 ( 1631850 2312850 ) M1M2_PR
+    NEW met1 ( 1463030 2312850 ) M1M2_PR
+    NEW met1 ( 1463490 2313870 ) M1M2_PR
+    NEW met1 ( 1510410 2313870 ) M1M2_PR
+    NEW met1 ( 1510870 2312850 ) M1M2_PR
+    NEW met1 ( 1560090 2316590 ) M1M2_PR
+    NEW met2 ( 1560090 2315740 ) via2_FR
+    NEW met2 ( 1606550 2315740 ) via2_FR
+    NEW met1 ( 1607010 2313870 ) M1M2_PR
+    NEW met1 ( 1699010 2312850 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) 
-  + ROUTED met3 ( 2300 627980 0 ) ( 17710 627980 )
-    NEW met2 ( 17710 627980 ) ( 17710 634780 )
+  + ROUTED met3 ( 2300 1090380 0 ) ( 16790 1090380 )
+    NEW met2 ( 16790 1090380 ) ( 16790 1096500 )
     NEW met3 ( 1711660 2298060 ) ( 1713270 2298060 )
     NEW met2 ( 1713270 2298060 ) ( 1714650 2298060 0 )
-    NEW met4 ( 1711660 634780 ) ( 1711660 2298060 )
-    NEW met3 ( 17710 634780 ) ( 1711660 634780 )
-    NEW met2 ( 17710 627980 ) via2_FR
-    NEW met2 ( 17710 634780 ) via2_FR
-    NEW met3 ( 1711660 634780 ) M3M4_PR_M
+    NEW met4 ( 1711660 1096500 ) ( 1711660 2298060 )
+    NEW met3 ( 16790 1096500 ) ( 1711660 1096500 )
+    NEW met3 ( 1711660 1096500 ) M3M4_PR_M
+    NEW met2 ( 16790 1090380 ) via2_FR
+    NEW met2 ( 16790 1096500 ) via2_FR
     NEW met3 ( 1711660 2298060 ) M3M4_PR_M
     NEW met2 ( 1713270 2298060 ) via2_FR
 + USE SIGNAL ;
 - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) 
-  + ROUTED met3 ( 2300 376380 0 ) ( 3220 376380 )
-    NEW met3 ( 3220 376380 ) ( 3220 379100 )
+  + ROUTED met3 ( 2300 879580 0 ) ( 17250 879580 )
+    NEW met2 ( 17250 879580 ) ( 17250 882980 )
     NEW met3 ( 1725460 2298060 ) ( 1728910 2298060 )
     NEW met2 ( 1728910 2298060 ) ( 1730750 2298060 0 )
-    NEW met4 ( 1725460 379100 ) ( 1725460 2298060 )
-    NEW met3 ( 3220 379100 ) ( 1725460 379100 )
-    NEW met3 ( 1725460 379100 ) M3M4_PR_M
+    NEW met4 ( 1725460 882980 ) ( 1725460 2298060 )
+    NEW met3 ( 17250 882980 ) ( 1725460 882980 )
+    NEW met2 ( 17250 879580 ) via2_FR
+    NEW met2 ( 17250 882980 ) via2_FR
+    NEW met3 ( 1725460 882980 ) M3M4_PR_M
     NEW met3 ( 1725460 2298060 ) M3M4_PR_M
     NEW met2 ( 1728910 2298060 ) via2_FR
 + USE SIGNAL ;
 - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) 
-  + ROUTED met3 ( 2300 125460 0 ) ( 17250 125460 )
-    NEW met2 ( 17250 125460 ) ( 17250 131070 )
+  + ROUTED met3 ( 2300 668100 0 ) ( 17250 668100 )
+    NEW met2 ( 17250 668100 ) ( 17250 668610 )
     NEW met2 ( 1746390 2298060 0 ) ( 1747770 2298060 )
-    NEW met2 ( 1747770 131070 ) ( 1747770 2298060 )
-    NEW li1 ( 1339750 130050 ) ( 1339750 131070 )
-    NEW met1 ( 1339750 130050 ) ( 1362750 130050 )
-    NEW li1 ( 1362750 130050 ) ( 1362750 131070 )
-    NEW met1 ( 17250 131070 ) ( 1339750 131070 )
-    NEW met1 ( 1362750 131070 ) ( 1747770 131070 )
-    NEW met2 ( 17250 125460 ) via2_FR
-    NEW met1 ( 17250 131070 ) M1M2_PR
-    NEW met1 ( 1747770 131070 ) M1M2_PR
-    NEW li1 ( 1339750 131070 ) L1M1_PR_MR
-    NEW li1 ( 1339750 130050 ) L1M1_PR_MR
-    NEW li1 ( 1362750 130050 ) L1M1_PR_MR
-    NEW li1 ( 1362750 131070 ) L1M1_PR_MR
+    NEW met2 ( 1747770 668610 ) ( 1747770 2298060 )
+    NEW met1 ( 17250 668610 ) ( 1747770 668610 )
+    NEW met2 ( 17250 668100 ) via2_FR
+    NEW met1 ( 17250 668610 ) M1M2_PR
+    NEW met1 ( 1747770 668610 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) 
   + ROUTED met3 ( 1211410 2298060 ) ( 1213940 2298060 )
     NEW met2 ( 1209570 2298060 0 ) ( 1211410 2298060 )
-    NEW met4 ( 1213940 821100 ) ( 1213940 2298060 )
-    NEW met2 ( 1956150 821100 ) ( 1956150 821950 )
-    NEW met1 ( 1956150 821950 ) ( 1961210 821950 )
-    NEW met2 ( 1961210 821100 ) ( 1961210 821950 )
-    NEW met2 ( 2632350 821100 ) ( 2632350 821950 )
-    NEW met1 ( 2632350 821950 ) ( 2644310 821950 )
-    NEW met2 ( 2644310 821100 ) ( 2644310 821950 )
-    NEW met3 ( 1961210 821100 ) ( 2632350 821100 )
-    NEW met4 ( 2801860 821100 ) ( 2801860 823140 )
-    NEW met3 ( 2801860 823140 ) ( 2849470 823140 )
-    NEW met2 ( 2849470 821100 ) ( 2849470 823140 )
-    NEW met2 ( 1421630 821100 ) ( 1421630 821950 )
-    NEW met1 ( 1421630 821950 ) ( 1425770 821950 )
-    NEW met2 ( 1425770 821100 ) ( 1425770 821950 )
-    NEW met3 ( 1213940 821100 ) ( 1421630 821100 )
-    NEW met2 ( 1907850 821100 ) ( 1907850 821950 )
-    NEW met1 ( 1907850 821950 ) ( 1926710 821950 )
-    NEW met2 ( 1926710 821100 ) ( 1926710 821950 )
-    NEW met3 ( 1425770 821100 ) ( 1907850 821100 )
-    NEW met3 ( 1926710 821100 ) ( 1956150 821100 )
-    NEW met2 ( 2676050 821100 ) ( 2676050 821950 )
-    NEW met1 ( 2676050 821950 ) ( 2680650 821950 )
-    NEW met2 ( 2680650 821100 ) ( 2680650 821950 )
-    NEW met3 ( 2644310 821100 ) ( 2676050 821100 )
-    NEW met3 ( 2680650 821100 ) ( 2801860 821100 )
-    NEW met2 ( 2855450 821100 ) ( 2855450 821950 )
-    NEW met1 ( 2855450 821950 ) ( 2858210 821950 )
-    NEW met2 ( 2858210 821100 ) ( 2858210 821950 )
-    NEW met3 ( 2849470 821100 ) ( 2855450 821100 )
-    NEW met3 ( 2858210 821100 ) ( 2917780 821100 0 )
+    NEW met3 ( 1213940 738820 ) ( 2917780 738820 0 )
+    NEW met4 ( 1213940 738820 ) ( 1213940 2298060 )
     NEW met3 ( 1213940 2298060 ) M3M4_PR_M
     NEW met2 ( 1211410 2298060 ) via2_FR
-    NEW met3 ( 1213940 821100 ) M3M4_PR_M
-    NEW met2 ( 1956150 821100 ) via2_FR
-    NEW met1 ( 1956150 821950 ) M1M2_PR
-    NEW met1 ( 1961210 821950 ) M1M2_PR
-    NEW met2 ( 1961210 821100 ) via2_FR
-    NEW met2 ( 2632350 821100 ) via2_FR
-    NEW met1 ( 2632350 821950 ) M1M2_PR
-    NEW met1 ( 2644310 821950 ) M1M2_PR
-    NEW met2 ( 2644310 821100 ) via2_FR
-    NEW met3 ( 2801860 821100 ) M3M4_PR_M
-    NEW met3 ( 2801860 823140 ) M3M4_PR_M
-    NEW met2 ( 2849470 823140 ) via2_FR
-    NEW met2 ( 2849470 821100 ) via2_FR
-    NEW met2 ( 1421630 821100 ) via2_FR
-    NEW met1 ( 1421630 821950 ) M1M2_PR
-    NEW met1 ( 1425770 821950 ) M1M2_PR
-    NEW met2 ( 1425770 821100 ) via2_FR
-    NEW met2 ( 1907850 821100 ) via2_FR
-    NEW met1 ( 1907850 821950 ) M1M2_PR
-    NEW met1 ( 1926710 821950 ) M1M2_PR
-    NEW met2 ( 1926710 821100 ) via2_FR
-    NEW met2 ( 2676050 821100 ) via2_FR
-    NEW met1 ( 2676050 821950 ) M1M2_PR
-    NEW met1 ( 2680650 821950 ) M1M2_PR
-    NEW met2 ( 2680650 821100 ) via2_FR
-    NEW met2 ( 2855450 821100 ) via2_FR
-    NEW met1 ( 2855450 821950 ) M1M2_PR
-    NEW met1 ( 2858210 821950 ) M1M2_PR
-    NEW met2 ( 2858210 821100 ) via2_FR
+    NEW met3 ( 1213940 738820 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) 
-  + ROUTED met3 ( 1227050 2298060 ) ( 1227740 2298060 )
+  + ROUTED met3 ( 2015260 945540 ) ( 2015260 946900 )
+    NEW met3 ( 2111860 945540 ) ( 2111860 946900 )
+    NEW met3 ( 2208460 945540 ) ( 2208460 946900 )
+    NEW met3 ( 2305060 945540 ) ( 2305060 946900 )
+    NEW met3 ( 2401660 945540 ) ( 2401660 946900 )
+    NEW met3 ( 2498260 945540 ) ( 2498260 946900 )
+    NEW met3 ( 2594860 945540 ) ( 2594860 946900 )
+    NEW met3 ( 2916860 946220 ) ( 2916860 949620 )
+    NEW met3 ( 2916860 949620 ) ( 2917780 949620 0 )
+    NEW met3 ( 1227050 2298060 ) ( 1227740 2298060 )
     NEW met2 ( 1225670 2298060 0 ) ( 1227050 2298060 )
-    NEW met4 ( 1227740 1055700 ) ( 1227740 2298060 )
-    NEW met3 ( 1227740 1055700 ) ( 2917780 1055700 0 )
-    NEW met3 ( 1227740 1055700 ) M3M4_PR_M
+    NEW met3 ( 1966500 946220 ) ( 1966500 946900 )
+    NEW met3 ( 1966500 946220 ) ( 2014340 946220 )
+    NEW met3 ( 2014340 945540 ) ( 2014340 946220 )
+    NEW met3 ( 2014340 945540 ) ( 2015260 945540 )
+    NEW met3 ( 2063100 946220 ) ( 2063100 946900 )
+    NEW met3 ( 2063100 946220 ) ( 2110940 946220 )
+    NEW met3 ( 2110940 945540 ) ( 2110940 946220 )
+    NEW met3 ( 2015260 946900 ) ( 2063100 946900 )
+    NEW met3 ( 2110940 945540 ) ( 2111860 945540 )
+    NEW met3 ( 2159700 946220 ) ( 2159700 946900 )
+    NEW met3 ( 2159700 946220 ) ( 2207540 946220 )
+    NEW met3 ( 2207540 945540 ) ( 2207540 946220 )
+    NEW met3 ( 2111860 946900 ) ( 2159700 946900 )
+    NEW met3 ( 2207540 945540 ) ( 2208460 945540 )
+    NEW met3 ( 2256300 946220 ) ( 2256300 946900 )
+    NEW met3 ( 2256300 946220 ) ( 2304140 946220 )
+    NEW met3 ( 2304140 945540 ) ( 2304140 946220 )
+    NEW met3 ( 2208460 946900 ) ( 2256300 946900 )
+    NEW met3 ( 2304140 945540 ) ( 2305060 945540 )
+    NEW met3 ( 2352900 946220 ) ( 2352900 946900 )
+    NEW met3 ( 2352900 946220 ) ( 2400740 946220 )
+    NEW met3 ( 2400740 945540 ) ( 2400740 946220 )
+    NEW met3 ( 2305060 946900 ) ( 2352900 946900 )
+    NEW met3 ( 2400740 945540 ) ( 2401660 945540 )
+    NEW met3 ( 2449500 946220 ) ( 2449500 946900 )
+    NEW met3 ( 2449500 946220 ) ( 2497340 946220 )
+    NEW met3 ( 2497340 945540 ) ( 2497340 946220 )
+    NEW met3 ( 2401660 946900 ) ( 2449500 946900 )
+    NEW met3 ( 2497340 945540 ) ( 2498260 945540 )
+    NEW met3 ( 2546100 946220 ) ( 2546100 946900 )
+    NEW met3 ( 2546100 946220 ) ( 2593940 946220 )
+    NEW met3 ( 2593940 945540 ) ( 2593940 946220 )
+    NEW met3 ( 2498260 946900 ) ( 2546100 946900 )
+    NEW met3 ( 2593940 945540 ) ( 2594860 945540 )
+    NEW met3 ( 1414500 945540 ) ( 1414500 946900 )
+    NEW met3 ( 1512020 946220 ) ( 1512020 947580 )
+    NEW met3 ( 1608620 946220 ) ( 1608620 947580 )
+    NEW met3 ( 1704300 945540 ) ( 1704300 946900 )
+    NEW met3 ( 1680380 946220 ) ( 1680380 946900 )
+    NEW met3 ( 1608620 946220 ) ( 1680380 946220 )
+    NEW met3 ( 1680380 946900 ) ( 1704300 946900 )
+    NEW met3 ( 1753060 945540 ) ( 1753060 946900 )
+    NEW met3 ( 1704300 945540 ) ( 1753060 945540 )
+    NEW met3 ( 2622460 946220 ) ( 2622460 946900 )
+    NEW met3 ( 2594860 946900 ) ( 2622460 946900 )
+    NEW met2 ( 1449230 945540 ) ( 1449230 949620 )
+    NEW met3 ( 1449230 949620 ) ( 1496380 949620 )
+    NEW met4 ( 1496380 947580 ) ( 1496380 949620 )
+    NEW met3 ( 1414500 945540 ) ( 1449230 945540 )
+    NEW met3 ( 1496380 947580 ) ( 1512020 947580 )
+    NEW met3 ( 1546060 946220 ) ( 1546060 946900 )
+    NEW met3 ( 1546060 946900 ) ( 1556870 946900 )
+    NEW met2 ( 1556870 946900 ) ( 1556870 949620 )
+    NEW met3 ( 1556870 949620 ) ( 1592980 949620 )
+    NEW met4 ( 1592980 947580 ) ( 1592980 949620 )
+    NEW met3 ( 1512020 946220 ) ( 1546060 946220 )
+    NEW met3 ( 1592980 947580 ) ( 1608620 947580 )
+    NEW met3 ( 1835860 946220 ) ( 1835860 947580 )
+    NEW met3 ( 1835860 947580 ) ( 1859550 947580 )
+    NEW met2 ( 1859550 945540 ) ( 1859550 947580 )
+    NEW met2 ( 2705490 946050 ) ( 2705490 946900 )
+    NEW met1 ( 2705490 946050 ) ( 2728950 946050 )
+    NEW met2 ( 2728950 945540 ) ( 2728950 946050 )
+    NEW met4 ( 1787100 946900 ) ( 1787100 948260 )
+    NEW met3 ( 1787100 948260 ) ( 1835170 948260 )
+    NEW met2 ( 1835170 946220 ) ( 1835170 948260 )
+    NEW met3 ( 1753060 946900 ) ( 1787100 946900 )
+    NEW met3 ( 1835170 946220 ) ( 1835860 946220 )
+    NEW met4 ( 1883700 945540 ) ( 1883700 946900 )
+    NEW met3 ( 1859550 945540 ) ( 1883700 945540 )
+    NEW met3 ( 1883700 946900 ) ( 1966500 946900 )
+    NEW met2 ( 2670070 946050 ) ( 2670070 946220 )
+    NEW met1 ( 2670070 946050 ) ( 2704570 946050 )
+    NEW met2 ( 2704570 946050 ) ( 2704570 946900 )
+    NEW met3 ( 2622460 946220 ) ( 2670070 946220 )
+    NEW met3 ( 2704570 946900 ) ( 2705490 946900 )
+    NEW met3 ( 2777020 945540 ) ( 2777020 946220 )
+    NEW met3 ( 2728950 945540 ) ( 2777020 945540 )
+    NEW met3 ( 2884660 945540 ) ( 2884660 946220 )
+    NEW met3 ( 2884660 946220 ) ( 2916860 946220 )
+    NEW met4 ( 2794500 946220 ) ( 2794500 947580 )
+    NEW met3 ( 2794500 947580 ) ( 2842570 947580 )
+    NEW met2 ( 2842570 945540 ) ( 2842570 947580 )
+    NEW met3 ( 2777020 946220 ) ( 2794500 946220 )
+    NEW met3 ( 2842570 945540 ) ( 2884660 945540 )
+    NEW met2 ( 1242230 946220 ) ( 1242230 946900 )
+    NEW met3 ( 1344580 945540 ) ( 1344580 946900 )
+    NEW met3 ( 1344580 946900 ) ( 1414500 946900 )
+    NEW met4 ( 1227740 946220 ) ( 1227740 2298060 )
+    NEW met3 ( 1227740 946220 ) ( 1242230 946220 )
+    NEW met4 ( 1290300 945540 ) ( 1290300 946900 )
+    NEW met3 ( 1242230 946900 ) ( 1290300 946900 )
+    NEW met3 ( 1290300 945540 ) ( 1344580 945540 )
     NEW met3 ( 1227740 2298060 ) M3M4_PR_M
     NEW met2 ( 1227050 2298060 ) via2_FR
+    NEW met2 ( 1449230 945540 ) via2_FR
+    NEW met2 ( 1449230 949620 ) via2_FR
+    NEW met3 ( 1496380 949620 ) M3M4_PR_M
+    NEW met3 ( 1496380 947580 ) M3M4_PR_M
+    NEW met2 ( 1556870 946900 ) via2_FR
+    NEW met2 ( 1556870 949620 ) via2_FR
+    NEW met3 ( 1592980 949620 ) M3M4_PR_M
+    NEW met3 ( 1592980 947580 ) M3M4_PR_M
+    NEW met2 ( 1859550 947580 ) via2_FR
+    NEW met2 ( 1859550 945540 ) via2_FR
+    NEW met2 ( 2705490 946900 ) via2_FR
+    NEW met1 ( 2705490 946050 ) M1M2_PR
+    NEW met1 ( 2728950 946050 ) M1M2_PR
+    NEW met2 ( 2728950 945540 ) via2_FR
+    NEW met3 ( 1787100 946900 ) M3M4_PR_M
+    NEW met3 ( 1787100 948260 ) M3M4_PR_M
+    NEW met2 ( 1835170 948260 ) via2_FR
+    NEW met2 ( 1835170 946220 ) via2_FR
+    NEW met3 ( 1883700 945540 ) M3M4_PR_M
+    NEW met3 ( 1883700 946900 ) M3M4_PR_M
+    NEW met2 ( 2670070 946220 ) via2_FR
+    NEW met1 ( 2670070 946050 ) M1M2_PR
+    NEW met1 ( 2704570 946050 ) M1M2_PR
+    NEW met2 ( 2704570 946900 ) via2_FR
+    NEW met3 ( 2794500 946220 ) M3M4_PR_M
+    NEW met3 ( 2794500 947580 ) M3M4_PR_M
+    NEW met2 ( 2842570 947580 ) via2_FR
+    NEW met2 ( 2842570 945540 ) via2_FR
+    NEW met2 ( 1242230 946220 ) via2_FR
+    NEW met2 ( 1242230 946900 ) via2_FR
+    NEW met3 ( 1227740 946220 ) M3M4_PR_M
+    NEW met3 ( 1290300 946900 ) M3M4_PR_M
+    NEW met3 ( 1290300 945540 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) 
-  + ROUTED met3 ( 1241540 2298060 ) ( 1241770 2298060 )
+  + ROUTED met3 ( 2015260 1159740 ) ( 2015260 1161100 )
+    NEW met3 ( 2111860 1159740 ) ( 2111860 1161100 )
+    NEW met3 ( 2208460 1159740 ) ( 2208460 1161100 )
+    NEW met3 ( 2305060 1159740 ) ( 2305060 1161100 )
+    NEW met3 ( 2401660 1159740 ) ( 2401660 1161100 )
+    NEW met3 ( 2498260 1159740 ) ( 2498260 1161100 )
+    NEW met3 ( 2594860 1159740 ) ( 2594860 1161100 )
+    NEW met3 ( 2916860 1160420 ) ( 2916860 1161100 )
+    NEW met3 ( 2916860 1161100 ) ( 2917780 1161100 0 )
+    NEW met3 ( 1966500 1160420 ) ( 1966500 1161100 )
+    NEW met3 ( 1966500 1160420 ) ( 2014340 1160420 )
+    NEW met3 ( 2014340 1159740 ) ( 2014340 1160420 )
+    NEW met3 ( 2014340 1159740 ) ( 2015260 1159740 )
+    NEW met3 ( 2063100 1160420 ) ( 2063100 1161100 )
+    NEW met3 ( 2063100 1160420 ) ( 2110940 1160420 )
+    NEW met3 ( 2110940 1159740 ) ( 2110940 1160420 )
+    NEW met3 ( 2015260 1161100 ) ( 2063100 1161100 )
+    NEW met3 ( 2110940 1159740 ) ( 2111860 1159740 )
+    NEW met3 ( 2159700 1160420 ) ( 2159700 1161100 )
+    NEW met3 ( 2159700 1160420 ) ( 2207540 1160420 )
+    NEW met3 ( 2207540 1159740 ) ( 2207540 1160420 )
+    NEW met3 ( 2111860 1161100 ) ( 2159700 1161100 )
+    NEW met3 ( 2207540 1159740 ) ( 2208460 1159740 )
+    NEW met3 ( 2256300 1160420 ) ( 2256300 1161100 )
+    NEW met3 ( 2256300 1160420 ) ( 2304140 1160420 )
+    NEW met3 ( 2304140 1159740 ) ( 2304140 1160420 )
+    NEW met3 ( 2208460 1161100 ) ( 2256300 1161100 )
+    NEW met3 ( 2304140 1159740 ) ( 2305060 1159740 )
+    NEW met3 ( 2352900 1160420 ) ( 2352900 1161100 )
+    NEW met3 ( 2352900 1160420 ) ( 2400740 1160420 )
+    NEW met3 ( 2400740 1159740 ) ( 2400740 1160420 )
+    NEW met3 ( 2305060 1161100 ) ( 2352900 1161100 )
+    NEW met3 ( 2400740 1159740 ) ( 2401660 1159740 )
+    NEW met3 ( 2449500 1160420 ) ( 2449500 1161100 )
+    NEW met3 ( 2449500 1160420 ) ( 2497340 1160420 )
+    NEW met3 ( 2497340 1159740 ) ( 2497340 1160420 )
+    NEW met3 ( 2401660 1161100 ) ( 2449500 1161100 )
+    NEW met3 ( 2497340 1159740 ) ( 2498260 1159740 )
+    NEW met3 ( 2546100 1160420 ) ( 2546100 1161100 )
+    NEW met3 ( 2546100 1160420 ) ( 2593940 1160420 )
+    NEW met3 ( 2593940 1159740 ) ( 2593940 1160420 )
+    NEW met3 ( 2498260 1161100 ) ( 2546100 1161100 )
+    NEW met3 ( 2593940 1159740 ) ( 2594860 1159740 )
+    NEW met3 ( 1241540 2298060 ) ( 1241770 2298060 )
     NEW met2 ( 1241310 2298060 0 ) ( 1241770 2298060 )
-    NEW met4 ( 1241540 1290300 ) ( 1241540 2298060 )
-    NEW met3 ( 1241540 1290300 ) ( 2917780 1290300 0 )
+    NEW met3 ( 1704300 1159740 ) ( 1704300 1161100 )
+    NEW met4 ( 1241540 1159740 ) ( 1241540 2298060 )
+    NEW met3 ( 1753060 1159740 ) ( 1753060 1161100 )
+    NEW met3 ( 1704300 1159740 ) ( 1753060 1159740 )
+    NEW met3 ( 2622460 1160420 ) ( 2622460 1161100 )
+    NEW met3 ( 2594860 1161100 ) ( 2622460 1161100 )
+    NEW met4 ( 1352860 1159740 ) ( 1352860 1161100 )
+    NEW met3 ( 1352860 1161100 ) ( 1400010 1161100 )
+    NEW met2 ( 1400010 1159740 ) ( 1400010 1161100 )
+    NEW met3 ( 1241540 1159740 ) ( 1352860 1159740 )
+    NEW met3 ( 1654620 1159740 ) ( 1654620 1161100 )
+    NEW met3 ( 1400010 1159740 ) ( 1654620 1159740 )
+    NEW met3 ( 1654620 1161100 ) ( 1704300 1161100 )
+    NEW met2 ( 2705490 1160930 ) ( 2705490 1161100 )
+    NEW met1 ( 2705490 1160930 ) ( 2729410 1160930 )
+    NEW met2 ( 2729410 1159740 ) ( 2729410 1160930 )
+    NEW met3 ( 2801860 1159740 ) ( 2801860 1160420 )
+    NEW met3 ( 2801860 1159740 ) ( 2802090 1159740 )
+    NEW met2 ( 2802090 1159740 ) ( 2802090 1159910 )
+    NEW met1 ( 2802090 1159910 ) ( 2822790 1159910 )
+    NEW met2 ( 2822790 1159740 ) ( 2822790 1159910 )
+    NEW met2 ( 1800670 1160420 ) ( 1800670 1161100 )
+    NEW met2 ( 1800670 1160420 ) ( 1801590 1160420 )
+    NEW met3 ( 1753060 1161100 ) ( 1800670 1161100 )
+    NEW met3 ( 1883700 1159740 ) ( 1883700 1160420 )
+    NEW met3 ( 1883700 1160420 ) ( 1897270 1160420 )
+    NEW met2 ( 1897270 1160420 ) ( 1897270 1160590 )
+    NEW met1 ( 1897270 1160590 ) ( 1931770 1160590 )
+    NEW met2 ( 1931770 1160590 ) ( 1931770 1161100 )
+    NEW met3 ( 1931770 1161100 ) ( 1966500 1161100 )
+    NEW met2 ( 2670070 1160420 ) ( 2670070 1160590 )
+    NEW met1 ( 2670070 1160590 ) ( 2704570 1160590 )
+    NEW met2 ( 2704570 1160590 ) ( 2704570 1161100 )
+    NEW met3 ( 2622460 1160420 ) ( 2670070 1160420 )
+    NEW met3 ( 2704570 1161100 ) ( 2705490 1161100 )
+    NEW met4 ( 2753100 1159740 ) ( 2753100 1161780 )
+    NEW met3 ( 2753100 1161780 ) ( 2801170 1161780 )
+    NEW met2 ( 2801170 1160420 ) ( 2801170 1161780 )
+    NEW met3 ( 2729410 1159740 ) ( 2753100 1159740 )
+    NEW met3 ( 2801170 1160420 ) ( 2801860 1160420 )
+    NEW met4 ( 2849700 1159740 ) ( 2849700 1161780 )
+    NEW met3 ( 2849700 1161780 ) ( 2883970 1161780 )
+    NEW met2 ( 2883970 1160420 ) ( 2883970 1161780 )
+    NEW met3 ( 2822790 1159740 ) ( 2849700 1159740 )
+    NEW met3 ( 2883970 1160420 ) ( 2916860 1160420 )
+    NEW met4 ( 1828500 1160420 ) ( 1828500 1161780 )
+    NEW met3 ( 1828500 1161780 ) ( 1876570 1161780 )
+    NEW met2 ( 1876570 1159740 ) ( 1876570 1161780 )
+    NEW met3 ( 1801590 1160420 ) ( 1828500 1160420 )
+    NEW met3 ( 1876570 1159740 ) ( 1883700 1159740 )
     NEW met3 ( 1241540 2298060 ) M3M4_PR_M
     NEW met2 ( 1241770 2298060 ) via2_FR
-    NEW met3 ( 1241540 1290300 ) M3M4_PR_M
+    NEW met3 ( 1241540 1159740 ) M3M4_PR_M
+    NEW met3 ( 1352860 1159740 ) M3M4_PR_M
+    NEW met3 ( 1352860 1161100 ) M3M4_PR_M
+    NEW met2 ( 1400010 1161100 ) via2_FR
+    NEW met2 ( 1400010 1159740 ) via2_FR
+    NEW met2 ( 2705490 1161100 ) via2_FR
+    NEW met1 ( 2705490 1160930 ) M1M2_PR
+    NEW met1 ( 2729410 1160930 ) M1M2_PR
+    NEW met2 ( 2729410 1159740 ) via2_FR
+    NEW met2 ( 2802090 1159740 ) via2_FR
+    NEW met1 ( 2802090 1159910 ) M1M2_PR
+    NEW met1 ( 2822790 1159910 ) M1M2_PR
+    NEW met2 ( 2822790 1159740 ) via2_FR
+    NEW met2 ( 1800670 1161100 ) via2_FR
+    NEW met2 ( 1801590 1160420 ) via2_FR
+    NEW met2 ( 1897270 1160420 ) via2_FR
+    NEW met1 ( 1897270 1160590 ) M1M2_PR
+    NEW met1 ( 1931770 1160590 ) M1M2_PR
+    NEW met2 ( 1931770 1161100 ) via2_FR
+    NEW met2 ( 2670070 1160420 ) via2_FR
+    NEW met1 ( 2670070 1160590 ) M1M2_PR
+    NEW met1 ( 2704570 1160590 ) M1M2_PR
+    NEW met2 ( 2704570 1161100 ) via2_FR
+    NEW met3 ( 2753100 1159740 ) M3M4_PR_M
+    NEW met3 ( 2753100 1161780 ) M3M4_PR_M
+    NEW met2 ( 2801170 1161780 ) via2_FR
+    NEW met2 ( 2801170 1160420 ) via2_FR
+    NEW met3 ( 2849700 1159740 ) M3M4_PR_M
+    NEW met3 ( 2849700 1161780 ) M3M4_PR_M
+    NEW met2 ( 2883970 1161780 ) via2_FR
+    NEW met2 ( 2883970 1160420 ) via2_FR
+    NEW met3 ( 1828500 1160420 ) M3M4_PR_M
+    NEW met3 ( 1828500 1161780 ) M3M4_PR_M
+    NEW met2 ( 1876570 1161780 ) via2_FR
+    NEW met2 ( 1876570 1159740 ) via2_FR
     NEW met3 ( 1241540 2298060 ) RECT ( -390 -150 0 150 )
 + USE SIGNAL ;
 - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) 
-  + ROUTED met3 ( 1258330 2298060 ) ( 1261780 2298060 )
+  + ROUTED met3 ( 1918660 1366460 ) ( 1918660 1367820 )
+    NEW met3 ( 2788060 1366460 ) ( 2788060 1367820 )
+    NEW met3 ( 2884660 1366460 ) ( 2884660 1367140 )
+    NEW met3 ( 2884660 1367140 ) ( 2916860 1367140 )
+    NEW met3 ( 2916860 1367140 ) ( 2916860 1371900 )
+    NEW met3 ( 2916860 1371900 ) ( 2917780 1371900 0 )
+    NEW met3 ( 1258330 2298060 ) ( 1261780 2298060 )
     NEW met2 ( 1256950 2298060 0 ) ( 1258330 2298060 )
-    NEW met4 ( 1261780 1524900 ) ( 1261780 2298060 )
-    NEW met3 ( 1261780 1524900 ) ( 2917780 1524900 0 )
-    NEW met3 ( 1261780 1524900 ) M3M4_PR_M
+    NEW met3 ( 1869900 1366460 ) ( 1869900 1367820 )
+    NEW met3 ( 1869900 1367820 ) ( 1917740 1367820 )
+    NEW met3 ( 1917740 1366460 ) ( 1917740 1367820 )
+    NEW met3 ( 1917740 1366460 ) ( 1918660 1366460 )
+    NEW met3 ( 1966500 1366460 ) ( 1966500 1367820 )
+    NEW met3 ( 1918660 1367820 ) ( 1966500 1367820 )
+    NEW met3 ( 2642700 1367140 ) ( 2642700 1367820 )
+    NEW met3 ( 2642700 1367140 ) ( 2690540 1367140 )
+    NEW met3 ( 2690540 1366460 ) ( 2690540 1367140 )
+    NEW met3 ( 2690540 1366460 ) ( 2788060 1366460 )
+    NEW met3 ( 2835900 1367140 ) ( 2835900 1367820 )
+    NEW met3 ( 2835900 1367140 ) ( 2883740 1367140 )
+    NEW met3 ( 2883740 1366460 ) ( 2883740 1367140 )
+    NEW met3 ( 2788060 1367820 ) ( 2835900 1367820 )
+    NEW met3 ( 2883740 1366460 ) ( 2884660 1366460 )
+    NEW met3 ( 1705220 1367140 ) ( 1705220 1368500 )
+    NEW met3 ( 1848740 1366460 ) ( 1848740 1367140 )
+    NEW met3 ( 1848740 1366460 ) ( 1869900 1366460 )
+    NEW met3 ( 1994100 1366460 ) ( 1994100 1367820 )
+    NEW met3 ( 1966500 1366460 ) ( 1994100 1366460 )
+    NEW met3 ( 2090700 1367140 ) ( 2090700 1367820 )
+    NEW met3 ( 2090700 1367140 ) ( 2138540 1367140 )
+    NEW met3 ( 2138540 1366460 ) ( 2138540 1367140 )
+    NEW met3 ( 1776980 1367140 ) ( 1776980 1368500 )
+    NEW met3 ( 1705220 1367140 ) ( 1776980 1367140 )
+    NEW met3 ( 1994100 1367820 ) ( 2090700 1367820 )
+    NEW met3 ( 2139460 1366460 ) ( 2139460 1367140 )
+    NEW met3 ( 2138540 1366460 ) ( 2139460 1366460 )
+    NEW met3 ( 2332660 1367140 ) ( 2332660 1368500 )
+    NEW met3 ( 1261780 1388900 ) ( 1273050 1388900 )
+    NEW met2 ( 1273050 1367820 ) ( 1273050 1388900 )
+    NEW met4 ( 1261780 1388900 ) ( 1261780 2298060 )
+    NEW met3 ( 1461420 1367140 ) ( 1461420 1367820 )
+    NEW met3 ( 1655540 1366460 ) ( 1655540 1368500 )
+    NEW met3 ( 1655540 1368500 ) ( 1705220 1368500 )
+    NEW met4 ( 2222260 1366460 ) ( 2222260 1367820 )
+    NEW met2 ( 2439150 1367650 ) ( 2439150 1367820 )
+    NEW met1 ( 2439150 1367650 ) ( 2463070 1367650 )
+    NEW met2 ( 2463070 1367650 ) ( 2463070 1367820 )
+    NEW met2 ( 2521030 1367650 ) ( 2521030 1367820 )
+    NEW met1 ( 2521030 1367650 ) ( 2526090 1367650 )
+    NEW met2 ( 2526090 1366460 ) ( 2526090 1367650 )
+    NEW met3 ( 2463070 1367820 ) ( 2521030 1367820 )
+    NEW met4 ( 1497300 1365780 ) ( 1497300 1367140 )
+    NEW met3 ( 1497300 1365780 ) ( 1522140 1365780 )
+    NEW met3 ( 1522140 1365780 ) ( 1522140 1366460 )
+    NEW met3 ( 1461420 1367140 ) ( 1497300 1367140 )
+    NEW met4 ( 1787100 1367140 ) ( 1787100 1368500 )
+    NEW met3 ( 1787100 1367140 ) ( 1800670 1367140 )
+    NEW met2 ( 1800670 1367140 ) ( 1801590 1367140 )
+    NEW met3 ( 1776980 1368500 ) ( 1787100 1368500 )
+    NEW met3 ( 1801590 1367140 ) ( 1848740 1367140 )
+    NEW met2 ( 2187070 1366970 ) ( 2187070 1367140 )
+    NEW met1 ( 2187070 1366970 ) ( 2221570 1366970 )
+    NEW met2 ( 2221570 1366460 ) ( 2221570 1366970 )
+    NEW met3 ( 2139460 1367140 ) ( 2187070 1367140 )
+    NEW met3 ( 2221570 1366460 ) ( 2222260 1366460 )
+    NEW met4 ( 2270100 1366460 ) ( 2270100 1367820 )
+    NEW met3 ( 2270100 1366460 ) ( 2318170 1366460 )
+    NEW met2 ( 2318170 1366460 ) ( 2318170 1368500 )
+    NEW met3 ( 2222260 1367820 ) ( 2270100 1367820 )
+    NEW met3 ( 2318170 1368500 ) ( 2332660 1368500 )
+    NEW met2 ( 2380270 1367140 ) ( 2380270 1367310 )
+    NEW met1 ( 2380270 1367310 ) ( 2414770 1367310 )
+    NEW met2 ( 2414770 1367310 ) ( 2414770 1367820 )
+    NEW met3 ( 2332660 1367140 ) ( 2380270 1367140 )
+    NEW met3 ( 2414770 1367820 ) ( 2439150 1367820 )
+    NEW met4 ( 2559900 1366460 ) ( 2559900 1367820 )
+    NEW met3 ( 2526090 1366460 ) ( 2559900 1366460 )
+    NEW met3 ( 2559900 1367820 ) ( 2642700 1367820 )
+    NEW met4 ( 1538700 1365100 ) ( 1538700 1366460 )
+    NEW met3 ( 1538700 1365100 ) ( 1562850 1365100 )
+    NEW met2 ( 1562850 1365100 ) ( 1562850 1366460 )
+    NEW met3 ( 1562850 1366460 ) ( 1586540 1366460 )
+    NEW met3 ( 1586540 1366460 ) ( 1586540 1367140 )
+    NEW met3 ( 1522140 1366460 ) ( 1538700 1366460 )
+    NEW met2 ( 1589070 1366970 ) ( 1589070 1367140 )
+    NEW met1 ( 1589070 1366970 ) ( 1609310 1366970 )
+    NEW met2 ( 1609310 1366460 ) ( 1609310 1366970 )
+    NEW met3 ( 1586540 1367140 ) ( 1589070 1367140 )
+    NEW met3 ( 1609310 1366460 ) ( 1655540 1366460 )
+    NEW met4 ( 1290300 1366460 ) ( 1290300 1367820 )
+    NEW met3 ( 1290300 1366460 ) ( 1328940 1366460 )
+    NEW met3 ( 1328940 1365780 ) ( 1328940 1366460 )
+    NEW met3 ( 1273050 1367820 ) ( 1290300 1367820 )
+    NEW met3 ( 1386900 1366460 ) ( 1386900 1367140 )
+    NEW met3 ( 1386900 1367140 ) ( 1434970 1367140 )
+    NEW met2 ( 1434970 1367140 ) ( 1434970 1367820 )
+    NEW met3 ( 1434970 1367820 ) ( 1461420 1367820 )
+    NEW met4 ( 1331700 1364420 ) ( 1331700 1365780 )
+    NEW met3 ( 1331700 1364420 ) ( 1379770 1364420 )
+    NEW met2 ( 1379770 1364420 ) ( 1379770 1366460 )
+    NEW met3 ( 1328940 1365780 ) ( 1331700 1365780 )
+    NEW met3 ( 1379770 1366460 ) ( 1386900 1366460 )
     NEW met3 ( 1261780 2298060 ) M3M4_PR_M
     NEW met2 ( 1258330 2298060 ) via2_FR
+    NEW met3 ( 1261780 1388900 ) M3M4_PR_M
+    NEW met2 ( 1273050 1388900 ) via2_FR
+    NEW met2 ( 1273050 1367820 ) via2_FR
+    NEW met3 ( 2222260 1366460 ) M3M4_PR_M
+    NEW met3 ( 2222260 1367820 ) M3M4_PR_M
+    NEW met2 ( 2439150 1367820 ) via2_FR
+    NEW met1 ( 2439150 1367650 ) M1M2_PR
+    NEW met1 ( 2463070 1367650 ) M1M2_PR
+    NEW met2 ( 2463070 1367820 ) via2_FR
+    NEW met2 ( 2521030 1367820 ) via2_FR
+    NEW met1 ( 2521030 1367650 ) M1M2_PR
+    NEW met1 ( 2526090 1367650 ) M1M2_PR
+    NEW met2 ( 2526090 1366460 ) via2_FR
+    NEW met3 ( 1497300 1367140 ) M3M4_PR_M
+    NEW met3 ( 1497300 1365780 ) M3M4_PR_M
+    NEW met3 ( 1787100 1368500 ) M3M4_PR_M
+    NEW met3 ( 1787100 1367140 ) M3M4_PR_M
+    NEW met2 ( 1800670 1367140 ) via2_FR
+    NEW met2 ( 1801590 1367140 ) via2_FR
+    NEW met2 ( 2187070 1367140 ) via2_FR
+    NEW met1 ( 2187070 1366970 ) M1M2_PR
+    NEW met1 ( 2221570 1366970 ) M1M2_PR
+    NEW met2 ( 2221570 1366460 ) via2_FR
+    NEW met3 ( 2270100 1367820 ) M3M4_PR_M
+    NEW met3 ( 2270100 1366460 ) M3M4_PR_M
+    NEW met2 ( 2318170 1366460 ) via2_FR
+    NEW met2 ( 2318170 1368500 ) via2_FR
+    NEW met2 ( 2380270 1367140 ) via2_FR
+    NEW met1 ( 2380270 1367310 ) M1M2_PR
+    NEW met1 ( 2414770 1367310 ) M1M2_PR
+    NEW met2 ( 2414770 1367820 ) via2_FR
+    NEW met3 ( 2559900 1366460 ) M3M4_PR_M
+    NEW met3 ( 2559900 1367820 ) M3M4_PR_M
+    NEW met3 ( 1538700 1366460 ) M3M4_PR_M
+    NEW met3 ( 1538700 1365100 ) M3M4_PR_M
+    NEW met2 ( 1562850 1365100 ) via2_FR
+    NEW met2 ( 1562850 1366460 ) via2_FR
+    NEW met2 ( 1589070 1367140 ) via2_FR
+    NEW met1 ( 1589070 1366970 ) M1M2_PR
+    NEW met1 ( 1609310 1366970 ) M1M2_PR
+    NEW met2 ( 1609310 1366460 ) via2_FR
+    NEW met3 ( 1290300 1367820 ) M3M4_PR_M
+    NEW met3 ( 1290300 1366460 ) M3M4_PR_M
+    NEW met2 ( 1434970 1367140 ) via2_FR
+    NEW met2 ( 1434970 1367820 ) via2_FR
+    NEW met3 ( 1331700 1365780 ) M3M4_PR_M
+    NEW met3 ( 1331700 1364420 ) M3M4_PR_M
+    NEW met2 ( 1379770 1364420 ) via2_FR
+    NEW met2 ( 1379770 1366460 ) via2_FR
 + USE SIGNAL ;
 - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) 
-  + ROUTED met2 ( 2900990 1759500 ) ( 2900990 1766130 )
-    NEW met3 ( 2900990 1759500 ) ( 2917780 1759500 0 )
-    NEW met1 ( 1783650 1766130 ) ( 2900990 1766130 )
-    NEW met2 ( 1783650 1766130 ) ( 1783650 2314210 )
-    NEW met2 ( 1273050 2299420 0 ) ( 1273050 2314210 )
-    NEW met1 ( 1273050 2314210 ) ( 1783650 2314210 )
-    NEW met1 ( 2900990 1766130 ) M1M2_PR
-    NEW met2 ( 2900990 1759500 ) via2_FR
-    NEW met1 ( 1783650 1766130 ) M1M2_PR
-    NEW met1 ( 1783650 2314210 ) M1M2_PR
-    NEW met1 ( 1273050 2314210 ) M1M2_PR
+  + ROUTED met3 ( 2691460 1580660 ) ( 2691460 1582020 )
+    NEW met3 ( 2788060 1580660 ) ( 2788060 1582020 )
+    NEW met3 ( 2884660 1580660 ) ( 2884660 1581340 )
+    NEW met3 ( 2884660 1581340 ) ( 2916860 1581340 )
+    NEW met3 ( 2916860 1581340 ) ( 2916860 1583380 )
+    NEW met3 ( 2916860 1583380 ) ( 2917780 1583380 0 )
+    NEW met3 ( 2642700 1581340 ) ( 2642700 1582020 )
+    NEW met3 ( 2642700 1581340 ) ( 2690540 1581340 )
+    NEW met3 ( 2690540 1580660 ) ( 2690540 1581340 )
+    NEW met3 ( 2690540 1580660 ) ( 2691460 1580660 )
+    NEW met3 ( 2739300 1581340 ) ( 2739300 1582020 )
+    NEW met3 ( 2739300 1581340 ) ( 2787140 1581340 )
+    NEW met3 ( 2787140 1580660 ) ( 2787140 1581340 )
+    NEW met3 ( 2691460 1582020 ) ( 2739300 1582020 )
+    NEW met3 ( 2787140 1580660 ) ( 2788060 1580660 )
+    NEW met3 ( 2835900 1581340 ) ( 2835900 1582020 )
+    NEW met3 ( 2835900 1581340 ) ( 2883740 1581340 )
+    NEW met3 ( 2883740 1580660 ) ( 2883740 1581340 )
+    NEW met3 ( 2788060 1582020 ) ( 2835900 1582020 )
+    NEW met3 ( 2883740 1580660 ) ( 2884660 1580660 )
+    NEW met3 ( 1274890 2298060 ) ( 1275580 2298060 )
+    NEW met2 ( 1273050 2298060 0 ) ( 1274890 2298060 )
+    NEW met3 ( 1849660 1581340 ) ( 1849660 1582020 )
+    NEW met3 ( 1946260 1581340 ) ( 1946260 1582020 )
+    NEW met3 ( 2042860 1581340 ) ( 2042860 1582020 )
+    NEW met3 ( 2139460 1581340 ) ( 2139460 1582020 )
+    NEW met3 ( 2236060 1581340 ) ( 2236060 1582020 )
+    NEW met3 ( 2332660 1581340 ) ( 2332660 1582020 )
+    NEW met4 ( 1275580 1580660 ) ( 1275580 2298060 )
+    NEW met2 ( 2415690 1581850 ) ( 2415690 1582020 )
+    NEW met1 ( 2415690 1581850 ) ( 2429490 1581850 )
+    NEW met2 ( 2429490 1580660 ) ( 2429490 1581850 )
+    NEW met2 ( 2525170 1581340 ) ( 2525170 1582020 )
+    NEW met2 ( 2525170 1582020 ) ( 2526090 1582020 )
+    NEW met4 ( 1304100 1580660 ) ( 1304100 1582020 )
+    NEW met3 ( 1304100 1582020 ) ( 1328940 1582020 )
+    NEW met3 ( 1328940 1581340 ) ( 1328940 1582020 )
+    NEW met3 ( 1275580 1580660 ) ( 1304100 1580660 )
+    NEW met3 ( 1593900 1580660 ) ( 1593900 1582020 )
+    NEW met3 ( 1787100 1580660 ) ( 1787100 1582020 )
+    NEW met3 ( 1787100 1580660 ) ( 1800670 1580660 )
+    NEW met2 ( 1800670 1580660 ) ( 1800670 1582020 )
+    NEW met2 ( 1800670 1582020 ) ( 1801130 1582020 )
+    NEW met3 ( 1801130 1582020 ) ( 1849660 1582020 )
+    NEW met2 ( 1897270 1581340 ) ( 1897270 1581510 )
+    NEW met1 ( 1897270 1581510 ) ( 1931770 1581510 )
+    NEW met2 ( 1931770 1581510 ) ( 1931770 1582020 )
+    NEW met3 ( 1849660 1581340 ) ( 1897270 1581340 )
+    NEW met3 ( 1931770 1582020 ) ( 1946260 1582020 )
+    NEW met2 ( 1993870 1581340 ) ( 1993870 1581510 )
+    NEW met1 ( 1993870 1581510 ) ( 2028370 1581510 )
+    NEW met2 ( 2028370 1581510 ) ( 2028370 1582020 )
+    NEW met3 ( 1946260 1581340 ) ( 1993870 1581340 )
+    NEW met3 ( 2028370 1582020 ) ( 2042860 1582020 )
+    NEW met2 ( 2090470 1581340 ) ( 2090470 1581510 )
+    NEW met1 ( 2090470 1581510 ) ( 2124970 1581510 )
+    NEW met2 ( 2124970 1581510 ) ( 2124970 1582020 )
+    NEW met3 ( 2042860 1581340 ) ( 2090470 1581340 )
+    NEW met3 ( 2124970 1582020 ) ( 2139460 1582020 )
+    NEW met2 ( 2187070 1581340 ) ( 2187070 1581510 )
+    NEW met1 ( 2187070 1581510 ) ( 2221570 1581510 )
+    NEW met2 ( 2221570 1581510 ) ( 2221570 1582020 )
+    NEW met3 ( 2139460 1581340 ) ( 2187070 1581340 )
+    NEW met3 ( 2221570 1582020 ) ( 2236060 1582020 )
+    NEW met2 ( 2283670 1581340 ) ( 2283670 1581510 )
+    NEW met1 ( 2283670 1581510 ) ( 2318170 1581510 )
+    NEW met2 ( 2318170 1581510 ) ( 2318170 1582020 )
+    NEW met3 ( 2236060 1581340 ) ( 2283670 1581340 )
+    NEW met3 ( 2318170 1582020 ) ( 2332660 1582020 )
+    NEW met2 ( 2380270 1581340 ) ( 2380270 1581510 )
+    NEW met1 ( 2380270 1581510 ) ( 2414770 1581510 )
+    NEW met2 ( 2414770 1581510 ) ( 2414770 1582020 )
+    NEW met3 ( 2332660 1581340 ) ( 2380270 1581340 )
+    NEW met3 ( 2414770 1582020 ) ( 2415690 1582020 )
+    NEW met4 ( 2463300 1579300 ) ( 2463300 1580660 )
+    NEW met3 ( 2463300 1579300 ) ( 2511370 1579300 )
+    NEW met2 ( 2511370 1579300 ) ( 2511370 1581340 )
+    NEW met3 ( 2429490 1580660 ) ( 2463300 1580660 )
+    NEW met3 ( 2511370 1581340 ) ( 2525170 1581340 )
+    NEW met4 ( 2559900 1582020 ) ( 2559900 1583380 )
+    NEW met3 ( 2559900 1583380 ) ( 2584050 1583380 )
+    NEW met2 ( 2584050 1582020 ) ( 2584050 1583380 )
+    NEW met3 ( 2526090 1582020 ) ( 2559900 1582020 )
+    NEW met3 ( 2584050 1582020 ) ( 2642700 1582020 )
+    NEW met3 ( 1369420 1580660 ) ( 1369420 1581340 )
+    NEW met3 ( 1328940 1581340 ) ( 1369420 1581340 )
+    NEW met4 ( 1538700 1581340 ) ( 1538700 1582700 )
+    NEW met3 ( 1538700 1582700 ) ( 1562850 1582700 )
+    NEW met2 ( 1562850 1581340 ) ( 1562850 1582700 )
+    NEW met3 ( 1562850 1581340 ) ( 1586540 1581340 )
+    NEW met3 ( 1586540 1580660 ) ( 1586540 1581340 )
+    NEW met3 ( 1586540 1580660 ) ( 1593900 1580660 )
+    NEW met3 ( 1658300 1579980 ) ( 1658300 1582020 )
+    NEW met3 ( 1593900 1582020 ) ( 1658300 1582020 )
+    NEW met3 ( 1731900 1581340 ) ( 1731900 1582020 )
+    NEW met3 ( 1731900 1582020 ) ( 1750990 1582020 )
+    NEW met2 ( 1750990 1581850 ) ( 1750990 1582020 )
+    NEW met1 ( 1750990 1581850 ) ( 1756510 1581850 )
+    NEW met2 ( 1756510 1581850 ) ( 1756510 1582020 )
+    NEW met3 ( 1756510 1582020 ) ( 1787100 1582020 )
+    NEW met4 ( 1394260 1580660 ) ( 1394260 1582020 )
+    NEW met3 ( 1394260 1582020 ) ( 1414270 1582020 )
+    NEW met2 ( 1414270 1582020 ) ( 1414270 1582190 )
+    NEW met3 ( 1369420 1580660 ) ( 1394260 1580660 )
+    NEW met2 ( 1491090 1581340 ) ( 1491090 1582700 )
+    NEW met3 ( 1491090 1581340 ) ( 1538700 1581340 )
+    NEW met2 ( 1683830 1579980 ) ( 1683830 1582020 )
+    NEW met3 ( 1683830 1582020 ) ( 1705220 1582020 )
+    NEW met3 ( 1705220 1581340 ) ( 1705220 1582020 )
+    NEW met3 ( 1658300 1579980 ) ( 1683830 1579980 )
+    NEW met3 ( 1705220 1581340 ) ( 1731900 1581340 )
+    NEW met2 ( 1459350 1582190 ) ( 1459350 1584740 )
+    NEW met3 ( 1459350 1584740 ) ( 1482580 1584740 )
+    NEW met4 ( 1482580 1582700 ) ( 1482580 1584740 )
+    NEW met1 ( 1414270 1582190 ) ( 1459350 1582190 )
+    NEW met3 ( 1482580 1582700 ) ( 1491090 1582700 )
+    NEW met3 ( 1275580 2298060 ) M3M4_PR_M
+    NEW met2 ( 1274890 2298060 ) via2_FR
+    NEW met3 ( 1275580 1580660 ) M3M4_PR_M
+    NEW met2 ( 2415690 1582020 ) via2_FR
+    NEW met1 ( 2415690 1581850 ) M1M2_PR
+    NEW met1 ( 2429490 1581850 ) M1M2_PR
+    NEW met2 ( 2429490 1580660 ) via2_FR
+    NEW met2 ( 2525170 1581340 ) via2_FR
+    NEW met2 ( 2526090 1582020 ) via2_FR
+    NEW met3 ( 1304100 1580660 ) M3M4_PR_M
+    NEW met3 ( 1304100 1582020 ) M3M4_PR_M
+    NEW met2 ( 1800670 1580660 ) via2_FR
+    NEW met2 ( 1801130 1582020 ) via2_FR
+    NEW met2 ( 1897270 1581340 ) via2_FR
+    NEW met1 ( 1897270 1581510 ) M1M2_PR
+    NEW met1 ( 1931770 1581510 ) M1M2_PR
+    NEW met2 ( 1931770 1582020 ) via2_FR
+    NEW met2 ( 1993870 1581340 ) via2_FR
+    NEW met1 ( 1993870 1581510 ) M1M2_PR
+    NEW met1 ( 2028370 1581510 ) M1M2_PR
+    NEW met2 ( 2028370 1582020 ) via2_FR
+    NEW met2 ( 2090470 1581340 ) via2_FR
+    NEW met1 ( 2090470 1581510 ) M1M2_PR
+    NEW met1 ( 2124970 1581510 ) M1M2_PR
+    NEW met2 ( 2124970 1582020 ) via2_FR
+    NEW met2 ( 2187070 1581340 ) via2_FR
+    NEW met1 ( 2187070 1581510 ) M1M2_PR
+    NEW met1 ( 2221570 1581510 ) M1M2_PR
+    NEW met2 ( 2221570 1582020 ) via2_FR
+    NEW met2 ( 2283670 1581340 ) via2_FR
+    NEW met1 ( 2283670 1581510 ) M1M2_PR
+    NEW met1 ( 2318170 1581510 ) M1M2_PR
+    NEW met2 ( 2318170 1582020 ) via2_FR
+    NEW met2 ( 2380270 1581340 ) via2_FR
+    NEW met1 ( 2380270 1581510 ) M1M2_PR
+    NEW met1 ( 2414770 1581510 ) M1M2_PR
+    NEW met2 ( 2414770 1582020 ) via2_FR
+    NEW met3 ( 2463300 1580660 ) M3M4_PR_M
+    NEW met3 ( 2463300 1579300 ) M3M4_PR_M
+    NEW met2 ( 2511370 1579300 ) via2_FR
+    NEW met2 ( 2511370 1581340 ) via2_FR
+    NEW met3 ( 2559900 1582020 ) M3M4_PR_M
+    NEW met3 ( 2559900 1583380 ) M3M4_PR_M
+    NEW met2 ( 2584050 1583380 ) via2_FR
+    NEW met2 ( 2584050 1582020 ) via2_FR
+    NEW met3 ( 1538700 1581340 ) M3M4_PR_M
+    NEW met3 ( 1538700 1582700 ) M3M4_PR_M
+    NEW met2 ( 1562850 1582700 ) via2_FR
+    NEW met2 ( 1562850 1581340 ) via2_FR
+    NEW met2 ( 1750990 1582020 ) via2_FR
+    NEW met1 ( 1750990 1581850 ) M1M2_PR
+    NEW met1 ( 1756510 1581850 ) M1M2_PR
+    NEW met2 ( 1756510 1582020 ) via2_FR
+    NEW met3 ( 1394260 1580660 ) M3M4_PR_M
+    NEW met3 ( 1394260 1582020 ) M3M4_PR_M
+    NEW met2 ( 1414270 1582020 ) via2_FR
+    NEW met1 ( 1414270 1582190 ) M1M2_PR
+    NEW met2 ( 1491090 1582700 ) via2_FR
+    NEW met2 ( 1491090 1581340 ) via2_FR
+    NEW met2 ( 1683830 1579980 ) via2_FR
+    NEW met2 ( 1683830 1582020 ) via2_FR
+    NEW met1 ( 1459350 1582190 ) M1M2_PR
+    NEW met2 ( 1459350 1584740 ) via2_FR
+    NEW met3 ( 1482580 1584740 ) M3M4_PR_M
+    NEW met3 ( 1482580 1582700 ) M3M4_PR_M
 + USE SIGNAL ;
 - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) 
-  + ROUTED met2 ( 2900990 1994100 ) ( 2900990 2000730 )
-    NEW met3 ( 2900990 1994100 ) ( 2917780 1994100 0 )
-    NEW met2 ( 1791010 2000730 ) ( 1791010 2316930 )
-    NEW met1 ( 1791010 2000730 ) ( 2900990 2000730 )
-    NEW met2 ( 1288690 2299420 0 ) ( 1288690 2316930 )
-    NEW met1 ( 1288690 2316930 ) ( 1791010 2316930 )
-    NEW met1 ( 2900990 2000730 ) M1M2_PR
-    NEW met2 ( 2900990 1994100 ) via2_FR
-    NEW met1 ( 1791010 2316930 ) M1M2_PR
-    NEW met1 ( 1791010 2000730 ) M1M2_PR
-    NEW met1 ( 1288690 2316930 ) M1M2_PR
+  + ROUTED met2 ( 2900990 1794860 ) ( 2900990 1800130 )
+    NEW met3 ( 2900990 1794860 ) ( 2917780 1794860 0 )
+    NEW met1 ( 1797450 1800130 ) ( 2900990 1800130 )
+    NEW li1 ( 1511330 2314210 ) ( 1511330 2319310 )
+    NEW met1 ( 1511330 2319310 ) ( 1559170 2319310 )
+    NEW li1 ( 1559170 2317950 ) ( 1559170 2319310 )
+    NEW met2 ( 1288690 2299420 0 ) ( 1288690 2314210 )
+    NEW met1 ( 1288690 2314210 ) ( 1511330 2314210 )
+    NEW li1 ( 1559630 2317950 ) ( 1559630 2319310 )
+    NEW met1 ( 1559630 2319310 ) ( 1572050 2319310 )
+    NEW li1 ( 1572050 2314210 ) ( 1572050 2319310 )
+    NEW met1 ( 1572050 2314210 ) ( 1582630 2314210 )
+    NEW met2 ( 1582630 2314210 ) ( 1582630 2315060 )
+    NEW met3 ( 1582630 2315060 ) ( 1607470 2315060 )
+    NEW met2 ( 1607470 2314210 ) ( 1607470 2315060 )
+    NEW met1 ( 1559170 2317950 ) ( 1559630 2317950 )
+    NEW met1 ( 1607470 2314210 ) ( 1797450 2314210 )
+    NEW met2 ( 1797450 1800130 ) ( 1797450 2314210 )
+    NEW met1 ( 2900990 1800130 ) M1M2_PR
+    NEW met2 ( 2900990 1794860 ) via2_FR
+    NEW met1 ( 1797450 1800130 ) M1M2_PR
+    NEW li1 ( 1511330 2314210 ) L1M1_PR_MR
+    NEW li1 ( 1511330 2319310 ) L1M1_PR_MR
+    NEW li1 ( 1559170 2319310 ) L1M1_PR_MR
+    NEW li1 ( 1559170 2317950 ) L1M1_PR_MR
+    NEW met1 ( 1288690 2314210 ) M1M2_PR
+    NEW li1 ( 1559630 2317950 ) L1M1_PR_MR
+    NEW li1 ( 1559630 2319310 ) L1M1_PR_MR
+    NEW li1 ( 1572050 2319310 ) L1M1_PR_MR
+    NEW li1 ( 1572050 2314210 ) L1M1_PR_MR
+    NEW met1 ( 1582630 2314210 ) M1M2_PR
+    NEW met2 ( 1582630 2315060 ) via2_FR
+    NEW met2 ( 1607470 2315060 ) via2_FR
+    NEW met1 ( 1607470 2314210 ) M1M2_PR
+    NEW met1 ( 1797450 2314210 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) 
-  + ROUTED met2 ( 2900990 2228700 ) ( 2900990 2235330 )
-    NEW met3 ( 2900990 2228700 ) ( 2917780 2228700 0 )
-    NEW met1 ( 1797450 2235330 ) ( 2900990 2235330 )
-    NEW met2 ( 1797450 2235330 ) ( 1797450 2318290 )
-    NEW li1 ( 1342050 2315570 ) ( 1342050 2318290 )
-    NEW met2 ( 1304330 2299420 0 ) ( 1304330 2315570 )
-    NEW met1 ( 1304330 2315570 ) ( 1342050 2315570 )
-    NEW met1 ( 1342050 2318290 ) ( 1797450 2318290 )
-    NEW met1 ( 2900990 2235330 ) M1M2_PR
-    NEW met2 ( 2900990 2228700 ) via2_FR
-    NEW met1 ( 1797450 2235330 ) M1M2_PR
-    NEW met1 ( 1797450 2318290 ) M1M2_PR
-    NEW li1 ( 1342050 2315570 ) L1M1_PR_MR
-    NEW li1 ( 1342050 2318290 ) L1M1_PR_MR
-    NEW met1 ( 1304330 2315570 ) M1M2_PR
+  + ROUTED met2 ( 2900990 2005660 ) ( 2900990 2007870 )
+    NEW met3 ( 2900990 2005660 ) ( 2917780 2005660 0 )
+    NEW met1 ( 1804350 2007870 ) ( 2900990 2007870 )
+    NEW li1 ( 1558710 2317950 ) ( 1558710 2318970 )
+    NEW met2 ( 1804350 2007870 ) ( 1804350 2317950 )
+    NEW met2 ( 1304330 2299420 0 ) ( 1304330 2317950 )
+    NEW met1 ( 1304330 2317950 ) ( 1558710 2317950 )
+    NEW li1 ( 1560090 2317950 ) ( 1560090 2318970 )
+    NEW met1 ( 1560090 2317950 ) ( 1607010 2317950 )
+    NEW met1 ( 1558710 2318970 ) ( 1560090 2318970 )
+    NEW met1 ( 1608850 2317950 ) ( 1804350 2317950 )
+    NEW met1 ( 1606550 2318630 ) ( 1607010 2318630 )
+    NEW li1 ( 1606550 2318630 ) ( 1606550 2319650 )
+    NEW met1 ( 1606550 2319650 ) ( 1608850 2319650 )
+    NEW met1 ( 1607010 2317950 ) ( 1607010 2318630 )
+    NEW met1 ( 1608850 2317950 ) ( 1608850 2319650 )
+    NEW met1 ( 2900990 2007870 ) M1M2_PR
+    NEW met2 ( 2900990 2005660 ) via2_FR
+    NEW met1 ( 1804350 2007870 ) M1M2_PR
+    NEW li1 ( 1558710 2317950 ) L1M1_PR_MR
+    NEW li1 ( 1558710 2318970 ) L1M1_PR_MR
+    NEW met1 ( 1804350 2317950 ) M1M2_PR
+    NEW met1 ( 1304330 2317950 ) M1M2_PR
+    NEW li1 ( 1560090 2318970 ) L1M1_PR_MR
+    NEW li1 ( 1560090 2317950 ) L1M1_PR_MR
+    NEW li1 ( 1606550 2318630 ) L1M1_PR_MR
+    NEW li1 ( 1606550 2319650 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) 
-  + ROUTED met2 ( 633190 2380 0 ) ( 633190 16660 )
-    NEW met2 ( 633190 16660 ) ( 634570 16660 )
-    NEW met2 ( 634570 16660 ) ( 634570 1680110 )
-    NEW met2 ( 1279950 1680110 ) ( 1279950 1700340 0 )
-    NEW met1 ( 634570 1680110 ) ( 1279950 1680110 )
-    NEW met1 ( 634570 1680110 ) M1M2_PR
-    NEW met1 ( 1279950 1680110 ) M1M2_PR
+  + ROUTED met1 ( 629510 17510 ) ( 634570 17510 )
+    NEW met2 ( 629510 2380 0 ) ( 629510 17510 )
+    NEW met2 ( 634570 17510 ) ( 634570 1680450 )
+    NEW met2 ( 1279950 1680450 ) ( 1279950 1700340 0 )
+    NEW met1 ( 634570 1680450 ) ( 1279950 1680450 )
+    NEW met1 ( 634570 1680450 ) M1M2_PR
+    NEW met1 ( 629510 17510 ) M1M2_PR
+    NEW met1 ( 634570 17510 ) M1M2_PR
+    NEW met1 ( 1279950 1680450 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) 
-  + ROUTED met2 ( 1647030 1666510 ) ( 1647030 1700340 0 )
-    NEW met1 ( 2415230 72930 ) ( 2417530 72930 )
-    NEW met2 ( 2415230 72930 ) ( 2415230 1666510 )
-    NEW met2 ( 2417530 2380 0 ) ( 2417530 72930 )
-    NEW met1 ( 1647030 1666510 ) ( 2415230 1666510 )
-    NEW met1 ( 2415230 1666510 ) M1M2_PR
-    NEW met1 ( 1647030 1666510 ) M1M2_PR
-    NEW met1 ( 2415230 72930 ) M1M2_PR
-    NEW met1 ( 2417530 72930 ) M1M2_PR
+  + ROUTED met2 ( 2402810 2380 0 ) ( 2402810 16660 )
+    NEW met2 ( 2401430 16660 ) ( 2402810 16660 )
+    NEW met2 ( 1647030 1680450 ) ( 1647030 1700340 0 )
+    NEW met2 ( 2401430 16660 ) ( 2401430 1680450 )
+    NEW met1 ( 1647030 1680450 ) ( 2401430 1680450 )
+    NEW met1 ( 2401430 1680450 ) M1M2_PR
+    NEW met1 ( 1647030 1680450 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) 
-  + ROUTED met2 ( 1650250 1700340 ) ( 1650710 1700340 0 )
-    NEW met2 ( 1650250 1652910 ) ( 1650250 1700340 )
-    NEW met1 ( 1650250 1652910 ) ( 2429030 1652910 )
-    NEW met1 ( 2429030 35870 ) ( 2435010 35870 )
-    NEW met2 ( 2435010 2380 0 ) ( 2435010 35870 )
-    NEW met2 ( 2429030 35870 ) ( 2429030 1652910 )
-    NEW met1 ( 2429030 1652910 ) M1M2_PR
-    NEW met1 ( 1650250 1652910 ) M1M2_PR
-    NEW met1 ( 2429030 35870 ) M1M2_PR
-    NEW met1 ( 2435010 35870 ) M1M2_PR
+  + ROUTED met2 ( 1650710 1665830 ) ( 1650710 1700340 0 )
+    NEW li1 ( 2284130 1665490 ) ( 2284130 1666510 )
+    NEW met1 ( 2284130 1665490 ) ( 2331970 1665490 )
+    NEW li1 ( 2331970 1665490 ) ( 2331970 1666510 )
+    NEW li1 ( 2235830 1666850 ) ( 2235830 1667870 )
+    NEW met1 ( 2235830 1667870 ) ( 2283670 1667870 )
+    NEW li1 ( 2283670 1666510 ) ( 2283670 1667870 )
+    NEW met1 ( 2283670 1666510 ) ( 2284130 1666510 )
+    NEW met2 ( 2420290 2380 0 ) ( 2420290 41650 )
+    NEW met1 ( 2415230 41650 ) ( 2420290 41650 )
+    NEW met2 ( 2415230 41650 ) ( 2415230 1666510 )
+    NEW li1 ( 2221570 1666850 ) ( 2221570 1667870 )
+    NEW met1 ( 2221570 1666850 ) ( 2235830 1666850 )
+    NEW met1 ( 2331970 1666510 ) ( 2415230 1666510 )
+    NEW li1 ( 1973630 1666510 ) ( 1974090 1666510 )
+    NEW li1 ( 1974090 1666170 ) ( 1974090 1666510 )
+    NEW li1 ( 2015030 1666170 ) ( 2015030 1666850 )
+    NEW met1 ( 2015030 1666850 ) ( 2042170 1666850 )
+    NEW li1 ( 2042170 1666850 ) ( 2042630 1666850 )
+    NEW met1 ( 1974090 1666170 ) ( 2015030 1666170 )
+    NEW li1 ( 2138770 1666510 ) ( 2138770 1666850 )
+    NEW li1 ( 2138770 1666510 ) ( 2139690 1666510 )
+    NEW li1 ( 1897730 1666510 ) ( 1898190 1666510 )
+    NEW li1 ( 1898190 1666510 ) ( 1898190 1666850 )
+    NEW li1 ( 2076670 1665830 ) ( 2076670 1666850 )
+    NEW met1 ( 2076670 1665830 ) ( 2111170 1665830 )
+    NEW li1 ( 2111170 1665830 ) ( 2111170 1666850 )
+    NEW met1 ( 2042630 1666850 ) ( 2076670 1666850 )
+    NEW met1 ( 2111170 1666850 ) ( 2138770 1666850 )
+    NEW li1 ( 2159930 1666510 ) ( 2159930 1667870 )
+    NEW met1 ( 2139690 1666510 ) ( 2159930 1666510 )
+    NEW met1 ( 2159930 1667870 ) ( 2221570 1667870 )
+    NEW met1 ( 1724310 1665830 ) ( 1724310 1666510 )
+    NEW met1 ( 1650710 1665830 ) ( 1724310 1665830 )
+    NEW li1 ( 1820910 1666170 ) ( 1821830 1666170 )
+    NEW li1 ( 1821830 1666170 ) ( 1821830 1666510 )
+    NEW met1 ( 1821830 1666510 ) ( 1897730 1666510 )
+    NEW li1 ( 1945570 1666510 ) ( 1945570 1666850 )
+    NEW li1 ( 1945570 1666510 ) ( 1946490 1666510 )
+    NEW met1 ( 1898190 1666850 ) ( 1945570 1666850 )
+    NEW met1 ( 1946490 1666510 ) ( 1973630 1666510 )
+    NEW li1 ( 1766630 1666510 ) ( 1766630 1667870 )
+    NEW met1 ( 1766630 1667870 ) ( 1814470 1667870 )
+    NEW li1 ( 1814470 1666170 ) ( 1814470 1667870 )
+    NEW met1 ( 1724310 1666510 ) ( 1766630 1666510 )
+    NEW met1 ( 1814470 1666170 ) ( 1820910 1666170 )
+    NEW met1 ( 2415230 1666510 ) M1M2_PR
+    NEW met1 ( 1650710 1665830 ) M1M2_PR
+    NEW li1 ( 2284130 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2284130 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2331970 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2331970 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2235830 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2235830 1667870 ) L1M1_PR_MR
+    NEW li1 ( 2283670 1667870 ) L1M1_PR_MR
+    NEW li1 ( 2283670 1666510 ) L1M1_PR_MR
+    NEW met1 ( 2420290 41650 ) M1M2_PR
+    NEW met1 ( 2415230 41650 ) M1M2_PR
+    NEW li1 ( 2221570 1667870 ) L1M1_PR_MR
+    NEW li1 ( 2221570 1666850 ) L1M1_PR_MR
+    NEW li1 ( 1973630 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1974090 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2015030 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2015030 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2042170 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2042630 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2138770 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2139690 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1897730 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1898190 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2076670 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2076670 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2111170 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2111170 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2159930 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2159930 1667870 ) L1M1_PR_MR
+    NEW li1 ( 1820910 1666170 ) L1M1_PR_MR
+    NEW li1 ( 1821830 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1945570 1666850 ) L1M1_PR_MR
+    NEW li1 ( 1946490 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1766630 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1766630 1667870 ) L1M1_PR_MR
+    NEW li1 ( 1814470 1667870 ) L1M1_PR_MR
+    NEW li1 ( 1814470 1666170 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) 
-  + ROUTED met2 ( 2452950 2380 0 ) ( 2452950 2890 )
-    NEW met1 ( 2449730 2890 ) ( 2452950 2890 )
+  + ROUTED met2 ( 2438230 2380 0 ) ( 2438230 16660 )
+    NEW met2 ( 2435930 16660 ) ( 2438230 16660 )
     NEW met2 ( 1653930 1700340 ) ( 1654390 1700340 0 )
     NEW met2 ( 1653930 1638970 ) ( 1653930 1700340 )
-    NEW met1 ( 1653930 1638970 ) ( 2449730 1638970 )
-    NEW met2 ( 2449730 2890 ) ( 2449730 1638970 )
-    NEW met1 ( 2452950 2890 ) M1M2_PR
-    NEW met1 ( 2449730 2890 ) M1M2_PR
-    NEW met1 ( 2449730 1638970 ) M1M2_PR
+    NEW met1 ( 1653930 1638970 ) ( 2435930 1638970 )
+    NEW met2 ( 2435930 16660 ) ( 2435930 1638970 )
     NEW met1 ( 1653930 1638970 ) M1M2_PR
+    NEW met1 ( 2435930 1638970 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) 
-  + ROUTED met2 ( 2470890 2380 0 ) ( 2470890 2890 )
-    NEW met2 ( 2470430 2890 ) ( 2470890 2890 )
-    NEW met1 ( 1660830 1625030 ) ( 2470430 1625030 )
-    NEW met1 ( 1658070 1685210 ) ( 1660830 1685210 )
-    NEW met2 ( 1658070 1685210 ) ( 1658070 1700340 0 )
-    NEW met2 ( 1660830 1625030 ) ( 1660830 1685210 )
-    NEW met2 ( 2470430 2890 ) ( 2470430 1625030 )
-    NEW met1 ( 2470430 1625030 ) M1M2_PR
+  + ROUTED met2 ( 2455710 2380 0 ) ( 2455710 17510 )
+    NEW met1 ( 2449730 17510 ) ( 2455710 17510 )
+    NEW met1 ( 1660830 1625030 ) ( 2449730 1625030 )
+    NEW met1 ( 1658070 1684870 ) ( 1660830 1684870 )
+    NEW met2 ( 1658070 1684870 ) ( 1658070 1700340 0 )
+    NEW met2 ( 1660830 1625030 ) ( 1660830 1684870 )
+    NEW met2 ( 2449730 17510 ) ( 2449730 1625030 )
+    NEW met1 ( 2455710 17510 ) M1M2_PR
+    NEW met1 ( 2449730 17510 ) M1M2_PR
     NEW met1 ( 1660830 1625030 ) M1M2_PR
-    NEW met1 ( 1660830 1685210 ) M1M2_PR
-    NEW met1 ( 1658070 1685210 ) M1M2_PR
+    NEW met1 ( 2449730 1625030 ) M1M2_PR
+    NEW met1 ( 1660830 1684870 ) M1M2_PR
+    NEW met1 ( 1658070 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) 
-  + ROUTED met2 ( 2488830 2380 0 ) ( 2488830 2890 )
-    NEW met1 ( 2484230 2890 ) ( 2488830 2890 )
-    NEW met2 ( 2484230 2890 ) ( 2484230 1611430 )
-    NEW met1 ( 1661290 1611430 ) ( 2484230 1611430 )
+  + ROUTED met2 ( 2473650 2380 0 ) ( 2473650 2890 )
+    NEW met1 ( 2470430 2890 ) ( 2473650 2890 )
+    NEW met1 ( 1661290 1611430 ) ( 2470430 1611430 )
     NEW met2 ( 1661290 1700340 ) ( 1661750 1700340 0 )
     NEW met2 ( 1661290 1611430 ) ( 1661290 1700340 )
-    NEW met1 ( 2488830 2890 ) M1M2_PR
-    NEW met1 ( 2484230 2890 ) M1M2_PR
-    NEW met1 ( 2484230 1611430 ) M1M2_PR
+    NEW met2 ( 2470430 2890 ) ( 2470430 1611430 )
+    NEW met1 ( 2473650 2890 ) M1M2_PR
+    NEW met1 ( 2470430 2890 ) M1M2_PR
     NEW met1 ( 1661290 1611430 ) M1M2_PR
+    NEW met1 ( 2470430 1611430 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) 
-  + ROUTED met2 ( 2506310 2380 0 ) ( 2506310 2890 )
-    NEW met1 ( 2504930 2890 ) ( 2506310 2890 )
-    NEW met2 ( 2504930 2890 ) ( 2504930 1597490 )
-    NEW met1 ( 1667270 1597490 ) ( 2504930 1597490 )
-    NEW met3 ( 1664510 1690140 ) ( 1665430 1690140 )
-    NEW met2 ( 1664510 1642370 ) ( 1664510 1690140 )
-    NEW met1 ( 1664510 1642370 ) ( 1667270 1642370 )
-    NEW met2 ( 1665430 1690140 ) ( 1665430 1700340 0 )
-    NEW met2 ( 1667270 1597490 ) ( 1667270 1642370 )
-    NEW met1 ( 2506310 2890 ) M1M2_PR
-    NEW met1 ( 2504930 2890 ) M1M2_PR
-    NEW met1 ( 2504930 1597490 ) M1M2_PR
+  + ROUTED met2 ( 2491130 2380 0 ) ( 2491130 1597490 )
+    NEW met2 ( 1665890 1677900 ) ( 1667270 1677900 )
+    NEW met2 ( 1665890 1677900 ) ( 1665890 1700340 )
+    NEW met2 ( 1665430 1700340 0 ) ( 1665890 1700340 )
+    NEW met2 ( 1667270 1597490 ) ( 1667270 1677900 )
+    NEW met1 ( 1667270 1597490 ) ( 2491130 1597490 )
+    NEW met1 ( 2491130 1597490 ) M1M2_PR
     NEW met1 ( 1667270 1597490 ) M1M2_PR
-    NEW met2 ( 1665430 1690140 ) via2_FR
-    NEW met2 ( 1664510 1690140 ) via2_FR
-    NEW met1 ( 1664510 1642370 ) M1M2_PR
-    NEW met1 ( 1667270 1642370 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) 
-  + ROUTED met2 ( 2524250 2380 0 ) ( 2524250 2890 )
-    NEW met1 ( 2518730 2890 ) ( 2524250 2890 )
-    NEW met2 ( 2518730 2890 ) ( 2518730 1590350 )
+  + ROUTED met2 ( 2509070 2380 0 ) ( 2509070 17340 )
+    NEW met2 ( 2504930 17340 ) ( 2509070 17340 )
+    NEW met2 ( 2504930 17340 ) ( 2504930 1590350 )
     NEW met1 ( 1667730 1684190 ) ( 1669110 1684190 )
     NEW met2 ( 1669110 1684190 ) ( 1669110 1700340 0 )
     NEW met2 ( 1667730 1590350 ) ( 1667730 1684190 )
-    NEW met1 ( 1667730 1590350 ) ( 2518730 1590350 )
-    NEW met1 ( 2524250 2890 ) M1M2_PR
-    NEW met1 ( 2518730 2890 ) M1M2_PR
-    NEW met1 ( 2518730 1590350 ) M1M2_PR
+    NEW met1 ( 1667730 1590350 ) ( 2504930 1590350 )
+    NEW met1 ( 2504930 1590350 ) M1M2_PR
     NEW met1 ( 1667730 1590350 ) M1M2_PR
     NEW met1 ( 1667730 1684190 ) M1M2_PR
     NEW met1 ( 1669110 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) 
-  + ROUTED met2 ( 2542190 2380 0 ) ( 2542190 2890 )
-    NEW met1 ( 2539430 2890 ) ( 2542190 2890 )
-    NEW met2 ( 1672790 1677900 ) ( 1674170 1677900 )
-    NEW met2 ( 1672790 1677900 ) ( 1672790 1700340 0 )
-    NEW met2 ( 1674170 1576410 ) ( 1674170 1677900 )
-    NEW met1 ( 1674170 1576410 ) ( 2539430 1576410 )
-    NEW met2 ( 2539430 2890 ) ( 2539430 1576410 )
-    NEW met1 ( 2542190 2890 ) M1M2_PR
-    NEW met1 ( 2539430 2890 ) M1M2_PR
-    NEW met1 ( 1674170 1576410 ) M1M2_PR
-    NEW met1 ( 2539430 1576410 ) M1M2_PR
+  + ROUTED met2 ( 2527010 2380 0 ) ( 2527010 17340 )
+    NEW met2 ( 2525630 17340 ) ( 2527010 17340 )
+    NEW met2 ( 2525630 17340 ) ( 2525630 1576410 )
+    NEW met2 ( 1671870 1684190 ) ( 1672330 1684190 )
+    NEW met2 ( 1671870 1684190 ) ( 1671870 1700340 )
+    NEW met2 ( 1671870 1700340 ) ( 1672790 1700340 0 )
+    NEW met1 ( 1672330 1576410 ) ( 2525630 1576410 )
+    NEW li1 ( 1672330 1628430 ) ( 1672330 1656990 )
+    NEW met1 ( 1671870 1656990 ) ( 1672330 1656990 )
+    NEW met2 ( 1671870 1656990 ) ( 1671870 1676540 )
+    NEW met2 ( 1671870 1676540 ) ( 1672330 1676540 )
+    NEW met2 ( 1672330 1576410 ) ( 1672330 1628430 )
+    NEW met2 ( 1672330 1676540 ) ( 1672330 1684190 )
+    NEW met1 ( 2525630 1576410 ) M1M2_PR
+    NEW met1 ( 1672330 1576410 ) M1M2_PR
+    NEW li1 ( 1672330 1628430 ) L1M1_PR_MR
+    NEW met1 ( 1672330 1628430 ) M1M2_PR
+    NEW li1 ( 1672330 1656990 ) L1M1_PR_MR
+    NEW met1 ( 1671870 1656990 ) M1M2_PR
+    NEW met1 ( 1672330 1628430 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) 
-  + ROUTED met2 ( 1676470 24990 ) ( 1676470 1700340 0 )
-    NEW li1 ( 2525630 20230 ) ( 2525630 24990 )
-    NEW met1 ( 2525630 20230 ) ( 2560130 20230 )
-    NEW met1 ( 1676470 24990 ) ( 2525630 24990 )
-    NEW met2 ( 2560130 2380 0 ) ( 2560130 20230 )
+  + ROUTED met2 ( 1869670 24990 ) ( 1869670 26180 )
+    NEW met2 ( 1918430 24990 ) ( 1918430 25500 )
+    NEW met3 ( 1918430 25500 ) ( 1966270 25500 )
+    NEW met2 ( 1966270 24990 ) ( 1966270 25500 )
+    NEW met2 ( 2015030 24820 ) ( 2015030 24990 )
+    NEW met3 ( 2015030 24820 ) ( 2062870 24820 )
+    NEW met2 ( 2062870 24820 ) ( 2062870 24990 )
+    NEW met2 ( 2159470 24820 ) ( 2159470 24990 )
+    NEW met2 ( 2209150 25330 ) ( 2209150 25500 )
+    NEW met3 ( 2209150 25500 ) ( 2229390 25500 )
+    NEW met2 ( 2229390 24990 ) ( 2229390 25500 )
+    NEW li1 ( 2498030 20230 ) ( 2498030 24990 )
+    NEW met1 ( 2498030 20230 ) ( 2544490 20230 )
+    NEW met2 ( 2544490 2380 0 ) ( 2544490 20230 )
+    NEW met1 ( 1869670 24990 ) ( 1918430 24990 )
+    NEW met1 ( 1966270 24990 ) ( 2015030 24990 )
+    NEW met2 ( 2160850 24990 ) ( 2160850 25500 )
+    NEW met3 ( 2160850 25500 ) ( 2207770 25500 )
+    NEW met2 ( 2207770 25330 ) ( 2207770 25500 )
+    NEW met1 ( 2159470 24990 ) ( 2160850 24990 )
+    NEW met1 ( 2207770 25330 ) ( 2209150 25330 )
+    NEW met1 ( 2229390 24990 ) ( 2498030 24990 )
+    NEW met2 ( 1801130 24990 ) ( 1801130 26180 )
+    NEW met3 ( 1801130 26180 ) ( 1869670 26180 )
+    NEW met1 ( 2091850 24990 ) ( 2091850 25330 )
+    NEW met1 ( 2091850 25330 ) ( 2138310 25330 )
+    NEW met2 ( 2138310 24820 ) ( 2138310 25330 )
+    NEW met1 ( 2062870 24990 ) ( 2091850 24990 )
+    NEW met3 ( 2138310 24820 ) ( 2159470 24820 )
+    NEW met1 ( 1676470 24990 ) ( 1801130 24990 )
+    NEW met1 ( 1676470 1649510 ) ( 1676470 1650530 )
+    NEW met2 ( 1676470 24990 ) ( 1676470 1649510 )
+    NEW met2 ( 1676470 1650530 ) ( 1676470 1700340 0 )
+    NEW met2 ( 1869670 26180 ) via2_FR
+    NEW met1 ( 1869670 24990 ) M1M2_PR
+    NEW met1 ( 1918430 24990 ) M1M2_PR
+    NEW met2 ( 1918430 25500 ) via2_FR
+    NEW met2 ( 1966270 25500 ) via2_FR
+    NEW met1 ( 1966270 24990 ) M1M2_PR
+    NEW met1 ( 2015030 24990 ) M1M2_PR
+    NEW met2 ( 2015030 24820 ) via2_FR
+    NEW met2 ( 2062870 24820 ) via2_FR
+    NEW met1 ( 2062870 24990 ) M1M2_PR
+    NEW met2 ( 2159470 24820 ) via2_FR
+    NEW met1 ( 2159470 24990 ) M1M2_PR
+    NEW met1 ( 2209150 25330 ) M1M2_PR
+    NEW met2 ( 2209150 25500 ) via2_FR
+    NEW met2 ( 2229390 25500 ) via2_FR
+    NEW met1 ( 2229390 24990 ) M1M2_PR
+    NEW li1 ( 2498030 24990 ) L1M1_PR_MR
+    NEW li1 ( 2498030 20230 ) L1M1_PR_MR
+    NEW met1 ( 2544490 20230 ) M1M2_PR
+    NEW met1 ( 2160850 24990 ) M1M2_PR
+    NEW met2 ( 2160850 25500 ) via2_FR
+    NEW met2 ( 2207770 25500 ) via2_FR
+    NEW met1 ( 2207770 25330 ) M1M2_PR
+    NEW met1 ( 1801130 24990 ) M1M2_PR
+    NEW met2 ( 1801130 26180 ) via2_FR
+    NEW met1 ( 2138310 25330 ) M1M2_PR
+    NEW met2 ( 2138310 24820 ) via2_FR
     NEW met1 ( 1676470 24990 ) M1M2_PR
-    NEW li1 ( 2525630 24990 ) L1M1_PR_MR
-    NEW li1 ( 2525630 20230 ) L1M1_PR_MR
-    NEW met1 ( 2560130 20230 ) M1M2_PR
+    NEW met1 ( 1676470 1649510 ) M1M2_PR
+    NEW met1 ( 1676470 1650530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) 
-  + ROUTED li1 ( 1724770 23290 ) ( 1724770 25330 )
-    NEW met2 ( 2578070 2380 0 ) ( 2578070 19890 )
-    NEW met1 ( 1683370 23290 ) ( 1724770 23290 )
-    NEW met1 ( 1680150 1684530 ) ( 1683370 1684530 )
-    NEW met2 ( 1680150 1684530 ) ( 1680150 1700340 0 )
-    NEW met2 ( 1683370 23290 ) ( 1683370 1684530 )
-    NEW li1 ( 2526550 19890 ) ( 2526550 25330 )
-    NEW met1 ( 1724770 25330 ) ( 2526550 25330 )
-    NEW met1 ( 2526550 19890 ) ( 2578070 19890 )
-    NEW li1 ( 1724770 23290 ) L1M1_PR_MR
-    NEW li1 ( 1724770 25330 ) L1M1_PR_MR
-    NEW met1 ( 2578070 19890 ) M1M2_PR
-    NEW met1 ( 1683370 23290 ) M1M2_PR
-    NEW met1 ( 1683370 1684530 ) M1M2_PR
-    NEW met1 ( 1680150 1684530 ) M1M2_PR
-    NEW li1 ( 2526550 25330 ) L1M1_PR_MR
-    NEW li1 ( 2526550 19890 ) L1M1_PR_MR
+  + ROUTED met2 ( 1869210 25330 ) ( 1869210 26860 )
+    NEW met2 ( 1918890 25330 ) ( 1918890 26180 )
+    NEW met3 ( 1918890 26180 ) ( 1965810 26180 )
+    NEW met2 ( 1965810 25330 ) ( 1965810 26180 )
+    NEW met2 ( 2015490 25330 ) ( 2015490 25500 )
+    NEW met3 ( 2015490 25500 ) ( 2062410 25500 )
+    NEW met2 ( 2062410 25330 ) ( 2062410 25500 )
+    NEW met2 ( 2159010 25330 ) ( 2159010 25500 )
+    NEW met2 ( 2208230 23970 ) ( 2208230 24820 )
+    NEW met3 ( 2208230 24820 ) ( 2256070 24820 )
+    NEW met2 ( 2256070 24820 ) ( 2256070 25330 )
+    NEW met1 ( 1869210 25330 ) ( 1918890 25330 )
+    NEW met1 ( 1965810 25330 ) ( 2015490 25330 )
+    NEW li1 ( 2159930 25330 ) ( 2159930 28390 )
+    NEW met1 ( 2159930 28390 ) ( 2207770 28390 )
+    NEW li1 ( 2207770 23970 ) ( 2207770 28390 )
+    NEW met1 ( 2159010 25330 ) ( 2159930 25330 )
+    NEW met1 ( 2207770 23970 ) ( 2208230 23970 )
+    NEW li1 ( 2546330 23970 ) ( 2546330 25330 )
+    NEW li1 ( 2546330 23970 ) ( 2546790 23970 )
+    NEW met1 ( 2546790 23970 ) ( 2562430 23970 )
+    NEW met2 ( 2562430 2380 0 ) ( 2562430 23970 )
+    NEW met1 ( 2256070 25330 ) ( 2546330 25330 )
+    NEW li1 ( 1727990 22610 ) ( 1727990 25330 )
+    NEW met2 ( 1802050 25330 ) ( 1802050 26860 )
+    NEW met1 ( 1727990 25330 ) ( 1802050 25330 )
+    NEW met3 ( 1802050 26860 ) ( 1869210 26860 )
+    NEW li1 ( 2090930 25330 ) ( 2090930 27710 )
+    NEW met1 ( 2090930 27710 ) ( 2091390 27710 )
+    NEW met2 ( 2091390 25500 ) ( 2091390 27710 )
+    NEW met1 ( 2062410 25330 ) ( 2090930 25330 )
+    NEW met3 ( 2091390 25500 ) ( 2159010 25500 )
+    NEW met1 ( 1683370 22610 ) ( 1727990 22610 )
+    NEW met1 ( 1680150 1684190 ) ( 1683370 1684190 )
+    NEW met2 ( 1680150 1684190 ) ( 1680150 1700340 0 )
+    NEW met2 ( 1683370 22610 ) ( 1683370 1684190 )
+    NEW met2 ( 1869210 26860 ) via2_FR
+    NEW met1 ( 1869210 25330 ) M1M2_PR
+    NEW met1 ( 1918890 25330 ) M1M2_PR
+    NEW met2 ( 1918890 26180 ) via2_FR
+    NEW met2 ( 1965810 26180 ) via2_FR
+    NEW met1 ( 1965810 25330 ) M1M2_PR
+    NEW met1 ( 2015490 25330 ) M1M2_PR
+    NEW met2 ( 2015490 25500 ) via2_FR
+    NEW met2 ( 2062410 25500 ) via2_FR
+    NEW met1 ( 2062410 25330 ) M1M2_PR
+    NEW met2 ( 2159010 25500 ) via2_FR
+    NEW met1 ( 2159010 25330 ) M1M2_PR
+    NEW met1 ( 2208230 23970 ) M1M2_PR
+    NEW met2 ( 2208230 24820 ) via2_FR
+    NEW met2 ( 2256070 24820 ) via2_FR
+    NEW met1 ( 2256070 25330 ) M1M2_PR
+    NEW li1 ( 2159930 25330 ) L1M1_PR_MR
+    NEW li1 ( 2159930 28390 ) L1M1_PR_MR
+    NEW li1 ( 2207770 28390 ) L1M1_PR_MR
+    NEW li1 ( 2207770 23970 ) L1M1_PR_MR
+    NEW li1 ( 2546330 25330 ) L1M1_PR_MR
+    NEW li1 ( 2546790 23970 ) L1M1_PR_MR
+    NEW met1 ( 2562430 23970 ) M1M2_PR
+    NEW li1 ( 1727990 22610 ) L1M1_PR_MR
+    NEW li1 ( 1727990 25330 ) L1M1_PR_MR
+    NEW met1 ( 1802050 25330 ) M1M2_PR
+    NEW met2 ( 1802050 26860 ) via2_FR
+    NEW li1 ( 2090930 25330 ) L1M1_PR_MR
+    NEW li1 ( 2090930 27710 ) L1M1_PR_MR
+    NEW met1 ( 2091390 27710 ) M1M2_PR
+    NEW met2 ( 2091390 25500 ) via2_FR
+    NEW met1 ( 1683370 22610 ) M1M2_PR
+    NEW met1 ( 1683370 1684190 ) M1M2_PR
+    NEW met1 ( 1680150 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) 
-  + ROUTED met1 ( 811670 18190 ) ( 813970 18190 )
-    NEW met2 ( 811670 2380 0 ) ( 811670 18190 )
-    NEW met2 ( 813970 18190 ) ( 813970 1666510 )
-    NEW met2 ( 1316290 1666510 ) ( 1316290 1700340 0 )
-    NEW met1 ( 813970 1666510 ) ( 1316290 1666510 )
-    NEW met1 ( 813970 1666510 ) M1M2_PR
-    NEW met1 ( 811670 18190 ) M1M2_PR
-    NEW met1 ( 813970 18190 ) M1M2_PR
-    NEW met1 ( 1316290 1666510 ) M1M2_PR
+  + ROUTED met2 ( 806610 2380 0 ) ( 806610 3060 )
+    NEW met2 ( 806610 3060 ) ( 807070 3060 )
+    NEW met2 ( 807070 3060 ) ( 807070 1666850 )
+    NEW met2 ( 1316290 1666850 ) ( 1316290 1700340 0 )
+    NEW met1 ( 807070 1666850 ) ( 1316290 1666850 )
+    NEW met1 ( 807070 1666850 ) M1M2_PR
+    NEW met1 ( 1316290 1666850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) 
-  + ROUTED met2 ( 2573930 23460 ) ( 2573930 23970 )
-    NEW met3 ( 2573930 23460 ) ( 2595550 23460 )
-    NEW met2 ( 2595550 2380 0 ) ( 2595550 23460 )
-    NEW met1 ( 1683830 1684190 ) ( 1687970 1684190 )
-    NEW met2 ( 1683830 1684190 ) ( 1683830 1700340 0 )
-    NEW met2 ( 1687970 26350 ) ( 1687970 1684190 )
-    NEW li1 ( 1704070 26350 ) ( 1704530 26350 )
-    NEW li1 ( 1704530 26350 ) ( 1704530 26690 )
-    NEW met1 ( 1704530 26690 ) ( 1729370 26690 )
-    NEW li1 ( 1729370 23970 ) ( 1729370 26690 )
-    NEW met1 ( 1687970 26350 ) ( 1704070 26350 )
-    NEW met1 ( 1729370 23970 ) ( 2573930 23970 )
-    NEW met1 ( 2573930 23970 ) M1M2_PR
-    NEW met2 ( 2573930 23460 ) via2_FR
-    NEW met2 ( 2595550 23460 ) via2_FR
-    NEW met1 ( 1687970 1684190 ) M1M2_PR
-    NEW met1 ( 1683830 1684190 ) M1M2_PR
-    NEW met1 ( 1687970 26350 ) M1M2_PR
-    NEW li1 ( 1704070 26350 ) L1M1_PR_MR
-    NEW li1 ( 1704530 26690 ) L1M1_PR_MR
-    NEW li1 ( 1729370 26690 ) L1M1_PR_MR
-    NEW li1 ( 1729370 23970 ) L1M1_PR_MR
+  + ROUTED met2 ( 1965810 23460 ) ( 1965810 23970 )
+    NEW met2 ( 1965810 23970 ) ( 1966270 23970 )
+    NEW li1 ( 2208230 24650 ) ( 2208230 27710 )
+    NEW li1 ( 2208230 24650 ) ( 2209150 24650 )
+    NEW li1 ( 2209150 24650 ) ( 2209150 25330 )
+    NEW li1 ( 2209150 25330 ) ( 2209610 25330 )
+    NEW met1 ( 2209610 25330 ) ( 2228010 25330 )
+    NEW met2 ( 2228010 23460 ) ( 2228010 25330 )
+    NEW met3 ( 2228010 23460 ) ( 2256070 23460 )
+    NEW met2 ( 2256070 23460 ) ( 2256070 23970 )
+    NEW met2 ( 1917970 23460 ) ( 1917970 23970 )
+    NEW met3 ( 1917970 23460 ) ( 1965810 23460 )
+    NEW li1 ( 2159930 23970 ) ( 2159930 24990 )
+    NEW li1 ( 2159930 24990 ) ( 2160850 24990 )
+    NEW li1 ( 2160850 24990 ) ( 2160850 27710 )
+    NEW li1 ( 2160850 27710 ) ( 2161770 27710 )
+    NEW met1 ( 2161770 27710 ) ( 2208230 27710 )
+    NEW met2 ( 2546330 23460 ) ( 2546330 23970 )
+    NEW met3 ( 2546330 23460 ) ( 2562890 23460 )
+    NEW met2 ( 2562890 23460 ) ( 2562890 23970 )
+    NEW met1 ( 2562890 23970 ) ( 2579910 23970 )
+    NEW met2 ( 2579910 2380 0 ) ( 2579910 23970 )
+    NEW met1 ( 2256070 23970 ) ( 2546330 23970 )
+    NEW met1 ( 1966270 23970 ) ( 2159930 23970 )
+    NEW met1 ( 1689810 23970 ) ( 1917970 23970 )
+    NEW met2 ( 1689810 1673820 ) ( 1690270 1673820 )
+    NEW met2 ( 1690270 1673820 ) ( 1690270 1684870 )
+    NEW met1 ( 1683830 1684870 ) ( 1690270 1684870 )
+    NEW met2 ( 1683830 1684870 ) ( 1683830 1700340 0 )
+    NEW met2 ( 1689810 23970 ) ( 1689810 1673820 )
+    NEW met2 ( 1965810 23460 ) via2_FR
+    NEW met1 ( 1966270 23970 ) M1M2_PR
+    NEW li1 ( 2208230 27710 ) L1M1_PR_MR
+    NEW li1 ( 2209610 25330 ) L1M1_PR_MR
+    NEW met1 ( 2228010 25330 ) M1M2_PR
+    NEW met2 ( 2228010 23460 ) via2_FR
+    NEW met2 ( 2256070 23460 ) via2_FR
+    NEW met1 ( 2256070 23970 ) M1M2_PR
+    NEW met1 ( 1917970 23970 ) M1M2_PR
+    NEW met2 ( 1917970 23460 ) via2_FR
+    NEW li1 ( 2159930 23970 ) L1M1_PR_MR
+    NEW li1 ( 2161770 27710 ) L1M1_PR_MR
+    NEW met1 ( 2546330 23970 ) M1M2_PR
+    NEW met2 ( 2546330 23460 ) via2_FR
+    NEW met2 ( 2562890 23460 ) via2_FR
+    NEW met1 ( 2562890 23970 ) M1M2_PR
+    NEW met1 ( 2579910 23970 ) M1M2_PR
+    NEW met1 ( 1689810 23970 ) M1M2_PR
+    NEW met1 ( 1690270 1684870 ) M1M2_PR
+    NEW met1 ( 1683830 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) 
-  + ROUTED li1 ( 2573930 20570 ) ( 2573930 20910 )
-    NEW li1 ( 2573930 20570 ) ( 2574850 20570 )
-    NEW met1 ( 2574850 20570 ) ( 2578530 20570 )
-    NEW met1 ( 2578530 20570 ) ( 2578530 20910 )
-    NEW met1 ( 2578530 20910 ) ( 2613490 20910 )
-    NEW met2 ( 2613490 2380 0 ) ( 2613490 20910 )
-    NEW met1 ( 1687510 1677730 ) ( 1689810 1677730 )
-    NEW met2 ( 1687510 1677730 ) ( 1687510 1700340 0 )
-    NEW met2 ( 1689810 20910 ) ( 1689810 1677730 )
-    NEW met1 ( 1689810 20910 ) ( 2573930 20910 )
-    NEW li1 ( 2573930 20910 ) L1M1_PR_MR
-    NEW li1 ( 2574850 20570 ) L1M1_PR_MR
-    NEW met1 ( 2613490 20910 ) M1M2_PR
-    NEW met1 ( 1689810 20910 ) M1M2_PR
-    NEW met1 ( 1689810 1677730 ) M1M2_PR
-    NEW met1 ( 1687510 1677730 ) M1M2_PR
+  + ROUTED met2 ( 2597850 2380 0 ) ( 2597850 20910 )
+    NEW li1 ( 1711430 20910 ) ( 1711430 21250 )
+    NEW li1 ( 1711430 20910 ) ( 1712350 20910 )
+    NEW met1 ( 1712350 20910 ) ( 2597850 20910 )
+    NEW met2 ( 1687970 109820 ) ( 1688430 109820 )
+    NEW met2 ( 1687510 1028500 ) ( 1687970 1028500 )
+    NEW met2 ( 1687510 1558900 ) ( 1687970 1558900 )
+    NEW met2 ( 1688430 21250 ) ( 1688430 109820 )
+    NEW met1 ( 1688430 21250 ) ( 1711430 21250 )
+    NEW met2 ( 1687510 207060 ) ( 1687970 207060 )
+    NEW met2 ( 1687970 207060 ) ( 1687970 207740 )
+    NEW met2 ( 1687970 207740 ) ( 1688430 207740 )
+    NEW met2 ( 1687510 1027820 ) ( 1687970 1027820 )
+    NEW met2 ( 1687510 1027820 ) ( 1687510 1028500 )
+    NEW met2 ( 1687510 1269900 ) ( 1687970 1269900 )
+    NEW met2 ( 1687510 1414060 ) ( 1687970 1414060 )
+    NEW li1 ( 1688430 289850 ) ( 1688430 304130 )
+    NEW met2 ( 1688430 207740 ) ( 1688430 289850 )
+    NEW met1 ( 1686590 531250 ) ( 1687510 531250 )
+    NEW met2 ( 1686590 483140 ) ( 1686590 531250 )
+    NEW met3 ( 1686590 483140 ) ( 1687970 483140 )
+    NEW met2 ( 1687510 579700 ) ( 1687970 579700 )
+    NEW met2 ( 1687510 579700 ) ( 1687510 627810 )
+    NEW met1 ( 1687510 627810 ) ( 1688430 627810 )
+    NEW met1 ( 1687970 1159230 ) ( 1688430 1159230 )
+    NEW met2 ( 1687970 1269900 ) ( 1687970 1414060 )
+    NEW li1 ( 1687510 1449250 ) ( 1687510 1497190 )
+    NEW met1 ( 1687510 1497190 ) ( 1687970 1497190 )
+    NEW met2 ( 1687510 1414060 ) ( 1687510 1449250 )
+    NEW met2 ( 1687970 1497190 ) ( 1687970 1558900 )
+    NEW met1 ( 1687510 145010 ) ( 1687970 145010 )
+    NEW met2 ( 1687510 145010 ) ( 1687510 207060 )
+    NEW met2 ( 1687970 109820 ) ( 1687970 145010 )
+    NEW met1 ( 1687510 482970 ) ( 1687970 482970 )
+    NEW met2 ( 1687510 434860 ) ( 1687510 482970 )
+    NEW met3 ( 1687510 434860 ) ( 1688430 434860 )
+    NEW met2 ( 1687970 482970 ) ( 1687970 483140 )
+    NEW met2 ( 1688430 304130 ) ( 1688430 434860 )
+    NEW met1 ( 1687510 544850 ) ( 1687510 545530 )
+    NEW met1 ( 1687510 545530 ) ( 1687970 545530 )
+    NEW met2 ( 1687510 531250 ) ( 1687510 544850 )
+    NEW met2 ( 1687970 545530 ) ( 1687970 579700 )
+    NEW met2 ( 1687510 748340 ) ( 1688430 748340 )
+    NEW met2 ( 1688430 627810 ) ( 1688430 748340 )
+    NEW met3 ( 1687970 918340 ) ( 1688660 918340 )
+    NEW met3 ( 1688660 918340 ) ( 1688660 919020 )
+    NEW met3 ( 1687970 919020 ) ( 1688660 919020 )
+    NEW met2 ( 1687970 919020 ) ( 1687970 931090 )
+    NEW met1 ( 1687970 931090 ) ( 1687970 931770 )
+    NEW met2 ( 1687970 931770 ) ( 1687970 1027820 )
+    NEW met2 ( 1687970 1028500 ) ( 1687970 1159230 )
+    NEW met1 ( 1687510 1255790 ) ( 1688430 1255790 )
+    NEW met2 ( 1687510 1255790 ) ( 1687510 1269900 )
+    NEW met2 ( 1688430 1159230 ) ( 1688430 1255790 )
+    NEW met2 ( 1687050 1700340 ) ( 1687510 1700340 0 )
+    NEW met3 ( 1686820 862580 ) ( 1687510 862580 )
+    NEW met3 ( 1686820 862580 ) ( 1686820 863260 )
+    NEW met3 ( 1686820 863260 ) ( 1687970 863260 )
+    NEW met2 ( 1687970 863260 ) ( 1687970 918340 )
+    NEW met2 ( 1687050 1659540 ) ( 1687510 1659540 )
+    NEW met2 ( 1687050 1659540 ) ( 1687050 1700340 )
+    NEW met2 ( 1687510 1558900 ) ( 1687510 1659540 )
+    NEW li1 ( 1687510 834530 ) ( 1687510 835550 )
+    NEW met2 ( 1687510 748340 ) ( 1687510 834530 )
+    NEW met2 ( 1687510 835550 ) ( 1687510 862580 )
+    NEW met1 ( 2597850 20910 ) M1M2_PR
+    NEW li1 ( 1711430 21250 ) L1M1_PR_MR
+    NEW li1 ( 1712350 20910 ) L1M1_PR_MR
+    NEW met1 ( 1688430 21250 ) M1M2_PR
+    NEW li1 ( 1688430 289850 ) L1M1_PR_MR
+    NEW met1 ( 1688430 289850 ) M1M2_PR
+    NEW li1 ( 1688430 304130 ) L1M1_PR_MR
+    NEW met1 ( 1688430 304130 ) M1M2_PR
+    NEW met1 ( 1687510 531250 ) M1M2_PR
+    NEW met1 ( 1686590 531250 ) M1M2_PR
+    NEW met2 ( 1686590 483140 ) via2_FR
+    NEW met2 ( 1687970 483140 ) via2_FR
+    NEW met1 ( 1687510 627810 ) M1M2_PR
+    NEW met1 ( 1688430 627810 ) M1M2_PR
+    NEW met1 ( 1687970 1159230 ) M1M2_PR
+    NEW met1 ( 1688430 1159230 ) M1M2_PR
+    NEW li1 ( 1687510 1449250 ) L1M1_PR_MR
+    NEW met1 ( 1687510 1449250 ) M1M2_PR
+    NEW li1 ( 1687510 1497190 ) L1M1_PR_MR
+    NEW met1 ( 1687970 1497190 ) M1M2_PR
+    NEW met1 ( 1687510 145010 ) M1M2_PR
+    NEW met1 ( 1687970 145010 ) M1M2_PR
+    NEW met1 ( 1687970 482970 ) M1M2_PR
+    NEW met1 ( 1687510 482970 ) M1M2_PR
+    NEW met2 ( 1687510 434860 ) via2_FR
+    NEW met2 ( 1688430 434860 ) via2_FR
+    NEW met1 ( 1687510 544850 ) M1M2_PR
+    NEW met1 ( 1687970 545530 ) M1M2_PR
+    NEW met2 ( 1687970 918340 ) via2_FR
+    NEW met2 ( 1687970 919020 ) via2_FR
+    NEW met1 ( 1687970 931090 ) M1M2_PR
+    NEW met1 ( 1687970 931770 ) M1M2_PR
+    NEW met1 ( 1687510 1255790 ) M1M2_PR
+    NEW met1 ( 1688430 1255790 ) M1M2_PR
+    NEW met2 ( 1687510 862580 ) via2_FR
+    NEW met2 ( 1687970 863260 ) via2_FR
+    NEW li1 ( 1687510 834530 ) L1M1_PR_MR
+    NEW met1 ( 1687510 834530 ) M1M2_PR
+    NEW li1 ( 1687510 835550 ) L1M1_PR_MR
+    NEW met1 ( 1687510 835550 ) M1M2_PR
+    NEW met1 ( 1688430 289850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1688430 304130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1687510 1449250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1687510 834530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1687510 835550 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) 
-  + ROUTED li1 ( 1728910 21250 ) ( 1728910 23970 )
-    NEW met2 ( 2574390 21250 ) ( 2574390 23970 )
-    NEW met1 ( 1696710 23970 ) ( 1728910 23970 )
-    NEW met1 ( 1691650 1676710 ) ( 1696710 1676710 )
-    NEW met2 ( 1691650 1676710 ) ( 1691650 1700340 )
+  + ROUTED met2 ( 2615330 2380 0 ) ( 2615330 21250 )
+    NEW met1 ( 1711890 20910 ) ( 1711890 21250 )
+    NEW met1 ( 1711890 21250 ) ( 2615330 21250 )
+    NEW met1 ( 1696710 20910 ) ( 1711890 20910 )
+    NEW met1 ( 1691650 1676030 ) ( 1696710 1676030 )
+    NEW met2 ( 1691650 1676030 ) ( 1691650 1700340 )
     NEW met2 ( 1691190 1700340 0 ) ( 1691650 1700340 )
-    NEW met2 ( 1696710 23970 ) ( 1696710 1676710 )
-    NEW met1 ( 1728910 21250 ) ( 2574390 21250 )
-    NEW met1 ( 2574390 23970 ) ( 2631430 23970 )
-    NEW met2 ( 2631430 2380 0 ) ( 2631430 23970 )
-    NEW li1 ( 1728910 23970 ) L1M1_PR_MR
-    NEW li1 ( 1728910 21250 ) L1M1_PR_MR
-    NEW met1 ( 2574390 21250 ) M1M2_PR
-    NEW met1 ( 2574390 23970 ) M1M2_PR
-    NEW met1 ( 1696710 23970 ) M1M2_PR
-    NEW met1 ( 1696710 1676710 ) M1M2_PR
-    NEW met1 ( 1691650 1676710 ) M1M2_PR
-    NEW met1 ( 2631430 23970 ) M1M2_PR
+    NEW met2 ( 1696710 20910 ) ( 1696710 1676030 )
+    NEW met1 ( 2615330 21250 ) M1M2_PR
+    NEW met1 ( 1696710 20910 ) M1M2_PR
+    NEW met1 ( 1696710 1676030 ) M1M2_PR
+    NEW met1 ( 1691650 1676030 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) 
-  + ROUTED li1 ( 1728450 21250 ) ( 1728450 22270 )
-    NEW met1 ( 1694870 21250 ) ( 1728450 21250 )
-    NEW met2 ( 1694870 21250 ) ( 1694870 1700340 0 )
-    NEW met1 ( 1728450 22270 ) ( 2649370 22270 )
-    NEW met2 ( 2649370 2380 0 ) ( 2649370 22270 )
-    NEW li1 ( 1728450 21250 ) L1M1_PR_MR
+  + ROUTED met2 ( 2633270 2380 0 ) ( 2633270 22270 )
+    NEW li1 ( 1728450 22270 ) ( 1728450 26350 )
+    NEW met1 ( 1728450 22270 ) ( 2633270 22270 )
+    NEW met1 ( 1697170 26350 ) ( 1728450 26350 )
+    NEW met1 ( 1694870 1684190 ) ( 1697170 1684190 )
+    NEW met2 ( 1694870 1684190 ) ( 1694870 1700340 0 )
+    NEW met2 ( 1697170 26350 ) ( 1697170 1684190 )
+    NEW met1 ( 2633270 22270 ) M1M2_PR
+    NEW li1 ( 1728450 26350 ) L1M1_PR_MR
     NEW li1 ( 1728450 22270 ) L1M1_PR_MR
-    NEW met1 ( 1694870 21250 ) M1M2_PR
-    NEW met1 ( 2649370 22270 ) M1M2_PR
+    NEW met1 ( 1697170 26350 ) M1M2_PR
+    NEW met1 ( 1697170 1684190 ) M1M2_PR
+    NEW met1 ( 1694870 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) 
-  + ROUTED met1 ( 1698550 1684870 ) ( 1704070 1684870 )
-    NEW met2 ( 1698550 1684870 ) ( 1698550 1700340 0 )
-    NEW met2 ( 2667310 2380 0 ) ( 2667310 22610 )
-    NEW met1 ( 1704070 46750 ) ( 1730290 46750 )
-    NEW li1 ( 1730290 22610 ) ( 1730290 46750 )
-    NEW met1 ( 1730290 22610 ) ( 2667310 22610 )
-    NEW met2 ( 1704070 46750 ) ( 1704070 1684870 )
-    NEW met1 ( 1704070 1684870 ) M1M2_PR
-    NEW met1 ( 1698550 1684870 ) M1M2_PR
-    NEW met1 ( 2667310 22610 ) M1M2_PR
-    NEW met1 ( 1704070 46750 ) M1M2_PR
-    NEW li1 ( 1730290 46750 ) L1M1_PR_MR
-    NEW li1 ( 1730290 22610 ) L1M1_PR_MR
+  + ROUTED met2 ( 2650750 2380 0 ) ( 2650750 22610 )
+    NEW met1 ( 1698550 1684530 ) ( 1703610 1684530 )
+    NEW met2 ( 1698550 1684530 ) ( 1698550 1700340 0 )
+    NEW met1 ( 1702690 22950 ) ( 1728450 22950 )
+    NEW met1 ( 1728450 22610 ) ( 1728450 22950 )
+    NEW met1 ( 1728450 22610 ) ( 2650750 22610 )
+    NEW met3 ( 1702690 48620 ) ( 1703610 48620 )
+    NEW met2 ( 1703610 48620 ) ( 1703610 62050 )
+    NEW met2 ( 1702690 22950 ) ( 1702690 48620 )
+    NEW met2 ( 1703150 192780 ) ( 1703610 192780 )
+    NEW met3 ( 1703610 724540 ) ( 1704990 724540 )
+    NEW li1 ( 1703610 1594430 ) ( 1703610 1642030 )
+    NEW met2 ( 1703610 1642030 ) ( 1703610 1684530 )
+    NEW li1 ( 1703610 62050 ) ( 1703610 137870 )
+    NEW li1 ( 1703610 283390 ) ( 1703610 330990 )
+    NEW met2 ( 1703610 192780 ) ( 1703610 283390 )
+    NEW li1 ( 1703610 379610 ) ( 1703610 427550 )
+    NEW met2 ( 1703610 330990 ) ( 1703610 379610 )
+    NEW li1 ( 1703610 476510 ) ( 1703610 524110 )
+    NEW met2 ( 1703610 427550 ) ( 1703610 476510 )
+    NEW li1 ( 1703610 572730 ) ( 1703610 620670 )
+    NEW met2 ( 1703610 524110 ) ( 1703610 572730 )
+    NEW met3 ( 1702690 669460 ) ( 1703610 669460 )
+    NEW met2 ( 1702690 669460 ) ( 1702690 717570 )
+    NEW met1 ( 1702690 717570 ) ( 1703610 717570 )
+    NEW met2 ( 1703610 620670 ) ( 1703610 669460 )
+    NEW met2 ( 1703610 717570 ) ( 1703610 724540 )
+    NEW met1 ( 1703610 814130 ) ( 1704990 814130 )
+    NEW met2 ( 1704990 724540 ) ( 1704990 814130 )
+    NEW met3 ( 1702690 862580 ) ( 1703610 862580 )
+    NEW met2 ( 1702690 862580 ) ( 1702690 910690 )
+    NEW met1 ( 1702690 910690 ) ( 1703610 910690 )
+    NEW met2 ( 1703610 814130 ) ( 1703610 862580 )
+    NEW met3 ( 1702690 1055700 ) ( 1703610 1055700 )
+    NEW met2 ( 1702690 1055700 ) ( 1702690 1103980 )
+    NEW met3 ( 1702690 1103980 ) ( 1703610 1103980 )
+    NEW met2 ( 1703610 910690 ) ( 1703610 1055700 )
+    NEW met1 ( 1702690 1152430 ) ( 1703610 1152430 )
+    NEW met2 ( 1702690 1152430 ) ( 1702690 1200540 )
+    NEW met3 ( 1702690 1200540 ) ( 1703610 1200540 )
+    NEW met2 ( 1703610 1103980 ) ( 1703610 1152430 )
+    NEW met1 ( 1702690 1248990 ) ( 1703610 1248990 )
+    NEW met2 ( 1702690 1248990 ) ( 1702690 1297100 )
+    NEW met3 ( 1702690 1297100 ) ( 1703610 1297100 )
+    NEW met2 ( 1703610 1200540 ) ( 1703610 1248990 )
+    NEW li1 ( 1703610 1345550 ) ( 1703610 1393490 )
+    NEW met2 ( 1703610 1297100 ) ( 1703610 1345550 )
+    NEW met2 ( 1703610 1393490 ) ( 1703610 1401650 )
+    NEW li1 ( 1703610 1401650 ) ( 1703610 1490050 )
+    NEW met2 ( 1703610 1490050 ) ( 1703610 1594430 )
+    NEW li1 ( 1703150 144670 ) ( 1703150 186150 )
+    NEW met2 ( 1703150 138380 ) ( 1703150 144670 )
+    NEW met2 ( 1703150 138380 ) ( 1703610 138380 )
+    NEW met2 ( 1703150 186150 ) ( 1703150 192780 )
+    NEW met2 ( 1703610 137870 ) ( 1703610 138380 )
+    NEW met1 ( 2650750 22610 ) M1M2_PR
+    NEW met1 ( 1703610 1684530 ) M1M2_PR
+    NEW met1 ( 1698550 1684530 ) M1M2_PR
+    NEW met1 ( 1702690 22950 ) M1M2_PR
+    NEW met2 ( 1702690 48620 ) via2_FR
+    NEW met2 ( 1703610 48620 ) via2_FR
+    NEW li1 ( 1703610 62050 ) L1M1_PR_MR
+    NEW met1 ( 1703610 62050 ) M1M2_PR
+    NEW met2 ( 1703610 724540 ) via2_FR
+    NEW met2 ( 1704990 724540 ) via2_FR
+    NEW li1 ( 1703610 1401650 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1401650 ) M1M2_PR
+    NEW li1 ( 1703610 1594430 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1594430 ) M1M2_PR
+    NEW li1 ( 1703610 1642030 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1642030 ) M1M2_PR
+    NEW li1 ( 1703610 137870 ) L1M1_PR_MR
+    NEW met1 ( 1703610 137870 ) M1M2_PR
+    NEW li1 ( 1703610 283390 ) L1M1_PR_MR
+    NEW met1 ( 1703610 283390 ) M1M2_PR
+    NEW li1 ( 1703610 330990 ) L1M1_PR_MR
+    NEW met1 ( 1703610 330990 ) M1M2_PR
+    NEW li1 ( 1703610 379610 ) L1M1_PR_MR
+    NEW met1 ( 1703610 379610 ) M1M2_PR
+    NEW li1 ( 1703610 427550 ) L1M1_PR_MR
+    NEW met1 ( 1703610 427550 ) M1M2_PR
+    NEW li1 ( 1703610 476510 ) L1M1_PR_MR
+    NEW met1 ( 1703610 476510 ) M1M2_PR
+    NEW li1 ( 1703610 524110 ) L1M1_PR_MR
+    NEW met1 ( 1703610 524110 ) M1M2_PR
+    NEW li1 ( 1703610 572730 ) L1M1_PR_MR
+    NEW met1 ( 1703610 572730 ) M1M2_PR
+    NEW li1 ( 1703610 620670 ) L1M1_PR_MR
+    NEW met1 ( 1703610 620670 ) M1M2_PR
+    NEW met2 ( 1703610 669460 ) via2_FR
+    NEW met2 ( 1702690 669460 ) via2_FR
+    NEW met1 ( 1702690 717570 ) M1M2_PR
+    NEW met1 ( 1703610 717570 ) M1M2_PR
+    NEW met1 ( 1703610 814130 ) M1M2_PR
+    NEW met1 ( 1704990 814130 ) M1M2_PR
+    NEW met2 ( 1703610 862580 ) via2_FR
+    NEW met2 ( 1702690 862580 ) via2_FR
+    NEW met1 ( 1702690 910690 ) M1M2_PR
+    NEW met1 ( 1703610 910690 ) M1M2_PR
+    NEW met2 ( 1703610 1055700 ) via2_FR
+    NEW met2 ( 1702690 1055700 ) via2_FR
+    NEW met2 ( 1702690 1103980 ) via2_FR
+    NEW met2 ( 1703610 1103980 ) via2_FR
+    NEW met1 ( 1703610 1152430 ) M1M2_PR
+    NEW met1 ( 1702690 1152430 ) M1M2_PR
+    NEW met2 ( 1702690 1200540 ) via2_FR
+    NEW met2 ( 1703610 1200540 ) via2_FR
+    NEW met1 ( 1703610 1248990 ) M1M2_PR
+    NEW met1 ( 1702690 1248990 ) M1M2_PR
+    NEW met2 ( 1702690 1297100 ) via2_FR
+    NEW met2 ( 1703610 1297100 ) via2_FR
+    NEW li1 ( 1703610 1345550 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1345550 ) M1M2_PR
+    NEW li1 ( 1703610 1393490 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1393490 ) M1M2_PR
+    NEW li1 ( 1703610 1490050 ) L1M1_PR_MR
+    NEW met1 ( 1703610 1490050 ) M1M2_PR
+    NEW li1 ( 1703150 186150 ) L1M1_PR_MR
+    NEW met1 ( 1703150 186150 ) M1M2_PR
+    NEW li1 ( 1703150 144670 ) L1M1_PR_MR
+    NEW met1 ( 1703150 144670 ) M1M2_PR
+    NEW met1 ( 1703610 62050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 1401650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 1594430 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1703610 1642030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 137870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 283390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 330990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 379610 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 427550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 476510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 524110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 572730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 620670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 1345550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 1393490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703610 1490050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703150 186150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1703150 144670 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) 
-  + ROUTED met2 ( 2684790 2380 0 ) ( 2684790 21250 )
-    NEW met1 ( 1701770 1608030 ) ( 1703610 1608030 )
-    NEW met2 ( 1701770 1608030 ) ( 1701770 1655970 )
-    NEW met1 ( 1701770 1655970 ) ( 1703610 1655970 )
-    NEW met1 ( 1701770 1510790 ) ( 1703610 1510790 )
-    NEW met1 ( 1701770 1607010 ) ( 1703610 1607010 )
-    NEW met2 ( 1703610 1607010 ) ( 1703610 1608030 )
-    NEW met1 ( 1702230 1684190 ) ( 1703610 1684190 )
+  + ROUTED met2 ( 2668690 2380 0 ) ( 2668690 22950 )
+    NEW li1 ( 1728910 22950 ) ( 1728910 25670 )
+    NEW met1 ( 1728910 22950 ) ( 2668690 22950 )
+    NEW met2 ( 1703150 43860 ) ( 1704070 43860 )
+    NEW met2 ( 1703150 25670 ) ( 1703150 43860 )
+    NEW met1 ( 1703150 25670 ) ( 1728910 25670 )
+    NEW met1 ( 1702230 1684190 ) ( 1704070 1684190 )
     NEW met2 ( 1702230 1684190 ) ( 1702230 1700340 0 )
-    NEW met2 ( 1703610 1655970 ) ( 1703610 1684190 )
-    NEW li1 ( 2645690 21250 ) ( 2645690 22950 )
-    NEW met1 ( 2645690 21250 ) ( 2684790 21250 )
-    NEW met1 ( 1702230 23630 ) ( 1725230 23630 )
-    NEW met1 ( 1725230 22950 ) ( 1725230 23630 )
-    NEW met1 ( 1725230 22950 ) ( 2645690 22950 )
-    NEW met2 ( 1702230 96900 ) ( 1702690 96900 )
-    NEW met2 ( 1702230 96900 ) ( 1702230 111180 )
-    NEW met2 ( 1702230 111180 ) ( 1702690 111180 )
-    NEW met1 ( 1702690 241230 ) ( 1703150 241230 )
-    NEW met2 ( 1702690 193460 ) ( 1702690 241230 )
-    NEW met2 ( 1702690 193460 ) ( 1703610 193460 )
-    NEW met2 ( 1703150 337620 ) ( 1703610 337620 )
-    NEW met2 ( 1703610 303790 ) ( 1703610 337620 )
-    NEW met1 ( 1703150 303790 ) ( 1703610 303790 )
-    NEW met1 ( 1703150 303450 ) ( 1703150 303790 )
-    NEW met2 ( 1703150 290020 ) ( 1703150 303450 )
-    NEW met2 ( 1703150 290020 ) ( 1703610 290020 )
-    NEW li1 ( 1703150 387090 ) ( 1703150 434690 )
-    NEW met1 ( 1703150 387090 ) ( 1703610 387090 )
-    NEW met1 ( 1702690 531250 ) ( 1703150 531250 )
-    NEW met2 ( 1702690 496740 ) ( 1702690 531250 )
-    NEW met2 ( 1702690 496740 ) ( 1703150 496740 )
-    NEW met2 ( 1703150 483140 ) ( 1703150 496740 )
-    NEW met2 ( 1703150 483140 ) ( 1703610 483140 )
-    NEW li1 ( 1703150 580210 ) ( 1703150 627810 )
-    NEW met1 ( 1703150 580210 ) ( 1703610 580210 )
-    NEW met1 ( 1702690 724370 ) ( 1703150 724370 )
-    NEW met2 ( 1702690 689860 ) ( 1702690 724370 )
-    NEW met2 ( 1702690 689860 ) ( 1703150 689860 )
-    NEW met2 ( 1703150 676260 ) ( 1703150 689860 )
-    NEW met2 ( 1703150 676260 ) ( 1703610 676260 )
-    NEW met1 ( 1702690 820930 ) ( 1703150 820930 )
-    NEW met2 ( 1702690 786420 ) ( 1702690 820930 )
-    NEW met2 ( 1702690 786420 ) ( 1703150 786420 )
-    NEW met2 ( 1703150 772820 ) ( 1703150 786420 )
-    NEW met2 ( 1703150 772820 ) ( 1703610 772820 )
-    NEW met1 ( 1703150 917490 ) ( 1704990 917490 )
-    NEW met2 ( 1704990 870060 ) ( 1704990 917490 )
-    NEW met3 ( 1703610 870060 ) ( 1704990 870060 )
-    NEW li1 ( 1703150 966790 ) ( 1703150 1014050 )
-    NEW met1 ( 1703150 966790 ) ( 1703610 966790 )
-    NEW met1 ( 1702690 1159230 ) ( 1703150 1159230 )
-    NEW met2 ( 1702690 1159230 ) ( 1702690 1174020 )
-    NEW met2 ( 1702690 1174020 ) ( 1703150 1174020 )
-    NEW met2 ( 1703150 1174020 ) ( 1703150 1207340 )
-    NEW met2 ( 1703150 1207340 ) ( 1703610 1207340 )
-    NEW li1 ( 1703150 1256130 ) ( 1703150 1304070 )
-    NEW met1 ( 1703150 1256130 ) ( 1703610 1256130 )
-    NEW met2 ( 1703610 1207340 ) ( 1703610 1256130 )
-    NEW met3 ( 1702230 1354220 ) ( 1704300 1354220 )
-    NEW met3 ( 1704300 1352860 ) ( 1704300 1354220 )
-    NEW met3 ( 1703610 1352860 ) ( 1704300 1352860 )
-    NEW met1 ( 1701770 1474750 ) ( 1703610 1474750 )
-    NEW met2 ( 1701770 1474750 ) ( 1701770 1510790 )
-    NEW met1 ( 1701770 1545810 ) ( 1703610 1545810 )
-    NEW met2 ( 1701770 1545810 ) ( 1701770 1607010 )
-    NEW met2 ( 1703610 1510790 ) ( 1703610 1545810 )
-    NEW met3 ( 1701310 48620 ) ( 1702230 48620 )
-    NEW met2 ( 1701310 48620 ) ( 1701310 96390 )
-    NEW met1 ( 1701310 96390 ) ( 1702690 96390 )
-    NEW met2 ( 1702230 23630 ) ( 1702230 48620 )
-    NEW met2 ( 1702690 96390 ) ( 1702690 96900 )
-    NEW li1 ( 1702690 145010 ) ( 1702690 159290 )
-    NEW met1 ( 1702690 159290 ) ( 1703150 159290 )
-    NEW met2 ( 1703150 159290 ) ( 1703150 192780 )
-    NEW met2 ( 1703150 192780 ) ( 1703610 192780 )
-    NEW met2 ( 1702690 111180 ) ( 1702690 145010 )
-    NEW met2 ( 1703610 192780 ) ( 1703610 193460 )
-    NEW met3 ( 1702230 241740 ) ( 1703150 241740 )
-    NEW met2 ( 1702230 241740 ) ( 1702230 289510 )
-    NEW met1 ( 1702230 289510 ) ( 1703610 289510 )
-    NEW met2 ( 1703150 241230 ) ( 1703150 241740 )
-    NEW met2 ( 1703610 289510 ) ( 1703610 290020 )
-    NEW li1 ( 1703150 338130 ) ( 1703150 385730 )
-    NEW met1 ( 1703150 385730 ) ( 1703610 385730 )
-    NEW met2 ( 1703150 337620 ) ( 1703150 338130 )
-    NEW met2 ( 1703610 385730 ) ( 1703610 387090 )
-    NEW met2 ( 1703150 434860 ) ( 1703610 434860 )
-    NEW met3 ( 1703610 434860 ) ( 1704530 434860 )
-    NEW met2 ( 1704530 434860 ) ( 1704530 482970 )
-    NEW met1 ( 1703610 482970 ) ( 1704530 482970 )
-    NEW met2 ( 1703150 434690 ) ( 1703150 434860 )
-    NEW met2 ( 1703610 482970 ) ( 1703610 483140 )
-    NEW met2 ( 1703150 531420 ) ( 1703610 531420 )
-    NEW met3 ( 1703610 531420 ) ( 1704990 531420 )
-    NEW met2 ( 1704990 531420 ) ( 1704990 579020 )
-    NEW met3 ( 1703610 579020 ) ( 1704990 579020 )
-    NEW met2 ( 1703150 531250 ) ( 1703150 531420 )
-    NEW met2 ( 1703610 579020 ) ( 1703610 580210 )
-    NEW met2 ( 1703150 627980 ) ( 1703610 627980 )
-    NEW met3 ( 1703610 627980 ) ( 1704530 627980 )
-    NEW met2 ( 1704530 627980 ) ( 1704530 676090 )
-    NEW met1 ( 1703610 676090 ) ( 1704530 676090 )
-    NEW met2 ( 1703150 627810 ) ( 1703150 627980 )
-    NEW met2 ( 1703610 676090 ) ( 1703610 676260 )
-    NEW met1 ( 1703150 737970 ) ( 1703150 738650 )
-    NEW met1 ( 1703150 738650 ) ( 1703610 738650 )
-    NEW met2 ( 1703150 724370 ) ( 1703150 737970 )
-    NEW met2 ( 1703610 738650 ) ( 1703610 772820 )
-    NEW met3 ( 1703150 821780 ) ( 1704530 821780 )
-    NEW met2 ( 1704530 821780 ) ( 1704530 869380 )
-    NEW met3 ( 1703610 869380 ) ( 1704530 869380 )
-    NEW met2 ( 1703150 820930 ) ( 1703150 821780 )
-    NEW met2 ( 1703610 869380 ) ( 1703610 870060 )
-    NEW li1 ( 1703150 918170 ) ( 1703150 931770 )
-    NEW met2 ( 1703150 931770 ) ( 1703150 965940 )
-    NEW met2 ( 1703150 965940 ) ( 1703610 965940 )
-    NEW met2 ( 1703150 917490 ) ( 1703150 918170 )
-    NEW met2 ( 1703610 965940 ) ( 1703610 966790 )
-    NEW li1 ( 1703150 1027650 ) ( 1703150 1029010 )
-    NEW met1 ( 1703150 1029010 ) ( 1703610 1029010 )
-    NEW met2 ( 1703150 1014050 ) ( 1703150 1027650 )
-    NEW met1 ( 1702690 1124550 ) ( 1702690 1125230 )
-    NEW met1 ( 1702690 1125230 ) ( 1703150 1125230 )
-    NEW met2 ( 1703150 1125230 ) ( 1703150 1159230 )
-    NEW met1 ( 1703150 1317670 ) ( 1703150 1318350 )
-    NEW met1 ( 1703150 1318350 ) ( 1703610 1318350 )
-    NEW met2 ( 1703150 1304070 ) ( 1703150 1317670 )
-    NEW met2 ( 1703610 1318350 ) ( 1703610 1352860 )
-    NEW li1 ( 1702230 1401310 ) ( 1702230 1448910 )
-    NEW met1 ( 1702230 1448910 ) ( 1703610 1448910 )
-    NEW met2 ( 1702230 1354220 ) ( 1702230 1401310 )
-    NEW met2 ( 1703610 1448910 ) ( 1703610 1474750 )
-    NEW li1 ( 1702690 1076270 ) ( 1702690 1103810 )
-    NEW met1 ( 1702690 1076270 ) ( 1703150 1076270 )
-    NEW met2 ( 1703150 1055700 ) ( 1703150 1076270 )
-    NEW met2 ( 1703150 1055700 ) ( 1703610 1055700 )
-    NEW met2 ( 1702690 1103810 ) ( 1702690 1124550 )
-    NEW met2 ( 1703610 1029010 ) ( 1703610 1055700 )
-    NEW met1 ( 2684790 21250 ) M1M2_PR
-    NEW met1 ( 1703610 1608030 ) M1M2_PR
-    NEW met1 ( 1701770 1608030 ) M1M2_PR
-    NEW met1 ( 1701770 1655970 ) M1M2_PR
-    NEW met1 ( 1703610 1655970 ) M1M2_PR
-    NEW met1 ( 1701770 1510790 ) M1M2_PR
-    NEW met1 ( 1703610 1510790 ) M1M2_PR
-    NEW met1 ( 1701770 1607010 ) M1M2_PR
-    NEW met1 ( 1703610 1607010 ) M1M2_PR
-    NEW met1 ( 1703610 1684190 ) M1M2_PR
+    NEW met2 ( 1704070 43860 ) ( 1704070 1684190 )
+    NEW met1 ( 2668690 22950 ) M1M2_PR
+    NEW li1 ( 1728910 25670 ) L1M1_PR_MR
+    NEW li1 ( 1728910 22950 ) L1M1_PR_MR
+    NEW met1 ( 1703150 25670 ) M1M2_PR
+    NEW met1 ( 1704070 1684190 ) M1M2_PR
     NEW met1 ( 1702230 1684190 ) M1M2_PR
-    NEW li1 ( 2645690 22950 ) L1M1_PR_MR
-    NEW li1 ( 2645690 21250 ) L1M1_PR_MR
-    NEW met1 ( 1702230 23630 ) M1M2_PR
-    NEW met1 ( 1703150 241230 ) M1M2_PR
-    NEW met1 ( 1702690 241230 ) M1M2_PR
-    NEW met1 ( 1703610 303790 ) M1M2_PR
-    NEW met1 ( 1703150 303450 ) M1M2_PR
-    NEW li1 ( 1703150 434690 ) L1M1_PR_MR
-    NEW met1 ( 1703150 434690 ) M1M2_PR
-    NEW li1 ( 1703150 387090 ) L1M1_PR_MR
-    NEW met1 ( 1703610 387090 ) M1M2_PR
-    NEW met1 ( 1703150 531250 ) M1M2_PR
-    NEW met1 ( 1702690 531250 ) M1M2_PR
-    NEW li1 ( 1703150 627810 ) L1M1_PR_MR
-    NEW met1 ( 1703150 627810 ) M1M2_PR
-    NEW li1 ( 1703150 580210 ) L1M1_PR_MR
-    NEW met1 ( 1703610 580210 ) M1M2_PR
-    NEW met1 ( 1703150 724370 ) M1M2_PR
-    NEW met1 ( 1702690 724370 ) M1M2_PR
-    NEW met1 ( 1703150 820930 ) M1M2_PR
-    NEW met1 ( 1702690 820930 ) M1M2_PR
-    NEW met1 ( 1703150 917490 ) M1M2_PR
-    NEW met1 ( 1704990 917490 ) M1M2_PR
-    NEW met2 ( 1704990 870060 ) via2_FR
-    NEW met2 ( 1703610 870060 ) via2_FR
-    NEW li1 ( 1703150 1014050 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1014050 ) M1M2_PR
-    NEW li1 ( 1703150 966790 ) L1M1_PR_MR
-    NEW met1 ( 1703610 966790 ) M1M2_PR
-    NEW met1 ( 1703150 1159230 ) M1M2_PR
-    NEW met1 ( 1702690 1159230 ) M1M2_PR
-    NEW li1 ( 1703150 1304070 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1304070 ) M1M2_PR
-    NEW li1 ( 1703150 1256130 ) L1M1_PR_MR
-    NEW met1 ( 1703610 1256130 ) M1M2_PR
-    NEW met2 ( 1702230 1354220 ) via2_FR
-    NEW met2 ( 1703610 1352860 ) via2_FR
-    NEW met1 ( 1701770 1474750 ) M1M2_PR
-    NEW met1 ( 1703610 1474750 ) M1M2_PR
-    NEW met1 ( 1701770 1545810 ) M1M2_PR
-    NEW met1 ( 1703610 1545810 ) M1M2_PR
-    NEW met2 ( 1702230 48620 ) via2_FR
-    NEW met2 ( 1701310 48620 ) via2_FR
-    NEW met1 ( 1701310 96390 ) M1M2_PR
-    NEW met1 ( 1702690 96390 ) M1M2_PR
-    NEW li1 ( 1702690 145010 ) L1M1_PR_MR
-    NEW met1 ( 1702690 145010 ) M1M2_PR
-    NEW li1 ( 1702690 159290 ) L1M1_PR_MR
-    NEW met1 ( 1703150 159290 ) M1M2_PR
-    NEW met2 ( 1703150 241740 ) via2_FR
-    NEW met2 ( 1702230 241740 ) via2_FR
-    NEW met1 ( 1702230 289510 ) M1M2_PR
-    NEW met1 ( 1703610 289510 ) M1M2_PR
-    NEW li1 ( 1703150 338130 ) L1M1_PR_MR
-    NEW met1 ( 1703150 338130 ) M1M2_PR
-    NEW li1 ( 1703150 385730 ) L1M1_PR_MR
-    NEW met1 ( 1703610 385730 ) M1M2_PR
-    NEW met2 ( 1703610 434860 ) via2_FR
-    NEW met2 ( 1704530 434860 ) via2_FR
-    NEW met1 ( 1704530 482970 ) M1M2_PR
-    NEW met1 ( 1703610 482970 ) M1M2_PR
-    NEW met2 ( 1703610 531420 ) via2_FR
-    NEW met2 ( 1704990 531420 ) via2_FR
-    NEW met2 ( 1704990 579020 ) via2_FR
-    NEW met2 ( 1703610 579020 ) via2_FR
-    NEW met2 ( 1703610 627980 ) via2_FR
-    NEW met2 ( 1704530 627980 ) via2_FR
-    NEW met1 ( 1704530 676090 ) M1M2_PR
-    NEW met1 ( 1703610 676090 ) M1M2_PR
-    NEW met1 ( 1703150 737970 ) M1M2_PR
-    NEW met1 ( 1703610 738650 ) M1M2_PR
-    NEW met2 ( 1703150 821780 ) via2_FR
-    NEW met2 ( 1704530 821780 ) via2_FR
-    NEW met2 ( 1704530 869380 ) via2_FR
-    NEW met2 ( 1703610 869380 ) via2_FR
-    NEW li1 ( 1703150 918170 ) L1M1_PR_MR
-    NEW met1 ( 1703150 918170 ) M1M2_PR
-    NEW li1 ( 1703150 931770 ) L1M1_PR_MR
-    NEW met1 ( 1703150 931770 ) M1M2_PR
-    NEW li1 ( 1703150 1027650 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1027650 ) M1M2_PR
-    NEW li1 ( 1703150 1029010 ) L1M1_PR_MR
-    NEW met1 ( 1703610 1029010 ) M1M2_PR
-    NEW met1 ( 1702690 1124550 ) M1M2_PR
-    NEW met1 ( 1703150 1125230 ) M1M2_PR
-    NEW met1 ( 1703150 1317670 ) M1M2_PR
-    NEW met1 ( 1703610 1318350 ) M1M2_PR
-    NEW li1 ( 1702230 1401310 ) L1M1_PR_MR
-    NEW met1 ( 1702230 1401310 ) M1M2_PR
-    NEW li1 ( 1702230 1448910 ) L1M1_PR_MR
-    NEW met1 ( 1703610 1448910 ) M1M2_PR
-    NEW li1 ( 1702690 1103810 ) L1M1_PR_MR
-    NEW met1 ( 1702690 1103810 ) M1M2_PR
-    NEW li1 ( 1702690 1076270 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1076270 ) M1M2_PR
-    NEW met1 ( 1703150 434690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 627810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 1014050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 1304070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1702690 145010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 338130 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 1703610 482970 ) RECT ( -70 -315 70 0 )
-    NEW met2 ( 1703610 676090 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1703150 918170 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 931770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 1027650 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1702230 1401310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1702690 1103810 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) 
-  + ROUTED met1 ( 1705910 1685210 ) ( 1710970 1685210 )
-    NEW met2 ( 1705910 1685210 ) ( 1705910 1700340 0 )
-    NEW met3 ( 1710970 22100 ) ( 1751910 22100 )
-    NEW met2 ( 1751910 22100 ) ( 1751910 23290 )
-    NEW met2 ( 1710970 22100 ) ( 1710970 1685210 )
-    NEW met2 ( 2702730 2380 0 ) ( 2702730 22950 )
-    NEW met1 ( 2646150 22950 ) ( 2646150 23290 )
-    NEW met1 ( 1751910 23290 ) ( 2646150 23290 )
-    NEW met1 ( 2646150 22950 ) ( 2702730 22950 )
-    NEW met1 ( 1710970 1685210 ) M1M2_PR
-    NEW met1 ( 1705910 1685210 ) M1M2_PR
-    NEW met2 ( 1710970 22100 ) via2_FR
-    NEW met2 ( 1751910 22100 ) via2_FR
-    NEW met1 ( 1751910 23290 ) M1M2_PR
-    NEW met1 ( 2702730 22950 ) M1M2_PR
+  + ROUTED met2 ( 2686170 2380 0 ) ( 2686170 23290 )
+    NEW met1 ( 1708670 22270 ) ( 1724310 22270 )
+    NEW met2 ( 1724310 22100 ) ( 1724310 22270 )
+    NEW met1 ( 1705910 1684190 ) ( 1708670 1684190 )
+    NEW met2 ( 1705910 1684190 ) ( 1705910 1700340 0 )
+    NEW met2 ( 1708670 22270 ) ( 1708670 1684190 )
+    NEW met2 ( 1756050 22100 ) ( 1756050 23290 )
+    NEW met3 ( 1724310 22100 ) ( 1756050 22100 )
+    NEW met1 ( 1756050 23290 ) ( 2686170 23290 )
+    NEW met1 ( 2686170 23290 ) M1M2_PR
+    NEW met1 ( 1708670 22270 ) M1M2_PR
+    NEW met1 ( 1724310 22270 ) M1M2_PR
+    NEW met2 ( 1724310 22100 ) via2_FR
+    NEW met1 ( 1708670 1684190 ) M1M2_PR
+    NEW met1 ( 1705910 1684190 ) M1M2_PR
+    NEW met2 ( 1756050 22100 ) via2_FR
+    NEW met1 ( 1756050 23290 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) 
-  + ROUTED met2 ( 1710050 1688780 ) ( 1710510 1688780 )
-    NEW met2 ( 1710050 1688780 ) ( 1710050 1700340 )
+  + ROUTED met2 ( 2704110 2380 0 ) ( 2704110 23630 )
+    NEW met1 ( 1710510 23630 ) ( 1723850 23630 )
+    NEW met2 ( 1723850 22780 ) ( 1723850 23630 )
+    NEW met2 ( 1710050 1677900 ) ( 1710510 1677900 )
+    NEW met2 ( 1710050 1677900 ) ( 1710050 1700340 )
     NEW met2 ( 1709590 1700340 0 ) ( 1710050 1700340 )
-    NEW met1 ( 1710510 25330 ) ( 1724310 25330 )
-    NEW met2 ( 1724310 22780 ) ( 1724310 25330 )
-    NEW met3 ( 1724310 22780 ) ( 1752370 22780 )
-    NEW met2 ( 1752370 22780 ) ( 1752370 23630 )
-    NEW met2 ( 1710510 25330 ) ( 1710510 1688780 )
-    NEW met1 ( 1752370 23630 ) ( 2720670 23630 )
-    NEW met2 ( 2720670 2380 0 ) ( 2720670 23630 )
-    NEW met1 ( 1710510 25330 ) M1M2_PR
-    NEW met1 ( 1724310 25330 ) M1M2_PR
-    NEW met2 ( 1724310 22780 ) via2_FR
-    NEW met2 ( 1752370 22780 ) via2_FR
-    NEW met1 ( 1752370 23630 ) M1M2_PR
-    NEW met1 ( 2720670 23630 ) M1M2_PR
+    NEW met2 ( 1710510 23630 ) ( 1710510 1677900 )
+    NEW met2 ( 1755590 22780 ) ( 1755590 23630 )
+    NEW met3 ( 1723850 22780 ) ( 1755590 22780 )
+    NEW met1 ( 1755590 23630 ) ( 2704110 23630 )
+    NEW met1 ( 2704110 23630 ) M1M2_PR
+    NEW met1 ( 1710510 23630 ) M1M2_PR
+    NEW met1 ( 1723850 23630 ) M1M2_PR
+    NEW met2 ( 1723850 22780 ) via2_FR
+    NEW met2 ( 1755590 22780 ) via2_FR
+    NEW met1 ( 1755590 23630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) 
-  + ROUTED met1 ( 1713270 1685210 ) ( 1715570 1685210 )
-    NEW met2 ( 1713270 1685210 ) ( 1713270 1700340 0 )
-    NEW met1 ( 1715570 26350 ) ( 1724310 26350 )
-    NEW li1 ( 1724310 26350 ) ( 1724310 27030 )
-    NEW li1 ( 1724310 27030 ) ( 1729830 27030 )
-    NEW li1 ( 1729830 26690 ) ( 1729830 27030 )
-    NEW met2 ( 1715570 26350 ) ( 1715570 1685210 )
-    NEW met1 ( 1729830 26690 ) ( 2738610 26690 )
-    NEW met2 ( 2738610 2380 0 ) ( 2738610 26690 )
-    NEW met1 ( 1715570 1685210 ) M1M2_PR
-    NEW met1 ( 1713270 1685210 ) M1M2_PR
-    NEW met1 ( 1715570 26350 ) M1M2_PR
-    NEW li1 ( 1724310 26350 ) L1M1_PR_MR
-    NEW li1 ( 1729830 26690 ) L1M1_PR_MR
-    NEW met1 ( 2738610 26690 ) M1M2_PR
+  + ROUTED met2 ( 2722050 2380 0 ) ( 2722050 26690 )
+    NEW met1 ( 1711430 1631490 ) ( 1717870 1631490 )
+    NEW met2 ( 1717870 26690 ) ( 1717870 1631490 )
+    NEW met2 ( 1711430 1676540 ) ( 1712810 1676540 )
+    NEW met2 ( 1712810 1676540 ) ( 1712810 1700340 )
+    NEW met2 ( 1712810 1700340 ) ( 1713270 1700340 0 )
+    NEW met2 ( 1711430 1631490 ) ( 1711430 1676540 )
+    NEW met1 ( 1717870 26690 ) ( 2722050 26690 )
+    NEW met1 ( 2722050 26690 ) M1M2_PR
+    NEW met1 ( 1711430 1631490 ) M1M2_PR
+    NEW met1 ( 1717870 1631490 ) M1M2_PR
+    NEW met1 ( 1717870 26690 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) 
-  + ROUTED met2 ( 1716950 1700340 0 ) ( 1717410 1700340 )
-    NEW met1 ( 1717410 25670 ) ( 1728450 25670 )
-    NEW met1 ( 1728450 25670 ) ( 1728450 26350 )
-    NEW met2 ( 1717410 25670 ) ( 1717410 1700340 )
-    NEW met1 ( 1728450 26350 ) ( 2756090 26350 )
-    NEW met2 ( 2756090 2380 0 ) ( 2756090 26350 )
-    NEW met1 ( 1717410 25670 ) M1M2_PR
-    NEW met1 ( 2756090 26350 ) M1M2_PR
+  + ROUTED met2 ( 2739990 14620 ) ( 2739990 26350 )
+    NEW met2 ( 2739530 14620 ) ( 2739990 14620 )
+    NEW met2 ( 2739530 2380 0 ) ( 2739530 14620 )
+    NEW met1 ( 1717410 25330 ) ( 1727530 25330 )
+    NEW met2 ( 1727530 24140 ) ( 1727530 25330 )
+    NEW met2 ( 1727530 24140 ) ( 1729370 24140 )
+    NEW met2 ( 1729370 23290 ) ( 1729370 24140 )
+    NEW met1 ( 1729370 23290 ) ( 1750530 23290 )
+    NEW li1 ( 1750530 23290 ) ( 1750530 23970 )
+    NEW li1 ( 1750530 23970 ) ( 1751910 23970 )
+    NEW li1 ( 1751910 23970 ) ( 1751910 26350 )
+    NEW met2 ( 1716950 1700340 0 ) ( 1717410 1700340 )
+    NEW met2 ( 1717410 25330 ) ( 1717410 1700340 )
+    NEW met1 ( 1751910 26350 ) ( 2739990 26350 )
+    NEW met1 ( 2739990 26350 ) M1M2_PR
+    NEW met1 ( 1717410 25330 ) M1M2_PR
+    NEW met1 ( 1727530 25330 ) M1M2_PR
+    NEW met1 ( 1729370 23290 ) M1M2_PR
+    NEW li1 ( 1750530 23290 ) L1M1_PR_MR
+    NEW li1 ( 1751910 26350 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) 
-  + ROUTED met1 ( 1318130 1677730 ) ( 1319510 1677730 )
-    NEW met2 ( 1319510 1677730 ) ( 1319510 1700340 )
-    NEW met2 ( 1319510 1700340 ) ( 1319970 1700340 0 )
-    NEW met2 ( 1318130 1653250 ) ( 1318130 1677730 )
-    NEW met1 ( 834670 1653250 ) ( 1318130 1653250 )
-    NEW met1 ( 829610 18190 ) ( 833750 18190 )
-    NEW met2 ( 833750 18020 ) ( 833750 18190 )
-    NEW met2 ( 833750 18020 ) ( 834670 18020 )
-    NEW met2 ( 829610 2380 0 ) ( 829610 18190 )
-    NEW met2 ( 834670 18020 ) ( 834670 1653250 )
-    NEW met1 ( 834670 1653250 ) M1M2_PR
-    NEW met1 ( 1318130 1653250 ) M1M2_PR
-    NEW met1 ( 1318130 1677730 ) M1M2_PR
-    NEW met1 ( 1319510 1677730 ) M1M2_PR
-    NEW met1 ( 829610 18190 ) M1M2_PR
-    NEW met1 ( 833750 18190 ) M1M2_PR
+  + ROUTED met2 ( 1319510 1700340 ) ( 1319970 1700340 0 )
+    NEW met2 ( 824550 2380 0 ) ( 824550 2890 )
+    NEW met1 ( 824090 2890 ) ( 824550 2890 )
+    NEW met2 ( 824090 2890 ) ( 824090 47940 )
+    NEW met2 ( 824090 47940 ) ( 824550 47940 )
+    NEW met3 ( 823630 48620 ) ( 824550 48620 )
+    NEW met2 ( 824550 47940 ) ( 824550 48620 )
+    NEW met1 ( 823630 137870 ) ( 827770 137870 )
+    NEW met2 ( 823630 48620 ) ( 823630 137870 )
+    NEW li1 ( 827770 186490 ) ( 827770 234430 )
+    NEW met2 ( 827770 137870 ) ( 827770 186490 )
+    NEW li1 ( 827770 283390 ) ( 827770 330990 )
+    NEW met2 ( 827770 234430 ) ( 827770 283390 )
+    NEW li1 ( 827770 379610 ) ( 827770 427550 )
+    NEW met2 ( 827770 330990 ) ( 827770 379610 )
+    NEW li1 ( 827770 476510 ) ( 827770 524110 )
+    NEW met2 ( 827770 427550 ) ( 827770 476510 )
+    NEW li1 ( 827770 572730 ) ( 827770 620670 )
+    NEW met2 ( 827770 524110 ) ( 827770 572730 )
+    NEW li1 ( 827770 669630 ) ( 827770 717570 )
+    NEW met2 ( 827770 620670 ) ( 827770 669630 )
+    NEW li1 ( 827770 766190 ) ( 827770 813790 )
+    NEW met2 ( 827770 717570 ) ( 827770 766190 )
+    NEW met3 ( 826850 862580 ) ( 827770 862580 )
+    NEW met2 ( 826850 862580 ) ( 826850 910690 )
+    NEW met1 ( 826850 910690 ) ( 827770 910690 )
+    NEW met2 ( 827770 813790 ) ( 827770 862580 )
+    NEW met3 ( 826850 959140 ) ( 827770 959140 )
+    NEW met2 ( 826850 959140 ) ( 826850 1007250 )
+    NEW met1 ( 826850 1007250 ) ( 827770 1007250 )
+    NEW met2 ( 827770 910690 ) ( 827770 959140 )
+    NEW met3 ( 826850 1055700 ) ( 827770 1055700 )
+    NEW met2 ( 826850 1055700 ) ( 826850 1103980 )
+    NEW met3 ( 826850 1103980 ) ( 827770 1103980 )
+    NEW met2 ( 827770 1007250 ) ( 827770 1055700 )
+    NEW met1 ( 826850 1152430 ) ( 827770 1152430 )
+    NEW met2 ( 826850 1152430 ) ( 826850 1200540 )
+    NEW met3 ( 826850 1200540 ) ( 827770 1200540 )
+    NEW met2 ( 827770 1103980 ) ( 827770 1152430 )
+    NEW met1 ( 826850 1248990 ) ( 827770 1248990 )
+    NEW met2 ( 826850 1248990 ) ( 826850 1297100 )
+    NEW met3 ( 826850 1297100 ) ( 827770 1297100 )
+    NEW met2 ( 827770 1200540 ) ( 827770 1248990 )
+    NEW met1 ( 826850 1345550 ) ( 827770 1345550 )
+    NEW met2 ( 826850 1345550 ) ( 826850 1393660 )
+    NEW met3 ( 826850 1393660 ) ( 827770 1393660 )
+    NEW met2 ( 827770 1297100 ) ( 827770 1345550 )
+    NEW met1 ( 826850 1442110 ) ( 827770 1442110 )
+    NEW met2 ( 826850 1442110 ) ( 826850 1490220 )
+    NEW met3 ( 826850 1490220 ) ( 827770 1490220 )
+    NEW met2 ( 827770 1393660 ) ( 827770 1442110 )
+    NEW met1 ( 827770 1539010 ) ( 828690 1539010 )
+    NEW met2 ( 828690 1539010 ) ( 828690 1586780 )
+    NEW met3 ( 827770 1586780 ) ( 828690 1586780 )
+    NEW met2 ( 827770 1490220 ) ( 827770 1539010 )
+    NEW li1 ( 827770 1635570 ) ( 827770 1638970 )
+    NEW met2 ( 827770 1586780 ) ( 827770 1635570 )
+    NEW li1 ( 1319510 1638970 ) ( 1319510 1665150 )
+    NEW met1 ( 827770 1638970 ) ( 1319510 1638970 )
+    NEW met2 ( 1319510 1665150 ) ( 1319510 1700340 )
+    NEW met1 ( 824550 2890 ) M1M2_PR
+    NEW met1 ( 824090 2890 ) M1M2_PR
+    NEW met2 ( 824550 48620 ) via2_FR
+    NEW met2 ( 823630 48620 ) via2_FR
+    NEW met1 ( 823630 137870 ) M1M2_PR
+    NEW met1 ( 827770 137870 ) M1M2_PR
+    NEW li1 ( 827770 186490 ) L1M1_PR_MR
+    NEW met1 ( 827770 186490 ) M1M2_PR
+    NEW li1 ( 827770 234430 ) L1M1_PR_MR
+    NEW met1 ( 827770 234430 ) M1M2_PR
+    NEW li1 ( 827770 283390 ) L1M1_PR_MR
+    NEW met1 ( 827770 283390 ) M1M2_PR
+    NEW li1 ( 827770 330990 ) L1M1_PR_MR
+    NEW met1 ( 827770 330990 ) M1M2_PR
+    NEW li1 ( 827770 379610 ) L1M1_PR_MR
+    NEW met1 ( 827770 379610 ) M1M2_PR
+    NEW li1 ( 827770 427550 ) L1M1_PR_MR
+    NEW met1 ( 827770 427550 ) M1M2_PR
+    NEW li1 ( 827770 476510 ) L1M1_PR_MR
+    NEW met1 ( 827770 476510 ) M1M2_PR
+    NEW li1 ( 827770 524110 ) L1M1_PR_MR
+    NEW met1 ( 827770 524110 ) M1M2_PR
+    NEW li1 ( 827770 572730 ) L1M1_PR_MR
+    NEW met1 ( 827770 572730 ) M1M2_PR
+    NEW li1 ( 827770 620670 ) L1M1_PR_MR
+    NEW met1 ( 827770 620670 ) M1M2_PR
+    NEW li1 ( 827770 669630 ) L1M1_PR_MR
+    NEW met1 ( 827770 669630 ) M1M2_PR
+    NEW li1 ( 827770 717570 ) L1M1_PR_MR
+    NEW met1 ( 827770 717570 ) M1M2_PR
+    NEW li1 ( 827770 766190 ) L1M1_PR_MR
+    NEW met1 ( 827770 766190 ) M1M2_PR
+    NEW li1 ( 827770 813790 ) L1M1_PR_MR
+    NEW met1 ( 827770 813790 ) M1M2_PR
+    NEW met2 ( 827770 862580 ) via2_FR
+    NEW met2 ( 826850 862580 ) via2_FR
+    NEW met1 ( 826850 910690 ) M1M2_PR
+    NEW met1 ( 827770 910690 ) M1M2_PR
+    NEW met2 ( 827770 959140 ) via2_FR
+    NEW met2 ( 826850 959140 ) via2_FR
+    NEW met1 ( 826850 1007250 ) M1M2_PR
+    NEW met1 ( 827770 1007250 ) M1M2_PR
+    NEW met2 ( 827770 1055700 ) via2_FR
+    NEW met2 ( 826850 1055700 ) via2_FR
+    NEW met2 ( 826850 1103980 ) via2_FR
+    NEW met2 ( 827770 1103980 ) via2_FR
+    NEW met1 ( 827770 1152430 ) M1M2_PR
+    NEW met1 ( 826850 1152430 ) M1M2_PR
+    NEW met2 ( 826850 1200540 ) via2_FR
+    NEW met2 ( 827770 1200540 ) via2_FR
+    NEW met1 ( 827770 1248990 ) M1M2_PR
+    NEW met1 ( 826850 1248990 ) M1M2_PR
+    NEW met2 ( 826850 1297100 ) via2_FR
+    NEW met2 ( 827770 1297100 ) via2_FR
+    NEW met1 ( 827770 1345550 ) M1M2_PR
+    NEW met1 ( 826850 1345550 ) M1M2_PR
+    NEW met2 ( 826850 1393660 ) via2_FR
+    NEW met2 ( 827770 1393660 ) via2_FR
+    NEW met1 ( 827770 1442110 ) M1M2_PR
+    NEW met1 ( 826850 1442110 ) M1M2_PR
+    NEW met2 ( 826850 1490220 ) via2_FR
+    NEW met2 ( 827770 1490220 ) via2_FR
+    NEW met1 ( 827770 1539010 ) M1M2_PR
+    NEW met1 ( 828690 1539010 ) M1M2_PR
+    NEW met2 ( 828690 1586780 ) via2_FR
+    NEW met2 ( 827770 1586780 ) via2_FR
+    NEW li1 ( 827770 1635570 ) L1M1_PR_MR
+    NEW met1 ( 827770 1635570 ) M1M2_PR
+    NEW li1 ( 827770 1638970 ) L1M1_PR_MR
+    NEW li1 ( 1319510 1638970 ) L1M1_PR_MR
+    NEW li1 ( 1319510 1665150 ) L1M1_PR_MR
+    NEW met1 ( 1319510 1665150 ) M1M2_PR
+    NEW met1 ( 827770 186490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 234430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 283390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 330990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 379610 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 427550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 476510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 524110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 572730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 620670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 669630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 717570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 766190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 813790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 827770 1635570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319510 1665150 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) 
-  + ROUTED met1 ( 1720630 1685890 ) ( 1724770 1685890 )
-    NEW met2 ( 1720630 1685890 ) ( 1720630 1700340 0 )
-    NEW met1 ( 1724770 26350 ) ( 1727990 26350 )
-    NEW li1 ( 1727990 25670 ) ( 1727990 26350 )
-    NEW li1 ( 1727990 25670 ) ( 1728910 25670 )
-    NEW met1 ( 1728910 25670 ) ( 1729370 25670 )
-    NEW met1 ( 1729370 25670 ) ( 1729370 26010 )
-    NEW met2 ( 1724770 26350 ) ( 1724770 1685890 )
-    NEW met2 ( 2774030 2380 0 ) ( 2774030 26010 )
-    NEW met1 ( 1729370 26010 ) ( 2774030 26010 )
-    NEW met1 ( 1724770 1685890 ) M1M2_PR
-    NEW met1 ( 1720630 1685890 ) M1M2_PR
-    NEW met1 ( 1724770 26350 ) M1M2_PR
-    NEW li1 ( 1727990 26350 ) L1M1_PR_MR
-    NEW li1 ( 1728910 25670 ) L1M1_PR_MR
-    NEW met1 ( 2774030 26010 ) M1M2_PR
+  + ROUTED met2 ( 2757470 2380 0 ) ( 2757470 26010 )
+    NEW met1 ( 1720630 1684190 ) ( 1724770 1684190 )
+    NEW met2 ( 1720630 1684190 ) ( 1720630 1700340 0 )
+    NEW met2 ( 1724770 26010 ) ( 1724770 1684190 )
+    NEW met1 ( 1724770 26010 ) ( 2757470 26010 )
+    NEW met1 ( 2757470 26010 ) M1M2_PR
+    NEW met1 ( 1724770 26010 ) M1M2_PR
+    NEW met1 ( 1724770 1684190 ) M1M2_PR
+    NEW met1 ( 1720630 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) 
-  + ROUTED met3 ( 1724310 26180 ) ( 1752370 26180 )
-    NEW met2 ( 1752370 25670 ) ( 1752370 26180 )
-    NEW met2 ( 1724310 26180 ) ( 1724310 1700340 0 )
-    NEW met2 ( 2791970 2380 0 ) ( 2791970 25670 )
-    NEW met1 ( 1752370 25670 ) ( 2791970 25670 )
-    NEW met2 ( 1724310 26180 ) via2_FR
-    NEW met2 ( 1752370 26180 ) via2_FR
-    NEW met1 ( 1752370 25670 ) M1M2_PR
-    NEW met1 ( 2791970 25670 ) M1M2_PR
+  + ROUTED met2 ( 2774950 2380 0 ) ( 2774950 25670 )
+    NEW met1 ( 1718330 1631490 ) ( 1724310 1631490 )
+    NEW met1 ( 1724310 23630 ) ( 1750990 23630 )
+    NEW li1 ( 1750990 22950 ) ( 1750990 23630 )
+    NEW li1 ( 1750990 22950 ) ( 1751910 22950 )
+    NEW li1 ( 1751910 22950 ) ( 1751910 23630 )
+    NEW li1 ( 1751910 23630 ) ( 1752370 23630 )
+    NEW li1 ( 1752370 23630 ) ( 1752370 25670 )
+    NEW met2 ( 1724310 23630 ) ( 1724310 1631490 )
+    NEW met1 ( 1718330 1684530 ) ( 1724310 1684530 )
+    NEW met2 ( 1724310 1684530 ) ( 1724310 1700340 0 )
+    NEW met2 ( 1718330 1631490 ) ( 1718330 1684530 )
+    NEW met1 ( 1752370 25670 ) ( 2774950 25670 )
+    NEW met1 ( 2774950 25670 ) M1M2_PR
+    NEW met1 ( 1718330 1631490 ) M1M2_PR
+    NEW met1 ( 1724310 1631490 ) M1M2_PR
+    NEW met1 ( 1724310 23630 ) M1M2_PR
+    NEW li1 ( 1750990 23630 ) L1M1_PR_MR
+    NEW li1 ( 1752370 25670 ) L1M1_PR_MR
+    NEW met1 ( 1718330 1684530 ) M1M2_PR
+    NEW met1 ( 1724310 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) 
-  + ROUTED met1 ( 1727990 1685550 ) ( 1731670 1685550 )
-    NEW met2 ( 1727990 1685550 ) ( 1727990 1700340 0 )
-    NEW met1 ( 1731670 25670 ) ( 1751910 25670 )
+  + ROUTED li1 ( 1918430 23970 ) ( 1918430 27710 )
+    NEW met1 ( 1918430 23970 ) ( 1965810 23970 )
+    NEW li1 ( 1965810 23970 ) ( 1965810 27710 )
+    NEW li1 ( 2158550 25330 ) ( 2158550 27710 )
+    NEW li1 ( 2158550 27710 ) ( 2159470 27710 )
+    NEW met1 ( 2208690 27710 ) ( 2208690 28050 )
+    NEW met1 ( 2401430 27710 ) ( 2401430 28050 )
+    NEW li1 ( 2498490 24990 ) ( 2498490 27710 )
+    NEW met1 ( 2498490 24990 ) ( 2545410 24990 )
+    NEW li1 ( 2545410 24990 ) ( 2545410 27710 )
+    NEW met2 ( 2792890 2380 0 ) ( 2792890 25330 )
+    NEW met2 ( 1870590 25500 ) ( 1870590 27710 )
+    NEW met1 ( 1870590 27710 ) ( 1918430 27710 )
+    NEW li1 ( 2063330 27710 ) ( 2063330 28050 )
+    NEW li1 ( 2063330 28050 ) ( 2063790 28050 )
+    NEW met1 ( 1965810 27710 ) ( 2063330 27710 )
+    NEW met1 ( 2161310 27710 ) ( 2161310 28050 )
+    NEW met1 ( 2159470 27710 ) ( 2161310 27710 )
+    NEW met1 ( 2161310 28050 ) ( 2208690 28050 )
+    NEW met1 ( 2354970 27710 ) ( 2354970 28050 )
+    NEW met1 ( 2208690 27710 ) ( 2354970 27710 )
+    NEW met1 ( 2354970 28050 ) ( 2401430 28050 )
+    NEW met1 ( 2401430 27710 ) ( 2498490 27710 )
+    NEW li1 ( 2546790 25330 ) ( 2546790 27710 )
+    NEW met1 ( 2545410 27710 ) ( 2546790 27710 )
+    NEW met1 ( 2546790 25330 ) ( 2792890 25330 )
+    NEW met1 ( 1729370 25670 ) ( 1751910 25670 )
     NEW met2 ( 1751910 25500 ) ( 1751910 25670 )
-    NEW met2 ( 1731670 25670 ) ( 1731670 1685550 )
-    NEW met2 ( 2809910 2380 0 ) ( 2809910 25330 )
-    NEW met2 ( 1852190 25500 ) ( 1852190 27710 )
-    NEW met3 ( 1751910 25500 ) ( 1852190 25500 )
-    NEW li1 ( 2527010 25330 ) ( 2527010 27710 )
-    NEW met1 ( 1852190 27710 ) ( 2527010 27710 )
-    NEW met1 ( 2527010 25330 ) ( 2809910 25330 )
-    NEW met1 ( 1731670 1685550 ) M1M2_PR
-    NEW met1 ( 1727990 1685550 ) M1M2_PR
-    NEW met1 ( 1731670 25670 ) M1M2_PR
+    NEW met2 ( 1727990 1677900 ) ( 1729370 1677900 )
+    NEW met2 ( 1727990 1677900 ) ( 1727990 1700340 0 )
+    NEW met2 ( 1729370 25670 ) ( 1729370 1677900 )
+    NEW met2 ( 1802510 25330 ) ( 1802510 25500 )
+    NEW met1 ( 1802510 25330 ) ( 1848970 25330 )
+    NEW met2 ( 1848970 25330 ) ( 1848970 25500 )
+    NEW met3 ( 1751910 25500 ) ( 1802510 25500 )
+    NEW met3 ( 1848970 25500 ) ( 1870590 25500 )
+    NEW met1 ( 2092310 27710 ) ( 2092310 28050 )
+    NEW met1 ( 2092310 27710 ) ( 2138770 27710 )
+    NEW li1 ( 2138770 25330 ) ( 2138770 27710 )
+    NEW met1 ( 2063790 28050 ) ( 2092310 28050 )
+    NEW met1 ( 2138770 25330 ) ( 2158550 25330 )
+    NEW li1 ( 1918430 27710 ) L1M1_PR_MR
+    NEW li1 ( 1918430 23970 ) L1M1_PR_MR
+    NEW li1 ( 1965810 23970 ) L1M1_PR_MR
+    NEW li1 ( 1965810 27710 ) L1M1_PR_MR
+    NEW li1 ( 2158550 25330 ) L1M1_PR_MR
+    NEW li1 ( 2159470 27710 ) L1M1_PR_MR
+    NEW li1 ( 2498490 27710 ) L1M1_PR_MR
+    NEW li1 ( 2498490 24990 ) L1M1_PR_MR
+    NEW li1 ( 2545410 24990 ) L1M1_PR_MR
+    NEW li1 ( 2545410 27710 ) L1M1_PR_MR
+    NEW met1 ( 2792890 25330 ) M1M2_PR
+    NEW met2 ( 1870590 25500 ) via2_FR
+    NEW met1 ( 1870590 27710 ) M1M2_PR
+    NEW li1 ( 2063330 27710 ) L1M1_PR_MR
+    NEW li1 ( 2063790 28050 ) L1M1_PR_MR
+    NEW li1 ( 2546790 27710 ) L1M1_PR_MR
+    NEW li1 ( 2546790 25330 ) L1M1_PR_MR
+    NEW met1 ( 1729370 25670 ) M1M2_PR
     NEW met1 ( 1751910 25670 ) M1M2_PR
     NEW met2 ( 1751910 25500 ) via2_FR
-    NEW met1 ( 2809910 25330 ) M1M2_PR
-    NEW met2 ( 1852190 25500 ) via2_FR
-    NEW met1 ( 1852190 27710 ) M1M2_PR
-    NEW li1 ( 2527010 27710 ) L1M1_PR_MR
-    NEW li1 ( 2527010 25330 ) L1M1_PR_MR
+    NEW met2 ( 1802510 25500 ) via2_FR
+    NEW met1 ( 1802510 25330 ) M1M2_PR
+    NEW met1 ( 1848970 25330 ) M1M2_PR
+    NEW met2 ( 1848970 25500 ) via2_FR
+    NEW li1 ( 2138770 27710 ) L1M1_PR_MR
+    NEW li1 ( 2138770 25330 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) 
-  + ROUTED met2 ( 1731210 1700340 ) ( 1731670 1700340 0 )
-    NEW met1 ( 1731210 23290 ) ( 1750990 23290 )
-    NEW met2 ( 1750990 23290 ) ( 1750990 24820 )
-    NEW met2 ( 1731210 23290 ) ( 1731210 1700340 )
-    NEW met2 ( 1852650 24820 ) ( 1852650 28050 )
-    NEW met3 ( 1750990 24820 ) ( 1852650 24820 )
-    NEW li1 ( 2573470 24990 ) ( 2573470 28050 )
-    NEW met1 ( 1852650 28050 ) ( 2573470 28050 )
-    NEW met1 ( 2573470 24990 ) ( 2827850 24990 )
-    NEW met2 ( 2827850 2380 0 ) ( 2827850 24990 )
-    NEW met1 ( 1731210 23290 ) M1M2_PR
-    NEW met1 ( 1750990 23290 ) M1M2_PR
-    NEW met2 ( 1750990 24820 ) via2_FR
-    NEW met2 ( 1852650 24820 ) via2_FR
-    NEW met1 ( 1852650 28050 ) M1M2_PR
-    NEW li1 ( 2573470 28050 ) L1M1_PR_MR
-    NEW li1 ( 2573470 24990 ) L1M1_PR_MR
-    NEW met1 ( 2827850 24990 ) M1M2_PR
+  + ROUTED li1 ( 2208690 24990 ) ( 2208690 28730 )
+    NEW met1 ( 2208690 24990 ) ( 2228470 24990 )
+    NEW met1 ( 2228470 24990 ) ( 2228470 25330 )
+    NEW met1 ( 2228470 25330 ) ( 2255610 25330 )
+    NEW li1 ( 2255610 25330 ) ( 2255610 28050 )
+    NEW met2 ( 2401890 24820 ) ( 2401890 28050 )
+    NEW li1 ( 2545870 24990 ) ( 2545870 28050 )
+    NEW met2 ( 2810370 2380 0 ) ( 2810370 24990 )
+    NEW met2 ( 1870130 24820 ) ( 1870130 26860 )
+    NEW met3 ( 1870130 26860 ) ( 1917970 26860 )
+    NEW met2 ( 1917970 26860 ) ( 1917970 28050 )
+    NEW met1 ( 2063330 28050 ) ( 2063330 28730 )
+    NEW met1 ( 1917970 28050 ) ( 2063330 28050 )
+    NEW li1 ( 2160390 25330 ) ( 2160390 28050 )
+    NEW met1 ( 2160390 25330 ) ( 2207310 25330 )
+    NEW li1 ( 2207310 25330 ) ( 2207310 28730 )
+    NEW met1 ( 2207310 28730 ) ( 2208690 28730 )
+    NEW met2 ( 2354050 24820 ) ( 2354050 28050 )
+    NEW met1 ( 2255610 28050 ) ( 2354050 28050 )
+    NEW met3 ( 2354050 24820 ) ( 2401890 24820 )
+    NEW met1 ( 2401890 28050 ) ( 2545870 28050 )
+    NEW met1 ( 2545870 24990 ) ( 2810370 24990 )
+    NEW met1 ( 1726150 1631490 ) ( 1731210 1631490 )
+    NEW met1 ( 1731210 26350 ) ( 1751450 26350 )
+    NEW met2 ( 1751450 24820 ) ( 1751450 26350 )
+    NEW met2 ( 1731210 26350 ) ( 1731210 1631490 )
+    NEW met1 ( 1726150 1684870 ) ( 1731670 1684870 )
+    NEW met2 ( 1731670 1684870 ) ( 1731670 1700340 0 )
+    NEW met2 ( 1726150 1631490 ) ( 1726150 1684870 )
+    NEW met2 ( 1801590 24820 ) ( 1801590 24990 )
+    NEW met1 ( 1801590 24990 ) ( 1848510 24990 )
+    NEW met2 ( 1848510 24820 ) ( 1848510 24990 )
+    NEW met3 ( 1751450 24820 ) ( 1801590 24820 )
+    NEW met3 ( 1848510 24820 ) ( 1870130 24820 )
+    NEW met1 ( 2092770 28050 ) ( 2092770 28730 )
+    NEW met1 ( 2063330 28730 ) ( 2092770 28730 )
+    NEW met1 ( 2092770 28050 ) ( 2160390 28050 )
+    NEW li1 ( 2208690 28730 ) L1M1_PR_MR
+    NEW li1 ( 2208690 24990 ) L1M1_PR_MR
+    NEW li1 ( 2255610 25330 ) L1M1_PR_MR
+    NEW li1 ( 2255610 28050 ) L1M1_PR_MR
+    NEW met2 ( 2401890 24820 ) via2_FR
+    NEW met1 ( 2401890 28050 ) M1M2_PR
+    NEW li1 ( 2545870 28050 ) L1M1_PR_MR
+    NEW li1 ( 2545870 24990 ) L1M1_PR_MR
+    NEW met1 ( 2810370 24990 ) M1M2_PR
+    NEW met2 ( 1870130 24820 ) via2_FR
+    NEW met2 ( 1870130 26860 ) via2_FR
+    NEW met2 ( 1917970 26860 ) via2_FR
+    NEW met1 ( 1917970 28050 ) M1M2_PR
+    NEW li1 ( 2160390 28050 ) L1M1_PR_MR
+    NEW li1 ( 2160390 25330 ) L1M1_PR_MR
+    NEW li1 ( 2207310 25330 ) L1M1_PR_MR
+    NEW li1 ( 2207310 28730 ) L1M1_PR_MR
+    NEW met1 ( 2354050 28050 ) M1M2_PR
+    NEW met2 ( 2354050 24820 ) via2_FR
+    NEW met1 ( 1726150 1631490 ) M1M2_PR
+    NEW met1 ( 1731210 1631490 ) M1M2_PR
+    NEW met1 ( 1731210 26350 ) M1M2_PR
+    NEW met1 ( 1751450 26350 ) M1M2_PR
+    NEW met2 ( 1751450 24820 ) via2_FR
+    NEW met1 ( 1726150 1684870 ) M1M2_PR
+    NEW met1 ( 1731670 1684870 ) M1M2_PR
+    NEW met2 ( 1801590 24820 ) via2_FR
+    NEW met1 ( 1801590 24990 ) M1M2_PR
+    NEW met1 ( 1848510 24990 ) M1M2_PR
+    NEW met2 ( 1848510 24820 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) 
-  + ROUTED met1 ( 1737190 82790 ) ( 1738570 82790 )
-    NEW met1 ( 1735350 1685890 ) ( 1738570 1685890 )
-    NEW met2 ( 1735350 1685890 ) ( 1735350 1700340 0 )
-    NEW met1 ( 1737190 23630 ) ( 1750530 23630 )
-    NEW met2 ( 1750530 23630 ) ( 1750530 24140 )
-    NEW met2 ( 1737190 23630 ) ( 1737190 82790 )
-    NEW met2 ( 1738570 82790 ) ( 1738570 1685890 )
-    NEW met2 ( 2091850 20570 ) ( 2091850 23460 )
-    NEW met1 ( 2574390 20570 ) ( 2574390 20910 )
-    NEW met1 ( 2574390 20910 ) ( 2574850 20910 )
-    NEW met1 ( 2574850 20910 ) ( 2574850 21250 )
-    NEW li1 ( 2670530 23290 ) ( 2670530 23970 )
-    NEW met3 ( 1756740 23460 ) ( 1756740 24140 )
-    NEW met3 ( 1750530 24140 ) ( 1756740 24140 )
-    NEW met3 ( 1756740 23460 ) ( 2091850 23460 )
-    NEW li1 ( 2526090 20570 ) ( 2526090 24990 )
-    NEW met1 ( 2526090 24990 ) ( 2573010 24990 )
-    NEW li1 ( 2573010 20570 ) ( 2573010 24990 )
-    NEW met1 ( 2091850 20570 ) ( 2526090 20570 )
-    NEW met1 ( 2573010 20570 ) ( 2574390 20570 )
-    NEW li1 ( 2645230 21250 ) ( 2645230 23970 )
-    NEW met1 ( 2574850 21250 ) ( 2645230 21250 )
-    NEW met1 ( 2645230 23970 ) ( 2670530 23970 )
-    NEW met2 ( 2845330 2380 0 ) ( 2845330 23630 )
-    NEW met1 ( 2794730 23290 ) ( 2794730 23630 )
-    NEW li1 ( 2794730 23630 ) ( 2795190 23630 )
-    NEW met1 ( 2795190 23630 ) ( 2845330 23630 )
-    NEW li1 ( 2746430 22950 ) ( 2746890 22950 )
-    NEW li1 ( 2746890 22950 ) ( 2746890 23290 )
-    NEW met1 ( 2746890 23290 ) ( 2794730 23290 )
-    NEW li1 ( 2691230 22270 ) ( 2691230 23290 )
-    NEW met1 ( 2691230 22270 ) ( 2739070 22270 )
-    NEW li1 ( 2739070 22270 ) ( 2739070 22950 )
-    NEW met1 ( 2670530 23290 ) ( 2691230 23290 )
-    NEW met1 ( 2739070 22950 ) ( 2746430 22950 )
-    NEW met1 ( 1737190 82790 ) M1M2_PR
-    NEW met1 ( 1738570 82790 ) M1M2_PR
-    NEW met1 ( 1738570 1685890 ) M1M2_PR
-    NEW met1 ( 1735350 1685890 ) M1M2_PR
-    NEW met1 ( 1737190 23630 ) M1M2_PR
-    NEW met1 ( 1750530 23630 ) M1M2_PR
-    NEW met2 ( 1750530 24140 ) via2_FR
-    NEW met2 ( 2091850 23460 ) via2_FR
-    NEW met1 ( 2091850 20570 ) M1M2_PR
-    NEW li1 ( 2670530 23970 ) L1M1_PR_MR
-    NEW li1 ( 2670530 23290 ) L1M1_PR_MR
-    NEW li1 ( 2526090 20570 ) L1M1_PR_MR
-    NEW li1 ( 2526090 24990 ) L1M1_PR_MR
-    NEW li1 ( 2573010 24990 ) L1M1_PR_MR
-    NEW li1 ( 2573010 20570 ) L1M1_PR_MR
-    NEW li1 ( 2645230 21250 ) L1M1_PR_MR
-    NEW li1 ( 2645230 23970 ) L1M1_PR_MR
-    NEW met1 ( 2845330 23630 ) M1M2_PR
-    NEW li1 ( 2794730 23630 ) L1M1_PR_MR
-    NEW li1 ( 2795190 23630 ) L1M1_PR_MR
-    NEW li1 ( 2746430 22950 ) L1M1_PR_MR
-    NEW li1 ( 2746890 23290 ) L1M1_PR_MR
-    NEW li1 ( 2691230 23290 ) L1M1_PR_MR
-    NEW li1 ( 2691230 22270 ) L1M1_PR_MR
-    NEW li1 ( 2739070 22270 ) L1M1_PR_MR
-    NEW li1 ( 2739070 22950 ) L1M1_PR_MR
+  + ROUTED met2 ( 1919350 24820 ) ( 1919350 24990 )
+    NEW met1 ( 1919350 24990 ) ( 1964890 24990 )
+    NEW met2 ( 1964890 22100 ) ( 1964890 24990 )
+    NEW li1 ( 2208690 20570 ) ( 2208690 23970 )
+    NEW met1 ( 2208690 23970 ) ( 2255610 23970 )
+    NEW li1 ( 2255610 20570 ) ( 2255610 23970 )
+    NEW met2 ( 2828310 2380 0 ) ( 2828310 23970 )
+    NEW met2 ( 1871510 23460 ) ( 1871510 24820 )
+    NEW met3 ( 1871510 24820 ) ( 1919350 24820 )
+    NEW met2 ( 1968110 20570 ) ( 1968110 22100 )
+    NEW met3 ( 1964890 22100 ) ( 1968110 22100 )
+    NEW li1 ( 2160390 20570 ) ( 2160390 23970 )
+    NEW met1 ( 2160390 23970 ) ( 2207310 23970 )
+    NEW li1 ( 2207310 20570 ) ( 2207310 23970 )
+    NEW met1 ( 2207310 20570 ) ( 2208690 20570 )
+    NEW li1 ( 2580370 20570 ) ( 2580370 23970 )
+    NEW met1 ( 2255610 20570 ) ( 2580370 20570 )
+    NEW met1 ( 2580370 23970 ) ( 2828310 23970 )
+    NEW met1 ( 1735350 1684530 ) ( 1738570 1684530 )
+    NEW met2 ( 1735350 1684530 ) ( 1735350 1700340 0 )
+    NEW li1 ( 2092770 20570 ) ( 2092770 24990 )
+    NEW met1 ( 2092770 24990 ) ( 2137850 24990 )
+    NEW li1 ( 2137850 20570 ) ( 2137850 24990 )
+    NEW met1 ( 1968110 20570 ) ( 2092770 20570 )
+    NEW met1 ( 2137850 20570 ) ( 2160390 20570 )
+    NEW met3 ( 1736730 47940 ) ( 1738570 47940 )
+    NEW met2 ( 1738570 23460 ) ( 1738570 47940 )
+    NEW met3 ( 1738570 23460 ) ( 1871510 23460 )
+    NEW met3 ( 1736730 48620 ) ( 1738570 48620 )
+    NEW met3 ( 1736730 47940 ) ( 1736730 48620 )
+    NEW met2 ( 1738570 48620 ) ( 1738570 1684530 )
+    NEW met2 ( 1919350 24820 ) via2_FR
+    NEW met1 ( 1919350 24990 ) M1M2_PR
+    NEW met1 ( 1964890 24990 ) M1M2_PR
+    NEW met2 ( 1964890 22100 ) via2_FR
+    NEW li1 ( 2208690 20570 ) L1M1_PR_MR
+    NEW li1 ( 2208690 23970 ) L1M1_PR_MR
+    NEW li1 ( 2255610 23970 ) L1M1_PR_MR
+    NEW li1 ( 2255610 20570 ) L1M1_PR_MR
+    NEW met1 ( 2828310 23970 ) M1M2_PR
+    NEW met2 ( 1871510 23460 ) via2_FR
+    NEW met2 ( 1871510 24820 ) via2_FR
+    NEW met2 ( 1968110 22100 ) via2_FR
+    NEW met1 ( 1968110 20570 ) M1M2_PR
+    NEW li1 ( 2160390 20570 ) L1M1_PR_MR
+    NEW li1 ( 2160390 23970 ) L1M1_PR_MR
+    NEW li1 ( 2207310 23970 ) L1M1_PR_MR
+    NEW li1 ( 2207310 20570 ) L1M1_PR_MR
+    NEW li1 ( 2580370 20570 ) L1M1_PR_MR
+    NEW li1 ( 2580370 23970 ) L1M1_PR_MR
+    NEW met1 ( 1738570 1684530 ) M1M2_PR
+    NEW met1 ( 1735350 1684530 ) M1M2_PR
+    NEW li1 ( 2092770 20570 ) L1M1_PR_MR
+    NEW li1 ( 2092770 24990 ) L1M1_PR_MR
+    NEW li1 ( 2137850 24990 ) L1M1_PR_MR
+    NEW li1 ( 2137850 20570 ) L1M1_PR_MR
+    NEW met2 ( 1738570 47940 ) via2_FR
+    NEW met2 ( 1738570 23460 ) via2_FR
+    NEW met2 ( 1738570 48620 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) 
-  + ROUTED met1 ( 1739030 1685890 ) ( 1743630 1685890 )
-    NEW met2 ( 1739030 1685890 ) ( 1739030 1700340 0 )
-    NEW met2 ( 1743630 23460 ) ( 1743630 1685890 )
-    NEW met3 ( 1755820 22780 ) ( 1755820 23460 )
-    NEW met3 ( 1755820 22780 ) ( 1776750 22780 )
-    NEW met2 ( 1776750 22780 ) ( 1776750 24140 )
-    NEW met3 ( 1743630 23460 ) ( 1755820 23460 )
-    NEW met3 ( 1776750 24140 ) ( 2863270 24140 )
-    NEW met2 ( 2863270 2380 0 ) ( 2863270 24140 )
-    NEW met1 ( 1743630 1685890 ) M1M2_PR
-    NEW met1 ( 1739030 1685890 ) M1M2_PR
-    NEW met2 ( 1743630 23460 ) via2_FR
-    NEW met2 ( 1776750 22780 ) via2_FR
-    NEW met2 ( 1776750 24140 ) via2_FR
-    NEW met2 ( 2863270 24140 ) via2_FR
+  + ROUTED met2 ( 2845790 2380 0 ) ( 2845790 24140 )
+    NEW met1 ( 1739030 1684190 ) ( 1743170 1684190 )
+    NEW met2 ( 1739030 1684190 ) ( 1739030 1700340 0 )
+    NEW met2 ( 1743170 24140 ) ( 1743170 1684190 )
+    NEW met3 ( 1743170 24140 ) ( 2845790 24140 )
+    NEW met2 ( 2845790 24140 ) via2_FR
+    NEW met2 ( 1743170 24140 ) via2_FR
+    NEW met1 ( 1743170 1684190 ) M1M2_PR
+    NEW met1 ( 1739030 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) 
-  + ROUTED met2 ( 1741790 1700340 ) ( 1742710 1700340 0 )
-    NEW met2 ( 1741790 1666170 ) ( 1741790 1700340 )
-    NEW met1 ( 2877530 62050 ) ( 2881210 62050 )
-    NEW met2 ( 2877530 62050 ) ( 2877530 1666170 )
-    NEW met2 ( 2881210 2380 0 ) ( 2881210 62050 )
-    NEW met1 ( 1741790 1666170 ) ( 2877530 1666170 )
-    NEW met1 ( 1741790 1666170 ) M1M2_PR
-    NEW met1 ( 2877530 1666170 ) M1M2_PR
-    NEW met1 ( 2877530 62050 ) M1M2_PR
-    NEW met1 ( 2881210 62050 ) M1M2_PR
+  + ROUTED met2 ( 1742710 1680110 ) ( 1742710 1700340 0 )
+    NEW met2 ( 2863730 2380 0 ) ( 2863730 1680110 )
+    NEW met1 ( 1742710 1680110 ) ( 2863730 1680110 )
+    NEW met1 ( 2863730 1680110 ) M1M2_PR
+    NEW met1 ( 1742710 1680110 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) 
-  + ROUTED met1 ( 1746390 1684870 ) ( 1751450 1684870 )
-    NEW met2 ( 1746390 1684870 ) ( 1746390 1700340 0 )
-    NEW met2 ( 1751450 1562810 ) ( 1751450 1684870 )
-    NEW met1 ( 2894550 20570 ) ( 2899150 20570 )
-    NEW met2 ( 2894550 20570 ) ( 2894550 1562810 )
-    NEW met2 ( 2899150 2380 0 ) ( 2899150 20570 )
-    NEW met1 ( 1751450 1562810 ) ( 2894550 1562810 )
-    NEW met1 ( 2894550 1562810 ) M1M2_PR
-    NEW met1 ( 1751450 1684870 ) M1M2_PR
-    NEW met1 ( 1746390 1684870 ) M1M2_PR
-    NEW met1 ( 1751450 1562810 ) M1M2_PR
-    NEW met1 ( 2894550 20570 ) M1M2_PR
-    NEW met1 ( 2899150 20570 ) M1M2_PR
+  + ROUTED met2 ( 2881670 2380 0 ) ( 2881670 2890 )
+    NEW met1 ( 2877530 2890 ) ( 2881670 2890 )
+    NEW met1 ( 1746390 1684530 ) ( 1751910 1684530 )
+    NEW met2 ( 1746390 1684530 ) ( 1746390 1700340 0 )
+    NEW met2 ( 1751910 1562810 ) ( 1751910 1684530 )
+    NEW met2 ( 2877530 2890 ) ( 2877530 1562810 )
+    NEW met1 ( 1751910 1562810 ) ( 2877530 1562810 )
+    NEW met1 ( 2881670 2890 ) M1M2_PR
+    NEW met1 ( 2877530 2890 ) M1M2_PR
+    NEW met1 ( 2877530 1562810 ) M1M2_PR
+    NEW met1 ( 1751910 1562810 ) M1M2_PR
+    NEW met1 ( 1751910 1684530 ) M1M2_PR
+    NEW met1 ( 1746390 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) 
-  + ROUTED met1 ( 1319510 1677050 ) ( 1323190 1677050 )
-    NEW met2 ( 1323190 1677050 ) ( 1323190 1700340 )
+  + ROUTED met1 ( 842030 34850 ) ( 848470 34850 )
+    NEW met2 ( 842030 2380 0 ) ( 842030 34850 )
+    NEW met2 ( 848470 34850 ) ( 848470 1625030 )
     NEW met2 ( 1323190 1700340 ) ( 1323650 1700340 0 )
-    NEW met2 ( 1319510 1638970 ) ( 1319510 1677050 )
-    NEW met2 ( 847090 2380 0 ) ( 847090 2890 )
-    NEW met1 ( 847090 2890 ) ( 847550 2890 )
-    NEW met2 ( 847550 2890 ) ( 847550 47940 )
-    NEW met2 ( 847090 47940 ) ( 847550 47940 )
-    NEW met3 ( 846170 48620 ) ( 847090 48620 )
-    NEW met2 ( 847090 47940 ) ( 847090 48620 )
-    NEW li1 ( 846170 90270 ) ( 846170 137870 )
-    NEW met1 ( 846170 137870 ) ( 848470 137870 )
-    NEW met2 ( 846170 48620 ) ( 846170 90270 )
-    NEW li1 ( 848470 186490 ) ( 848470 234430 )
-    NEW met2 ( 848470 137870 ) ( 848470 186490 )
-    NEW li1 ( 848470 283390 ) ( 848470 330990 )
-    NEW met2 ( 848470 234430 ) ( 848470 283390 )
-    NEW li1 ( 848470 379610 ) ( 848470 427550 )
-    NEW met2 ( 848470 330990 ) ( 848470 379610 )
-    NEW li1 ( 848470 476510 ) ( 848470 524110 )
-    NEW met2 ( 848470 427550 ) ( 848470 476510 )
-    NEW li1 ( 848470 572730 ) ( 848470 620670 )
-    NEW met2 ( 848470 524110 ) ( 848470 572730 )
-    NEW li1 ( 848470 669630 ) ( 848470 717230 )
-    NEW met2 ( 848470 620670 ) ( 848470 669630 )
-    NEW li1 ( 848470 766190 ) ( 848470 814130 )
-    NEW met2 ( 848470 717230 ) ( 848470 766190 )
-    NEW met3 ( 848470 862580 ) ( 849390 862580 )
-    NEW met2 ( 849390 862580 ) ( 849390 910690 )
-    NEW met1 ( 848470 910690 ) ( 849390 910690 )
-    NEW met2 ( 848470 814130 ) ( 848470 862580 )
-    NEW met3 ( 848470 959140 ) ( 849390 959140 )
-    NEW met2 ( 849390 959140 ) ( 849390 1007250 )
-    NEW met1 ( 848470 1007250 ) ( 849390 1007250 )
-    NEW met2 ( 848470 910690 ) ( 848470 959140 )
-    NEW met3 ( 848470 1055700 ) ( 849390 1055700 )
-    NEW met2 ( 849390 1055700 ) ( 849390 1103980 )
-    NEW met3 ( 848470 1103980 ) ( 849390 1103980 )
-    NEW met2 ( 848470 1007250 ) ( 848470 1055700 )
-    NEW met1 ( 848470 1152430 ) ( 849390 1152430 )
-    NEW met2 ( 849390 1152430 ) ( 849390 1200540 )
-    NEW met3 ( 848470 1200540 ) ( 849390 1200540 )
-    NEW met2 ( 848470 1103980 ) ( 848470 1152430 )
-    NEW met1 ( 848470 1248990 ) ( 849390 1248990 )
-    NEW met2 ( 849390 1248990 ) ( 849390 1297100 )
-    NEW met3 ( 848470 1297100 ) ( 849390 1297100 )
-    NEW met2 ( 848470 1200540 ) ( 848470 1248990 )
-    NEW met1 ( 848470 1345550 ) ( 849390 1345550 )
-    NEW met2 ( 849390 1345550 ) ( 849390 1393660 )
-    NEW met3 ( 848470 1393660 ) ( 849390 1393660 )
-    NEW met2 ( 848470 1297100 ) ( 848470 1345550 )
-    NEW met1 ( 848470 1442110 ) ( 849390 1442110 )
-    NEW met2 ( 849390 1442110 ) ( 849390 1490220 )
-    NEW met3 ( 848470 1490220 ) ( 849390 1490220 )
-    NEW met2 ( 848470 1393660 ) ( 848470 1442110 )
-    NEW li1 ( 848470 1539010 ) ( 848470 1586950 )
-    NEW met2 ( 848470 1490220 ) ( 848470 1539010 )
-    NEW li1 ( 848470 1635570 ) ( 848470 1638970 )
-    NEW met2 ( 848470 1586950 ) ( 848470 1635570 )
-    NEW met1 ( 848470 1638970 ) ( 1319510 1638970 )
-    NEW met1 ( 1319510 1638970 ) M1M2_PR
-    NEW met1 ( 1319510 1677050 ) M1M2_PR
-    NEW met1 ( 1323190 1677050 ) M1M2_PR
-    NEW met1 ( 847090 2890 ) M1M2_PR
-    NEW met1 ( 847550 2890 ) M1M2_PR
-    NEW met2 ( 847090 48620 ) via2_FR
-    NEW met2 ( 846170 48620 ) via2_FR
-    NEW li1 ( 846170 90270 ) L1M1_PR_MR
-    NEW met1 ( 846170 90270 ) M1M2_PR
-    NEW li1 ( 846170 137870 ) L1M1_PR_MR
-    NEW met1 ( 848470 137870 ) M1M2_PR
-    NEW li1 ( 848470 186490 ) L1M1_PR_MR
-    NEW met1 ( 848470 186490 ) M1M2_PR
-    NEW li1 ( 848470 234430 ) L1M1_PR_MR
-    NEW met1 ( 848470 234430 ) M1M2_PR
-    NEW li1 ( 848470 283390 ) L1M1_PR_MR
-    NEW met1 ( 848470 283390 ) M1M2_PR
-    NEW li1 ( 848470 330990 ) L1M1_PR_MR
-    NEW met1 ( 848470 330990 ) M1M2_PR
-    NEW li1 ( 848470 379610 ) L1M1_PR_MR
-    NEW met1 ( 848470 379610 ) M1M2_PR
-    NEW li1 ( 848470 427550 ) L1M1_PR_MR
-    NEW met1 ( 848470 427550 ) M1M2_PR
-    NEW li1 ( 848470 476510 ) L1M1_PR_MR
-    NEW met1 ( 848470 476510 ) M1M2_PR
-    NEW li1 ( 848470 524110 ) L1M1_PR_MR
-    NEW met1 ( 848470 524110 ) M1M2_PR
-    NEW li1 ( 848470 572730 ) L1M1_PR_MR
-    NEW met1 ( 848470 572730 ) M1M2_PR
-    NEW li1 ( 848470 620670 ) L1M1_PR_MR
-    NEW met1 ( 848470 620670 ) M1M2_PR
-    NEW li1 ( 848470 669630 ) L1M1_PR_MR
-    NEW met1 ( 848470 669630 ) M1M2_PR
-    NEW li1 ( 848470 717230 ) L1M1_PR_MR
-    NEW met1 ( 848470 717230 ) M1M2_PR
-    NEW li1 ( 848470 766190 ) L1M1_PR_MR
-    NEW met1 ( 848470 766190 ) M1M2_PR
-    NEW li1 ( 848470 814130 ) L1M1_PR_MR
-    NEW met1 ( 848470 814130 ) M1M2_PR
-    NEW met2 ( 848470 862580 ) via2_FR
-    NEW met2 ( 849390 862580 ) via2_FR
-    NEW met1 ( 849390 910690 ) M1M2_PR
-    NEW met1 ( 848470 910690 ) M1M2_PR
-    NEW met2 ( 848470 959140 ) via2_FR
-    NEW met2 ( 849390 959140 ) via2_FR
-    NEW met1 ( 849390 1007250 ) M1M2_PR
-    NEW met1 ( 848470 1007250 ) M1M2_PR
-    NEW met2 ( 848470 1055700 ) via2_FR
-    NEW met2 ( 849390 1055700 ) via2_FR
-    NEW met2 ( 849390 1103980 ) via2_FR
-    NEW met2 ( 848470 1103980 ) via2_FR
-    NEW met1 ( 848470 1152430 ) M1M2_PR
-    NEW met1 ( 849390 1152430 ) M1M2_PR
-    NEW met2 ( 849390 1200540 ) via2_FR
-    NEW met2 ( 848470 1200540 ) via2_FR
-    NEW met1 ( 848470 1248990 ) M1M2_PR
-    NEW met1 ( 849390 1248990 ) M1M2_PR
-    NEW met2 ( 849390 1297100 ) via2_FR
-    NEW met2 ( 848470 1297100 ) via2_FR
-    NEW met1 ( 848470 1345550 ) M1M2_PR
-    NEW met1 ( 849390 1345550 ) M1M2_PR
-    NEW met2 ( 849390 1393660 ) via2_FR
-    NEW met2 ( 848470 1393660 ) via2_FR
-    NEW met1 ( 848470 1442110 ) M1M2_PR
-    NEW met1 ( 849390 1442110 ) M1M2_PR
-    NEW met2 ( 849390 1490220 ) via2_FR
-    NEW met2 ( 848470 1490220 ) via2_FR
-    NEW li1 ( 848470 1539010 ) L1M1_PR_MR
-    NEW met1 ( 848470 1539010 ) M1M2_PR
-    NEW li1 ( 848470 1586950 ) L1M1_PR_MR
-    NEW met1 ( 848470 1586950 ) M1M2_PR
-    NEW li1 ( 848470 1635570 ) L1M1_PR_MR
-    NEW met1 ( 848470 1635570 ) M1M2_PR
-    NEW li1 ( 848470 1638970 ) L1M1_PR_MR
-    NEW met1 ( 846170 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 717230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 1539010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 1586950 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 848470 1635570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319510 1664130 ) ( 1323190 1664130 )
+    NEW met2 ( 1323190 1664130 ) ( 1323190 1700340 )
+    NEW met1 ( 848470 1625030 ) ( 1319510 1625030 )
+    NEW met2 ( 1319510 1625030 ) ( 1319510 1664130 )
+    NEW met1 ( 848470 1625030 ) M1M2_PR
+    NEW met1 ( 842030 34850 ) M1M2_PR
+    NEW met1 ( 848470 34850 ) M1M2_PR
+    NEW met1 ( 1319510 1664130 ) M1M2_PR
+    NEW met1 ( 1323190 1664130 ) M1M2_PR
+    NEW met1 ( 1319510 1625030 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) 
-  + ROUTED met2 ( 865030 2380 0 ) ( 865030 2890 )
-    NEW met1 ( 865030 2890 ) ( 869170 2890 )
-    NEW met2 ( 869170 2890 ) ( 869170 1625030 )
-    NEW met2 ( 1327330 1625030 ) ( 1327330 1700340 0 )
-    NEW met1 ( 869170 1625030 ) ( 1327330 1625030 )
-    NEW met1 ( 865030 2890 ) M1M2_PR
-    NEW met1 ( 869170 2890 ) M1M2_PR
-    NEW met1 ( 869170 1625030 ) M1M2_PR
-    NEW met1 ( 1327330 1625030 ) M1M2_PR
+  + ROUTED met2 ( 859970 2380 0 ) ( 859970 2890 )
+    NEW met1 ( 859970 2890 ) ( 862270 2890 )
+    NEW met2 ( 862270 2890 ) ( 862270 1611430 )
+    NEW met2 ( 1326870 1700340 ) ( 1327330 1700340 0 )
+    NEW met2 ( 1326870 1611430 ) ( 1326870 1700340 )
+    NEW met1 ( 862270 1611430 ) ( 1326870 1611430 )
+    NEW met1 ( 859970 2890 ) M1M2_PR
+    NEW met1 ( 862270 2890 ) M1M2_PR
+    NEW met1 ( 862270 1611430 ) M1M2_PR
+    NEW met1 ( 1326870 1611430 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) 
-  + ROUTED met2 ( 882970 2380 0 ) ( 882970 1611430 )
-    NEW met1 ( 1326870 1677730 ) ( 1330550 1677730 )
-    NEW met2 ( 1330550 1677730 ) ( 1330550 1700340 )
-    NEW met2 ( 1330550 1700340 ) ( 1331010 1700340 0 )
-    NEW met2 ( 1326870 1611430 ) ( 1326870 1677730 )
-    NEW met1 ( 882970 1611430 ) ( 1326870 1611430 )
-    NEW met1 ( 882970 1611430 ) M1M2_PR
-    NEW met1 ( 1326870 1611430 ) M1M2_PR
-    NEW met1 ( 1326870 1677730 ) M1M2_PR
-    NEW met1 ( 1330550 1677730 ) M1M2_PR
+  + ROUTED met2 ( 877450 2380 0 ) ( 877450 2890 )
+    NEW met1 ( 877450 2890 ) ( 882970 2890 )
+    NEW met2 ( 882970 2890 ) ( 882970 1681470 )
+    NEW met2 ( 1331010 1681470 ) ( 1331010 1700340 0 )
+    NEW met1 ( 882970 1681470 ) ( 1331010 1681470 )
+    NEW met1 ( 877450 2890 ) M1M2_PR
+    NEW met1 ( 882970 2890 ) M1M2_PR
+    NEW met1 ( 882970 1681470 ) M1M2_PR
+    NEW met1 ( 1331010 1681470 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) 
-  + ROUTED met2 ( 900910 2380 0 ) ( 900910 2890 )
-    NEW met1 ( 900910 2890 ) ( 903670 2890 )
-    NEW met2 ( 1334690 1680450 ) ( 1334690 1700340 0 )
-    NEW met2 ( 903670 2890 ) ( 903670 1680450 )
-    NEW met1 ( 903670 1680450 ) ( 1334690 1680450 )
-    NEW met1 ( 900910 2890 ) M1M2_PR
-    NEW met1 ( 903670 2890 ) M1M2_PR
-    NEW met1 ( 903670 1680450 ) M1M2_PR
-    NEW met1 ( 1334690 1680450 ) M1M2_PR
+  + ROUTED met2 ( 895390 2380 0 ) ( 895390 3060 )
+    NEW met2 ( 895390 3060 ) ( 896770 3060 )
+    NEW met1 ( 1331930 1677730 ) ( 1334230 1677730 )
+    NEW met2 ( 1334230 1677730 ) ( 1334230 1700340 )
+    NEW met2 ( 1334230 1700340 ) ( 1334690 1700340 0 )
+    NEW met2 ( 1331930 1652910 ) ( 1331930 1677730 )
+    NEW met1 ( 896770 1652910 ) ( 1331930 1652910 )
+    NEW met2 ( 896770 3060 ) ( 896770 1652910 )
+    NEW met1 ( 896770 1652910 ) M1M2_PR
+    NEW met1 ( 1331930 1652910 ) M1M2_PR
+    NEW met1 ( 1331930 1677730 ) M1M2_PR
+    NEW met1 ( 1334230 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) 
-  + ROUTED met2 ( 1335610 1677220 ) ( 1338370 1677220 )
-    NEW met2 ( 1338370 1677220 ) ( 1338370 1700340 0 )
-    NEW met2 ( 1335610 1597490 ) ( 1335610 1677220 )
-    NEW met1 ( 924370 1597490 ) ( 1335610 1597490 )
-    NEW met2 ( 918850 2380 0 ) ( 918850 41990 )
-    NEW met1 ( 918850 41990 ) ( 924370 41990 )
-    NEW met2 ( 924370 41990 ) ( 924370 1597490 )
-    NEW met1 ( 924370 1597490 ) M1M2_PR
+  + ROUTED met2 ( 912870 2380 0 ) ( 912870 2890 )
+    NEW met1 ( 912870 2890 ) ( 917470 2890 )
+    NEW met2 ( 1335610 1662260 ) ( 1338370 1662260 )
+    NEW met2 ( 1338370 1662260 ) ( 1338370 1700340 0 )
+    NEW met2 ( 1335610 1597490 ) ( 1335610 1662260 )
+    NEW met2 ( 917470 2890 ) ( 917470 1597490 )
+    NEW met1 ( 917470 1597490 ) ( 1335610 1597490 )
+    NEW met1 ( 912870 2890 ) M1M2_PR
+    NEW met1 ( 917470 2890 ) M1M2_PR
+    NEW met1 ( 917470 1597490 ) M1M2_PR
     NEW met1 ( 1335610 1597490 ) M1M2_PR
-    NEW met1 ( 918850 41990 ) M1M2_PR
-    NEW met1 ( 924370 41990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) 
-  + ROUTED met2 ( 936330 2380 0 ) ( 936330 24140 )
-    NEW met2 ( 1340210 834700 ) ( 1341130 834700 )
-    NEW met3 ( 936330 24140 ) ( 1341130 24140 )
-    NEW met2 ( 1341130 399500 ) ( 1341590 399500 )
-    NEW met1 ( 1341130 496230 ) ( 1341130 496910 )
-    NEW met2 ( 1341130 579700 ) ( 1341590 579700 )
-    NEW met1 ( 1341130 689690 ) ( 1341130 690030 )
-    NEW met1 ( 1341130 690030 ) ( 1341590 690030 )
-    NEW li1 ( 1340210 785570 ) ( 1340210 806310 )
-    NEW met1 ( 1340210 785570 ) ( 1341130 785570 )
-    NEW met2 ( 1340210 806310 ) ( 1340210 834700 )
-    NEW met1 ( 1340210 869550 ) ( 1341130 869550 )
-    NEW met2 ( 1340210 869550 ) ( 1340210 917660 )
-    NEW met3 ( 1340210 917660 ) ( 1341130 917660 )
-    NEW met2 ( 1341130 834700 ) ( 1341130 869550 )
-    NEW met2 ( 1341130 1063180 ) ( 1341590 1063180 )
-    NEW met2 ( 1341130 1268540 ) ( 1341590 1268540 )
-    NEW met1 ( 1341130 1461830 ) ( 1341130 1462510 )
-    NEW li1 ( 1341130 1558050 ) ( 1341130 1559750 )
-    NEW met2 ( 1341130 1462510 ) ( 1341130 1558050 )
-    NEW met2 ( 1340670 1666340 ) ( 1342050 1666340 )
-    NEW met2 ( 1342050 1666340 ) ( 1342050 1700340 0 )
-    NEW li1 ( 1341130 448290 ) ( 1341130 482970 )
-    NEW met2 ( 1341130 434860 ) ( 1341130 448290 )
-    NEW met2 ( 1341130 434860 ) ( 1341590 434860 )
-    NEW met2 ( 1341130 482970 ) ( 1341130 496230 )
-    NEW met2 ( 1341590 399500 ) ( 1341590 434860 )
-    NEW met2 ( 1341130 496910 ) ( 1341130 579700 )
-    NEW li1 ( 1341130 641410 ) ( 1341130 676090 )
-    NEW met2 ( 1341130 627980 ) ( 1341130 641410 )
-    NEW met2 ( 1341130 627980 ) ( 1341590 627980 )
-    NEW met2 ( 1341130 676090 ) ( 1341130 689690 )
-    NEW met2 ( 1341590 579700 ) ( 1341590 627980 )
-    NEW li1 ( 1341130 737970 ) ( 1341130 771970 )
-    NEW met2 ( 1341130 724540 ) ( 1341130 737970 )
-    NEW met2 ( 1341130 724540 ) ( 1341590 724540 )
-    NEW met2 ( 1341130 771970 ) ( 1341130 785570 )
-    NEW met2 ( 1341590 690030 ) ( 1341590 724540 )
-    NEW li1 ( 1341130 1027650 ) ( 1341130 1038530 )
-    NEW met1 ( 1341130 1027650 ) ( 1341590 1027650 )
-    NEW met2 ( 1341130 1038530 ) ( 1341130 1063180 )
-    NEW li1 ( 1341130 1110950 ) ( 1341130 1135090 )
-    NEW met1 ( 1341130 1110950 ) ( 1341590 1110950 )
-    NEW met2 ( 1341130 1135090 ) ( 1341130 1268540 )
-    NEW met2 ( 1341590 1063180 ) ( 1341590 1110950 )
-    NEW met2 ( 1340670 1317500 ) ( 1341590 1317500 )
-    NEW met2 ( 1341590 1268540 ) ( 1341590 1317500 )
-    NEW li1 ( 1341130 1413890 ) ( 1341130 1424770 )
-    NEW met1 ( 1341130 1413890 ) ( 1341590 1413890 )
-    NEW met2 ( 1341130 1424770 ) ( 1341130 1461830 )
-    NEW li1 ( 1340670 1607010 ) ( 1340670 1642030 )
-    NEW met1 ( 1340670 1607010 ) ( 1341130 1607010 )
-    NEW met2 ( 1340670 1642030 ) ( 1340670 1666340 )
-    NEW met2 ( 1341130 1559750 ) ( 1341130 1607010 )
-    NEW met3 ( 1340210 959140 ) ( 1341130 959140 )
-    NEW met2 ( 1340210 959140 ) ( 1340210 1007250 )
-    NEW met1 ( 1340210 1007250 ) ( 1341590 1007250 )
-    NEW met2 ( 1341130 917660 ) ( 1341130 959140 )
-    NEW met2 ( 1341590 1007250 ) ( 1341590 1027650 )
-    NEW met1 ( 1340670 1345550 ) ( 1341130 1345550 )
-    NEW met2 ( 1341130 1345550 ) ( 1341130 1393660 )
-    NEW met2 ( 1341130 1393660 ) ( 1341590 1393660 )
-    NEW met2 ( 1340670 1317500 ) ( 1340670 1345550 )
-    NEW met2 ( 1341590 1393660 ) ( 1341590 1413890 )
-    NEW met2 ( 1340670 213860 ) ( 1341590 213860 )
-    NEW met2 ( 1341590 206380 ) ( 1341590 213860 )
-    NEW met2 ( 1341130 206380 ) ( 1341590 206380 )
-    NEW met2 ( 1341130 24140 ) ( 1341130 206380 )
-    NEW met1 ( 1340670 262650 ) ( 1341130 262650 )
-    NEW met2 ( 1341130 262650 ) ( 1341130 399500 )
-    NEW met2 ( 1340670 213860 ) ( 1340670 262650 )
-    NEW met2 ( 936330 24140 ) via2_FR
-    NEW met2 ( 1341130 24140 ) via2_FR
-    NEW met1 ( 1341130 496230 ) M1M2_PR
-    NEW met1 ( 1341130 496910 ) M1M2_PR
-    NEW met1 ( 1341130 689690 ) M1M2_PR
-    NEW met1 ( 1341590 690030 ) M1M2_PR
-    NEW li1 ( 1340210 806310 ) L1M1_PR_MR
-    NEW met1 ( 1340210 806310 ) M1M2_PR
-    NEW li1 ( 1340210 785570 ) L1M1_PR_MR
-    NEW met1 ( 1341130 785570 ) M1M2_PR
-    NEW met1 ( 1341130 869550 ) M1M2_PR
-    NEW met1 ( 1340210 869550 ) M1M2_PR
-    NEW met2 ( 1340210 917660 ) via2_FR
-    NEW met2 ( 1341130 917660 ) via2_FR
-    NEW met1 ( 1341130 1461830 ) M1M2_PR
-    NEW met1 ( 1341130 1462510 ) M1M2_PR
-    NEW li1 ( 1341130 1558050 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1558050 ) M1M2_PR
-    NEW li1 ( 1341130 1559750 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1559750 ) M1M2_PR
-    NEW li1 ( 1341130 482970 ) L1M1_PR_MR
-    NEW met1 ( 1341130 482970 ) M1M2_PR
-    NEW li1 ( 1341130 448290 ) L1M1_PR_MR
-    NEW met1 ( 1341130 448290 ) M1M2_PR
-    NEW li1 ( 1341130 676090 ) L1M1_PR_MR
-    NEW met1 ( 1341130 676090 ) M1M2_PR
-    NEW li1 ( 1341130 641410 ) L1M1_PR_MR
-    NEW met1 ( 1341130 641410 ) M1M2_PR
-    NEW li1 ( 1341130 771970 ) L1M1_PR_MR
-    NEW met1 ( 1341130 771970 ) M1M2_PR
-    NEW li1 ( 1341130 737970 ) L1M1_PR_MR
-    NEW met1 ( 1341130 737970 ) M1M2_PR
-    NEW li1 ( 1341130 1038530 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1038530 ) M1M2_PR
-    NEW li1 ( 1341130 1027650 ) L1M1_PR_MR
-    NEW met1 ( 1341590 1027650 ) M1M2_PR
-    NEW li1 ( 1341130 1135090 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1135090 ) M1M2_PR
-    NEW li1 ( 1341130 1110950 ) L1M1_PR_MR
-    NEW met1 ( 1341590 1110950 ) M1M2_PR
-    NEW li1 ( 1341130 1424770 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1424770 ) M1M2_PR
-    NEW li1 ( 1341130 1413890 ) L1M1_PR_MR
-    NEW met1 ( 1341590 1413890 ) M1M2_PR
-    NEW li1 ( 1340670 1642030 ) L1M1_PR_MR
-    NEW met1 ( 1340670 1642030 ) M1M2_PR
-    NEW li1 ( 1340670 1607010 ) L1M1_PR_MR
-    NEW met1 ( 1341130 1607010 ) M1M2_PR
-    NEW met2 ( 1341130 959140 ) via2_FR
-    NEW met2 ( 1340210 959140 ) via2_FR
-    NEW met1 ( 1340210 1007250 ) M1M2_PR
-    NEW met1 ( 1341590 1007250 ) M1M2_PR
-    NEW met1 ( 1340670 1345550 ) M1M2_PR
-    NEW met1 ( 1341130 1345550 ) M1M2_PR
-    NEW met1 ( 1340670 262650 ) M1M2_PR
-    NEW met1 ( 1341130 262650 ) M1M2_PR
-    NEW met1 ( 1340210 806310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 1558050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 1559750 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 482970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 448290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 676090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 641410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 771970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 737970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 1038530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 1135090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1341130 1424770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1340670 1642030 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1338830 1678750 ) ( 1341590 1678750 )
+    NEW met2 ( 1341590 1678750 ) ( 1341590 1700340 )
+    NEW met2 ( 1341590 1700340 ) ( 1342050 1700340 0 )
+    NEW met2 ( 1338830 24140 ) ( 1338830 1678750 )
+    NEW met2 ( 930810 2380 0 ) ( 930810 24140 )
+    NEW met3 ( 930810 24140 ) ( 1338830 24140 )
+    NEW met2 ( 1338830 24140 ) via2_FR
+    NEW met1 ( 1338830 1678750 ) M1M2_PR
+    NEW met1 ( 1341590 1678750 ) M1M2_PR
+    NEW met2 ( 930810 24140 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) 
-  + ROUTED met2 ( 954270 2380 0 ) ( 954270 26690 )
-    NEW li1 ( 1318590 26690 ) ( 1318590 27030 )
-    NEW li1 ( 1318590 27030 ) ( 1319510 27030 )
-    NEW li1 ( 1319510 26690 ) ( 1319510 27030 )
-    NEW li1 ( 1319510 26690 ) ( 1319970 26690 )
-    NEW li1 ( 1319970 22610 ) ( 1319970 26690 )
-    NEW met1 ( 1319970 22610 ) ( 1346190 22610 )
+  + ROUTED met2 ( 948750 2380 0 ) ( 948750 26350 )
     NEW met2 ( 1345730 1700340 0 ) ( 1346190 1700340 )
-    NEW met2 ( 1346190 22610 ) ( 1346190 1700340 )
-    NEW met1 ( 954270 26690 ) ( 1318590 26690 )
-    NEW met1 ( 954270 26690 ) M1M2_PR
-    NEW li1 ( 1318590 26690 ) L1M1_PR_MR
-    NEW li1 ( 1319970 22610 ) L1M1_PR_MR
-    NEW met1 ( 1346190 22610 ) M1M2_PR
+    NEW met2 ( 1346190 31450 ) ( 1346190 1700340 )
+    NEW li1 ( 1285010 26350 ) ( 1285010 31450 )
+    NEW met1 ( 948750 26350 ) ( 1285010 26350 )
+    NEW met1 ( 1285010 31450 ) ( 1346190 31450 )
+    NEW met1 ( 948750 26350 ) M1M2_PR
+    NEW met1 ( 1346190 31450 ) M1M2_PR
+    NEW li1 ( 1285010 26350 ) L1M1_PR_MR
+    NEW li1 ( 1285010 31450 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) 
-  + ROUTED met2 ( 972210 2380 0 ) ( 972210 11900 )
-    NEW met2 ( 972210 11900 ) ( 972670 11900 )
-    NEW met2 ( 972670 11900 ) ( 972670 23630 )
-    NEW met1 ( 1348030 1677390 ) ( 1348950 1677390 )
-    NEW met2 ( 1348950 1677390 ) ( 1348950 1700340 )
+  + ROUTED met2 ( 966230 2380 0 ) ( 966230 26690 )
     NEW met2 ( 1348950 1700340 ) ( 1349410 1700340 0 )
-    NEW met2 ( 1348030 31790 ) ( 1348030 1677390 )
-    NEW li1 ( 1292830 23630 ) ( 1292830 31790 )
-    NEW met1 ( 972670 23630 ) ( 1292830 23630 )
-    NEW met1 ( 1292830 31790 ) ( 1348030 31790 )
-    NEW met1 ( 972670 23630 ) M1M2_PR
-    NEW met1 ( 1348030 31790 ) M1M2_PR
-    NEW met1 ( 1348030 1677390 ) M1M2_PR
-    NEW met1 ( 1348950 1677390 ) M1M2_PR
-    NEW li1 ( 1292830 23630 ) L1M1_PR_MR
-    NEW li1 ( 1292830 31790 ) L1M1_PR_MR
+    NEW met2 ( 1348950 31790 ) ( 1348950 1700340 )
+    NEW li1 ( 1270290 26690 ) ( 1270290 31790 )
+    NEW li1 ( 1270290 31790 ) ( 1271210 31790 )
+    NEW met1 ( 966230 26690 ) ( 1270290 26690 )
+    NEW met1 ( 1271210 31790 ) ( 1348950 31790 )
+    NEW met1 ( 966230 26690 ) M1M2_PR
+    NEW met1 ( 1348950 31790 ) M1M2_PR
+    NEW li1 ( 1270290 26690 ) L1M1_PR_MR
+    NEW li1 ( 1271210 31790 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) 
-  + ROUTED met2 ( 651130 2380 0 ) ( 651130 26010 )
-    NEW met2 ( 1221990 26010 ) ( 1221990 26860 )
-    NEW met1 ( 651130 26010 ) ( 1221990 26010 )
-    NEW met3 ( 1221990 26860 ) ( 1284090 26860 )
-    NEW met2 ( 1283630 1700340 0 ) ( 1284090 1700340 )
-    NEW met2 ( 1284090 26860 ) ( 1284090 1700340 )
-    NEW met1 ( 651130 26010 ) M1M2_PR
-    NEW met1 ( 1221990 26010 ) M1M2_PR
-    NEW met2 ( 1221990 26860 ) via2_FR
-    NEW met2 ( 1284090 26860 ) via2_FR
+  + ROUTED met2 ( 646990 2380 0 ) ( 646990 25670 )
+    NEW li1 ( 936330 25670 ) ( 936330 26690 )
+    NEW li1 ( 1052250 22270 ) ( 1052250 25670 )
+    NEW met3 ( 1269140 26860 ) ( 1269140 27540 )
+    NEW li1 ( 907350 25670 ) ( 907350 26690 )
+    NEW met1 ( 907350 26690 ) ( 936330 26690 )
+    NEW li1 ( 1003950 22270 ) ( 1003950 25670 )
+    NEW met1 ( 936330 25670 ) ( 1003950 25670 )
+    NEW met1 ( 1003950 22270 ) ( 1052250 22270 )
+    NEW met1 ( 1173690 25670 ) ( 1173690 26010 )
+    NEW met1 ( 1173690 26010 ) ( 1220610 26010 )
+    NEW met2 ( 1220610 26010 ) ( 1220610 26860 )
+    NEW met1 ( 1052250 25670 ) ( 1173690 25670 )
+    NEW met3 ( 1220610 26860 ) ( 1269140 26860 )
+    NEW met3 ( 1269140 27540 ) ( 1283630 27540 )
+    NEW met2 ( 1283630 27540 ) ( 1283630 1700340 0 )
+    NEW met1 ( 796950 26010 ) ( 796950 26350 )
+    NEW met1 ( 724730 26350 ) ( 724730 26690 )
+    NEW met1 ( 724730 26690 ) ( 748650 26690 )
+    NEW li1 ( 748650 26010 ) ( 748650 26690 )
+    NEW met1 ( 748650 26010 ) ( 796950 26010 )
+    NEW li1 ( 834670 26350 ) ( 834670 27710 )
+    NEW met1 ( 834670 27710 ) ( 845710 27710 )
+    NEW li1 ( 845710 25670 ) ( 845710 27710 )
+    NEW met1 ( 796950 26350 ) ( 834670 26350 )
+    NEW met1 ( 845710 25670 ) ( 907350 25670 )
+    NEW li1 ( 690690 25670 ) ( 690690 26350 )
+    NEW met1 ( 646990 25670 ) ( 690690 25670 )
+    NEW met1 ( 690690 26350 ) ( 724730 26350 )
+    NEW met1 ( 646990 25670 ) M1M2_PR
+    NEW li1 ( 936330 26690 ) L1M1_PR_MR
+    NEW li1 ( 936330 25670 ) L1M1_PR_MR
+    NEW li1 ( 1052250 22270 ) L1M1_PR_MR
+    NEW li1 ( 1052250 25670 ) L1M1_PR_MR
+    NEW li1 ( 907350 25670 ) L1M1_PR_MR
+    NEW li1 ( 907350 26690 ) L1M1_PR_MR
+    NEW li1 ( 1003950 25670 ) L1M1_PR_MR
+    NEW li1 ( 1003950 22270 ) L1M1_PR_MR
+    NEW met1 ( 1220610 26010 ) M1M2_PR
+    NEW met2 ( 1220610 26860 ) via2_FR
+    NEW met2 ( 1283630 27540 ) via2_FR
+    NEW li1 ( 748650 26690 ) L1M1_PR_MR
+    NEW li1 ( 748650 26010 ) L1M1_PR_MR
+    NEW li1 ( 834670 26350 ) L1M1_PR_MR
+    NEW li1 ( 834670 27710 ) L1M1_PR_MR
+    NEW li1 ( 845710 27710 ) L1M1_PR_MR
+    NEW li1 ( 845710 25670 ) L1M1_PR_MR
+    NEW li1 ( 690690 25670 ) L1M1_PR_MR
+    NEW li1 ( 690690 26350 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) 
-  + ROUTED met1 ( 1319510 22950 ) ( 1319510 23290 )
-    NEW met1 ( 1319510 22950 ) ( 1335150 22950 )
-    NEW li1 ( 1335150 22270 ) ( 1335150 22950 )
-    NEW met1 ( 1335150 22270 ) ( 1352630 22270 )
+  + ROUTED li1 ( 1335150 22950 ) ( 1335150 23630 )
+    NEW met1 ( 1335150 22950 ) ( 1352630 22950 )
     NEW met2 ( 1352630 1700340 ) ( 1353090 1700340 0 )
-    NEW met2 ( 1352630 22270 ) ( 1352630 1700340 )
-    NEW met2 ( 990150 2380 0 ) ( 990150 23290 )
-    NEW met1 ( 990150 23290 ) ( 1319510 23290 )
+    NEW met2 ( 1352630 22950 ) ( 1352630 1700340 )
+    NEW met2 ( 984170 2380 0 ) ( 984170 23630 )
+    NEW met1 ( 984170 23630 ) ( 1335150 23630 )
+    NEW li1 ( 1335150 23630 ) L1M1_PR_MR
     NEW li1 ( 1335150 22950 ) L1M1_PR_MR
-    NEW li1 ( 1335150 22270 ) L1M1_PR_MR
-    NEW met1 ( 1352630 22270 ) M1M2_PR
-    NEW met1 ( 990150 23290 ) M1M2_PR
+    NEW met1 ( 1352630 22950 ) M1M2_PR
+    NEW met1 ( 984170 23630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) 
-  + ROUTED met2 ( 1354470 255340 ) ( 1354930 255340 )
-    NEW met2 ( 1354470 835380 ) ( 1354930 835380 )
-    NEW met2 ( 1354470 1125060 ) ( 1354930 1125060 )
-    NEW met2 ( 1354470 1221620 ) ( 1354930 1221620 )
-    NEW met2 ( 1319050 22270 ) ( 1319050 22950 )
-    NEW met1 ( 1319050 22270 ) ( 1334690 22270 )
-    NEW li1 ( 1334690 20570 ) ( 1334690 22270 )
-    NEW met1 ( 1334690 20570 ) ( 1342510 20570 )
-    NEW met1 ( 1342510 20570 ) ( 1342510 20910 )
-    NEW met1 ( 1354470 255170 ) ( 1355390 255170 )
-    NEW met2 ( 1354470 255170 ) ( 1354470 255340 )
-    NEW met2 ( 1354470 834700 ) ( 1355390 834700 )
-    NEW met2 ( 1354470 834700 ) ( 1354470 835380 )
-    NEW met1 ( 1354470 1124550 ) ( 1355390 1124550 )
-    NEW met2 ( 1354470 1124550 ) ( 1354470 1125060 )
-    NEW met2 ( 1354470 1220940 ) ( 1354930 1220940 )
-    NEW met2 ( 1354470 1220940 ) ( 1354470 1221620 )
-    NEW met2 ( 1354930 1125060 ) ( 1354930 1220940 )
+  + ROUTED met2 ( 1001650 2380 0 ) ( 1001650 11900 )
+    NEW met2 ( 1001650 11900 ) ( 1002110 11900 )
+    NEW li1 ( 1318590 22610 ) ( 1318590 23290 )
+    NEW met1 ( 1318590 22610 ) ( 1354930 22610 )
+    NEW met2 ( 1354470 110500 ) ( 1354930 110500 )
     NEW met2 ( 1356310 1700340 ) ( 1356770 1700340 0 )
-    NEW met2 ( 1007630 2380 0 ) ( 1007630 22950 )
-    NEW met1 ( 1007630 22950 ) ( 1319050 22950 )
-    NEW met2 ( 1354930 1086980 ) ( 1355390 1086980 )
-    NEW met2 ( 1355390 1086980 ) ( 1355390 1124550 )
-    NEW met2 ( 1354930 1280100 ) ( 1355390 1280100 )
-    NEW met2 ( 1354930 1221620 ) ( 1354930 1280100 )
-    NEW li1 ( 1354930 1027650 ) ( 1354930 1028670 )
-    NEW met2 ( 1354930 835380 ) ( 1354930 1027650 )
-    NEW met2 ( 1354930 1028670 ) ( 1354930 1086980 )
-    NEW met2 ( 1354930 1352180 ) ( 1355390 1352180 )
-    NEW met2 ( 1355390 1280100 ) ( 1355390 1352180 )
-    NEW li1 ( 1354930 1413890 ) ( 1354930 1415250 )
-    NEW met2 ( 1354930 1352180 ) ( 1354930 1413890 )
-    NEW met1 ( 1342510 20910 ) ( 1354470 20910 )
-    NEW met2 ( 1354470 90100 ) ( 1354930 90100 )
-    NEW met1 ( 1354930 186490 ) ( 1355390 186490 )
-    NEW met2 ( 1355390 186490 ) ( 1355390 255170 )
-    NEW met1 ( 1354470 1655290 ) ( 1356310 1655290 )
-    NEW met2 ( 1356310 1655290 ) ( 1356310 1700340 )
-    NEW met2 ( 1354470 47940 ) ( 1355390 47940 )
-    NEW met2 ( 1355390 47940 ) ( 1355390 48620 )
-    NEW met2 ( 1354470 48620 ) ( 1355390 48620 )
-    NEW met2 ( 1354470 20910 ) ( 1354470 47940 )
-    NEW met2 ( 1354470 48620 ) ( 1354470 90100 )
-    NEW met2 ( 1354930 90100 ) ( 1354930 186490 )
-    NEW met2 ( 1354470 1579980 ) ( 1354930 1579980 )
-    NEW met2 ( 1354470 1579980 ) ( 1354470 1655290 )
-    NEW met2 ( 1354470 1524900 ) ( 1354930 1524900 )
-    NEW met2 ( 1354930 1524900 ) ( 1354930 1579980 )
-    NEW met2 ( 1354930 255340 ) ( 1354930 317730 )
-    NEW met1 ( 1354470 1496850 ) ( 1354470 1497530 )
-    NEW met1 ( 1354470 1496850 ) ( 1354930 1496850 )
-    NEW met2 ( 1354470 1497530 ) ( 1354470 1524900 )
-    NEW met2 ( 1354930 1415250 ) ( 1354930 1496850 )
-    NEW met1 ( 1354470 383010 ) ( 1354930 383010 )
-    NEW li1 ( 1354930 317730 ) ( 1354930 383010 )
-    NEW met1 ( 1354930 745790 ) ( 1355390 745790 )
-    NEW met2 ( 1355390 745790 ) ( 1355390 834700 )
-    NEW met2 ( 1354470 624580 ) ( 1355390 624580 )
-    NEW met2 ( 1355390 624580 ) ( 1355390 628660 )
-    NEW met2 ( 1354930 628660 ) ( 1355390 628660 )
-    NEW met1 ( 1354930 697170 ) ( 1355390 697170 )
-    NEW met2 ( 1355390 697170 ) ( 1355390 744940 )
-    NEW met2 ( 1354930 744940 ) ( 1355390 744940 )
-    NEW met2 ( 1354930 744940 ) ( 1354930 745790 )
-    NEW li1 ( 1354930 642430 ) ( 1354930 689690 )
-    NEW met2 ( 1354930 628660 ) ( 1354930 642430 )
-    NEW met2 ( 1354930 689690 ) ( 1354930 697170 )
-    NEW li1 ( 1354470 400350 ) ( 1354470 407490 )
-    NEW met1 ( 1354470 407490 ) ( 1354930 407490 )
-    NEW met2 ( 1354470 383010 ) ( 1354470 400350 )
-    NEW met3 ( 1354470 545020 ) ( 1355850 545020 )
-    NEW met2 ( 1355850 496910 ) ( 1355850 545020 )
-    NEW met1 ( 1354930 496910 ) ( 1355850 496910 )
-    NEW met2 ( 1354470 545020 ) ( 1354470 624580 )
-    NEW met2 ( 1354930 407490 ) ( 1354930 496910 )
-    NEW met1 ( 1319050 22950 ) M1M2_PR
-    NEW met1 ( 1319050 22270 ) M1M2_PR
-    NEW li1 ( 1334690 22270 ) L1M1_PR_MR
-    NEW li1 ( 1334690 20570 ) L1M1_PR_MR
-    NEW met1 ( 1354470 255170 ) M1M2_PR
-    NEW met1 ( 1355390 255170 ) M1M2_PR
-    NEW met1 ( 1354470 1124550 ) M1M2_PR
-    NEW met1 ( 1355390 1124550 ) M1M2_PR
-    NEW met1 ( 1007630 22950 ) M1M2_PR
-    NEW li1 ( 1354930 1027650 ) L1M1_PR_MR
-    NEW met1 ( 1354930 1027650 ) M1M2_PR
-    NEW li1 ( 1354930 1028670 ) L1M1_PR_MR
-    NEW met1 ( 1354930 1028670 ) M1M2_PR
-    NEW li1 ( 1354930 1413890 ) L1M1_PR_MR
-    NEW met1 ( 1354930 1413890 ) M1M2_PR
-    NEW li1 ( 1354930 1415250 ) L1M1_PR_MR
-    NEW met1 ( 1354930 1415250 ) M1M2_PR
-    NEW met1 ( 1354470 20910 ) M1M2_PR
-    NEW met1 ( 1354930 186490 ) M1M2_PR
-    NEW met1 ( 1355390 186490 ) M1M2_PR
-    NEW met1 ( 1354470 1655290 ) M1M2_PR
-    NEW met1 ( 1356310 1655290 ) M1M2_PR
-    NEW li1 ( 1354930 317730 ) L1M1_PR_MR
-    NEW met1 ( 1354930 317730 ) M1M2_PR
-    NEW met1 ( 1354470 1497530 ) M1M2_PR
-    NEW met1 ( 1354930 1496850 ) M1M2_PR
-    NEW li1 ( 1354930 383010 ) L1M1_PR_MR
-    NEW met1 ( 1354470 383010 ) M1M2_PR
-    NEW met1 ( 1354930 745790 ) M1M2_PR
-    NEW met1 ( 1355390 745790 ) M1M2_PR
-    NEW met1 ( 1354930 697170 ) M1M2_PR
-    NEW met1 ( 1355390 697170 ) M1M2_PR
-    NEW li1 ( 1354930 642430 ) L1M1_PR_MR
-    NEW met1 ( 1354930 642430 ) M1M2_PR
-    NEW li1 ( 1354930 689690 ) L1M1_PR_MR
-    NEW met1 ( 1354930 689690 ) M1M2_PR
-    NEW li1 ( 1354470 400350 ) L1M1_PR_MR
-    NEW met1 ( 1354470 400350 ) M1M2_PR
-    NEW li1 ( 1354470 407490 ) L1M1_PR_MR
-    NEW met1 ( 1354930 407490 ) M1M2_PR
-    NEW met2 ( 1354470 545020 ) via2_FR
-    NEW met2 ( 1355850 545020 ) via2_FR
-    NEW met1 ( 1355850 496910 ) M1M2_PR
-    NEW met1 ( 1354930 496910 ) M1M2_PR
-    NEW met2 ( 1354470 255170 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1354930 1027650 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 1028670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 1413890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 1415250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 317730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 642430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354930 689690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1354470 400350 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1002110 11900 ) ( 1002110 23290 )
+    NEW met1 ( 1002110 23290 ) ( 1318590 23290 )
+    NEW met2 ( 1354470 72420 ) ( 1354930 72420 )
+    NEW met2 ( 1354470 72420 ) ( 1354470 110500 )
+    NEW met2 ( 1354930 22610 ) ( 1354930 72420 )
+    NEW met3 ( 1354470 959140 ) ( 1355850 959140 )
+    NEW met2 ( 1355850 959140 ) ( 1355850 983110 )
+    NEW met1 ( 1354930 983110 ) ( 1355850 983110 )
+    NEW met1 ( 1354930 1539010 ) ( 1355850 1539010 )
+    NEW met1 ( 1354470 1635570 ) ( 1356310 1635570 )
+    NEW met2 ( 1356310 1635570 ) ( 1356310 1700340 )
+    NEW met1 ( 1354470 427890 ) ( 1354930 427890 )
+    NEW met1 ( 1354930 1123870 ) ( 1354930 1124550 )
+    NEW met2 ( 1354930 983110 ) ( 1354930 1123870 )
+    NEW met1 ( 1354930 1393830 ) ( 1355390 1393830 )
+    NEW met1 ( 1354470 1622310 ) ( 1355850 1622310 )
+    NEW met2 ( 1354470 1622310 ) ( 1354470 1635570 )
+    NEW met2 ( 1355850 1539010 ) ( 1355850 1622310 )
+    NEW li1 ( 1354930 180030 ) ( 1354930 227630 )
+    NEW met2 ( 1354930 110500 ) ( 1354930 180030 )
+    NEW met1 ( 1354470 420750 ) ( 1354930 420750 )
+    NEW met2 ( 1354470 420750 ) ( 1354470 427890 )
+    NEW li1 ( 1354930 331330 ) ( 1354930 420750 )
+    NEW li1 ( 1354930 469370 ) ( 1354930 517310 )
+    NEW met2 ( 1354930 427890 ) ( 1354930 469370 )
+    NEW met1 ( 1354470 1289790 ) ( 1354930 1289790 )
+    NEW met2 ( 1354930 1124550 ) ( 1354930 1289790 )
+    NEW li1 ( 1354930 1435310 ) ( 1354930 1459450 )
+    NEW met1 ( 1354930 1435310 ) ( 1355390 1435310 )
+    NEW met2 ( 1354930 1459450 ) ( 1354930 1539010 )
+    NEW met2 ( 1355390 1393830 ) ( 1355390 1435310 )
+    NEW met2 ( 1354930 227630 ) ( 1354930 331330 )
+    NEW met1 ( 1354930 523770 ) ( 1354930 524450 )
+    NEW met2 ( 1354930 517310 ) ( 1354930 523770 )
+    NEW met2 ( 1354470 951490 ) ( 1354470 959140 )
+    NEW met3 ( 1354470 1290300 ) ( 1355850 1290300 )
+    NEW met2 ( 1355850 1290300 ) ( 1355850 1338580 )
+    NEW met3 ( 1354930 1338580 ) ( 1355850 1338580 )
+    NEW met2 ( 1354470 1289790 ) ( 1354470 1290300 )
+    NEW met2 ( 1354930 1338580 ) ( 1354930 1393830 )
+    NEW li1 ( 1354470 656030 ) ( 1354470 703630 )
+    NEW met1 ( 1354470 703630 ) ( 1354930 703630 )
+    NEW li1 ( 1354470 607410 ) ( 1354470 655010 )
+    NEW met1 ( 1354470 607410 ) ( 1354930 607410 )
+    NEW met2 ( 1354470 655010 ) ( 1354470 656030 )
+    NEW met2 ( 1354930 524450 ) ( 1354930 607410 )
+    NEW met2 ( 1354470 727940 ) ( 1354930 727940 )
+    NEW met2 ( 1354930 703630 ) ( 1354930 727940 )
+    NEW li1 ( 1354470 903890 ) ( 1354470 951490 )
+    NEW li1 ( 1354470 745790 ) ( 1354470 751910 )
+    NEW met1 ( 1354470 751910 ) ( 1354930 751910 )
+    NEW met2 ( 1354470 727940 ) ( 1354470 745790 )
+    NEW met1 ( 1354470 842010 ) ( 1354470 842690 )
+    NEW met2 ( 1354470 842690 ) ( 1354470 903890 )
+    NEW li1 ( 1354470 793730 ) ( 1354470 841330 )
+    NEW met1 ( 1354470 793730 ) ( 1354930 793730 )
+    NEW met2 ( 1354470 841330 ) ( 1354470 842010 )
+    NEW met2 ( 1354930 751910 ) ( 1354930 793730 )
+    NEW li1 ( 1318590 23290 ) L1M1_PR_MR
+    NEW li1 ( 1318590 22610 ) L1M1_PR_MR
+    NEW met1 ( 1354930 22610 ) M1M2_PR
+    NEW met1 ( 1002110 23290 ) M1M2_PR
+    NEW met2 ( 1354470 959140 ) via2_FR
+    NEW met2 ( 1355850 959140 ) via2_FR
+    NEW met1 ( 1355850 983110 ) M1M2_PR
+    NEW met1 ( 1354930 983110 ) M1M2_PR
+    NEW met1 ( 1354930 1539010 ) M1M2_PR
+    NEW met1 ( 1355850 1539010 ) M1M2_PR
+    NEW met1 ( 1354470 1635570 ) M1M2_PR
+    NEW met1 ( 1356310 1635570 ) M1M2_PR
+    NEW li1 ( 1354930 331330 ) L1M1_PR_MR
+    NEW met1 ( 1354930 331330 ) M1M2_PR
+    NEW met1 ( 1354470 427890 ) M1M2_PR
+    NEW met1 ( 1354930 427890 ) M1M2_PR
+    NEW met1 ( 1354930 1123870 ) M1M2_PR
+    NEW met1 ( 1354930 1124550 ) M1M2_PR
+    NEW met1 ( 1354930 1393830 ) M1M2_PR
+    NEW met1 ( 1355390 1393830 ) M1M2_PR
+    NEW met1 ( 1354470 1622310 ) M1M2_PR
+    NEW met1 ( 1355850 1622310 ) M1M2_PR
+    NEW li1 ( 1354930 180030 ) L1M1_PR_MR
+    NEW met1 ( 1354930 180030 ) M1M2_PR
+    NEW li1 ( 1354930 227630 ) L1M1_PR_MR
+    NEW met1 ( 1354930 227630 ) M1M2_PR
+    NEW met1 ( 1354470 420750 ) M1M2_PR
+    NEW li1 ( 1354930 420750 ) L1M1_PR_MR
+    NEW li1 ( 1354930 469370 ) L1M1_PR_MR
+    NEW met1 ( 1354930 469370 ) M1M2_PR
+    NEW li1 ( 1354930 517310 ) L1M1_PR_MR
+    NEW met1 ( 1354930 517310 ) M1M2_PR
+    NEW met1 ( 1354470 1289790 ) M1M2_PR
+    NEW met1 ( 1354930 1289790 ) M1M2_PR
+    NEW li1 ( 1354930 1459450 ) L1M1_PR_MR
+    NEW met1 ( 1354930 1459450 ) M1M2_PR
+    NEW li1 ( 1354930 1435310 ) L1M1_PR_MR
+    NEW met1 ( 1355390 1435310 ) M1M2_PR
+    NEW met1 ( 1354930 523770 ) M1M2_PR
+    NEW met1 ( 1354930 524450 ) M1M2_PR
+    NEW li1 ( 1354470 951490 ) L1M1_PR_MR
+    NEW met1 ( 1354470 951490 ) M1M2_PR
+    NEW met2 ( 1354470 1290300 ) via2_FR
+    NEW met2 ( 1355850 1290300 ) via2_FR
+    NEW met2 ( 1355850 1338580 ) via2_FR
+    NEW met2 ( 1354930 1338580 ) via2_FR
+    NEW li1 ( 1354470 656030 ) L1M1_PR_MR
+    NEW met1 ( 1354470 656030 ) M1M2_PR
+    NEW li1 ( 1354470 703630 ) L1M1_PR_MR
+    NEW met1 ( 1354930 703630 ) M1M2_PR
+    NEW li1 ( 1354470 655010 ) L1M1_PR_MR
+    NEW met1 ( 1354470 655010 ) M1M2_PR
+    NEW li1 ( 1354470 607410 ) L1M1_PR_MR
+    NEW met1 ( 1354930 607410 ) M1M2_PR
+    NEW li1 ( 1354470 903890 ) L1M1_PR_MR
+    NEW met1 ( 1354470 903890 ) M1M2_PR
+    NEW li1 ( 1354470 745790 ) L1M1_PR_MR
+    NEW met1 ( 1354470 745790 ) M1M2_PR
+    NEW li1 ( 1354470 751910 ) L1M1_PR_MR
+    NEW met1 ( 1354930 751910 ) M1M2_PR
+    NEW met1 ( 1354470 842010 ) M1M2_PR
+    NEW met1 ( 1354470 842690 ) M1M2_PR
+    NEW li1 ( 1354470 841330 ) L1M1_PR_MR
+    NEW met1 ( 1354470 841330 ) M1M2_PR
+    NEW li1 ( 1354470 793730 ) L1M1_PR_MR
+    NEW met1 ( 1354930 793730 ) M1M2_PR
+    NEW met1 ( 1354930 331330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354930 180030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354930 227630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354930 469370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354930 517310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354930 1459450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 951490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 656030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 655010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 903890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 745790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1354470 841330 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) 
-  + ROUTED met2 ( 1319510 22610 ) ( 1319510 22780 )
-    NEW met3 ( 1319510 22780 ) ( 1346650 22780 )
-    NEW met2 ( 1346650 22610 ) ( 1346650 22780 )
-    NEW met1 ( 1346650 22610 ) ( 1359990 22610 )
+  + ROUTED li1 ( 1334690 20570 ) ( 1334690 22950 )
+    NEW met1 ( 1334690 20570 ) ( 1342510 20570 )
+    NEW met1 ( 1342510 20570 ) ( 1342510 20910 )
+    NEW met1 ( 1342510 20910 ) ( 1359990 20910 )
     NEW met2 ( 1359990 1700340 ) ( 1360450 1700340 0 )
-    NEW met2 ( 1025570 2380 0 ) ( 1025570 22610 )
-    NEW met1 ( 1025570 22610 ) ( 1319510 22610 )
-    NEW met2 ( 1359990 22610 ) ( 1359990 1700340 )
-    NEW met1 ( 1319510 22610 ) M1M2_PR
-    NEW met2 ( 1319510 22780 ) via2_FR
-    NEW met2 ( 1346650 22780 ) via2_FR
-    NEW met1 ( 1346650 22610 ) M1M2_PR
-    NEW met1 ( 1359990 22610 ) M1M2_PR
-    NEW met1 ( 1025570 22610 ) M1M2_PR
+    NEW met2 ( 1359990 20910 ) ( 1359990 1700340 )
+    NEW met2 ( 1019590 2380 0 ) ( 1019590 22950 )
+    NEW met1 ( 1019590 22950 ) ( 1334690 22950 )
+    NEW li1 ( 1334690 22950 ) L1M1_PR_MR
+    NEW li1 ( 1334690 20570 ) L1M1_PR_MR
+    NEW met1 ( 1359990 20910 ) M1M2_PR
+    NEW met1 ( 1019590 22950 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) 
-  + ROUTED met2 ( 1043510 2380 0 ) ( 1043510 22270 )
-    NEW met2 ( 1318590 22100 ) ( 1318590 22270 )
-    NEW met1 ( 1043510 22270 ) ( 1318590 22270 )
-    NEW met2 ( 1362750 1135260 ) ( 1363670 1135260 )
-    NEW met2 ( 1363670 1124380 ) ( 1363670 1135260 )
-    NEW met2 ( 1363210 1124380 ) ( 1363670 1124380 )
-    NEW met3 ( 1318590 22100 ) ( 1362290 22100 )
-    NEW met1 ( 1362750 94010 ) ( 1363670 94010 )
-    NEW met2 ( 1362750 1055700 ) ( 1363210 1055700 )
-    NEW met2 ( 1363210 1055700 ) ( 1363210 1124380 )
-    NEW met2 ( 1362290 56780 ) ( 1362750 56780 )
-    NEW met2 ( 1362290 22100 ) ( 1362290 56780 )
-    NEW met2 ( 1362750 56780 ) ( 1362750 94010 )
-    NEW met3 ( 1364130 1684020 ) ( 1364820 1684020 )
-    NEW met3 ( 1364820 1684020 ) ( 1364820 1684700 )
-    NEW met3 ( 1364130 1684700 ) ( 1364820 1684700 )
-    NEW met2 ( 1364130 1684700 ) ( 1364130 1700340 0 )
-    NEW met2 ( 1362750 493340 ) ( 1363210 493340 )
-    NEW met1 ( 1362750 903890 ) ( 1363210 903890 )
-    NEW met2 ( 1362750 1579980 ) ( 1363210 1579980 )
-    NEW met1 ( 1362750 607070 ) ( 1363210 607070 )
-    NEW met2 ( 1362750 493340 ) ( 1362750 607070 )
-    NEW met1 ( 1362750 1379890 ) ( 1363210 1379890 )
-    NEW met2 ( 1362750 1524900 ) ( 1363210 1524900 )
-    NEW met2 ( 1363210 1524900 ) ( 1363210 1579980 )
-    NEW met1 ( 1362290 1621630 ) ( 1362750 1621630 )
-    NEW met2 ( 1362290 1621630 ) ( 1362290 1669740 )
-    NEW met3 ( 1362290 1669740 ) ( 1364130 1669740 )
-    NEW met2 ( 1362750 1579980 ) ( 1362750 1621630 )
-    NEW met2 ( 1364130 1669740 ) ( 1364130 1684020 )
-    NEW met3 ( 1362750 1476620 ) ( 1363670 1476620 )
-    NEW met2 ( 1363670 1476620 ) ( 1363670 1524730 )
-    NEW met1 ( 1362750 1524730 ) ( 1363670 1524730 )
-    NEW met2 ( 1362750 1379890 ) ( 1362750 1476620 )
-    NEW met2 ( 1362750 1524730 ) ( 1362750 1524900 )
-    NEW met1 ( 1363210 213010 ) ( 1363210 213350 )
-    NEW met1 ( 1362750 213010 ) ( 1363210 213010 )
-    NEW met2 ( 1362750 166260 ) ( 1362750 213010 )
-    NEW met3 ( 1362750 166260 ) ( 1363670 166260 )
-    NEW met2 ( 1363670 94010 ) ( 1363670 166260 )
-    NEW met2 ( 1362290 286620 ) ( 1362750 286620 )
-    NEW met2 ( 1362750 672860 ) ( 1363210 672860 )
-    NEW met2 ( 1363210 607070 ) ( 1363210 672860 )
-    NEW met1 ( 1362750 793390 ) ( 1363210 793390 )
-    NEW met2 ( 1362750 938740 ) ( 1363210 938740 )
-    NEW met2 ( 1362750 903890 ) ( 1362750 938740 )
-    NEW met3 ( 1362290 262140 ) ( 1364130 262140 )
-    NEW met2 ( 1364130 214030 ) ( 1364130 262140 )
-    NEW met1 ( 1363210 214030 ) ( 1364130 214030 )
-    NEW met2 ( 1362290 262140 ) ( 1362290 286620 )
-    NEW met2 ( 1363210 213350 ) ( 1363210 214030 )
-    NEW met1 ( 1362750 310590 ) ( 1363210 310590 )
-    NEW met2 ( 1362750 286620 ) ( 1362750 310590 )
-    NEW met2 ( 1363210 310590 ) ( 1363210 493340 )
-    NEW li1 ( 1362750 697170 ) ( 1362750 718590 )
-    NEW met2 ( 1362750 672860 ) ( 1362750 697170 )
-    NEW li1 ( 1362750 817530 ) ( 1362750 841670 )
-    NEW met1 ( 1362750 841670 ) ( 1363210 841670 )
-    NEW met2 ( 1362750 793390 ) ( 1362750 817530 )
-    NEW met2 ( 1363210 841670 ) ( 1363210 903890 )
-    NEW met1 ( 1362750 1034450 ) ( 1362750 1034790 )
-    NEW met1 ( 1362750 1034450 ) ( 1363210 1034450 )
-    NEW met2 ( 1362750 1034790 ) ( 1362750 1055700 )
-    NEW met2 ( 1363210 938740 ) ( 1363210 1034450 )
-    NEW met2 ( 1362750 1135260 ) ( 1362750 1179970 )
-    NEW li1 ( 1362750 1276530 ) ( 1362750 1284350 )
-    NEW met2 ( 1362750 1284350 ) ( 1362750 1324300 )
-    NEW met2 ( 1362750 1324300 ) ( 1363210 1324300 )
-    NEW met2 ( 1363210 1324300 ) ( 1363210 1379890 )
-    NEW li1 ( 1362750 738310 ) ( 1362750 786590 )
-    NEW met1 ( 1362750 786590 ) ( 1363210 786590 )
-    NEW met2 ( 1362750 718590 ) ( 1362750 738310 )
-    NEW met2 ( 1363210 786590 ) ( 1363210 793390 )
-    NEW li1 ( 1362750 1179970 ) ( 1362750 1269390 )
-    NEW met2 ( 1362750 1269390 ) ( 1362750 1276530 )
-    NEW met1 ( 1043510 22270 ) M1M2_PR
-    NEW met1 ( 1318590 22270 ) M1M2_PR
-    NEW met2 ( 1318590 22100 ) via2_FR
-    NEW met2 ( 1362290 22100 ) via2_FR
-    NEW met1 ( 1362750 94010 ) M1M2_PR
-    NEW met1 ( 1363670 94010 ) M1M2_PR
-    NEW met2 ( 1364130 1684020 ) via2_FR
-    NEW met2 ( 1364130 1684700 ) via2_FR
-    NEW met1 ( 1362750 903890 ) M1M2_PR
-    NEW met1 ( 1363210 903890 ) M1M2_PR
-    NEW met1 ( 1362750 607070 ) M1M2_PR
-    NEW met1 ( 1363210 607070 ) M1M2_PR
-    NEW met1 ( 1362750 1379890 ) M1M2_PR
-    NEW met1 ( 1363210 1379890 ) M1M2_PR
-    NEW met1 ( 1362750 1621630 ) M1M2_PR
-    NEW met1 ( 1362290 1621630 ) M1M2_PR
-    NEW met2 ( 1362290 1669740 ) via2_FR
-    NEW met2 ( 1364130 1669740 ) via2_FR
-    NEW met2 ( 1362750 1476620 ) via2_FR
-    NEW met2 ( 1363670 1476620 ) via2_FR
-    NEW met1 ( 1363670 1524730 ) M1M2_PR
-    NEW met1 ( 1362750 1524730 ) M1M2_PR
-    NEW met1 ( 1363210 213350 ) M1M2_PR
-    NEW met1 ( 1362750 213010 ) M1M2_PR
-    NEW met2 ( 1362750 166260 ) via2_FR
-    NEW met2 ( 1363670 166260 ) via2_FR
-    NEW met1 ( 1362750 793390 ) M1M2_PR
-    NEW met1 ( 1363210 793390 ) M1M2_PR
-    NEW met2 ( 1362290 262140 ) via2_FR
-    NEW met2 ( 1364130 262140 ) via2_FR
-    NEW met1 ( 1364130 214030 ) M1M2_PR
-    NEW met1 ( 1363210 214030 ) M1M2_PR
-    NEW met1 ( 1362750 310590 ) M1M2_PR
-    NEW met1 ( 1363210 310590 ) M1M2_PR
-    NEW li1 ( 1362750 697170 ) L1M1_PR_MR
-    NEW met1 ( 1362750 697170 ) M1M2_PR
-    NEW li1 ( 1362750 718590 ) L1M1_PR_MR
-    NEW met1 ( 1362750 718590 ) M1M2_PR
-    NEW li1 ( 1362750 817530 ) L1M1_PR_MR
-    NEW met1 ( 1362750 817530 ) M1M2_PR
-    NEW li1 ( 1362750 841670 ) L1M1_PR_MR
-    NEW met1 ( 1363210 841670 ) M1M2_PR
-    NEW met1 ( 1362750 1034790 ) M1M2_PR
-    NEW met1 ( 1363210 1034450 ) M1M2_PR
-    NEW li1 ( 1362750 1179970 ) L1M1_PR_MR
-    NEW met1 ( 1362750 1179970 ) M1M2_PR
-    NEW li1 ( 1362750 1276530 ) L1M1_PR_MR
-    NEW met1 ( 1362750 1276530 ) M1M2_PR
-    NEW li1 ( 1362750 1284350 ) L1M1_PR_MR
-    NEW met1 ( 1362750 1284350 ) M1M2_PR
-    NEW li1 ( 1362750 738310 ) L1M1_PR_MR
-    NEW met1 ( 1362750 738310 ) M1M2_PR
-    NEW li1 ( 1362750 786590 ) L1M1_PR_MR
-    NEW met1 ( 1363210 786590 ) M1M2_PR
-    NEW li1 ( 1362750 1269390 ) L1M1_PR_MR
-    NEW met1 ( 1362750 1269390 ) M1M2_PR
-    NEW met2 ( 1362750 1524730 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1362750 697170 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 718590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 817530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 1179970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 1276530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 1284350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 738310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362750 1269390 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1037070 2380 0 ) ( 1037070 22610 )
+    NEW met2 ( 1318130 22610 ) ( 1318130 22780 )
+    NEW met3 ( 1318130 22780 ) ( 1353090 22780 )
+    NEW met2 ( 1353090 22780 ) ( 1353090 22950 )
+    NEW met1 ( 1353090 22950 ) ( 1362290 22950 )
+    NEW met2 ( 1362290 1677900 ) ( 1363670 1677900 )
+    NEW met2 ( 1363670 1677900 ) ( 1363670 1700340 )
+    NEW met2 ( 1363670 1700340 ) ( 1364130 1700340 0 )
+    NEW met1 ( 1037070 22610 ) ( 1318130 22610 )
+    NEW met2 ( 1362290 22950 ) ( 1362290 1677900 )
+    NEW met1 ( 1037070 22610 ) M1M2_PR
+    NEW met1 ( 1318130 22610 ) M1M2_PR
+    NEW met2 ( 1318130 22780 ) via2_FR
+    NEW met2 ( 1353090 22780 ) via2_FR
+    NEW met1 ( 1353090 22950 ) M1M2_PR
+    NEW met1 ( 1362290 22950 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) 
-  + ROUTED met2 ( 1061450 2380 0 ) ( 1061450 20910 )
-    NEW li1 ( 1100090 20910 ) ( 1100090 21250 )
-    NEW li1 ( 1100090 21250 ) ( 1101010 21250 )
-    NEW met1 ( 1061450 20910 ) ( 1100090 20910 )
-    NEW met1 ( 1101010 21250 ) ( 1368730 21250 )
+  + ROUTED met2 ( 1055010 2380 0 ) ( 1055010 22270 )
+    NEW met1 ( 1055010 22270 ) ( 1368730 22270 )
     NEW met2 ( 1367810 1700340 0 ) ( 1368730 1700340 )
-    NEW met2 ( 1368730 21250 ) ( 1368730 1700340 )
-    NEW met1 ( 1061450 20910 ) M1M2_PR
-    NEW li1 ( 1100090 20910 ) L1M1_PR_MR
-    NEW li1 ( 1101010 21250 ) L1M1_PR_MR
-    NEW met1 ( 1368730 21250 ) M1M2_PR
+    NEW met2 ( 1368730 22270 ) ( 1368730 1700340 )
+    NEW met1 ( 1055010 22270 ) M1M2_PR
+    NEW met1 ( 1368730 22270 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) 
-  + ROUTED li1 ( 1342050 20910 ) ( 1342050 22950 )
-    NEW met3 ( 1079390 21420 ) ( 1090890 21420 )
-    NEW met2 ( 1090890 21250 ) ( 1090890 21420 )
-    NEW met1 ( 1090890 21250 ) ( 1100550 21250 )
+  + ROUTED met2 ( 1072490 2380 0 ) ( 1072490 20910 )
     NEW met1 ( 1100550 20910 ) ( 1100550 21250 )
-    NEW met2 ( 1079390 2380 0 ) ( 1079390 21420 )
-    NEW met1 ( 1100550 20910 ) ( 1342050 20910 )
-    NEW met1 ( 1342050 22950 ) ( 1368270 22950 )
-    NEW met1 ( 1368270 1677730 ) ( 1371030 1677730 )
-    NEW met2 ( 1371030 1677730 ) ( 1371030 1700340 )
-    NEW met2 ( 1371030 1700340 ) ( 1371490 1700340 0 )
-    NEW met2 ( 1368270 22950 ) ( 1368270 1677730 )
-    NEW li1 ( 1342050 20910 ) L1M1_PR_MR
-    NEW li1 ( 1342050 22950 ) L1M1_PR_MR
-    NEW met2 ( 1079390 21420 ) via2_FR
-    NEW met2 ( 1090890 21420 ) via2_FR
-    NEW met1 ( 1090890 21250 ) M1M2_PR
-    NEW met1 ( 1368270 22950 ) M1M2_PR
-    NEW met1 ( 1368270 1677730 ) M1M2_PR
-    NEW met1 ( 1371030 1677730 ) M1M2_PR
+    NEW met1 ( 1072490 20910 ) ( 1100550 20910 )
+    NEW met1 ( 1100550 21250 ) ( 1369190 21250 )
+    NEW met2 ( 1369190 903380 ) ( 1369650 903380 )
+    NEW met2 ( 1369190 21250 ) ( 1369190 48450 )
+    NEW met3 ( 1369420 821100 ) ( 1369650 821100 )
+    NEW met3 ( 1369420 821100 ) ( 1369420 821780 )
+    NEW met3 ( 1369190 821780 ) ( 1369420 821780 )
+    NEW met2 ( 1369190 821780 ) ( 1369190 834020 )
+    NEW met2 ( 1369190 834020 ) ( 1369650 834020 )
+    NEW met2 ( 1369650 834020 ) ( 1369650 903380 )
+    NEW met1 ( 1369190 917830 ) ( 1369650 917830 )
+    NEW met2 ( 1369190 903380 ) ( 1369190 917830 )
+    NEW met1 ( 1368270 113730 ) ( 1369190 113730 )
+    NEW li1 ( 1369190 48450 ) ( 1369190 113730 )
+    NEW met1 ( 1369190 1055870 ) ( 1370110 1055870 )
+    NEW met2 ( 1370110 1055870 ) ( 1370110 1063180 )
+    NEW met2 ( 1369650 1063180 ) ( 1370110 1063180 )
+    NEW met1 ( 1369190 1562810 ) ( 1369190 1563490 )
+    NEW met1 ( 1369650 1635570 ) ( 1371490 1635570 )
+    NEW met2 ( 1371490 1635570 ) ( 1371490 1700340 0 )
+    NEW met1 ( 1368270 139910 ) ( 1370110 139910 )
+    NEW met2 ( 1368270 113730 ) ( 1368270 139910 )
+    NEW met1 ( 1369190 427890 ) ( 1369650 427890 )
+    NEW met2 ( 1369190 1031220 ) ( 1369650 1031220 )
+    NEW met2 ( 1369190 1031220 ) ( 1369190 1055870 )
+    NEW met2 ( 1369650 917830 ) ( 1369650 1031220 )
+    NEW met2 ( 1369190 1125060 ) ( 1369650 1125060 )
+    NEW met2 ( 1369190 1125060 ) ( 1369190 1152260 )
+    NEW met2 ( 1369190 1152260 ) ( 1369650 1152260 )
+    NEW met2 ( 1369650 1063180 ) ( 1369650 1125060 )
+    NEW li1 ( 1369650 1200710 ) ( 1369650 1208190 )
+    NEW met2 ( 1369650 1152260 ) ( 1369650 1200710 )
+    NEW met2 ( 1369190 1538670 ) ( 1369190 1562810 )
+    NEW met2 ( 1369190 1607180 ) ( 1370110 1607180 )
+    NEW met2 ( 1370110 1607180 ) ( 1370110 1608540 )
+    NEW met2 ( 1369650 1608540 ) ( 1370110 1608540 )
+    NEW met2 ( 1369190 1563490 ) ( 1369190 1607180 )
+    NEW met2 ( 1369650 1608540 ) ( 1369650 1635570 )
+    NEW met1 ( 1369650 227290 ) ( 1369650 227630 )
+    NEW met1 ( 1369650 227290 ) ( 1370110 227290 )
+    NEW met2 ( 1370110 139910 ) ( 1370110 227290 )
+    NEW met1 ( 1369190 420750 ) ( 1369650 420750 )
+    NEW met2 ( 1369190 420750 ) ( 1369190 427890 )
+    NEW li1 ( 1369650 331330 ) ( 1369650 420750 )
+    NEW li1 ( 1369650 469370 ) ( 1369650 517310 )
+    NEW met2 ( 1369650 427890 ) ( 1369650 469370 )
+    NEW met1 ( 1369190 662490 ) ( 1369650 662490 )
+    NEW met1 ( 1369190 1289790 ) ( 1369650 1289790 )
+    NEW met2 ( 1369650 1208190 ) ( 1369650 1289790 )
+    NEW met2 ( 1369650 227630 ) ( 1369650 331330 )
+    NEW met2 ( 1369190 638180 ) ( 1369650 638180 )
+    NEW met2 ( 1369650 638180 ) ( 1369650 662490 )
+    NEW met3 ( 1368270 1290300 ) ( 1369190 1290300 )
+    NEW met2 ( 1369190 1289790 ) ( 1369190 1290300 )
+    NEW met1 ( 1369190 1507730 ) ( 1369650 1507730 )
+    NEW li1 ( 1369190 1507730 ) ( 1369190 1538670 )
+    NEW met1 ( 1368270 1379890 ) ( 1369650 1379890 )
+    NEW met2 ( 1368270 1290300 ) ( 1368270 1379890 )
+    NEW met3 ( 1369650 1428340 ) ( 1370570 1428340 )
+    NEW met2 ( 1370570 1428340 ) ( 1370570 1476450 )
+    NEW met1 ( 1369650 1476450 ) ( 1370570 1476450 )
+    NEW met2 ( 1369650 1379890 ) ( 1369650 1428340 )
+    NEW met2 ( 1369650 1476450 ) ( 1369650 1507730 )
+    NEW met1 ( 1369190 751910 ) ( 1369650 751910 )
+    NEW li1 ( 1369190 662490 ) ( 1369190 751910 )
+    NEW met2 ( 1369650 751910 ) ( 1369650 821100 )
+    NEW li1 ( 1369190 552670 ) ( 1369190 600270 )
+    NEW met1 ( 1369190 552670 ) ( 1369650 552670 )
+    NEW met2 ( 1369190 600270 ) ( 1369190 638180 )
+    NEW met2 ( 1369650 517310 ) ( 1369650 552670 )
+    NEW met1 ( 1072490 20910 ) M1M2_PR
+    NEW met1 ( 1369190 21250 ) M1M2_PR
+    NEW li1 ( 1369190 48450 ) L1M1_PR_MR
+    NEW met1 ( 1369190 48450 ) M1M2_PR
+    NEW met2 ( 1369650 821100 ) via2_FR
+    NEW met2 ( 1369190 821780 ) via2_FR
+    NEW met1 ( 1369190 917830 ) M1M2_PR
+    NEW met1 ( 1369650 917830 ) M1M2_PR
+    NEW li1 ( 1369190 113730 ) L1M1_PR_MR
+    NEW met1 ( 1368270 113730 ) M1M2_PR
+    NEW met1 ( 1369190 1055870 ) M1M2_PR
+    NEW met1 ( 1370110 1055870 ) M1M2_PR
+    NEW met1 ( 1369190 1563490 ) M1M2_PR
+    NEW met1 ( 1369190 1562810 ) M1M2_PR
+    NEW met1 ( 1369650 1635570 ) M1M2_PR
+    NEW met1 ( 1371490 1635570 ) M1M2_PR
+    NEW met1 ( 1368270 139910 ) M1M2_PR
+    NEW met1 ( 1370110 139910 ) M1M2_PR
+    NEW li1 ( 1369650 331330 ) L1M1_PR_MR
+    NEW met1 ( 1369650 331330 ) M1M2_PR
+    NEW met1 ( 1369190 427890 ) M1M2_PR
+    NEW met1 ( 1369650 427890 ) M1M2_PR
+    NEW li1 ( 1369650 1200710 ) L1M1_PR_MR
+    NEW met1 ( 1369650 1200710 ) M1M2_PR
+    NEW li1 ( 1369650 1208190 ) L1M1_PR_MR
+    NEW met1 ( 1369650 1208190 ) M1M2_PR
+    NEW li1 ( 1369190 1538670 ) L1M1_PR_MR
+    NEW met1 ( 1369190 1538670 ) M1M2_PR
+    NEW met1 ( 1369650 227630 ) M1M2_PR
+    NEW met1 ( 1370110 227290 ) M1M2_PR
+    NEW met1 ( 1369190 420750 ) M1M2_PR
+    NEW li1 ( 1369650 420750 ) L1M1_PR_MR
+    NEW li1 ( 1369650 469370 ) L1M1_PR_MR
+    NEW met1 ( 1369650 469370 ) M1M2_PR
+    NEW li1 ( 1369650 517310 ) L1M1_PR_MR
+    NEW met1 ( 1369650 517310 ) M1M2_PR
+    NEW li1 ( 1369190 662490 ) L1M1_PR_MR
+    NEW met1 ( 1369650 662490 ) M1M2_PR
+    NEW met1 ( 1369190 1289790 ) M1M2_PR
+    NEW met1 ( 1369650 1289790 ) M1M2_PR
+    NEW met2 ( 1369190 1290300 ) via2_FR
+    NEW met2 ( 1368270 1290300 ) via2_FR
+    NEW li1 ( 1369190 1507730 ) L1M1_PR_MR
+    NEW met1 ( 1369650 1507730 ) M1M2_PR
+    NEW met1 ( 1368270 1379890 ) M1M2_PR
+    NEW met1 ( 1369650 1379890 ) M1M2_PR
+    NEW met2 ( 1369650 1428340 ) via2_FR
+    NEW met2 ( 1370570 1428340 ) via2_FR
+    NEW met1 ( 1370570 1476450 ) M1M2_PR
+    NEW met1 ( 1369650 1476450 ) M1M2_PR
+    NEW li1 ( 1369190 751910 ) L1M1_PR_MR
+    NEW met1 ( 1369650 751910 ) M1M2_PR
+    NEW li1 ( 1369190 600270 ) L1M1_PR_MR
+    NEW met1 ( 1369190 600270 ) M1M2_PR
+    NEW li1 ( 1369190 552670 ) L1M1_PR_MR
+    NEW met1 ( 1369650 552670 ) M1M2_PR
+    NEW met1 ( 1369190 48450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369650 331330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369650 1200710 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369650 1208190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369190 1538670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369650 469370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369650 517310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1369190 600270 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) 
-  + ROUTED met2 ( 1096870 2380 0 ) ( 1096870 6460 )
-    NEW met2 ( 1095950 6460 ) ( 1096870 6460 )
-    NEW met3 ( 1095950 23460 ) ( 1124010 23460 )
-    NEW met2 ( 1124010 23460 ) ( 1124470 23460 )
-    NEW met2 ( 1124470 23460 ) ( 1124470 23970 )
-    NEW met2 ( 1095950 6460 ) ( 1095950 23460 )
-    NEW met1 ( 1124470 23970 ) ( 1373790 23970 )
-    NEW met1 ( 1373790 1679430 ) ( 1374710 1679430 )
-    NEW met2 ( 1374710 1679430 ) ( 1374710 1700340 )
+  + ROUTED li1 ( 1342050 20910 ) ( 1342050 23290 )
+    NEW met1 ( 1090430 21250 ) ( 1096870 21250 )
+    NEW met2 ( 1096870 20740 ) ( 1096870 21250 )
+    NEW met3 ( 1096870 20740 ) ( 1124470 20740 )
+    NEW met2 ( 1124470 20740 ) ( 1124470 20910 )
+    NEW met2 ( 1090430 2380 0 ) ( 1090430 21250 )
+    NEW met1 ( 1124470 20910 ) ( 1342050 20910 )
+    NEW met1 ( 1342050 23290 ) ( 1373330 23290 )
+    NEW met1 ( 1373330 1678750 ) ( 1374710 1678750 )
+    NEW met2 ( 1374710 1678750 ) ( 1374710 1700340 )
     NEW met2 ( 1374710 1700340 ) ( 1375170 1700340 0 )
-    NEW met2 ( 1373790 23970 ) ( 1373790 1679430 )
-    NEW met2 ( 1095950 23460 ) via2_FR
-    NEW met2 ( 1124010 23460 ) via2_FR
-    NEW met1 ( 1124470 23970 ) M1M2_PR
-    NEW met1 ( 1373790 23970 ) M1M2_PR
-    NEW met1 ( 1373790 1679430 ) M1M2_PR
-    NEW met1 ( 1374710 1679430 ) M1M2_PR
+    NEW met2 ( 1373330 23290 ) ( 1373330 1678750 )
+    NEW li1 ( 1342050 20910 ) L1M1_PR_MR
+    NEW li1 ( 1342050 23290 ) L1M1_PR_MR
+    NEW met1 ( 1090430 21250 ) M1M2_PR
+    NEW met1 ( 1096870 21250 ) M1M2_PR
+    NEW met2 ( 1096870 20740 ) via2_FR
+    NEW met2 ( 1124470 20740 ) via2_FR
+    NEW met1 ( 1124470 20910 ) M1M2_PR
+    NEW met1 ( 1373330 23290 ) M1M2_PR
+    NEW met1 ( 1373330 1678750 ) M1M2_PR
+    NEW met1 ( 1374710 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) 
-  + ROUTED li1 ( 1144710 13090 ) ( 1144710 25330 )
-    NEW met2 ( 1114810 2380 0 ) ( 1114810 13090 )
-    NEW met1 ( 1114810 13090 ) ( 1144710 13090 )
+  + ROUTED met2 ( 1125390 23460 ) ( 1125390 23970 )
+    NEW met2 ( 1107910 2380 0 ) ( 1107910 23460 )
+    NEW met3 ( 1107910 23460 ) ( 1125390 23460 )
+    NEW met1 ( 1125390 23970 ) ( 1373790 23970 )
+    NEW met1 ( 1373790 1677390 ) ( 1377930 1677390 )
+    NEW met2 ( 1377930 1677390 ) ( 1377930 1700340 )
     NEW met2 ( 1377930 1700340 ) ( 1378850 1700340 0 )
-    NEW met2 ( 1375170 313820 ) ( 1375630 313820 )
-    NEW met2 ( 1375170 312460 ) ( 1375170 313820 )
-    NEW met2 ( 1375170 312460 ) ( 1376090 312460 )
-    NEW met2 ( 1375630 1280100 ) ( 1376090 1280100 )
-    NEW met2 ( 1375630 1376660 ) ( 1376090 1376660 )
-    NEW met2 ( 1375630 1328380 ) ( 1376090 1328380 )
-    NEW met2 ( 1375630 1280100 ) ( 1375630 1328380 )
-    NEW met2 ( 1376090 1328380 ) ( 1376090 1376660 )
-    NEW met2 ( 1375170 1426300 ) ( 1375630 1426300 )
-    NEW met2 ( 1375630 1376660 ) ( 1375630 1426300 )
-    NEW met1 ( 1144710 25330 ) ( 1376090 25330 )
-    NEW met2 ( 1375630 1524900 ) ( 1376090 1524900 )
-    NEW met2 ( 1375630 1524900 ) ( 1375630 1525580 )
-    NEW met2 ( 1375630 1525580 ) ( 1376090 1525580 )
-    NEW met1 ( 1376090 1621630 ) ( 1377470 1621630 )
-    NEW met2 ( 1377470 1621630 ) ( 1377470 1669740 )
-    NEW met2 ( 1377470 1669740 ) ( 1377930 1669740 )
-    NEW met2 ( 1377930 1669740 ) ( 1377930 1700340 )
-    NEW met1 ( 1375630 124270 ) ( 1376090 124270 )
-    NEW met2 ( 1376090 25330 ) ( 1376090 124270 )
-    NEW li1 ( 1375630 317730 ) ( 1375630 365670 )
-    NEW met1 ( 1375630 365670 ) ( 1376090 365670 )
-    NEW met2 ( 1375630 313820 ) ( 1375630 317730 )
-    NEW li1 ( 1375170 1484610 ) ( 1375170 1497530 )
-    NEW met1 ( 1375170 1497530 ) ( 1376090 1497530 )
-    NEW met2 ( 1375170 1426300 ) ( 1375170 1484610 )
-    NEW met2 ( 1376090 1497530 ) ( 1376090 1524900 )
-    NEW met1 ( 1375170 1573350 ) ( 1376090 1573350 )
-    NEW met2 ( 1375170 1573350 ) ( 1375170 1621460 )
-    NEW met3 ( 1375170 1621460 ) ( 1376090 1621460 )
-    NEW met2 ( 1376090 1525580 ) ( 1376090 1573350 )
-    NEW met2 ( 1376090 1621460 ) ( 1376090 1621630 )
-    NEW met1 ( 1375170 189890 ) ( 1375630 189890 )
-    NEW met2 ( 1375170 189890 ) ( 1375170 213860 )
-    NEW met2 ( 1375170 213860 ) ( 1375630 213860 )
-    NEW li1 ( 1375630 124270 ) ( 1375630 189890 )
-    NEW li1 ( 1375630 262310 ) ( 1375630 289850 )
-    NEW met2 ( 1375630 289850 ) ( 1375630 310420 )
-    NEW met2 ( 1375630 310420 ) ( 1376090 310420 )
-    NEW met2 ( 1376090 310420 ) ( 1376090 312460 )
-    NEW met1 ( 1375170 455430 ) ( 1376090 455430 )
-    NEW met2 ( 1376090 365670 ) ( 1376090 455430 )
-    NEW met1 ( 1375170 649230 ) ( 1376090 649230 )
-    NEW met2 ( 1375630 866150 ) ( 1375630 889780 )
-    NEW met2 ( 1375630 889780 ) ( 1376090 889780 )
-    NEW met3 ( 1376090 938740 ) ( 1376780 938740 )
-    NEW met3 ( 1376780 938740 ) ( 1376780 939420 )
-    NEW met3 ( 1375630 939420 ) ( 1376780 939420 )
-    NEW met2 ( 1375630 939420 ) ( 1375630 986340 )
-    NEW met2 ( 1375630 986340 ) ( 1376090 986340 )
-    NEW met2 ( 1376090 889780 ) ( 1376090 938740 )
-    NEW li1 ( 1375630 1228250 ) ( 1375630 1276190 )
-    NEW met1 ( 1375630 1276190 ) ( 1376090 1276190 )
-    NEW met2 ( 1376090 1276190 ) ( 1376090 1280100 )
-    NEW li1 ( 1375630 214030 ) ( 1375630 234770 )
-    NEW met2 ( 1375630 213860 ) ( 1375630 214030 )
-    NEW met2 ( 1375630 234770 ) ( 1375630 262310 )
-    NEW li1 ( 1375170 503710 ) ( 1375170 531590 )
-    NEW met1 ( 1375170 531590 ) ( 1375630 531590 )
-    NEW met2 ( 1375170 455430 ) ( 1375170 503710 )
-    NEW met2 ( 1375630 600780 ) ( 1376090 600780 )
-    NEW met2 ( 1375630 600780 ) ( 1375630 648550 )
-    NEW met1 ( 1375630 648550 ) ( 1376090 648550 )
-    NEW met2 ( 1376090 648550 ) ( 1376090 649230 )
-    NEW met1 ( 1375170 697850 ) ( 1376090 697850 )
-    NEW met2 ( 1375170 649230 ) ( 1375170 697850 )
-    NEW li1 ( 1375630 817530 ) ( 1375630 866150 )
-    NEW li1 ( 1376090 986850 ) ( 1376090 987870 )
-    NEW met1 ( 1375630 987870 ) ( 1376090 987870 )
-    NEW met2 ( 1376090 986340 ) ( 1376090 986850 )
-    NEW met2 ( 1375630 1180140 ) ( 1376090 1180140 )
-    NEW met2 ( 1375630 1180140 ) ( 1375630 1228250 )
-    NEW met1 ( 1375170 545190 ) ( 1375630 545190 )
-    NEW met2 ( 1375170 545190 ) ( 1375170 593300 )
-    NEW met3 ( 1375170 593300 ) ( 1376090 593300 )
-    NEW met2 ( 1375630 531590 ) ( 1375630 545190 )
-    NEW met2 ( 1376090 593300 ) ( 1376090 600780 )
-    NEW li1 ( 1375630 738310 ) ( 1375630 786590 )
-    NEW met1 ( 1375630 738310 ) ( 1376090 738310 )
-    NEW met2 ( 1375630 786590 ) ( 1375630 817530 )
-    NEW met2 ( 1376090 697850 ) ( 1376090 738310 )
-    NEW li1 ( 1375630 1052130 ) ( 1375630 1056210 )
-    NEW met2 ( 1375630 987870 ) ( 1375630 1052130 )
-    NEW li1 ( 1376090 1124890 ) ( 1376090 1172830 )
-    NEW met2 ( 1376090 1172830 ) ( 1376090 1180140 )
-    NEW li1 ( 1375630 1076610 ) ( 1375630 1124210 )
-    NEW met1 ( 1375630 1124210 ) ( 1376090 1124210 )
-    NEW met2 ( 1375630 1056210 ) ( 1375630 1076610 )
-    NEW met2 ( 1376090 1124210 ) ( 1376090 1124890 )
-    NEW li1 ( 1144710 13090 ) L1M1_PR_MR
-    NEW li1 ( 1144710 25330 ) L1M1_PR_MR
-    NEW met1 ( 1114810 13090 ) M1M2_PR
-    NEW met1 ( 1376090 25330 ) M1M2_PR
-    NEW met1 ( 1376090 1621630 ) M1M2_PR
-    NEW met1 ( 1377470 1621630 ) M1M2_PR
-    NEW li1 ( 1375630 124270 ) L1M1_PR_MR
-    NEW met1 ( 1376090 124270 ) M1M2_PR
-    NEW li1 ( 1375630 317730 ) L1M1_PR_MR
-    NEW met1 ( 1375630 317730 ) M1M2_PR
-    NEW li1 ( 1375630 365670 ) L1M1_PR_MR
-    NEW met1 ( 1376090 365670 ) M1M2_PR
-    NEW li1 ( 1375170 1484610 ) L1M1_PR_MR
-    NEW met1 ( 1375170 1484610 ) M1M2_PR
-    NEW li1 ( 1375170 1497530 ) L1M1_PR_MR
-    NEW met1 ( 1376090 1497530 ) M1M2_PR
-    NEW met1 ( 1376090 1573350 ) M1M2_PR
-    NEW met1 ( 1375170 1573350 ) M1M2_PR
-    NEW met2 ( 1375170 1621460 ) via2_FR
-    NEW met2 ( 1376090 1621460 ) via2_FR
-    NEW li1 ( 1375630 189890 ) L1M1_PR_MR
-    NEW met1 ( 1375170 189890 ) M1M2_PR
-    NEW li1 ( 1375630 262310 ) L1M1_PR_MR
-    NEW met1 ( 1375630 262310 ) M1M2_PR
-    NEW li1 ( 1375630 289850 ) L1M1_PR_MR
-    NEW met1 ( 1375630 289850 ) M1M2_PR
-    NEW met1 ( 1375170 455430 ) M1M2_PR
-    NEW met1 ( 1376090 455430 ) M1M2_PR
-    NEW met1 ( 1376090 649230 ) M1M2_PR
-    NEW met1 ( 1375170 649230 ) M1M2_PR
-    NEW li1 ( 1375630 866150 ) L1M1_PR_MR
-    NEW met1 ( 1375630 866150 ) M1M2_PR
-    NEW met2 ( 1376090 938740 ) via2_FR
-    NEW met2 ( 1375630 939420 ) via2_FR
-    NEW li1 ( 1375630 1228250 ) L1M1_PR_MR
-    NEW met1 ( 1375630 1228250 ) M1M2_PR
-    NEW li1 ( 1375630 1276190 ) L1M1_PR_MR
-    NEW met1 ( 1376090 1276190 ) M1M2_PR
-    NEW li1 ( 1375630 214030 ) L1M1_PR_MR
-    NEW met1 ( 1375630 214030 ) M1M2_PR
-    NEW li1 ( 1375630 234770 ) L1M1_PR_MR
-    NEW met1 ( 1375630 234770 ) M1M2_PR
-    NEW li1 ( 1375170 503710 ) L1M1_PR_MR
-    NEW met1 ( 1375170 503710 ) M1M2_PR
-    NEW li1 ( 1375170 531590 ) L1M1_PR_MR
-    NEW met1 ( 1375630 531590 ) M1M2_PR
-    NEW met1 ( 1375630 648550 ) M1M2_PR
-    NEW met1 ( 1376090 648550 ) M1M2_PR
-    NEW met1 ( 1375170 697850 ) M1M2_PR
-    NEW met1 ( 1376090 697850 ) M1M2_PR
-    NEW li1 ( 1375630 817530 ) L1M1_PR_MR
-    NEW met1 ( 1375630 817530 ) M1M2_PR
-    NEW li1 ( 1376090 986850 ) L1M1_PR_MR
-    NEW met1 ( 1376090 986850 ) M1M2_PR
-    NEW li1 ( 1376090 987870 ) L1M1_PR_MR
-    NEW met1 ( 1375630 987870 ) M1M2_PR
-    NEW met1 ( 1375630 545190 ) M1M2_PR
-    NEW met1 ( 1375170 545190 ) M1M2_PR
-    NEW met2 ( 1375170 593300 ) via2_FR
-    NEW met2 ( 1376090 593300 ) via2_FR
-    NEW li1 ( 1375630 786590 ) L1M1_PR_MR
-    NEW met1 ( 1375630 786590 ) M1M2_PR
-    NEW li1 ( 1375630 738310 ) L1M1_PR_MR
-    NEW met1 ( 1376090 738310 ) M1M2_PR
-    NEW li1 ( 1375630 1052130 ) L1M1_PR_MR
-    NEW met1 ( 1375630 1052130 ) M1M2_PR
-    NEW li1 ( 1375630 1056210 ) L1M1_PR_MR
-    NEW met1 ( 1375630 1056210 ) M1M2_PR
-    NEW li1 ( 1376090 1124890 ) L1M1_PR_MR
-    NEW met1 ( 1376090 1124890 ) M1M2_PR
-    NEW li1 ( 1376090 1172830 ) L1M1_PR_MR
-    NEW met1 ( 1376090 1172830 ) M1M2_PR
-    NEW li1 ( 1375630 1076610 ) L1M1_PR_MR
-    NEW met1 ( 1375630 1076610 ) M1M2_PR
-    NEW li1 ( 1375630 1124210 ) L1M1_PR_MR
-    NEW met1 ( 1376090 1124210 ) M1M2_PR
-    NEW met1 ( 1375630 317730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375170 1484610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 262310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 289850 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 866150 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 1228250 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 1375630 214030 ) RECT ( -70 0 70 315 )
-    NEW met1 ( 1375630 214030 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 234770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375170 503710 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 817530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1376090 986850 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1375630 786590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 1052130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 1056210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1376090 1124890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1376090 1172830 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1375630 1076610 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1373790 23970 ) ( 1373790 1677390 )
+    NEW met2 ( 1125390 23460 ) via2_FR
+    NEW met1 ( 1125390 23970 ) M1M2_PR
+    NEW met2 ( 1107910 23460 ) via2_FR
+    NEW met1 ( 1373790 23970 ) M1M2_PR
+    NEW met1 ( 1373790 1677390 ) M1M2_PR
+    NEW met1 ( 1377930 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) 
-  + ROUTED met2 ( 1132750 2380 0 ) ( 1132750 25670 )
-    NEW met2 ( 1381610 1700340 ) ( 1382530 1700340 0 )
-    NEW met2 ( 1380230 690540 ) ( 1380690 690540 )
-    NEW met2 ( 1380230 787100 ) ( 1380690 787100 )
-    NEW met2 ( 1380230 893860 ) ( 1380690 893860 )
-    NEW met2 ( 1380230 990420 ) ( 1380690 990420 )
-    NEW met2 ( 1380230 651780 ) ( 1380690 651780 )
-    NEW met2 ( 1380230 651780 ) ( 1380230 690540 )
-    NEW met2 ( 1380230 748340 ) ( 1380690 748340 )
-    NEW met2 ( 1380230 748340 ) ( 1380230 787100 )
-    NEW met2 ( 1380690 690540 ) ( 1380690 748340 )
-    NEW met2 ( 1379770 869380 ) ( 1380230 869380 )
-    NEW met2 ( 1379770 821100 ) ( 1379770 869380 )
-    NEW met2 ( 1379770 821100 ) ( 1380690 821100 )
-    NEW met2 ( 1380230 869380 ) ( 1380230 893860 )
-    NEW met2 ( 1380690 787100 ) ( 1380690 821100 )
-    NEW met2 ( 1380230 965940 ) ( 1380690 965940 )
-    NEW met2 ( 1380230 965940 ) ( 1380230 990420 )
-    NEW met2 ( 1380690 893860 ) ( 1380690 965940 )
-    NEW met1 ( 1132750 25670 ) ( 1380230 25670 )
-    NEW met1 ( 1380230 1678750 ) ( 1381610 1678750 )
-    NEW met2 ( 1381610 1678750 ) ( 1381610 1700340 )
-    NEW met2 ( 1380230 1041420 ) ( 1380690 1041420 )
-    NEW met2 ( 1380690 990420 ) ( 1380690 1041420 )
-    NEW li1 ( 1380690 366010 ) ( 1380690 413950 )
-    NEW met2 ( 1380230 573580 ) ( 1380690 573580 )
-    NEW met2 ( 1380690 573580 ) ( 1380690 651780 )
-    NEW met3 ( 1380230 1042100 ) ( 1380460 1042100 )
-    NEW met2 ( 1380230 1041420 ) ( 1380230 1042100 )
-    NEW li1 ( 1380230 1138830 ) ( 1380230 1162970 )
-    NEW met1 ( 1380230 1162970 ) ( 1380690 1162970 )
-    NEW li1 ( 1380690 1428510 ) ( 1380690 1476110 )
-    NEW li1 ( 1380230 1621970 ) ( 1380230 1669570 )
-    NEW met1 ( 1380230 1621970 ) ( 1380690 1621970 )
-    NEW met2 ( 1380230 1669570 ) ( 1380230 1678750 )
-    NEW met1 ( 1378850 138210 ) ( 1380230 138210 )
-    NEW met2 ( 1380230 25670 ) ( 1380230 138210 )
-    NEW met1 ( 1380230 220830 ) ( 1380690 220830 )
-    NEW met2 ( 1380230 511020 ) ( 1380690 511020 )
-    NEW met2 ( 1380230 511020 ) ( 1380230 573580 )
-    NEW met2 ( 1380690 1162970 ) ( 1380690 1428510 )
-    NEW met2 ( 1380230 1476620 ) ( 1380690 1476620 )
-    NEW met3 ( 1380230 1476620 ) ( 1380460 1476620 )
-    NEW met3 ( 1380460 1476620 ) ( 1380460 1477300 )
-    NEW met3 ( 1380460 1477300 ) ( 1383910 1477300 )
-    NEW met2 ( 1383910 1477300 ) ( 1383910 1524390 )
-    NEW met1 ( 1380690 1524390 ) ( 1383910 1524390 )
-    NEW met1 ( 1380690 1524390 ) ( 1380690 1524730 )
-    NEW met2 ( 1380690 1476110 ) ( 1380690 1476620 )
-    NEW met2 ( 1380690 1524730 ) ( 1380690 1621970 )
-    NEW met1 ( 1378850 189890 ) ( 1380690 189890 )
-    NEW met2 ( 1378850 138210 ) ( 1378850 189890 )
-    NEW met2 ( 1380690 189890 ) ( 1380690 220830 )
-    NEW met1 ( 1379770 286450 ) ( 1380230 286450 )
-    NEW met2 ( 1379770 286450 ) ( 1379770 310420 )
-    NEW met3 ( 1379770 310420 ) ( 1380690 310420 )
-    NEW li1 ( 1380230 220830 ) ( 1380230 286450 )
-    NEW met2 ( 1380690 310420 ) ( 1380690 366010 )
-    NEW met1 ( 1380690 469030 ) ( 1380690 469370 )
-    NEW met1 ( 1380230 469370 ) ( 1380690 469370 )
-    NEW met2 ( 1380230 469370 ) ( 1380230 503540 )
-    NEW met2 ( 1380230 503540 ) ( 1380690 503540 )
-    NEW met2 ( 1380690 413950 ) ( 1380690 469030 )
-    NEW met2 ( 1380690 503540 ) ( 1380690 511020 )
-    NEW met3 ( 1380230 1107380 ) ( 1380460 1107380 )
-    NEW met2 ( 1380230 1107380 ) ( 1380230 1138830 )
-    NEW met4 ( 1380460 1042100 ) ( 1380460 1107380 )
-    NEW met1 ( 1132750 25670 ) M1M2_PR
-    NEW met1 ( 1380230 25670 ) M1M2_PR
-    NEW met1 ( 1380230 1678750 ) M1M2_PR
-    NEW met1 ( 1381610 1678750 ) M1M2_PR
-    NEW met1 ( 1378850 138210 ) M1M2_PR
-    NEW li1 ( 1380690 366010 ) L1M1_PR_MR
-    NEW met1 ( 1380690 366010 ) M1M2_PR
-    NEW li1 ( 1380690 413950 ) L1M1_PR_MR
-    NEW met1 ( 1380690 413950 ) M1M2_PR
-    NEW met2 ( 1380230 1042100 ) via2_FR
-    NEW met3 ( 1380460 1042100 ) M3M4_PR_M
-    NEW li1 ( 1380230 1138830 ) L1M1_PR_MR
-    NEW met1 ( 1380230 1138830 ) M1M2_PR
-    NEW li1 ( 1380230 1162970 ) L1M1_PR_MR
-    NEW met1 ( 1380690 1162970 ) M1M2_PR
-    NEW li1 ( 1380690 1428510 ) L1M1_PR_MR
-    NEW met1 ( 1380690 1428510 ) M1M2_PR
-    NEW li1 ( 1380690 1476110 ) L1M1_PR_MR
-    NEW met1 ( 1380690 1476110 ) M1M2_PR
-    NEW li1 ( 1380230 1669570 ) L1M1_PR_MR
-    NEW met1 ( 1380230 1669570 ) M1M2_PR
-    NEW li1 ( 1380230 1621970 ) L1M1_PR_MR
-    NEW met1 ( 1380690 1621970 ) M1M2_PR
-    NEW met1 ( 1380230 138210 ) M1M2_PR
-    NEW li1 ( 1380230 220830 ) L1M1_PR_MR
-    NEW met1 ( 1380690 220830 ) M1M2_PR
-    NEW met2 ( 1380230 1476620 ) via2_FR
-    NEW met2 ( 1383910 1477300 ) via2_FR
-    NEW met1 ( 1383910 1524390 ) M1M2_PR
-    NEW met1 ( 1380690 1524730 ) M1M2_PR
-    NEW met1 ( 1378850 189890 ) M1M2_PR
-    NEW met1 ( 1380690 189890 ) M1M2_PR
-    NEW li1 ( 1380230 286450 ) L1M1_PR_MR
-    NEW met1 ( 1379770 286450 ) M1M2_PR
-    NEW met2 ( 1379770 310420 ) via2_FR
-    NEW met2 ( 1380690 310420 ) via2_FR
-    NEW met1 ( 1380690 469030 ) M1M2_PR
-    NEW met1 ( 1380230 469370 ) M1M2_PR
-    NEW met2 ( 1380230 1107380 ) via2_FR
-    NEW met3 ( 1380460 1107380 ) M3M4_PR_M
-    NEW met1 ( 1380690 366010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1380690 413950 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1380460 1042100 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1380230 1138830 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1380690 1428510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1380690 1476110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1380230 1669570 ) RECT ( 0 -70 355 70 )
-    NEW met3 ( 1380230 1107380 ) RECT ( -390 -150 0 150 )
+  + ROUTED met1 ( 1125850 25330 ) ( 1160810 25330 )
+    NEW met2 ( 1160810 24820 ) ( 1160810 25330 )
+    NEW met3 ( 1160810 24820 ) ( 1172770 24820 )
+    NEW met2 ( 1172770 24820 ) ( 1172770 24990 )
+    NEW met2 ( 1125850 2380 0 ) ( 1125850 25330 )
+    NEW met1 ( 1172770 24990 ) ( 1382070 24990 )
+    NEW met2 ( 1382070 1700340 ) ( 1382530 1700340 0 )
+    NEW met2 ( 1382070 24990 ) ( 1382070 1700340 )
+    NEW met1 ( 1125850 25330 ) M1M2_PR
+    NEW met1 ( 1160810 25330 ) M1M2_PR
+    NEW met2 ( 1160810 24820 ) via2_FR
+    NEW met2 ( 1172770 24820 ) via2_FR
+    NEW met1 ( 1172770 24990 ) M1M2_PR
+    NEW met1 ( 1382070 24990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) 
-  + ROUTED met2 ( 1150690 2380 0 ) ( 1150690 24990 )
+  + ROUTED met2 ( 1143790 2380 0 ) ( 1143790 6460 )
+    NEW met2 ( 1143790 6460 ) ( 1145170 6460 )
+    NEW met2 ( 1145170 24820 ) ( 1145630 24820 )
+    NEW met2 ( 1145630 24820 ) ( 1145630 24990 )
+    NEW met1 ( 1145630 24990 ) ( 1172310 24990 )
+    NEW met1 ( 1172310 24990 ) ( 1172310 25330 )
+    NEW met2 ( 1145170 6460 ) ( 1145170 24820 )
+    NEW met1 ( 1172310 25330 ) ( 1382990 25330 )
+    NEW met1 ( 1382990 1657330 ) ( 1385290 1657330 )
+    NEW met2 ( 1385290 1657330 ) ( 1385290 1700340 )
     NEW met2 ( 1385290 1700340 ) ( 1386210 1700340 0 )
-    NEW met2 ( 1382530 917660 ) ( 1382990 917660 )
-    NEW met2 ( 1382530 1086980 ) ( 1382990 1086980 )
-    NEW met2 ( 1382530 1207340 ) ( 1382990 1207340 )
-    NEW met2 ( 1382530 1280100 ) ( 1382990 1280100 )
-    NEW met2 ( 1382530 1376660 ) ( 1382990 1376660 )
-    NEW met2 ( 1382530 255170 ) ( 1382990 255170 )
-    NEW met2 ( 1382530 1038700 ) ( 1382990 1038700 )
-    NEW met2 ( 1382990 1038700 ) ( 1382990 1086980 )
-    NEW met2 ( 1382530 1231820 ) ( 1382990 1231820 )
-    NEW met2 ( 1382530 1207340 ) ( 1382530 1231820 )
-    NEW met2 ( 1382990 1231820 ) ( 1382990 1280100 )
-    NEW met2 ( 1382530 1328380 ) ( 1382990 1328380 )
-    NEW met2 ( 1382530 1280100 ) ( 1382530 1328380 )
-    NEW met2 ( 1382990 1328380 ) ( 1382990 1376660 )
-    NEW met2 ( 1382530 1424940 ) ( 1382990 1424940 )
-    NEW met2 ( 1382530 1376660 ) ( 1382530 1424940 )
-    NEW met1 ( 1150690 24990 ) ( 1382530 24990 )
-    NEW met1 ( 1382530 110330 ) ( 1382530 110670 )
-    NEW met1 ( 1382530 110670 ) ( 1382990 110670 )
-    NEW met2 ( 1382530 24990 ) ( 1382530 110330 )
-    NEW met1 ( 1382530 186490 ) ( 1382990 186490 )
-    NEW met2 ( 1382530 186490 ) ( 1382530 255170 )
-    NEW met1 ( 1382990 1676370 ) ( 1385290 1676370 )
-    NEW met2 ( 1385290 1676370 ) ( 1385290 1700340 )
-    NEW met2 ( 1382990 110670 ) ( 1382990 186490 )
-    NEW li1 ( 1382530 1593410 ) ( 1382530 1594430 )
-    NEW met1 ( 1382530 1594430 ) ( 1382990 1594430 )
-    NEW met2 ( 1382990 1594430 ) ( 1382990 1676370 )
-    NEW met2 ( 1382530 1556180 ) ( 1382990 1556180 )
-    NEW met2 ( 1382530 1556180 ) ( 1382530 1593410 )
-    NEW met2 ( 1382990 1424940 ) ( 1382990 1556180 )
-    NEW li1 ( 1382530 945370 ) ( 1382530 990590 )
-    NEW met2 ( 1382530 917660 ) ( 1382530 945370 )
-    NEW met2 ( 1382530 990590 ) ( 1382530 1038700 )
-    NEW met3 ( 1382530 1138660 ) ( 1383910 1138660 )
-    NEW met2 ( 1383910 1138660 ) ( 1383910 1162630 )
-    NEW met1 ( 1382990 1162630 ) ( 1383910 1162630 )
-    NEW met2 ( 1382530 1086980 ) ( 1382530 1138660 )
-    NEW met2 ( 1382990 1162630 ) ( 1382990 1207340 )
-    NEW met1 ( 1382990 414290 ) ( 1382990 414630 )
-    NEW met1 ( 1382530 414630 ) ( 1382990 414630 )
-    NEW met2 ( 1382530 469030 ) ( 1382990 469030 )
-    NEW met2 ( 1382530 414630 ) ( 1382530 469030 )
-    NEW met2 ( 1382990 469030 ) ( 1382990 917660 )
-    NEW li1 ( 1382990 313650 ) ( 1382990 338130 )
-    NEW met1 ( 1382530 338130 ) ( 1382990 338130 )
-    NEW met2 ( 1382530 338130 ) ( 1382530 358700 )
-    NEW met2 ( 1382530 358700 ) ( 1382990 358700 )
-    NEW met2 ( 1382990 255170 ) ( 1382990 313650 )
-    NEW met2 ( 1382990 358700 ) ( 1382990 414290 )
-    NEW met1 ( 1150690 24990 ) M1M2_PR
-    NEW met1 ( 1382530 24990 ) M1M2_PR
-    NEW met1 ( 1382530 110330 ) M1M2_PR
-    NEW met1 ( 1382990 110670 ) M1M2_PR
-    NEW met1 ( 1382530 186490 ) M1M2_PR
-    NEW met1 ( 1382990 186490 ) M1M2_PR
-    NEW met1 ( 1382990 1676370 ) M1M2_PR
-    NEW met1 ( 1385290 1676370 ) M1M2_PR
-    NEW li1 ( 1382530 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1382530 1593410 ) M1M2_PR
-    NEW li1 ( 1382530 1594430 ) L1M1_PR_MR
-    NEW met1 ( 1382990 1594430 ) M1M2_PR
-    NEW li1 ( 1382530 945370 ) L1M1_PR_MR
-    NEW met1 ( 1382530 945370 ) M1M2_PR
-    NEW li1 ( 1382530 990590 ) L1M1_PR_MR
-    NEW met1 ( 1382530 990590 ) M1M2_PR
-    NEW met2 ( 1382530 1138660 ) via2_FR
-    NEW met2 ( 1383910 1138660 ) via2_FR
-    NEW met1 ( 1383910 1162630 ) M1M2_PR
-    NEW met1 ( 1382990 1162630 ) M1M2_PR
-    NEW met1 ( 1382990 414290 ) M1M2_PR
-    NEW met1 ( 1382530 414630 ) M1M2_PR
-    NEW li1 ( 1382990 313650 ) L1M1_PR_MR
-    NEW met1 ( 1382990 313650 ) M1M2_PR
-    NEW li1 ( 1382990 338130 ) L1M1_PR_MR
-    NEW met1 ( 1382530 338130 ) M1M2_PR
-    NEW met1 ( 1382530 1593410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1382530 945370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1382530 990590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1382990 313650 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1382990 25330 ) ( 1382990 1657330 )
+    NEW met1 ( 1145630 24990 ) M1M2_PR
+    NEW met1 ( 1382990 25330 ) M1M2_PR
+    NEW met1 ( 1382990 1657330 ) M1M2_PR
+    NEW met1 ( 1385290 1657330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) 
-  + ROUTED met2 ( 669070 2380 0 ) ( 669070 26350 )
-    NEW met2 ( 1221530 26350 ) ( 1221530 27540 )
-    NEW met1 ( 669070 26350 ) ( 1221530 26350 )
-    NEW met3 ( 1221530 27540 ) ( 1286390 27540 )
+  + ROUTED met2 ( 664930 2380 0 ) ( 664930 26350 )
+    NEW li1 ( 948290 23630 ) ( 948290 26350 )
+    NEW met1 ( 948290 23630 ) ( 979570 23630 )
+    NEW li1 ( 979570 23630 ) ( 979570 26010 )
+    NEW li1 ( 1031550 21250 ) ( 1031550 26010 )
+    NEW met2 ( 1222910 24820 ) ( 1222910 31450 )
+    NEW li1 ( 1003490 21250 ) ( 1003490 26010 )
+    NEW met1 ( 979570 26010 ) ( 1003490 26010 )
+    NEW met1 ( 1003490 21250 ) ( 1031550 21250 )
+    NEW met2 ( 1173230 24820 ) ( 1173230 26010 )
+    NEW met2 ( 1173230 24820 ) ( 1173690 24820 )
+    NEW met1 ( 1031550 26010 ) ( 1173230 26010 )
+    NEW met3 ( 1173690 24820 ) ( 1222910 24820 )
+    NEW met1 ( 1222910 31450 ) ( 1284090 31450 )
+    NEW met1 ( 1284090 1678750 ) ( 1286390 1678750 )
+    NEW met2 ( 1286390 1678750 ) ( 1286390 1700340 )
     NEW met2 ( 1286390 1700340 ) ( 1287310 1700340 0 )
-    NEW met2 ( 1286390 27540 ) ( 1286390 1700340 )
-    NEW met1 ( 669070 26350 ) M1M2_PR
-    NEW met1 ( 1221530 26350 ) M1M2_PR
-    NEW met2 ( 1221530 27540 ) via2_FR
-    NEW met2 ( 1286390 27540 ) via2_FR
+    NEW met2 ( 1284090 31450 ) ( 1284090 1678750 )
+    NEW li1 ( 796490 26010 ) ( 796490 26350 )
+    NEW li1 ( 796490 26010 ) ( 797410 26010 )
+    NEW li1 ( 724730 26010 ) ( 725190 26010 )
+    NEW li1 ( 725190 26010 ) ( 725190 26350 )
+    NEW met1 ( 725190 26350 ) ( 796490 26350 )
+    NEW li1 ( 834210 26010 ) ( 835130 26010 )
+    NEW li1 ( 835130 26010 ) ( 835130 26350 )
+    NEW met1 ( 797410 26010 ) ( 834210 26010 )
+    NEW met1 ( 835130 26350 ) ( 948290 26350 )
+    NEW li1 ( 669530 26350 ) ( 669530 27710 )
+    NEW met1 ( 669530 27710 ) ( 717370 27710 )
+    NEW li1 ( 717370 26010 ) ( 717370 27710 )
+    NEW met1 ( 664930 26350 ) ( 669530 26350 )
+    NEW met1 ( 717370 26010 ) ( 724730 26010 )
+    NEW met1 ( 664930 26350 ) M1M2_PR
+    NEW li1 ( 948290 26350 ) L1M1_PR_MR
+    NEW li1 ( 948290 23630 ) L1M1_PR_MR
+    NEW li1 ( 979570 23630 ) L1M1_PR_MR
+    NEW li1 ( 979570 26010 ) L1M1_PR_MR
+    NEW li1 ( 1031550 21250 ) L1M1_PR_MR
+    NEW li1 ( 1031550 26010 ) L1M1_PR_MR
+    NEW met2 ( 1222910 24820 ) via2_FR
+    NEW met1 ( 1222910 31450 ) M1M2_PR
+    NEW li1 ( 1003490 26010 ) L1M1_PR_MR
+    NEW li1 ( 1003490 21250 ) L1M1_PR_MR
+    NEW met1 ( 1173230 26010 ) M1M2_PR
+    NEW met2 ( 1173690 24820 ) via2_FR
+    NEW met1 ( 1284090 31450 ) M1M2_PR
+    NEW met1 ( 1284090 1678750 ) M1M2_PR
+    NEW met1 ( 1286390 1678750 ) M1M2_PR
+    NEW li1 ( 796490 26350 ) L1M1_PR_MR
+    NEW li1 ( 797410 26010 ) L1M1_PR_MR
+    NEW li1 ( 724730 26010 ) L1M1_PR_MR
+    NEW li1 ( 725190 26350 ) L1M1_PR_MR
+    NEW li1 ( 834210 26010 ) L1M1_PR_MR
+    NEW li1 ( 835130 26350 ) L1M1_PR_MR
+    NEW li1 ( 669530 26350 ) L1M1_PR_MR
+    NEW li1 ( 669530 27710 ) L1M1_PR_MR
+    NEW li1 ( 717370 27710 ) L1M1_PR_MR
+    NEW li1 ( 717370 26010 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) 
-  + ROUTED li1 ( 1222910 26350 ) ( 1222910 31450 )
-    NEW met1 ( 1222910 26350 ) ( 1268450 26350 )
-    NEW li1 ( 1268450 26350 ) ( 1268450 31450 )
-    NEW met2 ( 1317670 26350 ) ( 1317670 31450 )
-    NEW met1 ( 1268450 31450 ) ( 1317670 31450 )
-    NEW met1 ( 1317670 26350 ) ( 1388970 26350 )
-    NEW met2 ( 1388970 1700340 ) ( 1389890 1700340 0 )
-    NEW met2 ( 1388970 26350 ) ( 1388970 1700340 )
-    NEW met2 ( 1168630 2380 0 ) ( 1168630 2890 )
-    NEW met1 ( 1168630 2890 ) ( 1173690 2890 )
-    NEW li1 ( 1173690 2890 ) ( 1173690 31450 )
-    NEW met1 ( 1173690 31450 ) ( 1222910 31450 )
-    NEW li1 ( 1222910 31450 ) L1M1_PR_MR
-    NEW li1 ( 1222910 26350 ) L1M1_PR_MR
-    NEW li1 ( 1268450 26350 ) L1M1_PR_MR
-    NEW li1 ( 1268450 31450 ) L1M1_PR_MR
-    NEW met1 ( 1317670 31450 ) M1M2_PR
-    NEW met1 ( 1317670 26350 ) M1M2_PR
-    NEW met1 ( 1388970 26350 ) M1M2_PR
-    NEW met1 ( 1168630 2890 ) M1M2_PR
-    NEW li1 ( 1173690 2890 ) L1M1_PR_MR
-    NEW li1 ( 1173690 31450 ) L1M1_PR_MR
+  + ROUTED met1 ( 1161270 25330 ) ( 1171850 25330 )
+    NEW met2 ( 1171850 25330 ) ( 1171850 25500 )
+    NEW met2 ( 1161270 2380 0 ) ( 1161270 25330 )
+    NEW met2 ( 1174610 25500 ) ( 1174610 25670 )
+    NEW met3 ( 1171850 25500 ) ( 1174610 25500 )
+    NEW met1 ( 1174610 25670 ) ( 1389890 25670 )
+    NEW met2 ( 1389890 25670 ) ( 1389890 1700340 0 )
+    NEW met1 ( 1161270 25330 ) M1M2_PR
+    NEW met1 ( 1171850 25330 ) M1M2_PR
+    NEW met2 ( 1171850 25500 ) via2_FR
+    NEW met2 ( 1174610 25500 ) via2_FR
+    NEW met1 ( 1174610 25670 ) M1M2_PR
+    NEW met1 ( 1389890 25670 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) 
-  + ROUTED met2 ( 1222450 26010 ) ( 1222450 26180 )
-    NEW met1 ( 1222450 26010 ) ( 1268910 26010 )
-    NEW met1 ( 1268910 26010 ) ( 1268910 26350 )
-    NEW met2 ( 1186110 2380 0 ) ( 1186110 26180 )
-    NEW met3 ( 1186110 26180 ) ( 1222450 26180 )
-    NEW li1 ( 1293750 26350 ) ( 1294670 26350 )
-    NEW li1 ( 1294670 26010 ) ( 1294670 26350 )
-    NEW met1 ( 1268910 26350 ) ( 1293750 26350 )
-    NEW met2 ( 1391270 1183540 ) ( 1391730 1183540 )
-    NEW met2 ( 1391270 1159060 ) ( 1391730 1159060 )
-    NEW met2 ( 1391270 1159060 ) ( 1391270 1183540 )
-    NEW met1 ( 1294670 26010 ) ( 1391270 26010 )
-    NEW met1 ( 1391270 186150 ) ( 1392190 186150 )
-    NEW met3 ( 1391270 814300 ) ( 1391500 814300 )
-    NEW met3 ( 1391500 814300 ) ( 1391500 814980 )
-    NEW met3 ( 1391500 814980 ) ( 1391730 814980 )
-    NEW met2 ( 1391730 1030540 ) ( 1392190 1030540 )
-    NEW met1 ( 1392190 1692350 ) ( 1393570 1692350 )
-    NEW met2 ( 1393570 1692350 ) ( 1393570 1700340 0 )
-    NEW met1 ( 1390810 83130 ) ( 1391730 83130 )
-    NEW li1 ( 1390810 276250 ) ( 1390810 324190 )
-    NEW met1 ( 1390810 276250 ) ( 1391270 276250 )
-    NEW met1 ( 1391270 469370 ) ( 1391270 469710 )
-    NEW met1 ( 1391270 469710 ) ( 1391730 469710 )
-    NEW li1 ( 1391730 855610 ) ( 1391730 903890 )
-    NEW met2 ( 1391730 814980 ) ( 1391730 855610 )
-    NEW met2 ( 1391730 903890 ) ( 1391730 1030540 )
-    NEW met1 ( 1391730 1255450 ) ( 1391730 1256130 )
-    NEW met2 ( 1391730 1183540 ) ( 1391730 1255450 )
-    NEW met3 ( 1389890 1386860 ) ( 1390810 1386860 )
-    NEW met2 ( 1389890 1351330 ) ( 1389890 1386860 )
-    NEW met1 ( 1389890 1351330 ) ( 1391730 1351330 )
-    NEW li1 ( 1391270 1634210 ) ( 1391270 1659710 )
-    NEW met1 ( 1391270 1659710 ) ( 1391730 1659710 )
-    NEW met2 ( 1391730 1659710 ) ( 1391730 1676540 )
-    NEW met2 ( 1391730 1676540 ) ( 1392190 1676540 )
-    NEW met2 ( 1392190 1676540 ) ( 1392190 1692350 )
-    NEW met3 ( 1389890 82620 ) ( 1390810 82620 )
-    NEW met2 ( 1389890 34510 ) ( 1389890 82620 )
-    NEW met1 ( 1389890 34510 ) ( 1391270 34510 )
-    NEW met2 ( 1390810 82620 ) ( 1390810 83130 )
-    NEW met2 ( 1391270 26010 ) ( 1391270 34510 )
-    NEW li1 ( 1391730 131410 ) ( 1391730 138210 )
-    NEW met2 ( 1391730 138210 ) ( 1391730 179180 )
-    NEW met2 ( 1391730 179180 ) ( 1392190 179180 )
-    NEW met2 ( 1391730 83130 ) ( 1391730 131410 )
-    NEW met2 ( 1392190 179180 ) ( 1392190 186150 )
-    NEW li1 ( 1391270 227970 ) ( 1391270 241570 )
-    NEW met1 ( 1391270 241570 ) ( 1391730 241570 )
-    NEW met2 ( 1391730 241570 ) ( 1391730 275740 )
-    NEW met2 ( 1391270 275740 ) ( 1391730 275740 )
-    NEW met2 ( 1391270 186150 ) ( 1391270 227970 )
-    NEW met2 ( 1391270 275740 ) ( 1391270 276250 )
-    NEW met1 ( 1390810 337790 ) ( 1390810 338470 )
-    NEW met1 ( 1390810 338470 ) ( 1391270 338470 )
-    NEW met2 ( 1390810 324190 ) ( 1390810 337790 )
-    NEW li1 ( 1391270 710770 ) ( 1391270 749190 )
-    NEW met1 ( 1391270 710770 ) ( 1391730 710770 )
-    NEW met2 ( 1391270 749190 ) ( 1391270 814300 )
-    NEW met2 ( 1391730 469710 ) ( 1391730 710770 )
-    NEW met2 ( 1391730 1303900 ) ( 1392650 1303900 )
-    NEW met2 ( 1392650 1303900 ) ( 1392650 1338580 )
-    NEW met3 ( 1391730 1338580 ) ( 1392650 1338580 )
-    NEW met2 ( 1391730 1256130 ) ( 1391730 1303900 )
-    NEW met2 ( 1391730 1338580 ) ( 1391730 1351330 )
-    NEW met3 ( 1389890 1387540 ) ( 1390810 1387540 )
-    NEW met2 ( 1390810 1386860 ) ( 1390810 1387540 )
-    NEW met1 ( 1391270 1483590 ) ( 1392190 1483590 )
-    NEW met2 ( 1392190 1483590 ) ( 1392190 1531700 )
-    NEW met3 ( 1391270 1531700 ) ( 1392190 1531700 )
-    NEW met2 ( 1391270 1580660 ) ( 1391730 1580660 )
-    NEW met2 ( 1391730 1580660 ) ( 1391730 1581340 )
-    NEW met2 ( 1391270 1581340 ) ( 1391730 1581340 )
-    NEW met2 ( 1391270 1531700 ) ( 1391270 1580660 )
-    NEW met2 ( 1391270 1581340 ) ( 1391270 1634210 )
-    NEW met2 ( 1391270 338470 ) ( 1391270 469370 )
-    NEW met2 ( 1391730 1055020 ) ( 1392190 1055020 )
-    NEW met2 ( 1391730 1055020 ) ( 1391730 1159060 )
-    NEW met2 ( 1392190 1030540 ) ( 1392190 1055020 )
-    NEW met1 ( 1389890 1476450 ) ( 1391270 1476450 )
-    NEW met2 ( 1389890 1387540 ) ( 1389890 1476450 )
-    NEW met2 ( 1391270 1476450 ) ( 1391270 1483590 )
-    NEW met2 ( 1222450 26180 ) via2_FR
-    NEW met1 ( 1222450 26010 ) M1M2_PR
-    NEW met2 ( 1186110 26180 ) via2_FR
-    NEW li1 ( 1293750 26350 ) L1M1_PR_MR
-    NEW li1 ( 1294670 26010 ) L1M1_PR_MR
-    NEW met1 ( 1391270 26010 ) M1M2_PR
-    NEW met1 ( 1391270 186150 ) M1M2_PR
-    NEW met1 ( 1392190 186150 ) M1M2_PR
-    NEW met2 ( 1391270 814300 ) via2_FR
-    NEW met2 ( 1391730 814980 ) via2_FR
-    NEW met1 ( 1392190 1692350 ) M1M2_PR
-    NEW met1 ( 1393570 1692350 ) M1M2_PR
-    NEW met1 ( 1390810 83130 ) M1M2_PR
-    NEW met1 ( 1391730 83130 ) M1M2_PR
-    NEW li1 ( 1390810 324190 ) L1M1_PR_MR
-    NEW met1 ( 1390810 324190 ) M1M2_PR
-    NEW li1 ( 1390810 276250 ) L1M1_PR_MR
-    NEW met1 ( 1391270 276250 ) M1M2_PR
-    NEW met1 ( 1391270 469370 ) M1M2_PR
-    NEW met1 ( 1391730 469710 ) M1M2_PR
-    NEW li1 ( 1391730 855610 ) L1M1_PR_MR
-    NEW met1 ( 1391730 855610 ) M1M2_PR
-    NEW li1 ( 1391730 903890 ) L1M1_PR_MR
-    NEW met1 ( 1391730 903890 ) M1M2_PR
-    NEW met1 ( 1391730 1256130 ) M1M2_PR
-    NEW met1 ( 1391730 1255450 ) M1M2_PR
-    NEW met2 ( 1390810 1386860 ) via2_FR
-    NEW met2 ( 1389890 1386860 ) via2_FR
-    NEW met1 ( 1389890 1351330 ) M1M2_PR
-    NEW met1 ( 1391730 1351330 ) M1M2_PR
-    NEW li1 ( 1391270 1634210 ) L1M1_PR_MR
-    NEW met1 ( 1391270 1634210 ) M1M2_PR
-    NEW li1 ( 1391270 1659710 ) L1M1_PR_MR
-    NEW met1 ( 1391730 1659710 ) M1M2_PR
-    NEW met2 ( 1390810 82620 ) via2_FR
-    NEW met2 ( 1389890 82620 ) via2_FR
-    NEW met1 ( 1389890 34510 ) M1M2_PR
-    NEW met1 ( 1391270 34510 ) M1M2_PR
-    NEW li1 ( 1391730 131410 ) L1M1_PR_MR
-    NEW met1 ( 1391730 131410 ) M1M2_PR
-    NEW li1 ( 1391730 138210 ) L1M1_PR_MR
-    NEW met1 ( 1391730 138210 ) M1M2_PR
-    NEW li1 ( 1391270 227970 ) L1M1_PR_MR
-    NEW met1 ( 1391270 227970 ) M1M2_PR
-    NEW li1 ( 1391270 241570 ) L1M1_PR_MR
-    NEW met1 ( 1391730 241570 ) M1M2_PR
-    NEW met1 ( 1390810 337790 ) M1M2_PR
-    NEW met1 ( 1391270 338470 ) M1M2_PR
-    NEW li1 ( 1391270 749190 ) L1M1_PR_MR
-    NEW met1 ( 1391270 749190 ) M1M2_PR
-    NEW li1 ( 1391270 710770 ) L1M1_PR_MR
-    NEW met1 ( 1391730 710770 ) M1M2_PR
-    NEW met2 ( 1392650 1338580 ) via2_FR
-    NEW met2 ( 1391730 1338580 ) via2_FR
-    NEW met2 ( 1390810 1387540 ) via2_FR
-    NEW met2 ( 1389890 1387540 ) via2_FR
-    NEW met1 ( 1391270 1483590 ) M1M2_PR
-    NEW met1 ( 1392190 1483590 ) M1M2_PR
-    NEW met2 ( 1392190 1531700 ) via2_FR
-    NEW met2 ( 1391270 1531700 ) via2_FR
-    NEW met1 ( 1389890 1476450 ) M1M2_PR
-    NEW met1 ( 1391270 1476450 ) M1M2_PR
-    NEW met1 ( 1390810 324190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391730 855610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391730 903890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391270 1634210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391730 131410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391730 138210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391270 227970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1391270 749190 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1267990 26010 ) ( 1267990 26180 )
+    NEW met3 ( 1179210 25500 ) ( 1221070 25500 )
+    NEW met2 ( 1221070 25500 ) ( 1221070 26010 )
+    NEW met2 ( 1179210 2380 0 ) ( 1179210 25500 )
+    NEW met1 ( 1221070 26010 ) ( 1267990 26010 )
+    NEW met2 ( 1270290 26010 ) ( 1270290 26180 )
+    NEW met3 ( 1267990 26180 ) ( 1270290 26180 )
+    NEW met1 ( 1270290 26010 ) ( 1389430 26010 )
+    NEW met2 ( 1392650 1700340 ) ( 1393570 1700340 0 )
+    NEW met1 ( 1389430 1666510 ) ( 1392650 1666510 )
+    NEW met2 ( 1389430 26010 ) ( 1389430 1666510 )
+    NEW met2 ( 1392650 1666510 ) ( 1392650 1700340 )
+    NEW met1 ( 1267990 26010 ) M1M2_PR
+    NEW met2 ( 1267990 26180 ) via2_FR
+    NEW met2 ( 1179210 25500 ) via2_FR
+    NEW met2 ( 1221070 25500 ) via2_FR
+    NEW met1 ( 1221070 26010 ) M1M2_PR
+    NEW met2 ( 1270290 26180 ) via2_FR
+    NEW met1 ( 1270290 26010 ) M1M2_PR
+    NEW met1 ( 1389430 26010 ) M1M2_PR
+    NEW met1 ( 1389430 1666510 ) M1M2_PR
+    NEW met1 ( 1392650 1666510 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) 
-  + ROUTED li1 ( 1269370 20230 ) ( 1269370 26010 )
-    NEW met2 ( 1204050 2380 0 ) ( 1204050 20230 )
-    NEW met1 ( 1204050 20230 ) ( 1269370 20230 )
-    NEW met1 ( 1294210 26010 ) ( 1294210 26350 )
-    NEW met1 ( 1294210 26350 ) ( 1317210 26350 )
-    NEW li1 ( 1317210 26350 ) ( 1317670 26350 )
-    NEW li1 ( 1317670 23630 ) ( 1317670 26350 )
-    NEW met1 ( 1269370 26010 ) ( 1294210 26010 )
-    NEW met1 ( 1317670 23630 ) ( 1394490 23630 )
-    NEW met1 ( 1394490 1664130 ) ( 1396330 1664130 )
-    NEW met2 ( 1396330 1664130 ) ( 1396330 1700340 )
+  + ROUTED met2 ( 1268450 26180 ) ( 1268450 27540 )
+    NEW met2 ( 1268450 26180 ) ( 1268910 26180 )
+    NEW met2 ( 1268910 26010 ) ( 1268910 26180 )
+    NEW met2 ( 1196690 2380 0 ) ( 1196690 27540 )
+    NEW met3 ( 1196690 27540 ) ( 1268450 27540 )
+    NEW li1 ( 1269830 26010 ) ( 1270750 26010 )
+    NEW li1 ( 1270750 26010 ) ( 1270750 26690 )
+    NEW met1 ( 1268910 26010 ) ( 1269830 26010 )
+    NEW met1 ( 1270750 26690 ) ( 1394030 26690 )
+    NEW met1 ( 1394030 1678750 ) ( 1396330 1678750 )
+    NEW met2 ( 1396330 1678750 ) ( 1396330 1700340 )
     NEW met2 ( 1396330 1700340 ) ( 1397250 1700340 0 )
-    NEW met2 ( 1394490 23630 ) ( 1394490 1664130 )
-    NEW li1 ( 1269370 20230 ) L1M1_PR_MR
-    NEW li1 ( 1269370 26010 ) L1M1_PR_MR
-    NEW met1 ( 1204050 20230 ) M1M2_PR
-    NEW li1 ( 1317210 26350 ) L1M1_PR_MR
-    NEW li1 ( 1317670 23630 ) L1M1_PR_MR
-    NEW met1 ( 1394490 23630 ) M1M2_PR
-    NEW met1 ( 1394490 1664130 ) M1M2_PR
-    NEW met1 ( 1396330 1664130 ) M1M2_PR
+    NEW met2 ( 1394030 26690 ) ( 1394030 1678750 )
+    NEW met2 ( 1268450 27540 ) via2_FR
+    NEW met1 ( 1268910 26010 ) M1M2_PR
+    NEW met2 ( 1196690 27540 ) via2_FR
+    NEW li1 ( 1269830 26010 ) L1M1_PR_MR
+    NEW li1 ( 1270750 26690 ) L1M1_PR_MR
+    NEW met1 ( 1394030 26690 ) M1M2_PR
+    NEW met1 ( 1394030 1678750 ) M1M2_PR
+    NEW met1 ( 1396330 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) 
-  + ROUTED met1 ( 1221990 20570 ) ( 1227970 20570 )
-    NEW met2 ( 1221990 2380 0 ) ( 1221990 20570 )
-    NEW met2 ( 1227970 20570 ) ( 1227970 72590 )
-    NEW met2 ( 1321350 72590 ) ( 1321350 1688610 )
-    NEW met1 ( 1227970 72590 ) ( 1321350 72590 )
-    NEW met2 ( 1400930 1688610 ) ( 1400930 1700340 0 )
-    NEW met1 ( 1321350 1688610 ) ( 1400930 1688610 )
-    NEW met1 ( 1227970 72590 ) M1M2_PR
-    NEW met1 ( 1321350 72590 ) M1M2_PR
-    NEW met1 ( 1221990 20570 ) M1M2_PR
-    NEW met1 ( 1227970 20570 ) M1M2_PR
-    NEW met1 ( 1321350 1688610 ) M1M2_PR
-    NEW met1 ( 1400930 1688610 ) M1M2_PR
+  + ROUTED met2 ( 1400930 1700340 0 ) ( 1401850 1700340 )
+    NEW met2 ( 1304330 58650 ) ( 1304330 58820 )
+    NEW met2 ( 1304330 58820 ) ( 1304790 58820 )
+    NEW met2 ( 1304790 58650 ) ( 1304790 58820 )
+    NEW met2 ( 1401850 58650 ) ( 1401850 1700340 )
+    NEW li1 ( 1296970 57630 ) ( 1296970 58650 )
+    NEW met1 ( 1296970 58650 ) ( 1304330 58650 )
+    NEW li1 ( 1345730 57970 ) ( 1345730 58650 )
+    NEW met1 ( 1345730 57970 ) ( 1393570 57970 )
+    NEW li1 ( 1393570 57970 ) ( 1393570 58650 )
+    NEW met1 ( 1304790 58650 ) ( 1345730 58650 )
+    NEW met1 ( 1393570 58650 ) ( 1401850 58650 )
+    NEW met1 ( 1248670 58310 ) ( 1248670 58650 )
+    NEW met1 ( 1248670 58310 ) ( 1290070 58310 )
+    NEW met1 ( 1290070 57630 ) ( 1290070 58310 )
+    NEW met1 ( 1290070 57630 ) ( 1296970 57630 )
+    NEW met1 ( 1214630 57970 ) ( 1221530 57970 )
+    NEW met1 ( 1221530 57970 ) ( 1221530 58650 )
+    NEW met2 ( 1214630 2380 0 ) ( 1214630 57970 )
+    NEW met1 ( 1221530 58650 ) ( 1248670 58650 )
+    NEW met1 ( 1304330 58650 ) M1M2_PR
+    NEW met1 ( 1304790 58650 ) M1M2_PR
+    NEW met1 ( 1401850 58650 ) M1M2_PR
+    NEW li1 ( 1296970 57630 ) L1M1_PR_MR
+    NEW li1 ( 1296970 58650 ) L1M1_PR_MR
+    NEW li1 ( 1345730 58650 ) L1M1_PR_MR
+    NEW li1 ( 1345730 57970 ) L1M1_PR_MR
+    NEW li1 ( 1393570 57970 ) L1M1_PR_MR
+    NEW li1 ( 1393570 58650 ) L1M1_PR_MR
+    NEW met1 ( 1214630 57970 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) 
-  + ROUTED met2 ( 1239930 2380 0 ) ( 1239930 2890 )
-    NEW met1 ( 1239930 2890 ) ( 1241770 2890 )
-    NEW met2 ( 1241770 2890 ) ( 1241770 58650 )
-    NEW met1 ( 1241770 58650 ) ( 1404150 58650 )
+  + ROUTED met1 ( 1232110 20570 ) ( 1234870 20570 )
+    NEW met2 ( 1232110 2380 0 ) ( 1232110 20570 )
+    NEW met2 ( 1234870 20570 ) ( 1234870 72250 )
+    NEW met1 ( 1234870 72250 ) ( 1404150 72250 )
     NEW met2 ( 1404150 1700340 ) ( 1404610 1700340 0 )
-    NEW met2 ( 1404150 58650 ) ( 1404150 1700340 )
-    NEW met1 ( 1239930 2890 ) M1M2_PR
-    NEW met1 ( 1241770 2890 ) M1M2_PR
-    NEW met1 ( 1241770 58650 ) M1M2_PR
-    NEW met1 ( 1404150 58650 ) M1M2_PR
+    NEW met2 ( 1404150 72250 ) ( 1404150 1700340 )
+    NEW met1 ( 1234870 72250 ) M1M2_PR
+    NEW met1 ( 1232110 20570 ) M1M2_PR
+    NEW met1 ( 1234870 20570 ) M1M2_PR
+    NEW met1 ( 1404150 72250 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) 
-  + ROUTED met1 ( 1257410 20570 ) ( 1262470 20570 )
-    NEW met2 ( 1257410 2380 0 ) ( 1257410 20570 )
-    NEW met2 ( 1262470 20570 ) ( 1262470 72250 )
-    NEW met1 ( 1262470 72250 ) ( 1408750 72250 )
+  + ROUTED met2 ( 1250050 36380 ) ( 1250510 36380 )
+    NEW met2 ( 1250050 2380 0 ) ( 1250050 36380 )
     NEW met2 ( 1408290 1700340 0 ) ( 1408750 1700340 )
-    NEW met2 ( 1408750 72250 ) ( 1408750 1700340 )
-    NEW met1 ( 1262470 72250 ) M1M2_PR
-    NEW met1 ( 1257410 20570 ) M1M2_PR
-    NEW met1 ( 1262470 20570 ) M1M2_PR
-    NEW met1 ( 1408750 72250 ) M1M2_PR
+    NEW met2 ( 1250510 36380 ) ( 1250510 58990 )
+    NEW met1 ( 1250510 58990 ) ( 1408750 58990 )
+    NEW met2 ( 1408750 58990 ) ( 1408750 1700340 )
+    NEW met1 ( 1250510 58990 ) M1M2_PR
+    NEW met1 ( 1408750 58990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) 
-  + ROUTED met2 ( 1275350 2380 0 ) ( 1275350 3060 )
-    NEW met2 ( 1275350 3060 ) ( 1276270 3060 )
-    NEW met2 ( 1276270 3060 ) ( 1276270 52190 )
-    NEW met1 ( 1276270 52190 ) ( 1410130 52190 )
-    NEW met2 ( 1410130 1677900 ) ( 1411050 1677900 )
-    NEW met2 ( 1411050 1677900 ) ( 1411050 1700340 )
+  + ROUTED met2 ( 1267530 2380 0 ) ( 1267530 2890 )
+    NEW met1 ( 1267530 2890 ) ( 1269370 2890 )
+    NEW met2 ( 1269370 2890 ) ( 1269370 86190 )
+    NEW met1 ( 1409210 1677730 ) ( 1411050 1677730 )
+    NEW met2 ( 1411050 1677730 ) ( 1411050 1700340 )
     NEW met2 ( 1411050 1700340 ) ( 1411970 1700340 0 )
-    NEW met2 ( 1410130 52190 ) ( 1410130 1677900 )
-    NEW met1 ( 1276270 52190 ) M1M2_PR
-    NEW met1 ( 1410130 52190 ) M1M2_PR
+    NEW met1 ( 1269370 86190 ) ( 1409210 86190 )
+    NEW met2 ( 1409210 86190 ) ( 1409210 1677730 )
+    NEW met1 ( 1267530 2890 ) M1M2_PR
+    NEW met1 ( 1269370 2890 ) M1M2_PR
+    NEW met1 ( 1269370 86190 ) M1M2_PR
+    NEW met1 ( 1409210 1677730 ) M1M2_PR
+    NEW met1 ( 1411050 1677730 ) M1M2_PR
+    NEW met1 ( 1409210 86190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) 
-  + ROUTED li1 ( 1319050 20570 ) ( 1319050 26690 )
-    NEW met2 ( 1415650 26690 ) ( 1415650 1700340 0 )
-    NEW met1 ( 1293290 23630 ) ( 1317210 23630 )
-    NEW li1 ( 1317210 20570 ) ( 1317210 23630 )
-    NEW met2 ( 1293290 2380 0 ) ( 1293290 23630 )
-    NEW met1 ( 1317210 20570 ) ( 1319050 20570 )
-    NEW met1 ( 1319050 26690 ) ( 1415650 26690 )
-    NEW li1 ( 1319050 20570 ) L1M1_PR_MR
-    NEW li1 ( 1319050 26690 ) L1M1_PR_MR
-    NEW met1 ( 1415650 26690 ) M1M2_PR
-    NEW met1 ( 1293290 23630 ) M1M2_PR
-    NEW li1 ( 1317210 23630 ) L1M1_PR_MR
-    NEW li1 ( 1317210 20570 ) L1M1_PR_MR
+  + ROUTED li1 ( 1319050 20230 ) ( 1319050 23290 )
+    NEW met1 ( 1319050 23290 ) ( 1341590 23290 )
+    NEW li1 ( 1341590 23290 ) ( 1341590 26350 )
+    NEW met2 ( 1415650 26350 ) ( 1415650 1700340 0 )
+    NEW met1 ( 1285470 26350 ) ( 1317210 26350 )
+    NEW li1 ( 1317210 20230 ) ( 1317210 26350 )
+    NEW met2 ( 1285470 2380 0 ) ( 1285470 26350 )
+    NEW met1 ( 1317210 20230 ) ( 1319050 20230 )
+    NEW met1 ( 1341590 26350 ) ( 1415650 26350 )
+    NEW li1 ( 1319050 20230 ) L1M1_PR_MR
+    NEW li1 ( 1319050 23290 ) L1M1_PR_MR
+    NEW li1 ( 1341590 23290 ) L1M1_PR_MR
+    NEW li1 ( 1341590 26350 ) L1M1_PR_MR
+    NEW met1 ( 1415650 26350 ) M1M2_PR
+    NEW met1 ( 1285470 26350 ) M1M2_PR
+    NEW li1 ( 1317210 26350 ) L1M1_PR_MR
+    NEW li1 ( 1317210 20230 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) 
-  + ROUTED li1 ( 1320430 16830 ) ( 1320430 23290 )
-    NEW met1 ( 1415190 1685210 ) ( 1418410 1685210 )
-    NEW met2 ( 1418410 1685210 ) ( 1418410 1700340 )
+  + ROUTED met2 ( 1303410 2380 0 ) ( 1303410 10540 )
+    NEW met2 ( 1303410 10540 ) ( 1303870 10540 )
+    NEW li1 ( 1339290 23630 ) ( 1339290 26350 )
+    NEW met1 ( 1415190 1684870 ) ( 1418410 1684870 )
+    NEW met2 ( 1418410 1684870 ) ( 1418410 1700340 )
     NEW met2 ( 1418410 1700340 ) ( 1419330 1700340 0 )
-    NEW met2 ( 1415190 23290 ) ( 1415190 1685210 )
-    NEW met2 ( 1311230 17340 ) ( 1312150 17340 )
-    NEW met2 ( 1312150 16830 ) ( 1312150 17340 )
-    NEW met2 ( 1311230 2380 0 ) ( 1311230 17340 )
-    NEW met1 ( 1312150 16830 ) ( 1320430 16830 )
-    NEW met1 ( 1320430 23290 ) ( 1415190 23290 )
-    NEW li1 ( 1320430 16830 ) L1M1_PR_MR
-    NEW li1 ( 1320430 23290 ) L1M1_PR_MR
-    NEW met1 ( 1415190 23290 ) M1M2_PR
-    NEW met1 ( 1415190 1685210 ) M1M2_PR
-    NEW met1 ( 1418410 1685210 ) M1M2_PR
-    NEW met1 ( 1312150 16830 ) M1M2_PR
+    NEW met2 ( 1415190 23630 ) ( 1415190 1684870 )
+    NEW met3 ( 1303870 26180 ) ( 1317670 26180 )
+    NEW met2 ( 1317670 26180 ) ( 1317670 26350 )
+    NEW met2 ( 1303870 10540 ) ( 1303870 26180 )
+    NEW met1 ( 1317670 26350 ) ( 1339290 26350 )
+    NEW met1 ( 1339290 23630 ) ( 1415190 23630 )
+    NEW li1 ( 1339290 26350 ) L1M1_PR_MR
+    NEW li1 ( 1339290 23630 ) L1M1_PR_MR
+    NEW met1 ( 1415190 23630 ) M1M2_PR
+    NEW met1 ( 1415190 1684870 ) M1M2_PR
+    NEW met1 ( 1418410 1684870 ) M1M2_PR
+    NEW met2 ( 1303870 26180 ) via2_FR
+    NEW met2 ( 1317670 26180 ) via2_FR
+    NEW met1 ( 1317670 26350 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) 
-  + ROUTED met2 ( 1329170 2380 0 ) ( 1329170 19550 )
-    NEW met2 ( 1417950 19550 ) ( 1417950 21420 )
-    NEW met2 ( 1417950 21420 ) ( 1418410 21420 )
+  + ROUTED met2 ( 1320890 2380 0 ) ( 1320890 18530 )
     NEW met1 ( 1418410 1684190 ) ( 1423010 1684190 )
     NEW met2 ( 1423010 1684190 ) ( 1423010 1700340 0 )
-    NEW met2 ( 1418410 21420 ) ( 1418410 1684190 )
-    NEW met1 ( 1329170 19550 ) ( 1417950 19550 )
-    NEW met1 ( 1329170 19550 ) M1M2_PR
-    NEW met1 ( 1417950 19550 ) M1M2_PR
+    NEW met2 ( 1418410 18530 ) ( 1418410 1684190 )
+    NEW met1 ( 1320890 18530 ) ( 1418410 18530 )
+    NEW met1 ( 1320890 18530 ) M1M2_PR
+    NEW met1 ( 1418410 18530 ) M1M2_PR
     NEW met1 ( 1418410 1684190 ) M1M2_PR
     NEW met1 ( 1423010 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) 
-  + ROUTED met1 ( 686550 19890 ) ( 687930 19890 )
-    NEW met2 ( 687930 19890 ) ( 687930 53380 )
-    NEW met2 ( 687930 53380 ) ( 689770 53380 )
-    NEW met2 ( 686550 2380 0 ) ( 686550 19890 )
-    NEW met2 ( 689770 53380 ) ( 689770 1590350 )
-    NEW met1 ( 689770 1590350 ) ( 1279950 1590350 )
-    NEW met2 ( 1279950 1677220 ) ( 1281790 1677220 )
-    NEW met2 ( 1281790 1677220 ) ( 1281790 1684190 )
-    NEW met1 ( 1281790 1684190 ) ( 1290990 1684190 )
+  + ROUTED met1 ( 682870 1590690 ) ( 1279950 1590690 )
+    NEW met2 ( 1279950 1656140 ) ( 1282250 1656140 )
+    NEW met2 ( 1282250 1656140 ) ( 1282250 1684190 )
+    NEW met1 ( 1282250 1684190 ) ( 1290990 1684190 )
     NEW met2 ( 1290990 1684190 ) ( 1290990 1700340 0 )
-    NEW met2 ( 1279950 1590350 ) ( 1279950 1677220 )
-    NEW met1 ( 689770 1590350 ) M1M2_PR
-    NEW met1 ( 686550 19890 ) M1M2_PR
-    NEW met1 ( 687930 19890 ) M1M2_PR
-    NEW met1 ( 1279950 1590350 ) M1M2_PR
-    NEW met1 ( 1281790 1684190 ) M1M2_PR
+    NEW met2 ( 1279950 1590690 ) ( 1279950 1656140 )
+    NEW met3 ( 681950 96900 ) ( 682870 96900 )
+    NEW met2 ( 681950 96900 ) ( 681950 144670 )
+    NEW met1 ( 681950 144670 ) ( 682870 144670 )
+    NEW met1 ( 682870 1159570 ) ( 683330 1159570 )
+    NEW met2 ( 683330 1159570 ) ( 683330 1207340 )
+    NEW met2 ( 682870 1207340 ) ( 683330 1207340 )
+    NEW met2 ( 682870 1207340 ) ( 682870 1590690 )
+    NEW li1 ( 682410 62050 ) ( 682410 96390 )
+    NEW met1 ( 682410 96390 ) ( 682870 96390 )
+    NEW met2 ( 682410 2380 0 ) ( 682410 62050 )
+    NEW met2 ( 682870 96390 ) ( 682870 96900 )
+    NEW li1 ( 682870 241570 ) ( 682870 289510 )
+    NEW met2 ( 682870 144670 ) ( 682870 241570 )
+    NEW met1 ( 682870 1110950 ) ( 683790 1110950 )
+    NEW met2 ( 683790 1110950 ) ( 683790 1159060 )
+    NEW met3 ( 682870 1159060 ) ( 683790 1159060 )
+    NEW met2 ( 682870 289510 ) ( 682870 1110950 )
+    NEW met2 ( 682870 1159060 ) ( 682870 1159570 )
+    NEW met1 ( 682870 1590690 ) M1M2_PR
+    NEW met1 ( 1279950 1590690 ) M1M2_PR
+    NEW met1 ( 1282250 1684190 ) M1M2_PR
     NEW met1 ( 1290990 1684190 ) M1M2_PR
+    NEW met2 ( 682870 96900 ) via2_FR
+    NEW met2 ( 681950 96900 ) via2_FR
+    NEW met1 ( 681950 144670 ) M1M2_PR
+    NEW met1 ( 682870 144670 ) M1M2_PR
+    NEW met1 ( 682870 1159570 ) M1M2_PR
+    NEW met1 ( 683330 1159570 ) M1M2_PR
+    NEW li1 ( 682410 62050 ) L1M1_PR_MR
+    NEW met1 ( 682410 62050 ) M1M2_PR
+    NEW li1 ( 682410 96390 ) L1M1_PR_MR
+    NEW met1 ( 682870 96390 ) M1M2_PR
+    NEW li1 ( 682870 241570 ) L1M1_PR_MR
+    NEW met1 ( 682870 241570 ) M1M2_PR
+    NEW li1 ( 682870 289510 ) L1M1_PR_MR
+    NEW met1 ( 682870 289510 ) M1M2_PR
+    NEW met1 ( 682870 1110950 ) M1M2_PR
+    NEW met1 ( 683790 1110950 ) M1M2_PR
+    NEW met2 ( 683790 1159060 ) via2_FR
+    NEW met2 ( 682870 1159060 ) via2_FR
+    NEW met1 ( 682410 62050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 682870 241570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 682870 289510 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) 
-  + ROUTED met2 ( 1346650 2380 0 ) ( 1346650 20570 )
-    NEW met1 ( 1417950 20230 ) ( 1417950 20570 )
-    NEW met1 ( 1417950 20230 ) ( 1422550 20230 )
-    NEW met1 ( 1422550 1677390 ) ( 1425770 1677390 )
-    NEW met2 ( 1425770 1677390 ) ( 1425770 1700340 )
+  + ROUTED met2 ( 1338830 2380 0 ) ( 1338830 20230 )
+    NEW met1 ( 1422550 1677730 ) ( 1425770 1677730 )
+    NEW met2 ( 1425770 1677730 ) ( 1425770 1700340 )
     NEW met2 ( 1425770 1700340 ) ( 1426690 1700340 0 )
-    NEW met2 ( 1422550 20230 ) ( 1422550 1677390 )
-    NEW met1 ( 1346650 20570 ) ( 1417950 20570 )
-    NEW met1 ( 1346650 20570 ) M1M2_PR
+    NEW met2 ( 1422550 20230 ) ( 1422550 1677730 )
+    NEW met1 ( 1338830 20230 ) ( 1422550 20230 )
+    NEW met1 ( 1338830 20230 ) M1M2_PR
     NEW met1 ( 1422550 20230 ) M1M2_PR
-    NEW met1 ( 1422550 1677390 ) M1M2_PR
-    NEW met1 ( 1425770 1677390 ) M1M2_PR
+    NEW met1 ( 1422550 1677730 ) M1M2_PR
+    NEW met1 ( 1425770 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) 
-  + ROUTED met2 ( 1364590 2380 0 ) ( 1364590 17850 )
-    NEW met2 ( 1430370 1685550 ) ( 1430370 1700340 0 )
-    NEW met2 ( 1389890 17850 ) ( 1390350 17850 )
-    NEW met1 ( 1364590 17850 ) ( 1389890 17850 )
-    NEW met1 ( 1390350 1685550 ) ( 1430370 1685550 )
-    NEW met2 ( 1390350 17850 ) ( 1390350 1685550 )
-    NEW met1 ( 1364590 17850 ) M1M2_PR
-    NEW met1 ( 1430370 1685550 ) M1M2_PR
-    NEW met1 ( 1389890 17850 ) M1M2_PR
-    NEW met1 ( 1390350 1685550 ) M1M2_PR
+  + ROUTED met2 ( 1356310 2380 0 ) ( 1356310 14450 )
+    NEW met1 ( 1417950 1684190 ) ( 1417950 1684530 )
+    NEW met1 ( 1417950 1684530 ) ( 1430370 1684530 )
+    NEW met2 ( 1430370 1684530 ) ( 1430370 1700340 0 )
+    NEW met1 ( 1366430 14450 ) ( 1366430 15130 )
+    NEW met1 ( 1366430 15130 ) ( 1388510 15130 )
+    NEW met2 ( 1388510 15130 ) ( 1388510 15300 )
+    NEW met2 ( 1388510 15300 ) ( 1390350 15300 )
+    NEW met1 ( 1356310 14450 ) ( 1366430 14450 )
+    NEW met2 ( 1390350 1670420 ) ( 1390810 1670420 )
+    NEW met2 ( 1390810 1670420 ) ( 1390810 1684190 )
+    NEW met2 ( 1390350 15300 ) ( 1390350 1670420 )
+    NEW met1 ( 1390810 1684190 ) ( 1417950 1684190 )
+    NEW met1 ( 1356310 14450 ) M1M2_PR
+    NEW met1 ( 1430370 1684530 ) M1M2_PR
+    NEW met1 ( 1388510 15130 ) M1M2_PR
+    NEW met1 ( 1390810 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) 
-  + ROUTED met2 ( 1434050 1685890 ) ( 1434050 1700340 0 )
-    NEW met1 ( 1382530 14450 ) ( 1397250 14450 )
-    NEW met2 ( 1382530 2380 0 ) ( 1382530 14450 )
-    NEW met2 ( 1397250 1671100 ) ( 1398170 1671100 )
-    NEW met2 ( 1398170 1671100 ) ( 1398170 1685890 )
-    NEW met1 ( 1398170 1685890 ) ( 1434050 1685890 )
-    NEW li1 ( 1397250 372130 ) ( 1397250 373150 )
-    NEW met2 ( 1397250 14450 ) ( 1397250 372130 )
-    NEW met1 ( 1397250 420750 ) ( 1397250 421090 )
-    NEW met1 ( 1397250 421090 ) ( 1397710 421090 )
-    NEW met2 ( 1397710 421090 ) ( 1397710 421260 )
-    NEW met2 ( 1397250 421260 ) ( 1397710 421260 )
-    NEW met2 ( 1397250 373150 ) ( 1397250 420750 )
-    NEW met2 ( 1397250 421260 ) ( 1397250 1671100 )
-    NEW met1 ( 1434050 1685890 ) M1M2_PR
-    NEW met1 ( 1382530 14450 ) M1M2_PR
-    NEW met1 ( 1397250 14450 ) M1M2_PR
-    NEW met1 ( 1398170 1685890 ) M1M2_PR
-    NEW li1 ( 1397250 372130 ) L1M1_PR_MR
-    NEW met1 ( 1397250 372130 ) M1M2_PR
-    NEW li1 ( 1397250 373150 ) L1M1_PR_MR
-    NEW met1 ( 1397250 373150 ) M1M2_PR
-    NEW met1 ( 1397250 420750 ) M1M2_PR
-    NEW met1 ( 1397710 421090 ) M1M2_PR
-    NEW met1 ( 1397250 372130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1397250 373150 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1434050 1685550 ) ( 1434050 1700340 0 )
+    NEW met1 ( 1374250 17850 ) ( 1397250 17850 )
+    NEW met2 ( 1374250 2380 0 ) ( 1374250 17850 )
+    NEW met2 ( 1397250 1671100 ) ( 1399550 1671100 )
+    NEW met2 ( 1399550 1671100 ) ( 1399550 1685550 )
+    NEW met2 ( 1397250 17850 ) ( 1397250 1671100 )
+    NEW met1 ( 1399550 1685550 ) ( 1434050 1685550 )
+    NEW met1 ( 1434050 1685550 ) M1M2_PR
+    NEW met1 ( 1374250 17850 ) M1M2_PR
+    NEW met1 ( 1397250 17850 ) M1M2_PR
+    NEW met1 ( 1399550 1685550 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) 
-  + ROUTED met2 ( 1417490 14450 ) ( 1417490 22100 )
-    NEW met2 ( 1417490 22100 ) ( 1417950 22100 )
-    NEW met1 ( 1417950 1684190 ) ( 1417950 1684870 )
-    NEW met1 ( 1417950 1684870 ) ( 1437730 1684870 )
-    NEW met2 ( 1437730 1684870 ) ( 1437730 1700340 0 )
-    NEW met2 ( 1417950 22100 ) ( 1417950 1684190 )
-    NEW met2 ( 1400470 2380 0 ) ( 1400470 14450 )
-    NEW met1 ( 1400470 14450 ) ( 1417490 14450 )
-    NEW met1 ( 1417490 14450 ) M1M2_PR
-    NEW met1 ( 1417950 1684190 ) M1M2_PR
-    NEW met1 ( 1437730 1684870 ) M1M2_PR
-    NEW met1 ( 1400470 14450 ) M1M2_PR
+  + ROUTED met1 ( 1417950 1685210 ) ( 1437730 1685210 )
+    NEW met2 ( 1437730 1685210 ) ( 1437730 1700340 0 )
+    NEW met2 ( 1417950 17170 ) ( 1417950 1685210 )
+    NEW met2 ( 1391730 2380 0 ) ( 1391730 17170 )
+    NEW met1 ( 1391730 17170 ) ( 1417950 17170 )
+    NEW met1 ( 1417950 17170 ) M1M2_PR
+    NEW met1 ( 1417950 1685210 ) M1M2_PR
+    NEW met1 ( 1437730 1685210 ) M1M2_PR
+    NEW met1 ( 1391730 17170 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) 
-  + ROUTED met1 ( 1418410 20570 ) ( 1424850 20570 )
-    NEW met2 ( 1418410 2380 0 ) ( 1418410 20570 )
-    NEW met2 ( 1424850 676260 ) ( 1425310 676260 )
-    NEW met2 ( 1425310 676260 ) ( 1425310 676430 )
-    NEW met1 ( 1424850 676430 ) ( 1425310 676430 )
-    NEW li1 ( 1424850 676430 ) ( 1424850 677790 )
-    NEW met1 ( 1424850 1545810 ) ( 1424850 1546490 )
-    NEW met1 ( 1424850 1655630 ) ( 1441410 1655630 )
-    NEW met2 ( 1424850 1546490 ) ( 1424850 1655630 )
-    NEW met2 ( 1441410 1655630 ) ( 1441410 1700340 0 )
-    NEW met2 ( 1424850 20570 ) ( 1424850 676260 )
-    NEW met2 ( 1424850 677790 ) ( 1424850 1545810 )
-    NEW met1 ( 1418410 20570 ) M1M2_PR
-    NEW met1 ( 1424850 20570 ) M1M2_PR
-    NEW met1 ( 1425310 676430 ) M1M2_PR
-    NEW li1 ( 1424850 676430 ) L1M1_PR_MR
-    NEW li1 ( 1424850 677790 ) L1M1_PR_MR
-    NEW met1 ( 1424850 677790 ) M1M2_PR
-    NEW met1 ( 1424850 1545810 ) M1M2_PR
-    NEW met1 ( 1424850 1546490 ) M1M2_PR
-    NEW met1 ( 1424850 1655630 ) M1M2_PR
-    NEW met1 ( 1441410 1655630 ) M1M2_PR
-    NEW met1 ( 1424850 677790 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1424850 1684870 ) ( 1441410 1684870 )
+    NEW met2 ( 1441410 1684870 ) ( 1441410 1700340 0 )
+    NEW met2 ( 1424850 16830 ) ( 1424850 1684870 )
+    NEW met2 ( 1409670 2380 0 ) ( 1409670 16830 )
+    NEW met1 ( 1409670 16830 ) ( 1424850 16830 )
+    NEW met1 ( 1424850 16830 ) M1M2_PR
+    NEW met1 ( 1424850 1684870 ) M1M2_PR
+    NEW met1 ( 1441410 1684870 ) M1M2_PR
+    NEW met1 ( 1409670 16830 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) 
-  + ROUTED met1 ( 1435890 20570 ) ( 1441410 20570 )
-    NEW met1 ( 1441410 20570 ) ( 1441410 20910 )
-    NEW met1 ( 1441410 20910 ) ( 1441870 20910 )
-    NEW met1 ( 1441870 20910 ) ( 1441870 21250 )
-    NEW met2 ( 1435890 2380 0 ) ( 1435890 20570 )
-    NEW met1 ( 1441870 1684190 ) ( 1445090 1684190 )
+  + ROUTED met1 ( 1427150 20570 ) ( 1431750 20570 )
+    NEW met2 ( 1427150 2380 0 ) ( 1427150 20570 )
+    NEW met1 ( 1431750 1684190 ) ( 1445090 1684190 )
     NEW met2 ( 1445090 1684190 ) ( 1445090 1700340 0 )
-    NEW met2 ( 1441870 21250 ) ( 1441870 1684190 )
-    NEW met1 ( 1435890 20570 ) M1M2_PR
-    NEW met1 ( 1441870 21250 ) M1M2_PR
-    NEW met1 ( 1441870 1684190 ) M1M2_PR
+    NEW met2 ( 1431750 20570 ) ( 1431750 1684190 )
+    NEW met1 ( 1427150 20570 ) M1M2_PR
+    NEW met1 ( 1431750 20570 ) M1M2_PR
+    NEW met1 ( 1431750 1684190 ) M1M2_PR
     NEW met1 ( 1445090 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) 
-  + ROUTED met2 ( 1453830 2380 0 ) ( 1453830 2890 )
-    NEW met1 ( 1450610 2890 ) ( 1453830 2890 )
-    NEW met2 ( 1448770 1684020 ) ( 1450610 1684020 )
-    NEW met2 ( 1448770 1684020 ) ( 1448770 1700340 0 )
-    NEW met2 ( 1450610 2890 ) ( 1450610 1684020 )
-    NEW met1 ( 1453830 2890 ) M1M2_PR
-    NEW met1 ( 1450610 2890 ) M1M2_PR
+  + ROUTED met1 ( 1445550 110330 ) ( 1446470 110330 )
+    NEW met1 ( 1445550 303450 ) ( 1446470 303450 )
+    NEW met1 ( 1445550 979710 ) ( 1446470 979710 )
+    NEW met1 ( 1445550 1172830 ) ( 1446470 1172830 )
+    NEW met2 ( 1445550 448460 ) ( 1446470 448460 )
+    NEW met2 ( 1446010 545020 ) ( 1446470 545020 )
+    NEW met2 ( 1446010 738140 ) ( 1446470 738140 )
+    NEW met2 ( 1446010 834700 ) ( 1446470 834700 )
+    NEW met2 ( 1445550 980220 ) ( 1446010 980220 )
+    NEW met2 ( 1446010 980220 ) ( 1446010 1027820 )
+    NEW met2 ( 1446010 1027820 ) ( 1446470 1027820 )
+    NEW met2 ( 1445550 979710 ) ( 1445550 980220 )
+    NEW met2 ( 1445550 1173340 ) ( 1446010 1173340 )
+    NEW met2 ( 1446010 1173340 ) ( 1446010 1220940 )
+    NEW met2 ( 1446010 1220940 ) ( 1446470 1220940 )
+    NEW met2 ( 1445550 1172830 ) ( 1445550 1173340 )
+    NEW met2 ( 1446010 1317500 ) ( 1446470 1317500 )
+    NEW met2 ( 1446010 1559580 ) ( 1446930 1559580 )
+    NEW met1 ( 1446470 1676710 ) ( 1448770 1676710 )
+    NEW met2 ( 1448770 1676710 ) ( 1448770 1700340 0 )
+    NEW met2 ( 1446010 603500 ) ( 1446470 603500 )
+    NEW met2 ( 1446470 545020 ) ( 1446470 603500 )
+    NEW met1 ( 1446010 820930 ) ( 1446470 820930 )
+    NEW met2 ( 1446010 820930 ) ( 1446010 834700 )
+    NEW met2 ( 1446470 738140 ) ( 1446470 820930 )
+    NEW met1 ( 1446010 869550 ) ( 1446470 869550 )
+    NEW met2 ( 1446470 834700 ) ( 1446470 869550 )
+    NEW met1 ( 1446010 1062670 ) ( 1446470 1062670 )
+    NEW met2 ( 1446470 1027820 ) ( 1446470 1062670 )
+    NEW met1 ( 1446010 1304070 ) ( 1446470 1304070 )
+    NEW met2 ( 1446010 1304070 ) ( 1446010 1317500 )
+    NEW met2 ( 1446470 1220940 ) ( 1446470 1304070 )
+    NEW met2 ( 1445090 72420 ) ( 1446470 72420 )
+    NEW met2 ( 1445090 2380 0 ) ( 1445090 72420 )
+    NEW met2 ( 1446470 72420 ) ( 1446470 110330 )
+    NEW met2 ( 1445090 362780 ) ( 1445550 362780 )
+    NEW met2 ( 1445550 303450 ) ( 1445550 362780 )
+    NEW met2 ( 1446010 651780 ) ( 1446470 651780 )
+    NEW met2 ( 1446010 603500 ) ( 1446010 651780 )
+    NEW met2 ( 1446010 965940 ) ( 1446470 965940 )
+    NEW met2 ( 1446010 869550 ) ( 1446010 965940 )
+    NEW met2 ( 1446470 965940 ) ( 1446470 979710 )
+    NEW met1 ( 1446010 1110950 ) ( 1446470 1110950 )
+    NEW met2 ( 1446010 1062670 ) ( 1446010 1110950 )
+    NEW met2 ( 1446470 1110950 ) ( 1446470 1172830 )
+    NEW met2 ( 1446010 1424940 ) ( 1446470 1424940 )
+    NEW met2 ( 1446930 1522860 ) ( 1447390 1522860 )
+    NEW met2 ( 1446930 1522860 ) ( 1446930 1559580 )
+    NEW met2 ( 1446010 1607180 ) ( 1446470 1607180 )
+    NEW met2 ( 1446010 1559580 ) ( 1446010 1607180 )
+    NEW met2 ( 1446470 1607180 ) ( 1446470 1676710 )
+    NEW met1 ( 1445090 234430 ) ( 1446010 234430 )
+    NEW li1 ( 1445090 399330 ) ( 1445090 400690 )
+    NEW met1 ( 1445090 400690 ) ( 1445550 400690 )
+    NEW met2 ( 1445090 362780 ) ( 1445090 399330 )
+    NEW met2 ( 1445550 400690 ) ( 1445550 448460 )
+    NEW li1 ( 1446010 496570 ) ( 1446010 524110 )
+    NEW met2 ( 1446010 476340 ) ( 1446010 496570 )
+    NEW met2 ( 1446010 476340 ) ( 1446470 476340 )
+    NEW met2 ( 1446010 524110 ) ( 1446010 545020 )
+    NEW met2 ( 1446470 448460 ) ( 1446470 476340 )
+    NEW met2 ( 1445090 693260 ) ( 1446010 693260 )
+    NEW met2 ( 1445090 669460 ) ( 1445090 693260 )
+    NEW met3 ( 1445090 669460 ) ( 1446470 669460 )
+    NEW met2 ( 1446010 693260 ) ( 1446010 738140 )
+    NEW met2 ( 1446470 651780 ) ( 1446470 669460 )
+    NEW met2 ( 1446010 1393660 ) ( 1446470 1393660 )
+    NEW met2 ( 1446010 1393660 ) ( 1446010 1424940 )
+    NEW met2 ( 1446470 1317500 ) ( 1446470 1393660 )
+    NEW met1 ( 1446470 1442110 ) ( 1446470 1442450 )
+    NEW met1 ( 1446470 1442450 ) ( 1447390 1442450 )
+    NEW met2 ( 1446470 1424940 ) ( 1446470 1442110 )
+    NEW met2 ( 1447390 1442450 ) ( 1447390 1522860 )
+    NEW li1 ( 1446010 235110 ) ( 1446010 256190 )
+    NEW met2 ( 1446010 256190 ) ( 1446010 282540 )
+    NEW met2 ( 1446010 282540 ) ( 1446470 282540 )
+    NEW met2 ( 1446010 234430 ) ( 1446010 235110 )
+    NEW met2 ( 1446470 282540 ) ( 1446470 303450 )
+    NEW li1 ( 1445090 131410 ) ( 1445090 179010 )
+    NEW met1 ( 1445090 131410 ) ( 1445550 131410 )
+    NEW met2 ( 1445090 179010 ) ( 1445090 234430 )
+    NEW met2 ( 1445550 110330 ) ( 1445550 131410 )
+    NEW met1 ( 1445550 110330 ) M1M2_PR
+    NEW met1 ( 1446470 110330 ) M1M2_PR
+    NEW met1 ( 1445550 303450 ) M1M2_PR
+    NEW met1 ( 1446470 303450 ) M1M2_PR
+    NEW met1 ( 1445550 979710 ) M1M2_PR
+    NEW met1 ( 1446470 979710 ) M1M2_PR
+    NEW met1 ( 1445550 1172830 ) M1M2_PR
+    NEW met1 ( 1446470 1172830 ) M1M2_PR
+    NEW met1 ( 1446470 1676710 ) M1M2_PR
+    NEW met1 ( 1448770 1676710 ) M1M2_PR
+    NEW met1 ( 1446010 820930 ) M1M2_PR
+    NEW met1 ( 1446470 820930 ) M1M2_PR
+    NEW met1 ( 1446010 869550 ) M1M2_PR
+    NEW met1 ( 1446470 869550 ) M1M2_PR
+    NEW met1 ( 1446010 1062670 ) M1M2_PR
+    NEW met1 ( 1446470 1062670 ) M1M2_PR
+    NEW met1 ( 1446010 1304070 ) M1M2_PR
+    NEW met1 ( 1446470 1304070 ) M1M2_PR
+    NEW met1 ( 1446010 1110950 ) M1M2_PR
+    NEW met1 ( 1446470 1110950 ) M1M2_PR
+    NEW met1 ( 1445090 234430 ) M1M2_PR
+    NEW met1 ( 1446010 234430 ) M1M2_PR
+    NEW li1 ( 1445090 399330 ) L1M1_PR_MR
+    NEW met1 ( 1445090 399330 ) M1M2_PR
+    NEW li1 ( 1445090 400690 ) L1M1_PR_MR
+    NEW met1 ( 1445550 400690 ) M1M2_PR
+    NEW li1 ( 1446010 524110 ) L1M1_PR_MR
+    NEW met1 ( 1446010 524110 ) M1M2_PR
+    NEW li1 ( 1446010 496570 ) L1M1_PR_MR
+    NEW met1 ( 1446010 496570 ) M1M2_PR
+    NEW met2 ( 1445090 669460 ) via2_FR
+    NEW met2 ( 1446470 669460 ) via2_FR
+    NEW met1 ( 1446470 1442110 ) M1M2_PR
+    NEW met1 ( 1447390 1442450 ) M1M2_PR
+    NEW li1 ( 1446010 235110 ) L1M1_PR_MR
+    NEW met1 ( 1446010 235110 ) M1M2_PR
+    NEW li1 ( 1446010 256190 ) L1M1_PR_MR
+    NEW met1 ( 1446010 256190 ) M1M2_PR
+    NEW li1 ( 1445090 179010 ) L1M1_PR_MR
+    NEW met1 ( 1445090 179010 ) M1M2_PR
+    NEW li1 ( 1445090 131410 ) L1M1_PR_MR
+    NEW met1 ( 1445550 131410 ) M1M2_PR
+    NEW met1 ( 1445090 399330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1446010 524110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1446010 496570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1446010 235110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1446010 256190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1445090 179010 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) 
-  + ROUTED met1 ( 1452450 1684530 ) ( 1455670 1684530 )
-    NEW met2 ( 1452450 1684530 ) ( 1452450 1700340 0 )
-    NEW met2 ( 1455670 14790 ) ( 1455670 1684530 )
-    NEW met1 ( 1455670 14790 ) ( 1471770 14790 )
-    NEW met2 ( 1471770 2380 0 ) ( 1471770 14790 )
-    NEW met1 ( 1455670 14790 ) M1M2_PR
-    NEW met1 ( 1455670 1684530 ) M1M2_PR
-    NEW met1 ( 1452450 1684530 ) M1M2_PR
-    NEW met1 ( 1471770 14790 ) M1M2_PR
+  + ROUTED met2 ( 1452910 1656820 ) ( 1455210 1656820 )
+    NEW met2 ( 1452910 1656820 ) ( 1452910 1700340 )
+    NEW met2 ( 1452450 1700340 0 ) ( 1452910 1700340 )
+    NEW met2 ( 1455210 17170 ) ( 1455210 1656820 )
+    NEW met1 ( 1455210 17170 ) ( 1463030 17170 )
+    NEW met2 ( 1463030 2380 0 ) ( 1463030 17170 )
+    NEW met1 ( 1455210 17170 ) M1M2_PR
+    NEW met1 ( 1463030 17170 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) 
-  + ROUTED met1 ( 1456130 1684530 ) ( 1461650 1684530 )
-    NEW met2 ( 1456130 1684530 ) ( 1456130 1700340 0 )
-    NEW met2 ( 1461650 19550 ) ( 1461650 1684530 )
-    NEW met1 ( 1461650 19550 ) ( 1489710 19550 )
-    NEW met2 ( 1489710 2380 0 ) ( 1489710 19550 )
-    NEW met1 ( 1461650 19550 ) M1M2_PR
-    NEW met1 ( 1461650 1684530 ) M1M2_PR
-    NEW met1 ( 1456130 1684530 ) M1M2_PR
-    NEW met1 ( 1489710 19550 ) M1M2_PR
+  + ROUTED met2 ( 1459810 1677220 ) ( 1461190 1677220 )
+    NEW met2 ( 1459810 1677220 ) ( 1459810 1684190 )
+    NEW met1 ( 1456130 1684190 ) ( 1459810 1684190 )
+    NEW met2 ( 1456130 1684190 ) ( 1456130 1700340 0 )
+    NEW met2 ( 1461190 15470 ) ( 1461190 1677220 )
+    NEW met1 ( 1461190 15470 ) ( 1480510 15470 )
+    NEW met2 ( 1480510 2380 0 ) ( 1480510 15470 )
+    NEW met1 ( 1461190 15470 ) M1M2_PR
+    NEW met1 ( 1459810 1684190 ) M1M2_PR
+    NEW met1 ( 1456130 1684190 ) M1M2_PR
+    NEW met1 ( 1480510 15470 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) 
-  + ROUTED met1 ( 1459810 1684190 ) ( 1462570 1684190 )
-    NEW met2 ( 1459810 1684190 ) ( 1459810 1700340 0 )
-    NEW met2 ( 1462570 14450 ) ( 1462570 1684190 )
-    NEW met1 ( 1462570 14450 ) ( 1507190 14450 )
-    NEW met2 ( 1507190 2380 0 ) ( 1507190 14450 )
-    NEW met1 ( 1462570 14450 ) M1M2_PR
-    NEW met1 ( 1462570 1684190 ) M1M2_PR
-    NEW met1 ( 1459810 1684190 ) M1M2_PR
-    NEW met1 ( 1507190 14450 ) M1M2_PR
+  + ROUTED met2 ( 1460270 1677900 ) ( 1461650 1677900 )
+    NEW met2 ( 1460270 1677900 ) ( 1460270 1700340 )
+    NEW met2 ( 1459810 1700340 0 ) ( 1460270 1700340 )
+    NEW met2 ( 1461650 14790 ) ( 1461650 1677900 )
+    NEW met1 ( 1461650 14790 ) ( 1498450 14790 )
+    NEW met2 ( 1498450 2380 0 ) ( 1498450 14790 )
+    NEW met1 ( 1461650 14790 ) M1M2_PR
+    NEW met1 ( 1498450 14790 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) 
-  + ROUTED met1 ( 1292370 1608030 ) ( 1293290 1608030 )
-    NEW met1 ( 704490 18190 ) ( 710470 18190 )
-    NEW met2 ( 704490 2380 0 ) ( 704490 18190 )
-    NEW met2 ( 710470 18190 ) ( 710470 1576410 )
-    NEW met1 ( 710470 1576410 ) ( 1292370 1576410 )
-    NEW met2 ( 1292370 1576410 ) ( 1292370 1608030 )
+  + ROUTED met1 ( 700350 17510 ) ( 703570 17510 )
+    NEW met2 ( 700350 2380 0 ) ( 700350 17510 )
+    NEW met2 ( 703570 17510 ) ( 703570 1576750 )
+    NEW met1 ( 703570 1576750 ) ( 1292370 1576750 )
+    NEW met1 ( 1292370 1677730 ) ( 1293750 1677730 )
+    NEW met2 ( 1293750 1677730 ) ( 1293750 1700340 )
     NEW met2 ( 1293750 1700340 ) ( 1294670 1700340 0 )
-    NEW li1 ( 1293290 1655630 ) ( 1293290 1690310 )
-    NEW met1 ( 1293290 1690310 ) ( 1293750 1690310 )
-    NEW met2 ( 1293290 1608030 ) ( 1293290 1655630 )
-    NEW met2 ( 1293750 1690310 ) ( 1293750 1700340 )
-    NEW met1 ( 710470 1576410 ) M1M2_PR
-    NEW met1 ( 1292370 1608030 ) M1M2_PR
-    NEW met1 ( 1293290 1608030 ) M1M2_PR
-    NEW met1 ( 704490 18190 ) M1M2_PR
-    NEW met1 ( 710470 18190 ) M1M2_PR
-    NEW met1 ( 1292370 1576410 ) M1M2_PR
-    NEW li1 ( 1293290 1655630 ) L1M1_PR_MR
-    NEW met1 ( 1293290 1655630 ) M1M2_PR
-    NEW li1 ( 1293290 1690310 ) L1M1_PR_MR
-    NEW met1 ( 1293750 1690310 ) M1M2_PR
-    NEW met1 ( 1293290 1655630 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1292370 1576750 ) ( 1292370 1677730 )
+    NEW met1 ( 703570 1576750 ) M1M2_PR
+    NEW met1 ( 700350 17510 ) M1M2_PR
+    NEW met1 ( 703570 17510 ) M1M2_PR
+    NEW met1 ( 1292370 1576750 ) M1M2_PR
+    NEW met1 ( 1292370 1677730 ) M1M2_PR
+    NEW met1 ( 1293750 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) 
-  + ROUTED met1 ( 1463490 1685890 ) ( 1473150 1685890 )
-    NEW met2 ( 1463490 1685890 ) ( 1463490 1700340 0 )
-    NEW met2 ( 1525130 2380 0 ) ( 1525130 20570 )
-    NEW met1 ( 1473150 19890 ) ( 1509950 19890 )
-    NEW li1 ( 1509950 19890 ) ( 1509950 20570 )
-    NEW li1 ( 1509950 20570 ) ( 1510870 20570 )
-    NEW met1 ( 1510870 20570 ) ( 1525130 20570 )
-    NEW met2 ( 1473150 19890 ) ( 1473150 1685890 )
-    NEW met1 ( 1473150 1685890 ) M1M2_PR
-    NEW met1 ( 1463490 1685890 ) M1M2_PR
-    NEW met1 ( 1525130 20570 ) M1M2_PR
-    NEW met1 ( 1473150 19890 ) M1M2_PR
-    NEW li1 ( 1509950 19890 ) L1M1_PR_MR
-    NEW li1 ( 1510870 20570 ) L1M1_PR_MR
+  + ROUTED met2 ( 1515930 2380 0 ) ( 1515930 15810 )
+    NEW met2 ( 1467170 40460 ) ( 1468090 40460 )
+    NEW met2 ( 1467170 14110 ) ( 1467170 40460 )
+    NEW met1 ( 1467170 14110 ) ( 1510410 14110 )
+    NEW met1 ( 1510410 14110 ) ( 1510410 15810 )
+    NEW met1 ( 1510410 15810 ) ( 1515930 15810 )
+    NEW met1 ( 1463490 1684190 ) ( 1468090 1684190 )
+    NEW met2 ( 1463490 1684190 ) ( 1463490 1700340 0 )
+    NEW met2 ( 1468090 40460 ) ( 1468090 1684190 )
+    NEW met1 ( 1515930 15810 ) M1M2_PR
+    NEW met1 ( 1467170 14110 ) M1M2_PR
+    NEW met1 ( 1468090 1684190 ) M1M2_PR
+    NEW met1 ( 1463490 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) 
-  + ROUTED met1 ( 1467170 1688270 ) ( 1473610 1688270 )
-    NEW met2 ( 1467170 1688270 ) ( 1467170 1700340 0 )
-    NEW met1 ( 1473610 907290 ) ( 1538930 907290 )
-    NEW met2 ( 1473610 907290 ) ( 1473610 1688270 )
-    NEW met2 ( 1543070 2380 0 ) ( 1543070 2890 )
-    NEW li1 ( 1543070 2890 ) ( 1543070 14110 )
-    NEW met1 ( 1538930 14110 ) ( 1543070 14110 )
-    NEW li1 ( 1538930 48450 ) ( 1538930 96390 )
-    NEW met2 ( 1538930 14110 ) ( 1538930 48450 )
-    NEW met2 ( 1538470 434860 ) ( 1538930 434860 )
-    NEW met3 ( 1537090 434860 ) ( 1538470 434860 )
-    NEW met2 ( 1537090 434860 ) ( 1537090 482970 )
-    NEW met1 ( 1537090 482970 ) ( 1538930 482970 )
-    NEW met2 ( 1538930 96390 ) ( 1538930 434860 )
-    NEW met2 ( 1538930 482970 ) ( 1538930 907290 )
-    NEW met1 ( 1473610 1688270 ) M1M2_PR
-    NEW met1 ( 1467170 1688270 ) M1M2_PR
-    NEW met1 ( 1538930 907290 ) M1M2_PR
-    NEW met1 ( 1473610 907290 ) M1M2_PR
-    NEW li1 ( 1543070 2890 ) L1M1_PR_MR
-    NEW met1 ( 1543070 2890 ) M1M2_PR
-    NEW li1 ( 1543070 14110 ) L1M1_PR_MR
-    NEW met1 ( 1538930 14110 ) M1M2_PR
-    NEW li1 ( 1538930 48450 ) L1M1_PR_MR
-    NEW met1 ( 1538930 48450 ) M1M2_PR
-    NEW li1 ( 1538930 96390 ) L1M1_PR_MR
-    NEW met1 ( 1538930 96390 ) M1M2_PR
-    NEW met2 ( 1538470 434860 ) via2_FR
-    NEW met2 ( 1537090 434860 ) via2_FR
-    NEW met1 ( 1537090 482970 ) M1M2_PR
-    NEW met1 ( 1538930 482970 ) M1M2_PR
-    NEW met1 ( 1543070 2890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1538930 48450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1538930 96390 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1528350 34170 ) ( 1533870 34170 )
+    NEW met2 ( 1533870 2380 0 ) ( 1533870 34170 )
+    NEW met2 ( 1528350 34170 ) ( 1528350 1686910 )
+    NEW met2 ( 1467170 1686910 ) ( 1467170 1700340 0 )
+    NEW met1 ( 1467170 1686910 ) ( 1528350 1686910 )
+    NEW met1 ( 1528350 1686910 ) M1M2_PR
+    NEW met1 ( 1528350 34170 ) M1M2_PR
+    NEW met1 ( 1533870 34170 ) M1M2_PR
+    NEW met1 ( 1467170 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) 
-  + ROUTED met2 ( 1470850 1687250 ) ( 1470850 1700340 0 )
-    NEW met1 ( 1470850 1687250 ) ( 1549050 1687250 )
-    NEW met2 ( 1549050 41310 ) ( 1549050 1687250 )
-    NEW met1 ( 1549050 41310 ) ( 1561010 41310 )
-    NEW met2 ( 1561010 2380 0 ) ( 1561010 41310 )
-    NEW met1 ( 1470850 1687250 ) M1M2_PR
-    NEW met1 ( 1549050 1687250 ) M1M2_PR
-    NEW met1 ( 1549050 41310 ) M1M2_PR
-    NEW met1 ( 1561010 41310 ) M1M2_PR
+  + ROUTED met1 ( 1545830 62050 ) ( 1550430 62050 )
+    NEW met2 ( 1550430 30940 ) ( 1550430 62050 )
+    NEW met2 ( 1550430 30940 ) ( 1551350 30940 )
+    NEW met2 ( 1551350 2380 0 ) ( 1551350 30940 )
+    NEW met2 ( 1545830 62050 ) ( 1545830 1680110 )
+    NEW met2 ( 1470850 1680110 ) ( 1470850 1700340 0 )
+    NEW met1 ( 1470850 1680110 ) ( 1545830 1680110 )
+    NEW met1 ( 1545830 1680110 ) M1M2_PR
+    NEW met1 ( 1545830 62050 ) M1M2_PR
+    NEW met1 ( 1550430 62050 ) M1M2_PR
+    NEW met1 ( 1470850 1680110 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) 
-  + ROUTED met1 ( 1474530 1688270 ) ( 1475450 1688270 )
-    NEW met2 ( 1474530 1688270 ) ( 1474530 1700340 0 )
-    NEW met2 ( 1475450 58990 ) ( 1475450 1688270 )
-    NEW met2 ( 1578490 32300 ) ( 1578490 58990 )
-    NEW met2 ( 1578490 32300 ) ( 1578950 32300 )
-    NEW met1 ( 1475450 58990 ) ( 1578490 58990 )
-    NEW met2 ( 1578950 2380 0 ) ( 1578950 32300 )
-    NEW met1 ( 1475450 1688270 ) M1M2_PR
-    NEW met1 ( 1474530 1688270 ) M1M2_PR
-    NEW met1 ( 1475450 58990 ) M1M2_PR
-    NEW met1 ( 1578490 58990 ) M1M2_PR
+  + ROUTED met2 ( 1558710 26180 ) ( 1558710 26350 )
+    NEW met1 ( 1475910 26350 ) ( 1558710 26350 )
+    NEW met1 ( 1474530 1684190 ) ( 1475910 1684190 )
+    NEW met2 ( 1474530 1684190 ) ( 1474530 1700340 0 )
+    NEW met2 ( 1475910 26350 ) ( 1475910 1684190 )
+    NEW met3 ( 1558710 26180 ) ( 1569290 26180 )
+    NEW met2 ( 1569290 2380 0 ) ( 1569290 26180 )
+    NEW met1 ( 1558710 26350 ) M1M2_PR
+    NEW met2 ( 1558710 26180 ) via2_FR
+    NEW met1 ( 1475910 26350 ) M1M2_PR
+    NEW met1 ( 1475910 1684190 ) M1M2_PR
+    NEW met1 ( 1474530 1684190 ) M1M2_PR
+    NEW met2 ( 1569290 26180 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) 
-  + ROUTED met1 ( 1478210 1687930 ) ( 1482810 1687930 )
-    NEW met2 ( 1478210 1687930 ) ( 1478210 1700340 0 )
-    NEW met2 ( 1482810 23630 ) ( 1482810 1687930 )
-    NEW li1 ( 1559630 20570 ) ( 1559630 23630 )
-    NEW met1 ( 1559630 20570 ) ( 1596430 20570 )
-    NEW met1 ( 1482810 23630 ) ( 1559630 23630 )
-    NEW met2 ( 1596430 2380 0 ) ( 1596430 20570 )
-    NEW met1 ( 1482810 1687930 ) M1M2_PR
-    NEW met1 ( 1478210 1687930 ) M1M2_PR
-    NEW met1 ( 1482810 23630 ) M1M2_PR
-    NEW li1 ( 1559630 23630 ) L1M1_PR_MR
-    NEW li1 ( 1559630 20570 ) L1M1_PR_MR
-    NEW met1 ( 1596430 20570 ) M1M2_PR
+  + ROUTED met2 ( 1512250 24820 ) ( 1512250 25670 )
+    NEW met3 ( 1512250 24820 ) ( 1540310 24820 )
+    NEW met2 ( 1540310 20570 ) ( 1540310 24820 )
+    NEW met1 ( 1481890 25670 ) ( 1512250 25670 )
+    NEW met1 ( 1478210 1684870 ) ( 1481890 1684870 )
+    NEW met2 ( 1478210 1684870 ) ( 1478210 1700340 0 )
+    NEW met2 ( 1481890 25670 ) ( 1481890 1684870 )
+    NEW li1 ( 1560090 20570 ) ( 1560090 26010 )
+    NEW met1 ( 1560090 26010 ) ( 1583090 26010 )
+    NEW met2 ( 1583090 25500 ) ( 1583090 26010 )
+    NEW met2 ( 1583090 25500 ) ( 1584470 25500 )
+    NEW met2 ( 1584470 23970 ) ( 1584470 25500 )
+    NEW met1 ( 1584470 23970 ) ( 1586770 23970 )
+    NEW met1 ( 1540310 20570 ) ( 1560090 20570 )
+    NEW met2 ( 1586770 2380 0 ) ( 1586770 23970 )
+    NEW met1 ( 1512250 25670 ) M1M2_PR
+    NEW met2 ( 1512250 24820 ) via2_FR
+    NEW met2 ( 1540310 24820 ) via2_FR
+    NEW met1 ( 1540310 20570 ) M1M2_PR
+    NEW met1 ( 1481890 25670 ) M1M2_PR
+    NEW met1 ( 1481890 1684870 ) M1M2_PR
+    NEW met1 ( 1478210 1684870 ) M1M2_PR
+    NEW li1 ( 1560090 20570 ) L1M1_PR_MR
+    NEW li1 ( 1560090 26010 ) L1M1_PR_MR
+    NEW met1 ( 1583090 26010 ) M1M2_PR
+    NEW met1 ( 1584470 23970 ) M1M2_PR
+    NEW met1 ( 1586770 23970 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) 
   + ROUTED met2 ( 1481890 1700340 0 ) ( 1482350 1700340 )
-    NEW met2 ( 1614370 2380 0 ) ( 1614370 20910 )
-    NEW met1 ( 1482350 23970 ) ( 1503050 23970 )
-    NEW li1 ( 1503050 20570 ) ( 1503050 23970 )
-    NEW met1 ( 1503050 20570 ) ( 1510410 20570 )
-    NEW met1 ( 1510410 20570 ) ( 1510410 20910 )
-    NEW met2 ( 1482350 23970 ) ( 1482350 1700340 )
-    NEW met1 ( 1510410 20910 ) ( 1614370 20910 )
-    NEW met1 ( 1614370 20910 ) M1M2_PR
-    NEW met1 ( 1482350 23970 ) M1M2_PR
-    NEW li1 ( 1503050 23970 ) L1M1_PR_MR
-    NEW li1 ( 1503050 20570 ) L1M1_PR_MR
+    NEW met2 ( 1482350 26010 ) ( 1482350 1700340 )
+    NEW met2 ( 1559630 25500 ) ( 1559630 26010 )
+    NEW met3 ( 1559630 25500 ) ( 1604710 25500 )
+    NEW met1 ( 1482350 26010 ) ( 1559630 26010 )
+    NEW met2 ( 1604710 2380 0 ) ( 1604710 25500 )
+    NEW met1 ( 1482350 26010 ) M1M2_PR
+    NEW met1 ( 1559630 26010 ) M1M2_PR
+    NEW met2 ( 1559630 25500 ) via2_FR
+    NEW met2 ( 1604710 25500 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) 
-  + ROUTED met1 ( 1485570 1688610 ) ( 1489250 1688610 )
-    NEW met2 ( 1485570 1688610 ) ( 1485570 1700340 0 )
-    NEW met2 ( 1512710 22100 ) ( 1512710 22270 )
-    NEW met2 ( 1632310 2380 0 ) ( 1632310 22270 )
-    NEW met1 ( 1489250 20910 ) ( 1509950 20910 )
-    NEW met2 ( 1509950 20910 ) ( 1509950 22100 )
-    NEW met3 ( 1509950 22100 ) ( 1512710 22100 )
-    NEW met2 ( 1489250 20910 ) ( 1489250 1688610 )
-    NEW met1 ( 1512710 22270 ) ( 1632310 22270 )
-    NEW met1 ( 1489250 1688610 ) M1M2_PR
-    NEW met1 ( 1485570 1688610 ) M1M2_PR
-    NEW met2 ( 1512710 22100 ) via2_FR
-    NEW met1 ( 1512710 22270 ) M1M2_PR
-    NEW met1 ( 1632310 22270 ) M1M2_PR
-    NEW met1 ( 1489250 20910 ) M1M2_PR
-    NEW met1 ( 1509950 20910 ) M1M2_PR
-    NEW met2 ( 1509950 22100 ) via2_FR
+  + ROUTED li1 ( 1511790 20230 ) ( 1511790 21250 )
+    NEW met2 ( 1622190 2380 0 ) ( 1622190 22270 )
+    NEW met1 ( 1490170 26690 ) ( 1509490 26690 )
+    NEW li1 ( 1509490 20230 ) ( 1509490 26690 )
+    NEW met1 ( 1509490 20230 ) ( 1511790 20230 )
+    NEW met1 ( 1486030 1676710 ) ( 1490170 1676710 )
+    NEW met2 ( 1486030 1676710 ) ( 1486030 1700340 )
+    NEW met2 ( 1485570 1700340 0 ) ( 1486030 1700340 )
+    NEW li1 ( 1561470 21250 ) ( 1561470 22270 )
+    NEW met1 ( 1511790 21250 ) ( 1561470 21250 )
+    NEW met1 ( 1561470 22270 ) ( 1622190 22270 )
+    NEW met2 ( 1490170 26690 ) ( 1490170 1676710 )
+    NEW li1 ( 1511790 20230 ) L1M1_PR_MR
+    NEW li1 ( 1511790 21250 ) L1M1_PR_MR
+    NEW met1 ( 1622190 22270 ) M1M2_PR
+    NEW met1 ( 1490170 26690 ) M1M2_PR
+    NEW li1 ( 1509490 26690 ) L1M1_PR_MR
+    NEW li1 ( 1509490 20230 ) L1M1_PR_MR
+    NEW met1 ( 1490170 1676710 ) M1M2_PR
+    NEW met1 ( 1486030 1676710 ) M1M2_PR
+    NEW li1 ( 1561470 21250 ) L1M1_PR_MR
+    NEW li1 ( 1561470 22270 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) 
-  + ROUTED met2 ( 1489710 1688610 ) ( 1490170 1688610 )
-    NEW met2 ( 1489710 1688610 ) ( 1489710 1700340 )
+  + ROUTED li1 ( 1511330 21250 ) ( 1511330 22950 )
+    NEW li1 ( 1511330 22950 ) ( 1511790 22950 )
+    NEW met1 ( 1511790 22950 ) ( 1535710 22950 )
+    NEW met1 ( 1535710 22610 ) ( 1535710 22950 )
+    NEW met2 ( 1640130 2380 0 ) ( 1640130 22610 )
+    NEW met1 ( 1489710 21250 ) ( 1511330 21250 )
     NEW met2 ( 1489250 1700340 0 ) ( 1489710 1700340 )
-    NEW met1 ( 1512250 22270 ) ( 1512250 22950 )
-    NEW met2 ( 1650250 2380 0 ) ( 1650250 22950 )
-    NEW met1 ( 1490170 22270 ) ( 1512250 22270 )
-    NEW met2 ( 1490170 22270 ) ( 1490170 1688610 )
-    NEW met1 ( 1512250 22950 ) ( 1650250 22950 )
-    NEW met1 ( 1650250 22950 ) M1M2_PR
-    NEW met1 ( 1490170 22270 ) M1M2_PR
+    NEW met1 ( 1535710 22610 ) ( 1640130 22610 )
+    NEW met2 ( 1489710 21250 ) ( 1489710 1700340 )
+    NEW li1 ( 1511330 21250 ) L1M1_PR_MR
+    NEW li1 ( 1511790 22950 ) L1M1_PR_MR
+    NEW met1 ( 1640130 22610 ) M1M2_PR
+    NEW met1 ( 1489710 21250 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) 
-  + ROUTED met1 ( 1492930 1687930 ) ( 1496610 1687930 )
-    NEW met2 ( 1492930 1687930 ) ( 1492930 1700340 0 )
-    NEW met2 ( 1557790 26860 ) ( 1557790 28050 )
-    NEW met2 ( 1557790 26860 ) ( 1559170 26860 )
-    NEW met2 ( 1559170 23290 ) ( 1559170 26860 )
-    NEW met1 ( 1496610 26350 ) ( 1510410 26350 )
-    NEW li1 ( 1510410 26350 ) ( 1510410 28050 )
-    NEW met1 ( 1510410 28050 ) ( 1557790 28050 )
-    NEW met2 ( 1496610 26350 ) ( 1496610 1687930 )
-    NEW met1 ( 1559170 23290 ) ( 1668190 23290 )
-    NEW met2 ( 1668190 2380 0 ) ( 1668190 23290 )
-    NEW met1 ( 1496610 1687930 ) M1M2_PR
-    NEW met1 ( 1492930 1687930 ) M1M2_PR
-    NEW met1 ( 1557790 28050 ) M1M2_PR
-    NEW met1 ( 1559170 23290 ) M1M2_PR
-    NEW met1 ( 1496610 26350 ) M1M2_PR
-    NEW li1 ( 1510410 26350 ) L1M1_PR_MR
-    NEW li1 ( 1510410 28050 ) L1M1_PR_MR
-    NEW met1 ( 1668190 23290 ) M1M2_PR
+  + ROUTED li1 ( 1535250 22610 ) ( 1535250 23290 )
+    NEW met1 ( 1497070 22610 ) ( 1535250 22610 )
+    NEW met1 ( 1492930 1684530 ) ( 1497070 1684530 )
+    NEW met2 ( 1492930 1684530 ) ( 1492930 1700340 0 )
+    NEW met2 ( 1497070 22610 ) ( 1497070 1684530 )
+    NEW met1 ( 1535250 23290 ) ( 1658070 23290 )
+    NEW met2 ( 1658070 2380 0 ) ( 1658070 23290 )
+    NEW li1 ( 1535250 22610 ) L1M1_PR_MR
+    NEW li1 ( 1535250 23290 ) L1M1_PR_MR
+    NEW met1 ( 1497070 22610 ) M1M2_PR
+    NEW met1 ( 1497070 1684530 ) M1M2_PR
+    NEW met1 ( 1492930 1684530 ) M1M2_PR
+    NEW met1 ( 1658070 23290 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) 
-  + ROUTED met2 ( 1496150 1700340 ) ( 1496610 1700340 0 )
-    NEW li1 ( 1511330 22950 ) ( 1511790 22950 )
-    NEW li1 ( 1511790 22950 ) ( 1511790 23970 )
-    NEW met2 ( 1608390 23460 ) ( 1608390 23970 )
-    NEW met1 ( 1496150 22950 ) ( 1511330 22950 )
-    NEW met2 ( 1496150 22950 ) ( 1496150 1700340 )
-    NEW met2 ( 1607470 23460 ) ( 1607470 23970 )
-    NEW met1 ( 1511790 23970 ) ( 1607470 23970 )
-    NEW met3 ( 1607470 23460 ) ( 1608390 23460 )
-    NEW met1 ( 1608390 23970 ) ( 1685670 23970 )
-    NEW met2 ( 1685670 2380 0 ) ( 1685670 23970 )
-    NEW li1 ( 1511330 22950 ) L1M1_PR_MR
-    NEW li1 ( 1511790 23970 ) L1M1_PR_MR
-    NEW met2 ( 1608390 23460 ) via2_FR
-    NEW met1 ( 1608390 23970 ) M1M2_PR
-    NEW met1 ( 1496150 22950 ) M1M2_PR
-    NEW met1 ( 1607470 23970 ) M1M2_PR
-    NEW met2 ( 1607470 23460 ) via2_FR
-    NEW met1 ( 1685670 23970 ) M1M2_PR
+  + ROUTED met2 ( 1511330 22950 ) ( 1511330 24140 )
+    NEW met2 ( 1511330 24140 ) ( 1511790 24140 )
+    NEW met2 ( 1511790 24140 ) ( 1511790 24990 )
+    NEW li1 ( 1631850 22270 ) ( 1631850 24990 )
+    NEW met1 ( 1496610 22950 ) ( 1511330 22950 )
+    NEW met2 ( 1496610 22950 ) ( 1496610 1700340 0 )
+    NEW met1 ( 1511790 24990 ) ( 1631850 24990 )
+    NEW met1 ( 1631850 22270 ) ( 1675550 22270 )
+    NEW met2 ( 1675550 2380 0 ) ( 1675550 22270 )
+    NEW met1 ( 1511330 22950 ) M1M2_PR
+    NEW met1 ( 1511790 24990 ) M1M2_PR
+    NEW li1 ( 1631850 24990 ) L1M1_PR_MR
+    NEW li1 ( 1631850 22270 ) L1M1_PR_MR
+    NEW met1 ( 1496610 22950 ) M1M2_PR
+    NEW met1 ( 1675550 22270 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) 
-  + ROUTED met2 ( 722430 2380 0 ) ( 722430 3060 )
-    NEW met2 ( 722430 3060 ) ( 722890 3060 )
-    NEW met2 ( 1298350 1666170 ) ( 1298350 1700340 0 )
-    NEW met1 ( 724270 1666170 ) ( 1298350 1666170 )
-    NEW met2 ( 722890 60860 ) ( 723810 60860 )
-    NEW met2 ( 723810 60860 ) ( 723810 96050 )
-    NEW met1 ( 723810 96050 ) ( 724270 96050 )
-    NEW met1 ( 724270 96050 ) ( 724270 96390 )
-    NEW met2 ( 722890 3060 ) ( 722890 60860 )
-    NEW met2 ( 724270 96390 ) ( 724270 1666170 )
-    NEW met1 ( 724270 1666170 ) M1M2_PR
-    NEW met1 ( 1298350 1666170 ) M1M2_PR
-    NEW met1 ( 723810 96050 ) M1M2_PR
-    NEW met1 ( 724270 96390 ) M1M2_PR
+  + ROUTED met1 ( 717830 17510 ) ( 724270 17510 )
+    NEW met2 ( 717830 2380 0 ) ( 717830 17510 )
+    NEW met2 ( 724270 17510 ) ( 724270 1666510 )
+    NEW met2 ( 1297430 1666510 ) ( 1297430 1700340 )
+    NEW met2 ( 1297430 1700340 ) ( 1298350 1700340 0 )
+    NEW met1 ( 724270 1666510 ) ( 1297430 1666510 )
+    NEW met1 ( 717830 17510 ) M1M2_PR
+    NEW met1 ( 724270 17510 ) M1M2_PR
+    NEW met1 ( 724270 1666510 ) M1M2_PR
+    NEW met1 ( 1297430 1666510 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) 
-  + ROUTED met1 ( 1500290 1685210 ) ( 1502590 1685210 )
-    NEW met2 ( 1500290 1685210 ) ( 1500290 1700340 0 )
-    NEW met2 ( 1535250 24990 ) ( 1535250 25670 )
-    NEW met1 ( 1502590 24990 ) ( 1535250 24990 )
-    NEW met2 ( 1502590 24990 ) ( 1502590 1685210 )
-    NEW li1 ( 1584010 25670 ) ( 1584010 26690 )
-    NEW met1 ( 1535250 25670 ) ( 1584010 25670 )
-    NEW met1 ( 1584010 26690 ) ( 1703610 26690 )
-    NEW met2 ( 1703610 2380 0 ) ( 1703610 26690 )
-    NEW met1 ( 1502590 1685210 ) M1M2_PR
-    NEW met1 ( 1500290 1685210 ) M1M2_PR
-    NEW met1 ( 1535250 24990 ) M1M2_PR
-    NEW met1 ( 1535250 25670 ) M1M2_PR
-    NEW met1 ( 1502590 24990 ) M1M2_PR
-    NEW li1 ( 1584010 25670 ) L1M1_PR_MR
-    NEW li1 ( 1584010 26690 ) L1M1_PR_MR
-    NEW met1 ( 1703610 26690 ) M1M2_PR
+  + ROUTED met1 ( 1500290 1684530 ) ( 1503510 1684530 )
+    NEW met2 ( 1500290 1684530 ) ( 1500290 1700340 0 )
+    NEW met1 ( 1503510 23630 ) ( 1693490 23630 )
+    NEW met2 ( 1693490 2380 0 ) ( 1693490 23630 )
+    NEW met2 ( 1503510 23630 ) ( 1503510 1684530 )
+    NEW met1 ( 1503510 23630 ) M1M2_PR
+    NEW met1 ( 1503510 1684530 ) M1M2_PR
+    NEW met1 ( 1500290 1684530 ) M1M2_PR
+    NEW met1 ( 1693490 23630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) 
-  + ROUTED met2 ( 1503050 1700340 ) ( 1503970 1700340 0 )
-    NEW li1 ( 1558710 26690 ) ( 1558710 28730 )
-    NEW met2 ( 1721550 2380 0 ) ( 1721550 22950 )
-    NEW met1 ( 1503050 26690 ) ( 1558710 26690 )
-    NEW met2 ( 1503050 26690 ) ( 1503050 1700340 )
-    NEW li1 ( 1561010 26350 ) ( 1561010 28730 )
-    NEW met1 ( 1561010 26350 ) ( 1583090 26350 )
-    NEW li1 ( 1583090 25330 ) ( 1583090 26350 )
-    NEW li1 ( 1583090 25330 ) ( 1584470 25330 )
-    NEW li1 ( 1584470 25330 ) ( 1584470 25670 )
-    NEW met1 ( 1558710 28730 ) ( 1561010 28730 )
-    NEW li1 ( 1659450 22950 ) ( 1659450 25670 )
-    NEW met1 ( 1584470 25670 ) ( 1659450 25670 )
-    NEW met1 ( 1659450 22950 ) ( 1721550 22950 )
-    NEW li1 ( 1558710 26690 ) L1M1_PR_MR
-    NEW li1 ( 1558710 28730 ) L1M1_PR_MR
-    NEW met1 ( 1721550 22950 ) M1M2_PR
-    NEW met1 ( 1503050 26690 ) M1M2_PR
-    NEW li1 ( 1561010 28730 ) L1M1_PR_MR
-    NEW li1 ( 1561010 26350 ) L1M1_PR_MR
-    NEW li1 ( 1583090 26350 ) L1M1_PR_MR
-    NEW li1 ( 1584470 25670 ) L1M1_PR_MR
-    NEW li1 ( 1659450 25670 ) L1M1_PR_MR
-    NEW li1 ( 1659450 22950 ) L1M1_PR_MR
+  + ROUTED li1 ( 1511790 23970 ) ( 1511790 27710 )
+    NEW met1 ( 1511790 27710 ) ( 1558710 27710 )
+    NEW li1 ( 1558710 26350 ) ( 1558710 27710 )
+    NEW li1 ( 1558710 26350 ) ( 1559170 26350 )
+    NEW met2 ( 1710970 2380 0 ) ( 1710970 26010 )
+    NEW met1 ( 1503970 23970 ) ( 1511790 23970 )
+    NEW met2 ( 1503970 23970 ) ( 1503970 1700340 0 )
+    NEW met2 ( 1635530 26350 ) ( 1635530 26860 )
+    NEW met3 ( 1635530 26860 ) ( 1682450 26860 )
+    NEW met2 ( 1682450 26010 ) ( 1682450 26860 )
+    NEW met1 ( 1559170 26350 ) ( 1635530 26350 )
+    NEW met1 ( 1682450 26010 ) ( 1710970 26010 )
+    NEW li1 ( 1511790 23970 ) L1M1_PR_MR
+    NEW li1 ( 1511790 27710 ) L1M1_PR_MR
+    NEW li1 ( 1558710 27710 ) L1M1_PR_MR
+    NEW li1 ( 1559170 26350 ) L1M1_PR_MR
+    NEW met1 ( 1710970 26010 ) M1M2_PR
+    NEW met1 ( 1503970 23970 ) M1M2_PR
+    NEW met1 ( 1635530 26350 ) M1M2_PR
+    NEW met2 ( 1635530 26860 ) via2_FR
+    NEW met2 ( 1682450 26860 ) via2_FR
+    NEW met1 ( 1682450 26010 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) 
-  + ROUTED met1 ( 1507650 1688270 ) ( 1510410 1688270 )
-    NEW met2 ( 1507650 1688270 ) ( 1507650 1700340 0 )
-    NEW met2 ( 1739490 2380 0 ) ( 1739490 24140 )
-    NEW met2 ( 1510410 24140 ) ( 1510410 1688270 )
-    NEW met3 ( 1510410 24140 ) ( 1739490 24140 )
-    NEW met1 ( 1510410 1688270 ) M1M2_PR
-    NEW met1 ( 1507650 1688270 ) M1M2_PR
-    NEW met2 ( 1739490 24140 ) via2_FR
-    NEW met2 ( 1510410 24140 ) via2_FR
+  + ROUTED li1 ( 1535710 25330 ) ( 1535710 26690 )
+    NEW met1 ( 1510870 25330 ) ( 1535710 25330 )
+    NEW met1 ( 1507650 1684530 ) ( 1510870 1684530 )
+    NEW met2 ( 1507650 1684530 ) ( 1507650 1700340 0 )
+    NEW met2 ( 1510870 25330 ) ( 1510870 1684530 )
+    NEW li1 ( 1583550 26010 ) ( 1583550 26690 )
+    NEW met1 ( 1535710 26690 ) ( 1583550 26690 )
+    NEW li1 ( 1679690 23290 ) ( 1679690 26010 )
+    NEW met1 ( 1583550 26010 ) ( 1679690 26010 )
+    NEW met2 ( 1727990 2890 ) ( 1727990 23290 )
+    NEW met2 ( 1727990 2890 ) ( 1728910 2890 )
+    NEW met2 ( 1728910 2380 0 ) ( 1728910 2890 )
+    NEW met1 ( 1679690 23290 ) ( 1727990 23290 )
+    NEW li1 ( 1535710 25330 ) L1M1_PR_MR
+    NEW li1 ( 1535710 26690 ) L1M1_PR_MR
+    NEW met1 ( 1510870 25330 ) M1M2_PR
+    NEW met1 ( 1510870 1684530 ) M1M2_PR
+    NEW met1 ( 1507650 1684530 ) M1M2_PR
+    NEW li1 ( 1583550 26690 ) L1M1_PR_MR
+    NEW li1 ( 1583550 26010 ) L1M1_PR_MR
+    NEW li1 ( 1679690 26010 ) L1M1_PR_MR
+    NEW li1 ( 1679690 23290 ) L1M1_PR_MR
+    NEW met1 ( 1727990 23290 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) 
-  + ROUTED met2 ( 1511330 1681470 ) ( 1511330 1700340 0 )
-    NEW met1 ( 1511330 1681470 ) ( 1752830 1681470 )
-    NEW met2 ( 1756970 2380 0 ) ( 1756970 24140 )
-    NEW met2 ( 1755590 24140 ) ( 1756970 24140 )
-    NEW met1 ( 1752830 96390 ) ( 1754210 96390 )
-    NEW met2 ( 1754210 48620 ) ( 1754210 96390 )
-    NEW met3 ( 1754210 48620 ) ( 1755590 48620 )
-    NEW met2 ( 1752830 96390 ) ( 1752830 1681470 )
-    NEW met2 ( 1755590 24140 ) ( 1755590 48620 )
-    NEW met1 ( 1752830 1681470 ) M1M2_PR
-    NEW met1 ( 1511330 1681470 ) M1M2_PR
-    NEW met1 ( 1752830 96390 ) M1M2_PR
-    NEW met1 ( 1754210 96390 ) M1M2_PR
-    NEW met2 ( 1754210 48620 ) via2_FR
-    NEW met2 ( 1755590 48620 ) via2_FR
+  + ROUTED li1 ( 1542610 1688610 ) ( 1542610 1689630 )
+    NEW li1 ( 1531110 1685210 ) ( 1531110 1688610 )
+    NEW met1 ( 1511330 1685210 ) ( 1531110 1685210 )
+    NEW met2 ( 1511330 1685210 ) ( 1511330 1700340 0 )
+    NEW met1 ( 1531110 1688610 ) ( 1542610 1688610 )
+    NEW met1 ( 1542610 1689630 ) ( 1570670 1689630 )
+    NEW met2 ( 1570670 1562810 ) ( 1570670 1689630 )
+    NEW met1 ( 1570670 1562810 ) ( 1745930 1562810 )
+    NEW met2 ( 1745930 75820 ) ( 1746390 75820 )
+    NEW met2 ( 1745930 75820 ) ( 1745930 1562810 )
+    NEW met2 ( 1746390 2380 0 ) ( 1746390 75820 )
+    NEW li1 ( 1542610 1688610 ) L1M1_PR_MR
+    NEW li1 ( 1542610 1689630 ) L1M1_PR_MR
+    NEW li1 ( 1531110 1688610 ) L1M1_PR_MR
+    NEW li1 ( 1531110 1685210 ) L1M1_PR_MR
+    NEW met1 ( 1511330 1685210 ) M1M2_PR
+    NEW met1 ( 1745930 1562810 ) M1M2_PR
+    NEW met1 ( 1570670 1562810 ) M1M2_PR
+    NEW met1 ( 1570670 1689630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) 
-  + ROUTED met2 ( 1515010 1700340 0 ) ( 1515470 1700340 )
-    NEW met2 ( 1515470 1653250 ) ( 1515470 1700340 )
-    NEW met1 ( 1515470 1653250 ) ( 1773530 1653250 )
-    NEW met2 ( 1774910 2380 0 ) ( 1774910 13260 )
-    NEW met2 ( 1773990 13260 ) ( 1774910 13260 )
-    NEW met2 ( 1773990 13260 ) ( 1773990 13940 )
-    NEW met2 ( 1773530 13940 ) ( 1773990 13940 )
-    NEW met2 ( 1773530 13940 ) ( 1773530 1653250 )
-    NEW met1 ( 1773530 1653250 ) M1M2_PR
-    NEW met1 ( 1515470 1653250 ) M1M2_PR
+  + ROUTED met2 ( 1764330 2380 0 ) ( 1764330 17340 )
+    NEW met2 ( 1759730 17340 ) ( 1764330 17340 )
+    NEW met2 ( 1515010 1666850 ) ( 1515010 1700340 0 )
+    NEW met2 ( 1759730 17340 ) ( 1759730 1666850 )
+    NEW met1 ( 1515010 1666850 ) ( 1759730 1666850 )
+    NEW met1 ( 1515010 1666850 ) M1M2_PR
+    NEW met1 ( 1759730 1666850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) 
-  + ROUTED met2 ( 1518690 1680450 ) ( 1518690 1700340 0 )
-    NEW met2 ( 1792850 2380 0 ) ( 1792850 13090 )
-    NEW met1 ( 1787790 13090 ) ( 1792850 13090 )
-    NEW met2 ( 1787790 13090 ) ( 1787790 37740 )
-    NEW met2 ( 1787330 37740 ) ( 1787790 37740 )
-    NEW met1 ( 1518690 1680450 ) ( 1787330 1680450 )
-    NEW li1 ( 1787330 145010 ) ( 1787330 192610 )
-    NEW met2 ( 1787330 37740 ) ( 1787330 145010 )
-    NEW li1 ( 1787330 241570 ) ( 1787330 289510 )
-    NEW met2 ( 1787330 192610 ) ( 1787330 241570 )
-    NEW li1 ( 1787330 338130 ) ( 1787330 385730 )
-    NEW met2 ( 1787330 289510 ) ( 1787330 338130 )
-    NEW met3 ( 1787100 434860 ) ( 1787330 434860 )
-    NEW met3 ( 1787100 434860 ) ( 1787100 435540 )
-    NEW met3 ( 1787100 435540 ) ( 1788710 435540 )
-    NEW met2 ( 1788710 435540 ) ( 1788710 482970 )
-    NEW met1 ( 1787330 482970 ) ( 1788710 482970 )
-    NEW met2 ( 1787330 385730 ) ( 1787330 434860 )
-    NEW li1 ( 1787330 531590 ) ( 1787330 578850 )
-    NEW met2 ( 1787330 482970 ) ( 1787330 531590 )
-    NEW met3 ( 1787330 724540 ) ( 1788710 724540 )
-    NEW met2 ( 1788710 724540 ) ( 1788710 772140 )
-    NEW met3 ( 1787330 772140 ) ( 1788710 772140 )
-    NEW met2 ( 1787330 578850 ) ( 1787330 724540 )
-    NEW met3 ( 1787100 821780 ) ( 1787330 821780 )
-    NEW met4 ( 1787100 821780 ) ( 1787100 869380 )
-    NEW met3 ( 1787100 869380 ) ( 1787330 869380 )
-    NEW met2 ( 1787330 772140 ) ( 1787330 821780 )
-    NEW met1 ( 1787330 917830 ) ( 1788250 917830 )
-    NEW met2 ( 1788250 917830 ) ( 1788250 965940 )
-    NEW met3 ( 1787330 965940 ) ( 1788250 965940 )
-    NEW met2 ( 1787330 869380 ) ( 1787330 917830 )
-    NEW li1 ( 1787330 1015070 ) ( 1787330 1062330 )
-    NEW met2 ( 1787330 965940 ) ( 1787330 1015070 )
-    NEW met1 ( 1787330 1110950 ) ( 1788250 1110950 )
-    NEW met2 ( 1788250 1110950 ) ( 1788250 1159060 )
-    NEW met3 ( 1787330 1159060 ) ( 1788250 1159060 )
-    NEW met2 ( 1787330 1062330 ) ( 1787330 1110950 )
-    NEW li1 ( 1787330 1208190 ) ( 1787330 1255790 )
-    NEW met2 ( 1787330 1159060 ) ( 1787330 1208190 )
-    NEW li1 ( 1787330 1304410 ) ( 1787330 1352350 )
-    NEW met2 ( 1787330 1255790 ) ( 1787330 1304410 )
-    NEW met1 ( 1787330 1401650 ) ( 1787790 1401650 )
-    NEW li1 ( 1787790 1401650 ) ( 1787790 1448570 )
-    NEW met1 ( 1787330 1448570 ) ( 1787790 1448570 )
-    NEW met2 ( 1787330 1352350 ) ( 1787330 1401650 )
-    NEW li1 ( 1787330 1497530 ) ( 1787330 1545470 )
-    NEW met2 ( 1787330 1448570 ) ( 1787330 1497530 )
-    NEW met2 ( 1787330 1545470 ) ( 1787330 1680450 )
-    NEW met1 ( 1518690 1680450 ) M1M2_PR
-    NEW met1 ( 1792850 13090 ) M1M2_PR
-    NEW met1 ( 1787790 13090 ) M1M2_PR
-    NEW met1 ( 1787330 1680450 ) M1M2_PR
-    NEW li1 ( 1787330 145010 ) L1M1_PR_MR
-    NEW met1 ( 1787330 145010 ) M1M2_PR
-    NEW li1 ( 1787330 192610 ) L1M1_PR_MR
-    NEW met1 ( 1787330 192610 ) M1M2_PR
-    NEW li1 ( 1787330 241570 ) L1M1_PR_MR
-    NEW met1 ( 1787330 241570 ) M1M2_PR
-    NEW li1 ( 1787330 289510 ) L1M1_PR_MR
-    NEW met1 ( 1787330 289510 ) M1M2_PR
-    NEW li1 ( 1787330 338130 ) L1M1_PR_MR
-    NEW met1 ( 1787330 338130 ) M1M2_PR
-    NEW li1 ( 1787330 385730 ) L1M1_PR_MR
-    NEW met1 ( 1787330 385730 ) M1M2_PR
-    NEW met2 ( 1787330 434860 ) via2_FR
-    NEW met2 ( 1788710 435540 ) via2_FR
-    NEW met1 ( 1788710 482970 ) M1M2_PR
-    NEW met1 ( 1787330 482970 ) M1M2_PR
-    NEW li1 ( 1787330 531590 ) L1M1_PR_MR
-    NEW met1 ( 1787330 531590 ) M1M2_PR
-    NEW li1 ( 1787330 578850 ) L1M1_PR_MR
-    NEW met1 ( 1787330 578850 ) M1M2_PR
-    NEW met2 ( 1787330 724540 ) via2_FR
-    NEW met2 ( 1788710 724540 ) via2_FR
-    NEW met2 ( 1788710 772140 ) via2_FR
-    NEW met2 ( 1787330 772140 ) via2_FR
-    NEW met2 ( 1787330 821780 ) via2_FR
-    NEW met3 ( 1787100 821780 ) M3M4_PR_M
-    NEW met3 ( 1787100 869380 ) M3M4_PR_M
-    NEW met2 ( 1787330 869380 ) via2_FR
-    NEW met1 ( 1787330 917830 ) M1M2_PR
-    NEW met1 ( 1788250 917830 ) M1M2_PR
-    NEW met2 ( 1788250 965940 ) via2_FR
-    NEW met2 ( 1787330 965940 ) via2_FR
-    NEW li1 ( 1787330 1015070 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1015070 ) M1M2_PR
-    NEW li1 ( 1787330 1062330 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1062330 ) M1M2_PR
-    NEW met1 ( 1787330 1110950 ) M1M2_PR
-    NEW met1 ( 1788250 1110950 ) M1M2_PR
-    NEW met2 ( 1788250 1159060 ) via2_FR
-    NEW met2 ( 1787330 1159060 ) via2_FR
-    NEW li1 ( 1787330 1208190 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1208190 ) M1M2_PR
-    NEW li1 ( 1787330 1255790 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1255790 ) M1M2_PR
-    NEW li1 ( 1787330 1304410 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1304410 ) M1M2_PR
-    NEW li1 ( 1787330 1352350 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1352350 ) M1M2_PR
-    NEW met1 ( 1787330 1401650 ) M1M2_PR
-    NEW li1 ( 1787790 1401650 ) L1M1_PR_MR
-    NEW li1 ( 1787790 1448570 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1448570 ) M1M2_PR
-    NEW li1 ( 1787330 1497530 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1497530 ) M1M2_PR
-    NEW li1 ( 1787330 1545470 ) L1M1_PR_MR
-    NEW met1 ( 1787330 1545470 ) M1M2_PR
-    NEW met1 ( 1787330 145010 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 192610 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 241570 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 289510 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 338130 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 385730 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 531590 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 578850 ) RECT ( 0 -70 355 70 )
-    NEW met3 ( 1787330 821780 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 1787330 869380 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1787330 1015070 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1062330 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1208190 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1255790 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1304410 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1352350 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1497530 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1787330 1545470 ) RECT ( 0 -70 355 70 )
+  + ROUTED met1 ( 1535250 1689630 ) ( 1535250 1689970 )
+    NEW met1 ( 1535250 1689970 ) ( 1550890 1689970 )
+    NEW met2 ( 1550890 1681810 ) ( 1550890 1689970 )
+    NEW met2 ( 1781810 2380 0 ) ( 1781810 3060 )
+    NEW met2 ( 1780430 3060 ) ( 1781810 3060 )
+    NEW met2 ( 1518690 1689630 ) ( 1518690 1700340 0 )
+    NEW met1 ( 1518690 1689630 ) ( 1535250 1689630 )
+    NEW met1 ( 1550890 1681810 ) ( 1780430 1681810 )
+    NEW met2 ( 1780430 3060 ) ( 1780430 1681810 )
+    NEW met1 ( 1550890 1689970 ) M1M2_PR
+    NEW met1 ( 1550890 1681810 ) M1M2_PR
+    NEW met1 ( 1518690 1689630 ) M1M2_PR
+    NEW met1 ( 1780430 1681810 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) 
-  + ROUTED met2 ( 1522830 1688610 ) ( 1523290 1688610 )
-    NEW met2 ( 1522830 1688610 ) ( 1522830 1700340 )
+  + ROUTED met2 ( 1799750 2380 0 ) ( 1799750 2890 )
+    NEW met1 ( 1794690 2890 ) ( 1799750 2890 )
+    NEW met2 ( 1794690 2890 ) ( 1794690 18020 )
+    NEW met2 ( 1794230 18020 ) ( 1794690 18020 )
     NEW met2 ( 1522370 1700340 0 ) ( 1522830 1700340 )
-    NEW met2 ( 1523290 1639310 ) ( 1523290 1688610 )
-    NEW met1 ( 1523290 1639310 ) ( 1808030 1639310 )
-    NEW met2 ( 1810790 2380 0 ) ( 1810790 13090 )
-    NEW met1 ( 1808030 13090 ) ( 1810790 13090 )
-    NEW met2 ( 1808030 13090 ) ( 1808030 1639310 )
-    NEW met1 ( 1523290 1639310 ) M1M2_PR
-    NEW met1 ( 1808030 1639310 ) M1M2_PR
-    NEW met1 ( 1810790 13090 ) M1M2_PR
-    NEW met1 ( 1808030 13090 ) M1M2_PR
+    NEW met2 ( 1522830 1639310 ) ( 1522830 1700340 )
+    NEW met1 ( 1522830 1639310 ) ( 1794230 1639310 )
+    NEW met2 ( 1794230 18020 ) ( 1794230 1639310 )
+    NEW met1 ( 1799750 2890 ) M1M2_PR
+    NEW met1 ( 1794690 2890 ) M1M2_PR
+    NEW met1 ( 1522830 1639310 ) M1M2_PR
+    NEW met1 ( 1794230 1639310 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) 
-  + ROUTED met2 ( 1525590 1673650 ) ( 1525590 1700340 0 )
-    NEW met2 ( 1828730 2380 0 ) ( 1828730 1673650 )
-    NEW met1 ( 1525590 1673650 ) ( 1828730 1673650 )
-    NEW met1 ( 1828730 1673650 ) M1M2_PR
+  + ROUTED met2 ( 1817690 2380 0 ) ( 1817690 2890 )
+    NEW met1 ( 1814930 2890 ) ( 1817690 2890 )
+    NEW met2 ( 1525590 1673650 ) ( 1525590 1700340 0 )
+    NEW met2 ( 1814930 2890 ) ( 1814930 1673650 )
+    NEW met1 ( 1525590 1673650 ) ( 1814930 1673650 )
     NEW met1 ( 1525590 1673650 ) M1M2_PR
+    NEW met1 ( 1817690 2890 ) M1M2_PR
+    NEW met1 ( 1814930 2890 ) M1M2_PR
+    NEW met1 ( 1814930 1673650 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) 
   + ROUTED met2 ( 1529270 1700340 0 ) ( 1530190 1700340 )
     NEW met2 ( 1530190 1625370 ) ( 1530190 1700340 )
-    NEW met1 ( 1842530 110330 ) ( 1845290 110330 )
-    NEW met2 ( 1845290 109820 ) ( 1845290 110330 )
-    NEW met2 ( 1845290 109820 ) ( 1845750 109820 )
-    NEW met2 ( 1842530 110330 ) ( 1842530 1625370 )
-    NEW met1 ( 1530190 1625370 ) ( 1842530 1625370 )
-    NEW met2 ( 1846210 2380 0 ) ( 1846210 12580 )
-    NEW met2 ( 1845750 12580 ) ( 1846210 12580 )
-    NEW met2 ( 1845750 12580 ) ( 1845750 109820 )
+    NEW met1 ( 1829190 41990 ) ( 1835170 41990 )
+    NEW met2 ( 1835170 2380 0 ) ( 1835170 41990 )
+    NEW met2 ( 1829190 41990 ) ( 1829190 1625370 )
+    NEW met1 ( 1530190 1625370 ) ( 1829190 1625370 )
     NEW met1 ( 1530190 1625370 ) M1M2_PR
-    NEW met1 ( 1842530 110330 ) M1M2_PR
-    NEW met1 ( 1845290 110330 ) M1M2_PR
-    NEW met1 ( 1842530 1625370 ) M1M2_PR
+    NEW met1 ( 1829190 1625370 ) M1M2_PR
+    NEW met1 ( 1829190 41990 ) M1M2_PR
+    NEW met1 ( 1835170 41990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) 
-  + ROUTED met2 ( 1532950 1660050 ) ( 1532950 1700340 0 )
-    NEW met3 ( 1862540 627980 ) ( 1863230 627980 )
-    NEW met3 ( 1862540 627980 ) ( 1862540 628660 )
-    NEW met3 ( 1862540 628660 ) ( 1864150 628660 )
-    NEW met3 ( 1863230 1159060 ) ( 1864150 1159060 )
-    NEW met1 ( 1863230 1545470 ) ( 1863690 1545470 )
-    NEW met2 ( 1864150 2380 0 ) ( 1864150 12580 )
-    NEW met2 ( 1862770 12580 ) ( 1864150 12580 )
-    NEW met2 ( 1862770 12580 ) ( 1862770 13940 )
-    NEW met2 ( 1862770 13940 ) ( 1863230 13940 )
-    NEW met1 ( 1862770 186490 ) ( 1863230 186490 )
-    NEW met1 ( 1863230 283390 ) ( 1864150 283390 )
-    NEW li1 ( 1863230 379610 ) ( 1863230 427550 )
-    NEW li1 ( 1863230 476510 ) ( 1863230 524110 )
-    NEW met2 ( 1863230 427550 ) ( 1863230 476510 )
-    NEW li1 ( 1863230 572730 ) ( 1863230 620670 )
-    NEW met2 ( 1863230 524110 ) ( 1863230 572730 )
-    NEW met2 ( 1863230 620670 ) ( 1863230 627980 )
-    NEW met1 ( 1862770 717570 ) ( 1863230 717570 )
-    NEW met2 ( 1862770 669460 ) ( 1862770 717570 )
-    NEW met3 ( 1862770 669460 ) ( 1864150 669460 )
-    NEW met2 ( 1864150 628660 ) ( 1864150 669460 )
-    NEW met3 ( 1863230 862580 ) ( 1864150 862580 )
-    NEW li1 ( 1863690 1062330 ) ( 1863690 1103810 )
-    NEW met1 ( 1862310 1248990 ) ( 1863230 1248990 )
-    NEW met1 ( 1862310 1345550 ) ( 1863230 1345550 )
-    NEW met2 ( 1862770 1490220 ) ( 1863230 1490220 )
-    NEW met1 ( 1532950 1660050 ) ( 1862770 1660050 )
-    NEW li1 ( 1863230 41990 ) ( 1863230 89250 )
-    NEW met2 ( 1863230 13940 ) ( 1863230 41990 )
-    NEW met2 ( 1863230 89250 ) ( 1863230 186490 )
-    NEW met2 ( 1862770 258740 ) ( 1863230 258740 )
-    NEW met2 ( 1862770 186490 ) ( 1862770 258740 )
-    NEW met2 ( 1863230 258740 ) ( 1863230 283390 )
-    NEW met2 ( 1863230 357340 ) ( 1863690 357340 )
-    NEW met2 ( 1863690 331330 ) ( 1863690 357340 )
-    NEW met1 ( 1863690 331330 ) ( 1864150 331330 )
-    NEW met2 ( 1863230 357340 ) ( 1863230 379610 )
-    NEW met2 ( 1864150 283390 ) ( 1864150 331330 )
-    NEW li1 ( 1863230 725730 ) ( 1863230 765850 )
-    NEW met2 ( 1863230 717570 ) ( 1863230 725730 )
-    NEW met2 ( 1863230 765850 ) ( 1863230 862580 )
-    NEW met2 ( 1863230 1017620 ) ( 1863690 1017620 )
-    NEW met2 ( 1863690 1017620 ) ( 1863690 1062330 )
-    NEW met2 ( 1863690 1110780 ) ( 1864150 1110780 )
-    NEW met2 ( 1863690 1103810 ) ( 1863690 1110780 )
-    NEW met2 ( 1864150 1110780 ) ( 1864150 1159060 )
-    NEW met2 ( 1863230 1159060 ) ( 1863230 1248990 )
-    NEW met2 ( 1862310 1320900 ) ( 1863230 1320900 )
-    NEW met2 ( 1862310 1248990 ) ( 1862310 1320900 )
-    NEW met2 ( 1863230 1320900 ) ( 1863230 1345550 )
-    NEW li1 ( 1862310 1393830 ) ( 1862310 1401310 )
-    NEW met1 ( 1862310 1401310 ) ( 1863230 1401310 )
-    NEW met2 ( 1862310 1345550 ) ( 1862310 1393830 )
-    NEW met3 ( 1862310 1490900 ) ( 1863230 1490900 )
-    NEW met2 ( 1862310 1490900 ) ( 1862310 1538670 )
-    NEW met1 ( 1862310 1538670 ) ( 1863690 1538670 )
-    NEW met2 ( 1863230 1490220 ) ( 1863230 1490900 )
-    NEW met2 ( 1863690 1538670 ) ( 1863690 1545470 )
-    NEW li1 ( 1862770 1593410 ) ( 1862770 1635230 )
-    NEW met1 ( 1862770 1593410 ) ( 1863230 1593410 )
-    NEW met2 ( 1862770 1635230 ) ( 1862770 1660050 )
-    NEW met2 ( 1863230 1545470 ) ( 1863230 1593410 )
-    NEW li1 ( 1862770 952850 ) ( 1862770 1000450 )
-    NEW met1 ( 1862770 1000450 ) ( 1863230 1000450 )
-    NEW met2 ( 1863230 1000450 ) ( 1863230 1017620 )
-    NEW met3 ( 1861850 1483420 ) ( 1862770 1483420 )
-    NEW met2 ( 1861850 1441090 ) ( 1861850 1483420 )
-    NEW met1 ( 1861850 1441090 ) ( 1863230 1441090 )
-    NEW met2 ( 1862770 1483420 ) ( 1862770 1490220 )
-    NEW met2 ( 1863230 1401310 ) ( 1863230 1441090 )
-    NEW met2 ( 1862770 927860 ) ( 1863690 927860 )
-    NEW met2 ( 1863690 904060 ) ( 1863690 927860 )
-    NEW met2 ( 1863690 904060 ) ( 1864150 904060 )
-    NEW met2 ( 1862770 927860 ) ( 1862770 952850 )
-    NEW met2 ( 1864150 862580 ) ( 1864150 904060 )
-    NEW met1 ( 1532950 1660050 ) M1M2_PR
-    NEW met2 ( 1863230 627980 ) via2_FR
-    NEW met2 ( 1864150 628660 ) via2_FR
-    NEW met2 ( 1864150 1159060 ) via2_FR
-    NEW met2 ( 1863230 1159060 ) via2_FR
-    NEW met1 ( 1863690 1545470 ) M1M2_PR
-    NEW met1 ( 1863230 1545470 ) M1M2_PR
-    NEW met1 ( 1863230 186490 ) M1M2_PR
-    NEW met1 ( 1862770 186490 ) M1M2_PR
-    NEW met1 ( 1863230 283390 ) M1M2_PR
-    NEW met1 ( 1864150 283390 ) M1M2_PR
-    NEW li1 ( 1863230 379610 ) L1M1_PR_MR
-    NEW met1 ( 1863230 379610 ) M1M2_PR
-    NEW li1 ( 1863230 427550 ) L1M1_PR_MR
-    NEW met1 ( 1863230 427550 ) M1M2_PR
-    NEW li1 ( 1863230 476510 ) L1M1_PR_MR
-    NEW met1 ( 1863230 476510 ) M1M2_PR
-    NEW li1 ( 1863230 524110 ) L1M1_PR_MR
-    NEW met1 ( 1863230 524110 ) M1M2_PR
-    NEW li1 ( 1863230 572730 ) L1M1_PR_MR
-    NEW met1 ( 1863230 572730 ) M1M2_PR
-    NEW li1 ( 1863230 620670 ) L1M1_PR_MR
-    NEW met1 ( 1863230 620670 ) M1M2_PR
-    NEW met1 ( 1863230 717570 ) M1M2_PR
-    NEW met1 ( 1862770 717570 ) M1M2_PR
-    NEW met2 ( 1862770 669460 ) via2_FR
-    NEW met2 ( 1864150 669460 ) via2_FR
-    NEW met2 ( 1863230 862580 ) via2_FR
-    NEW met2 ( 1864150 862580 ) via2_FR
-    NEW li1 ( 1863690 1103810 ) L1M1_PR_MR
-    NEW met1 ( 1863690 1103810 ) M1M2_PR
-    NEW li1 ( 1863690 1062330 ) L1M1_PR_MR
-    NEW met1 ( 1863690 1062330 ) M1M2_PR
-    NEW met1 ( 1863230 1248990 ) M1M2_PR
-    NEW met1 ( 1862310 1248990 ) M1M2_PR
-    NEW met1 ( 1863230 1345550 ) M1M2_PR
-    NEW met1 ( 1862310 1345550 ) M1M2_PR
-    NEW met1 ( 1862770 1660050 ) M1M2_PR
-    NEW li1 ( 1863230 41990 ) L1M1_PR_MR
-    NEW met1 ( 1863230 41990 ) M1M2_PR
-    NEW li1 ( 1863230 89250 ) L1M1_PR_MR
-    NEW met1 ( 1863230 89250 ) M1M2_PR
-    NEW met1 ( 1863690 331330 ) M1M2_PR
-    NEW met1 ( 1864150 331330 ) M1M2_PR
-    NEW li1 ( 1863230 725730 ) L1M1_PR_MR
-    NEW met1 ( 1863230 725730 ) M1M2_PR
-    NEW li1 ( 1863230 765850 ) L1M1_PR_MR
-    NEW met1 ( 1863230 765850 ) M1M2_PR
-    NEW li1 ( 1862310 1393830 ) L1M1_PR_MR
-    NEW met1 ( 1862310 1393830 ) M1M2_PR
-    NEW li1 ( 1862310 1401310 ) L1M1_PR_MR
-    NEW met1 ( 1863230 1401310 ) M1M2_PR
-    NEW met2 ( 1863230 1490900 ) via2_FR
-    NEW met2 ( 1862310 1490900 ) via2_FR
-    NEW met1 ( 1862310 1538670 ) M1M2_PR
-    NEW met1 ( 1863690 1538670 ) M1M2_PR
-    NEW li1 ( 1862770 1635230 ) L1M1_PR_MR
-    NEW met1 ( 1862770 1635230 ) M1M2_PR
-    NEW li1 ( 1862770 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1863230 1593410 ) M1M2_PR
-    NEW li1 ( 1862770 952850 ) L1M1_PR_MR
-    NEW met1 ( 1862770 952850 ) M1M2_PR
-    NEW li1 ( 1862770 1000450 ) L1M1_PR_MR
-    NEW met1 ( 1863230 1000450 ) M1M2_PR
-    NEW met2 ( 1862770 1483420 ) via2_FR
-    NEW met2 ( 1861850 1483420 ) via2_FR
-    NEW met1 ( 1861850 1441090 ) M1M2_PR
-    NEW met1 ( 1863230 1441090 ) M1M2_PR
-    NEW met1 ( 1863230 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863690 1103810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863690 1062330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 41990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 89250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 725730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1863230 765850 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1862310 1393830 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1862770 1635230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1862770 952850 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1853110 2380 0 ) ( 1853110 2890 )
+    NEW met1 ( 1849430 2890 ) ( 1853110 2890 )
+    NEW met2 ( 1532490 1700340 ) ( 1532950 1700340 0 )
+    NEW met2 ( 1532490 1660050 ) ( 1532490 1700340 )
+    NEW met1 ( 1532490 1660050 ) ( 1849430 1660050 )
+    NEW met2 ( 1849430 2890 ) ( 1849430 1660050 )
+    NEW met1 ( 1532490 1660050 ) M1M2_PR
+    NEW met1 ( 1853110 2890 ) M1M2_PR
+    NEW met1 ( 1849430 2890 ) M1M2_PR
+    NEW met1 ( 1849430 1660050 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) 
-  + ROUTED met1 ( 740370 18190 ) ( 744970 18190 )
-    NEW met2 ( 740370 2380 0 ) ( 740370 18190 )
-    NEW met2 ( 744970 18190 ) ( 744970 1563150 )
-    NEW met1 ( 744970 1563150 ) ( 1298810 1563150 )
-    NEW met1 ( 1298810 1677730 ) ( 1301110 1677730 )
-    NEW met2 ( 1301110 1677730 ) ( 1301110 1700340 )
+  + ROUTED met1 ( 738070 1563150 ) ( 1298810 1563150 )
+    NEW met1 ( 1298810 1677390 ) ( 1301110 1677390 )
+    NEW met2 ( 1301110 1677390 ) ( 1301110 1700340 )
     NEW met2 ( 1301110 1700340 ) ( 1301570 1700340 0 )
-    NEW met2 ( 1298810 1563150 ) ( 1298810 1677730 )
-    NEW met1 ( 744970 1563150 ) M1M2_PR
-    NEW met1 ( 740370 18190 ) M1M2_PR
-    NEW met1 ( 744970 18190 ) M1M2_PR
+    NEW met2 ( 1298810 1563150 ) ( 1298810 1677390 )
+    NEW met1 ( 735770 62050 ) ( 738070 62050 )
+    NEW met2 ( 735770 2380 0 ) ( 735770 62050 )
+    NEW met2 ( 738070 62050 ) ( 738070 1563150 )
+    NEW met1 ( 738070 1563150 ) M1M2_PR
     NEW met1 ( 1298810 1563150 ) M1M2_PR
-    NEW met1 ( 1298810 1677730 ) M1M2_PR
-    NEW met1 ( 1301110 1677730 ) M1M2_PR
+    NEW met1 ( 1298810 1677390 ) M1M2_PR
+    NEW met1 ( 1301110 1677390 ) M1M2_PR
+    NEW met1 ( 735770 62050 ) M1M2_PR
+    NEW met1 ( 738070 62050 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) 
-  + ROUTED met2 ( 1536630 30770 ) ( 1536630 1700340 0 )
-    NEW met2 ( 1849430 29580 ) ( 1849430 30770 )
-    NEW met3 ( 1849430 29580 ) ( 1882090 29580 )
-    NEW met1 ( 1536630 30770 ) ( 1849430 30770 )
-    NEW met2 ( 1882090 2380 0 ) ( 1882090 29580 )
-    NEW met1 ( 1536630 30770 ) M1M2_PR
-    NEW met1 ( 1849430 30770 ) M1M2_PR
-    NEW met2 ( 1849430 29580 ) via2_FR
-    NEW met2 ( 1882090 29580 ) via2_FR
+  + ROUTED met2 ( 1536630 1700340 0 ) ( 1537550 1700340 )
+    NEW met2 ( 1871050 24990 ) ( 1871050 31620 )
+    NEW met2 ( 1870590 24990 ) ( 1871050 24990 )
+    NEW met2 ( 1870590 2380 0 ) ( 1870590 24990 )
+    NEW met2 ( 1848510 31110 ) ( 1848510 31620 )
+    NEW met3 ( 1848510 31620 ) ( 1871050 31620 )
+    NEW met1 ( 1537550 31110 ) ( 1848510 31110 )
+    NEW met2 ( 1537550 31110 ) ( 1537550 1700340 )
+    NEW met2 ( 1871050 31620 ) via2_FR
+    NEW met1 ( 1537550 31110 ) M1M2_PR
+    NEW met1 ( 1848510 31110 ) M1M2_PR
+    NEW met2 ( 1848510 31620 ) via2_FR
 + USE SIGNAL ;
 - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) 
-  + ROUTED met1 ( 1540310 1686910 ) ( 1544450 1686910 )
-    NEW met2 ( 1540310 1686910 ) ( 1540310 1700340 0 )
-    NEW met1 ( 1871050 12410 ) ( 1900030 12410 )
-    NEW met2 ( 1900030 2380 0 ) ( 1900030 12410 )
-    NEW met1 ( 1544450 30430 ) ( 1559170 30430 )
-    NEW li1 ( 1559170 27710 ) ( 1559170 30430 )
-    NEW met2 ( 1544450 30430 ) ( 1544450 1686910 )
-    NEW li1 ( 1851730 15810 ) ( 1851730 27710 )
-    NEW li1 ( 1851730 15810 ) ( 1871050 15810 )
-    NEW met1 ( 1559170 27710 ) ( 1851730 27710 )
-    NEW li1 ( 1871050 12410 ) ( 1871050 15810 )
-    NEW met1 ( 1544450 1686910 ) M1M2_PR
-    NEW met1 ( 1540310 1686910 ) M1M2_PR
-    NEW li1 ( 1871050 12410 ) L1M1_PR_MR
-    NEW met1 ( 1900030 12410 ) M1M2_PR
-    NEW met1 ( 1544450 30430 ) M1M2_PR
-    NEW li1 ( 1559170 30430 ) L1M1_PR_MR
-    NEW li1 ( 1559170 27710 ) L1M1_PR_MR
-    NEW li1 ( 1851730 27710 ) L1M1_PR_MR
+  + ROUTED met1 ( 1540310 1687930 ) ( 1543990 1687930 )
+    NEW met2 ( 1540310 1687930 ) ( 1540310 1700340 0 )
+    NEW met2 ( 1870130 29580 ) ( 1870130 30770 )
+    NEW met3 ( 1870130 29580 ) ( 1888530 29580 )
+    NEW met2 ( 1888530 2380 0 ) ( 1888530 29580 )
+    NEW met2 ( 1543990 30770 ) ( 1543990 1687930 )
+    NEW met1 ( 1543990 30770 ) ( 1870130 30770 )
+    NEW met1 ( 1543990 1687930 ) M1M2_PR
+    NEW met1 ( 1540310 1687930 ) M1M2_PR
+    NEW met1 ( 1870130 30770 ) M1M2_PR
+    NEW met2 ( 1870130 29580 ) via2_FR
+    NEW met2 ( 1888530 29580 ) via2_FR
+    NEW met1 ( 1543990 30770 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) 
-  + ROUTED met2 ( 1543990 1700340 0 ) ( 1544910 1700340 )
-    NEW met1 ( 1871510 12070 ) ( 1917970 12070 )
-    NEW met2 ( 1917970 2380 0 ) ( 1917970 12070 )
-    NEW met1 ( 1544910 27710 ) ( 1558710 27710 )
-    NEW met1 ( 1558710 27710 ) ( 1558710 28050 )
-    NEW met2 ( 1544910 27710 ) ( 1544910 1700340 )
-    NEW li1 ( 1851270 28050 ) ( 1852190 28050 )
-    NEW li1 ( 1852190 16150 ) ( 1852190 28050 )
-    NEW li1 ( 1852190 16150 ) ( 1871510 16150 )
-    NEW met1 ( 1558710 28050 ) ( 1851270 28050 )
-    NEW li1 ( 1871510 12070 ) ( 1871510 16150 )
-    NEW li1 ( 1871510 12070 ) L1M1_PR_MR
-    NEW met1 ( 1917970 12070 ) M1M2_PR
-    NEW met1 ( 1544910 27710 ) M1M2_PR
-    NEW li1 ( 1851270 28050 ) L1M1_PR_MR
+  + ROUTED met2 ( 1543990 1700340 0 ) ( 1544450 1700340 )
+    NEW met2 ( 1870130 27540 ) ( 1870130 27710 )
+    NEW met3 ( 1870130 27540 ) ( 1906010 27540 )
+    NEW met2 ( 1906010 2380 0 ) ( 1906010 27540 )
+    NEW met1 ( 1544450 29410 ) ( 1559170 29410 )
+    NEW li1 ( 1559170 27710 ) ( 1559170 29410 )
+    NEW met2 ( 1544450 29410 ) ( 1544450 1700340 )
+    NEW met1 ( 1559170 27710 ) ( 1870130 27710 )
+    NEW met1 ( 1870130 27710 ) M1M2_PR
+    NEW met2 ( 1870130 27540 ) via2_FR
+    NEW met2 ( 1906010 27540 ) via2_FR
+    NEW met1 ( 1544450 29410 ) M1M2_PR
+    NEW li1 ( 1559170 29410 ) L1M1_PR_MR
+    NEW li1 ( 1559170 27710 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) 
-  + ROUTED met1 ( 1547670 1688610 ) ( 1551810 1688610 )
-    NEW met2 ( 1547670 1688610 ) ( 1547670 1700340 0 )
-    NEW met2 ( 1551810 31450 ) ( 1551810 1688610 )
-    NEW met2 ( 1935450 2380 0 ) ( 1935450 28390 )
-    NEW li1 ( 1570670 28390 ) ( 1570670 31450 )
-    NEW met1 ( 1551810 31450 ) ( 1570670 31450 )
-    NEW met1 ( 1570670 28390 ) ( 1935450 28390 )
-    NEW met1 ( 1551810 1688610 ) M1M2_PR
-    NEW met1 ( 1547670 1688610 ) M1M2_PR
-    NEW met1 ( 1551810 31450 ) M1M2_PR
-    NEW met1 ( 1935450 28390 ) M1M2_PR
-    NEW li1 ( 1570670 31450 ) L1M1_PR_MR
-    NEW li1 ( 1570670 28390 ) L1M1_PR_MR
+  + ROUTED met2 ( 1918890 27710 ) ( 1918890 28220 )
+    NEW met1 ( 1918890 27710 ) ( 1923950 27710 )
+    NEW met2 ( 1923950 2380 0 ) ( 1923950 27710 )
+    NEW met1 ( 1547670 1687930 ) ( 1551350 1687930 )
+    NEW met2 ( 1547670 1687930 ) ( 1547670 1700340 0 )
+    NEW met2 ( 1917510 28050 ) ( 1917510 28220 )
+    NEW met3 ( 1917510 28220 ) ( 1918890 28220 )
+    NEW met2 ( 1551350 31450 ) ( 1551350 1687930 )
+    NEW li1 ( 1583550 28050 ) ( 1583550 31450 )
+    NEW met1 ( 1551350 31450 ) ( 1583550 31450 )
+    NEW met1 ( 1583550 28050 ) ( 1917510 28050 )
+    NEW met2 ( 1918890 28220 ) via2_FR
+    NEW met1 ( 1918890 27710 ) M1M2_PR
+    NEW met1 ( 1923950 27710 ) M1M2_PR
+    NEW met1 ( 1551350 1687930 ) M1M2_PR
+    NEW met1 ( 1547670 1687930 ) M1M2_PR
+    NEW met1 ( 1917510 28050 ) M1M2_PR
+    NEW met2 ( 1917510 28220 ) via2_FR
+    NEW met1 ( 1551350 31450 ) M1M2_PR
+    NEW li1 ( 1583550 31450 ) L1M1_PR_MR
+    NEW li1 ( 1583550 28050 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) 
-  + ROUTED met2 ( 1551350 31790 ) ( 1551350 1700340 0 )
-    NEW li1 ( 1583550 28730 ) ( 1583550 31790 )
-    NEW met1 ( 1551350 31790 ) ( 1583550 31790 )
-    NEW met1 ( 1583550 28730 ) ( 1953390 28730 )
-    NEW met2 ( 1953390 2380 0 ) ( 1953390 28730 )
-    NEW met1 ( 1551350 31790 ) M1M2_PR
-    NEW li1 ( 1583550 31790 ) L1M1_PR_MR
-    NEW li1 ( 1583550 28730 ) L1M1_PR_MR
-    NEW met1 ( 1953390 28730 ) M1M2_PR
+  + ROUTED met2 ( 1941430 2380 0 ) ( 1941430 28390 )
+    NEW met2 ( 1551350 1700340 0 ) ( 1551810 1700340 )
+    NEW met2 ( 1551810 31790 ) ( 1551810 1700340 )
+    NEW li1 ( 1583090 28390 ) ( 1583090 31790 )
+    NEW met1 ( 1551810 31790 ) ( 1583090 31790 )
+    NEW met1 ( 1583090 28390 ) ( 1941430 28390 )
+    NEW met1 ( 1941430 28390 ) M1M2_PR
+    NEW met1 ( 1551810 31790 ) M1M2_PR
+    NEW li1 ( 1583090 31790 ) L1M1_PR_MR
+    NEW li1 ( 1583090 28390 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) 
-  + ROUTED met1 ( 1555030 1688270 ) ( 1558250 1688270 )
-    NEW met2 ( 1555030 1688270 ) ( 1555030 1700340 0 )
-    NEW met1 ( 1558250 29070 ) ( 1558250 29410 )
-    NEW met2 ( 1558250 29410 ) ( 1558250 1688270 )
-    NEW met1 ( 1558250 29070 ) ( 1971330 29070 )
-    NEW met2 ( 1971330 2380 0 ) ( 1971330 29070 )
-    NEW met1 ( 1558250 1688270 ) M1M2_PR
-    NEW met1 ( 1555030 1688270 ) M1M2_PR
-    NEW met1 ( 1558250 29410 ) M1M2_PR
-    NEW met1 ( 1971330 29070 ) M1M2_PR
+  + ROUTED met2 ( 1959370 2380 0 ) ( 1959370 28730 )
+    NEW met1 ( 1555030 1685210 ) ( 1558710 1685210 )
+    NEW met2 ( 1555030 1685210 ) ( 1555030 1700340 0 )
+    NEW met2 ( 1558710 28730 ) ( 1558710 1685210 )
+    NEW met1 ( 1558710 28730 ) ( 1959370 28730 )
+    NEW met1 ( 1959370 28730 ) M1M2_PR
+    NEW met1 ( 1558710 1685210 ) M1M2_PR
+    NEW met1 ( 1555030 1685210 ) M1M2_PR
+    NEW met1 ( 1558710 28730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) 
-  + ROUTED met2 ( 1558710 29410 ) ( 1558710 1700340 0 )
-    NEW met1 ( 1558710 29410 ) ( 1989270 29410 )
-    NEW met2 ( 1989270 2380 0 ) ( 1989270 29410 )
-    NEW met1 ( 1558710 29410 ) M1M2_PR
-    NEW met1 ( 1989270 29410 ) M1M2_PR
+  + ROUTED met2 ( 1558250 1700340 ) ( 1558710 1700340 0 )
+    NEW met2 ( 1976850 2380 0 ) ( 1976850 29070 )
+    NEW met2 ( 1558250 29070 ) ( 1558250 1700340 )
+    NEW met1 ( 1558250 29070 ) ( 1976850 29070 )
+    NEW met1 ( 1976850 29070 ) M1M2_PR
+    NEW met1 ( 1558250 29070 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) 
-  + ROUTED met2 ( 2006750 2380 0 ) ( 2006750 30430 )
-    NEW met1 ( 1565610 32130 ) ( 1584010 32130 )
-    NEW met1 ( 1584010 31790 ) ( 1584010 32130 )
-    NEW met1 ( 1584010 31790 ) ( 1591830 31790 )
-    NEW met2 ( 1591830 31620 ) ( 1591830 31790 )
-    NEW met3 ( 1591830 31620 ) ( 1607470 31620 )
-    NEW met2 ( 1607470 30430 ) ( 1607470 31620 )
-    NEW met1 ( 1563310 1677390 ) ( 1565610 1677390 )
-    NEW met2 ( 1563310 1677390 ) ( 1563310 1700340 )
-    NEW met2 ( 1562390 1700340 0 ) ( 1563310 1700340 )
-    NEW met2 ( 1565610 32130 ) ( 1565610 1677390 )
-    NEW met1 ( 1607470 30430 ) ( 2006750 30430 )
-    NEW met1 ( 2006750 30430 ) M1M2_PR
-    NEW met1 ( 1565610 32130 ) M1M2_PR
-    NEW met1 ( 1591830 31790 ) M1M2_PR
-    NEW met2 ( 1591830 31620 ) via2_FR
-    NEW met2 ( 1607470 31620 ) via2_FR
-    NEW met1 ( 1607470 30430 ) M1M2_PR
-    NEW met1 ( 1565610 1677390 ) M1M2_PR
-    NEW met1 ( 1563310 1677390 ) M1M2_PR
+  + ROUTED met2 ( 1994790 2380 0 ) ( 1994790 29410 )
+    NEW met1 ( 1565150 33830 ) ( 1599190 33830 )
+    NEW met2 ( 1599190 29580 ) ( 1599190 33830 )
+    NEW met3 ( 1599190 29580 ) ( 1607470 29580 )
+    NEW met2 ( 1607470 29410 ) ( 1607470 29580 )
+    NEW met2 ( 1564690 1677900 ) ( 1565150 1677900 )
+    NEW met2 ( 1564690 1677900 ) ( 1564690 1684190 )
+    NEW met1 ( 1562390 1684190 ) ( 1564690 1684190 )
+    NEW met2 ( 1562390 1684190 ) ( 1562390 1700340 0 )
+    NEW met2 ( 1565150 33830 ) ( 1565150 1677900 )
+    NEW met1 ( 1607470 29410 ) ( 1994790 29410 )
+    NEW met1 ( 1994790 29410 ) M1M2_PR
+    NEW met1 ( 1565150 33830 ) M1M2_PR
+    NEW met1 ( 1599190 33830 ) M1M2_PR
+    NEW met2 ( 1599190 29580 ) via2_FR
+    NEW met2 ( 1607470 29580 ) via2_FR
+    NEW met1 ( 1607470 29410 ) M1M2_PR
+    NEW met1 ( 1564690 1684190 ) M1M2_PR
+    NEW met1 ( 1562390 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) 
-  + ROUTED met2 ( 2024690 2380 0 ) ( 2024690 34170 )
-    NEW met2 ( 1565150 1677900 ) ( 1565610 1677900 )
-    NEW met2 ( 1565610 1677900 ) ( 1565610 1700340 )
+  + ROUTED met2 ( 2012730 2380 0 ) ( 2012730 30430 )
+    NEW met1 ( 1565610 29410 ) ( 1607010 29410 )
+    NEW li1 ( 1607010 29410 ) ( 1607470 29410 )
+    NEW li1 ( 1607470 29410 ) ( 1607470 30430 )
     NEW met2 ( 1565610 1700340 ) ( 1566070 1700340 0 )
-    NEW met2 ( 1565150 34170 ) ( 1565150 1677900 )
-    NEW met1 ( 1565150 34170 ) ( 2024690 34170 )
-    NEW met1 ( 2024690 34170 ) M1M2_PR
-    NEW met1 ( 1565150 34170 ) M1M2_PR
+    NEW met2 ( 1565610 29410 ) ( 1565610 1700340 )
+    NEW met1 ( 1607470 30430 ) ( 2012730 30430 )
+    NEW met1 ( 2012730 30430 ) M1M2_PR
+    NEW met1 ( 1565610 29410 ) M1M2_PR
+    NEW li1 ( 1607010 29410 ) L1M1_PR_MR
+    NEW li1 ( 1607470 30430 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) 
-  + ROUTED met1 ( 1569750 1684190 ) ( 1572510 1684190 )
-    NEW met2 ( 1569750 1684190 ) ( 1569750 1700340 0 )
-    NEW met2 ( 1572510 33830 ) ( 1572510 1684190 )
-    NEW met1 ( 1572510 33830 ) ( 2042630 33830 )
-    NEW met2 ( 2042630 2380 0 ) ( 2042630 33830 )
-    NEW met1 ( 1572510 33830 ) M1M2_PR
-    NEW met1 ( 1572510 1684190 ) M1M2_PR
-    NEW met1 ( 1569750 1684190 ) M1M2_PR
-    NEW met1 ( 2042630 33830 ) M1M2_PR
+  + ROUTED met2 ( 2030210 2380 0 ) ( 2030210 34170 )
+    NEW met1 ( 1572510 34170 ) ( 1599650 34170 )
+    NEW met1 ( 1599650 34170 ) ( 1599650 34510 )
+    NEW met1 ( 1599650 34510 ) ( 1607010 34510 )
+    NEW met1 ( 1607010 34170 ) ( 1607010 34510 )
+    NEW met1 ( 1569750 1684530 ) ( 1572510 1684530 )
+    NEW met2 ( 1569750 1684530 ) ( 1569750 1700340 0 )
+    NEW met2 ( 1572510 34170 ) ( 1572510 1684530 )
+    NEW met1 ( 1607010 34170 ) ( 2030210 34170 )
+    NEW met1 ( 2030210 34170 ) M1M2_PR
+    NEW met1 ( 1572510 34170 ) M1M2_PR
+    NEW met1 ( 1572510 1684530 ) M1M2_PR
+    NEW met1 ( 1569750 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) 
-  + ROUTED met2 ( 1305250 1673310 ) ( 1305250 1700340 0 )
-    NEW met2 ( 757850 2380 0 ) ( 757850 2890 )
-    NEW met1 ( 757850 2890 ) ( 758310 2890 )
-    NEW met2 ( 758310 2890 ) ( 758310 47770 )
-    NEW met2 ( 757850 47770 ) ( 758310 47770 )
-    NEW met3 ( 756930 48620 ) ( 757850 48620 )
-    NEW met2 ( 757850 47770 ) ( 757850 48620 )
-    NEW li1 ( 756930 90270 ) ( 756930 137870 )
-    NEW met1 ( 756930 137870 ) ( 758770 137870 )
-    NEW met2 ( 756930 48620 ) ( 756930 90270 )
-    NEW li1 ( 758770 186490 ) ( 758770 234430 )
-    NEW met2 ( 758770 137870 ) ( 758770 186490 )
-    NEW li1 ( 758770 283390 ) ( 758770 330990 )
-    NEW met2 ( 758770 234430 ) ( 758770 283390 )
-    NEW li1 ( 758770 379610 ) ( 758770 427550 )
-    NEW met2 ( 758770 330990 ) ( 758770 379610 )
-    NEW li1 ( 758770 476510 ) ( 758770 524110 )
-    NEW met2 ( 758770 427550 ) ( 758770 476510 )
-    NEW li1 ( 758770 572730 ) ( 758770 620670 )
-    NEW met2 ( 758770 524110 ) ( 758770 572730 )
-    NEW li1 ( 758770 669630 ) ( 758770 717230 )
-    NEW met2 ( 758770 620670 ) ( 758770 669630 )
-    NEW li1 ( 758770 766190 ) ( 758770 814130 )
-    NEW met2 ( 758770 717230 ) ( 758770 766190 )
-    NEW met3 ( 757850 862580 ) ( 758770 862580 )
-    NEW met2 ( 757850 862580 ) ( 757850 910690 )
-    NEW met1 ( 757850 910690 ) ( 758770 910690 )
-    NEW met2 ( 758770 814130 ) ( 758770 862580 )
-    NEW met3 ( 757850 959140 ) ( 758770 959140 )
-    NEW met2 ( 757850 959140 ) ( 757850 1007250 )
-    NEW met1 ( 757850 1007250 ) ( 758770 1007250 )
-    NEW met2 ( 758770 910690 ) ( 758770 959140 )
-    NEW met3 ( 757850 1055700 ) ( 758770 1055700 )
-    NEW met2 ( 757850 1055700 ) ( 757850 1103980 )
-    NEW met3 ( 757850 1103980 ) ( 758770 1103980 )
-    NEW met2 ( 758770 1007250 ) ( 758770 1055700 )
-    NEW met1 ( 757850 1152430 ) ( 758770 1152430 )
-    NEW met2 ( 757850 1152430 ) ( 757850 1200540 )
-    NEW met3 ( 757850 1200540 ) ( 758770 1200540 )
-    NEW met2 ( 758770 1103980 ) ( 758770 1152430 )
-    NEW met1 ( 757850 1248990 ) ( 758770 1248990 )
-    NEW met2 ( 757850 1248990 ) ( 757850 1297100 )
-    NEW met3 ( 757850 1297100 ) ( 758770 1297100 )
-    NEW met2 ( 758770 1200540 ) ( 758770 1248990 )
-    NEW met1 ( 757850 1345550 ) ( 758770 1345550 )
-    NEW met2 ( 757850 1345550 ) ( 757850 1393660 )
-    NEW met3 ( 757850 1393660 ) ( 758770 1393660 )
-    NEW met2 ( 758770 1297100 ) ( 758770 1345550 )
-    NEW met1 ( 757850 1442110 ) ( 758770 1442110 )
-    NEW met2 ( 757850 1442110 ) ( 757850 1490220 )
-    NEW met3 ( 757850 1490220 ) ( 758770 1490220 )
-    NEW met2 ( 758770 1393660 ) ( 758770 1442110 )
-    NEW li1 ( 758770 1539010 ) ( 758770 1586950 )
-    NEW met2 ( 758770 1490220 ) ( 758770 1539010 )
-    NEW li1 ( 758770 1635570 ) ( 758770 1673310 )
-    NEW met2 ( 758770 1586950 ) ( 758770 1635570 )
-    NEW met1 ( 758770 1673310 ) ( 1305250 1673310 )
-    NEW met1 ( 1305250 1673310 ) M1M2_PR
-    NEW met1 ( 757850 2890 ) M1M2_PR
-    NEW met1 ( 758310 2890 ) M1M2_PR
-    NEW met2 ( 757850 48620 ) via2_FR
-    NEW met2 ( 756930 48620 ) via2_FR
-    NEW li1 ( 756930 90270 ) L1M1_PR_MR
-    NEW met1 ( 756930 90270 ) M1M2_PR
-    NEW li1 ( 756930 137870 ) L1M1_PR_MR
-    NEW met1 ( 758770 137870 ) M1M2_PR
-    NEW li1 ( 758770 186490 ) L1M1_PR_MR
-    NEW met1 ( 758770 186490 ) M1M2_PR
-    NEW li1 ( 758770 234430 ) L1M1_PR_MR
-    NEW met1 ( 758770 234430 ) M1M2_PR
-    NEW li1 ( 758770 283390 ) L1M1_PR_MR
-    NEW met1 ( 758770 283390 ) M1M2_PR
-    NEW li1 ( 758770 330990 ) L1M1_PR_MR
-    NEW met1 ( 758770 330990 ) M1M2_PR
-    NEW li1 ( 758770 379610 ) L1M1_PR_MR
-    NEW met1 ( 758770 379610 ) M1M2_PR
-    NEW li1 ( 758770 427550 ) L1M1_PR_MR
-    NEW met1 ( 758770 427550 ) M1M2_PR
-    NEW li1 ( 758770 476510 ) L1M1_PR_MR
-    NEW met1 ( 758770 476510 ) M1M2_PR
-    NEW li1 ( 758770 524110 ) L1M1_PR_MR
-    NEW met1 ( 758770 524110 ) M1M2_PR
-    NEW li1 ( 758770 572730 ) L1M1_PR_MR
-    NEW met1 ( 758770 572730 ) M1M2_PR
-    NEW li1 ( 758770 620670 ) L1M1_PR_MR
-    NEW met1 ( 758770 620670 ) M1M2_PR
-    NEW li1 ( 758770 669630 ) L1M1_PR_MR
-    NEW met1 ( 758770 669630 ) M1M2_PR
-    NEW li1 ( 758770 717230 ) L1M1_PR_MR
-    NEW met1 ( 758770 717230 ) M1M2_PR
-    NEW li1 ( 758770 766190 ) L1M1_PR_MR
-    NEW met1 ( 758770 766190 ) M1M2_PR
-    NEW li1 ( 758770 814130 ) L1M1_PR_MR
-    NEW met1 ( 758770 814130 ) M1M2_PR
-    NEW met2 ( 758770 862580 ) via2_FR
-    NEW met2 ( 757850 862580 ) via2_FR
-    NEW met1 ( 757850 910690 ) M1M2_PR
-    NEW met1 ( 758770 910690 ) M1M2_PR
-    NEW met2 ( 758770 959140 ) via2_FR
-    NEW met2 ( 757850 959140 ) via2_FR
-    NEW met1 ( 757850 1007250 ) M1M2_PR
-    NEW met1 ( 758770 1007250 ) M1M2_PR
-    NEW met2 ( 758770 1055700 ) via2_FR
-    NEW met2 ( 757850 1055700 ) via2_FR
-    NEW met2 ( 757850 1103980 ) via2_FR
-    NEW met2 ( 758770 1103980 ) via2_FR
-    NEW met1 ( 758770 1152430 ) M1M2_PR
-    NEW met1 ( 757850 1152430 ) M1M2_PR
-    NEW met2 ( 757850 1200540 ) via2_FR
-    NEW met2 ( 758770 1200540 ) via2_FR
-    NEW met1 ( 758770 1248990 ) M1M2_PR
-    NEW met1 ( 757850 1248990 ) M1M2_PR
-    NEW met2 ( 757850 1297100 ) via2_FR
-    NEW met2 ( 758770 1297100 ) via2_FR
-    NEW met1 ( 758770 1345550 ) M1M2_PR
-    NEW met1 ( 757850 1345550 ) M1M2_PR
-    NEW met2 ( 757850 1393660 ) via2_FR
-    NEW met2 ( 758770 1393660 ) via2_FR
-    NEW met1 ( 758770 1442110 ) M1M2_PR
-    NEW met1 ( 757850 1442110 ) M1M2_PR
-    NEW met2 ( 757850 1490220 ) via2_FR
-    NEW met2 ( 758770 1490220 ) via2_FR
-    NEW li1 ( 758770 1539010 ) L1M1_PR_MR
-    NEW met1 ( 758770 1539010 ) M1M2_PR
-    NEW li1 ( 758770 1586950 ) L1M1_PR_MR
-    NEW met1 ( 758770 1586950 ) M1M2_PR
-    NEW li1 ( 758770 1635570 ) L1M1_PR_MR
-    NEW met1 ( 758770 1635570 ) M1M2_PR
-    NEW li1 ( 758770 1673310 ) L1M1_PR_MR
-    NEW met1 ( 756930 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 717230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 1539010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 1586950 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 758770 1635570 ) RECT ( -355 -70 0 70 )
+  + ROUTED li1 ( 758770 1642370 ) ( 758770 1673650 )
+    NEW met1 ( 758770 1673650 ) ( 1305250 1673650 )
+    NEW met1 ( 753250 62050 ) ( 758310 62050 )
+    NEW met2 ( 758310 62050 ) ( 758310 62900 )
+    NEW met2 ( 758310 62900 ) ( 758770 62900 )
+    NEW met2 ( 753250 2380 0 ) ( 753250 62050 )
+    NEW met2 ( 758770 62900 ) ( 758770 1642370 )
+    NEW met2 ( 1305250 1673650 ) ( 1305250 1700340 0 )
+    NEW met1 ( 1305250 1673650 ) M1M2_PR
+    NEW li1 ( 758770 1642370 ) L1M1_PR_MR
+    NEW met1 ( 758770 1642370 ) M1M2_PR
+    NEW li1 ( 758770 1673650 ) L1M1_PR_MR
+    NEW met1 ( 753250 62050 ) M1M2_PR
+    NEW met1 ( 758310 62050 ) M1M2_PR
+    NEW met1 ( 758770 1642370 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) 
-  + ROUTED met1 ( 1573430 1684870 ) ( 1579410 1684870 )
+  + ROUTED met2 ( 2048150 2380 0 ) ( 2048150 33830 )
+    NEW met1 ( 1578950 30430 ) ( 1607010 30430 )
+    NEW met2 ( 1607010 30260 ) ( 1607010 30430 )
+    NEW met2 ( 1607010 30260 ) ( 1607470 30260 )
+    NEW met2 ( 1607470 30260 ) ( 1607470 33830 )
+    NEW met1 ( 1573430 1684870 ) ( 1578950 1684870 )
     NEW met2 ( 1573430 1684870 ) ( 1573430 1700340 0 )
-    NEW met2 ( 1579410 33490 ) ( 1579410 1684870 )
-    NEW met1 ( 1579410 33490 ) ( 2060570 33490 )
-    NEW met2 ( 2060570 2380 0 ) ( 2060570 33490 )
-    NEW met1 ( 1579410 33490 ) M1M2_PR
-    NEW met1 ( 1579410 1684870 ) M1M2_PR
+    NEW met2 ( 1578950 30430 ) ( 1578950 1684870 )
+    NEW met1 ( 1607470 33830 ) ( 2048150 33830 )
+    NEW met1 ( 2048150 33830 ) M1M2_PR
+    NEW met1 ( 1578950 30430 ) M1M2_PR
+    NEW met1 ( 1607010 30430 ) M1M2_PR
+    NEW met1 ( 1607470 33830 ) M1M2_PR
+    NEW met1 ( 1578950 1684870 ) M1M2_PR
     NEW met1 ( 1573430 1684870 ) M1M2_PR
-    NEW met1 ( 2060570 33490 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) 
-  + ROUTED met1 ( 1577110 1684530 ) ( 1578950 1684530 )
+  + ROUTED met2 ( 2065630 2380 0 ) ( 2065630 33490 )
+    NEW met1 ( 1577110 1684530 ) ( 1579410 1684530 )
     NEW met2 ( 1577110 1684530 ) ( 1577110 1700340 0 )
-    NEW met2 ( 1578950 33150 ) ( 1578950 1684530 )
-    NEW met1 ( 1578950 33150 ) ( 2078510 33150 )
-    NEW met2 ( 2078510 2380 0 ) ( 2078510 33150 )
-    NEW met1 ( 1578950 33150 ) M1M2_PR
-    NEW met1 ( 1578950 1684530 ) M1M2_PR
+    NEW met2 ( 1579410 33490 ) ( 1579410 1684530 )
+    NEW met1 ( 1579410 33490 ) ( 2065630 33490 )
+    NEW met1 ( 2065630 33490 ) M1M2_PR
+    NEW met1 ( 1579410 33490 ) M1M2_PR
+    NEW met1 ( 1579410 1684530 ) M1M2_PR
     NEW met1 ( 1577110 1684530 ) M1M2_PR
-    NEW met1 ( 2078510 33150 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) 
-  + ROUTED met2 ( 2095990 2380 0 ) ( 2095990 32130 )
-    NEW met1 ( 1580790 1684870 ) ( 1585850 1684870 )
+  + ROUTED met2 ( 2083570 2380 0 ) ( 2083570 33150 )
+    NEW met1 ( 1580790 1684870 ) ( 1586770 1684870 )
     NEW met2 ( 1580790 1684870 ) ( 1580790 1700340 0 )
-    NEW met2 ( 1585850 36210 ) ( 1585850 1684870 )
-    NEW li1 ( 1616670 32130 ) ( 1616670 36210 )
-    NEW met1 ( 1585850 36210 ) ( 1616670 36210 )
-    NEW met1 ( 1616670 32130 ) ( 2095990 32130 )
-    NEW met1 ( 2095990 32130 ) M1M2_PR
-    NEW met1 ( 1585850 36210 ) M1M2_PR
-    NEW met1 ( 1585850 1684870 ) M1M2_PR
+    NEW met2 ( 1586770 33150 ) ( 1586770 1684870 )
+    NEW met1 ( 1586770 33150 ) ( 2083570 33150 )
+    NEW met1 ( 2083570 33150 ) M1M2_PR
+    NEW met1 ( 1586770 33150 ) M1M2_PR
+    NEW met1 ( 1586770 1684870 ) M1M2_PR
     NEW met1 ( 1580790 1684870 ) M1M2_PR
-    NEW li1 ( 1616670 36210 ) L1M1_PR_MR
-    NEW li1 ( 1616670 32130 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) 
-  + ROUTED met2 ( 2113930 2380 0 ) ( 2113930 31790 )
+  + ROUTED met2 ( 2101050 2380 0 ) ( 2101050 32130 )
     NEW met1 ( 1584470 1684190 ) ( 1586310 1684190 )
     NEW met2 ( 1584470 1684190 ) ( 1584470 1700340 0 )
     NEW met2 ( 1586310 32130 ) ( 1586310 1684190 )
-    NEW li1 ( 1607010 31790 ) ( 1607010 32130 )
-    NEW li1 ( 1607010 31790 ) ( 1607930 31790 )
-    NEW met1 ( 1586310 32130 ) ( 1607010 32130 )
-    NEW li1 ( 1787330 31790 ) ( 1788250 31790 )
-    NEW met1 ( 1607930 31790 ) ( 1787330 31790 )
-    NEW met1 ( 1788250 31790 ) ( 2113930 31790 )
-    NEW met1 ( 2113930 31790 ) M1M2_PR
+    NEW met1 ( 1586310 32130 ) ( 2101050 32130 )
+    NEW met1 ( 2101050 32130 ) M1M2_PR
     NEW met1 ( 1586310 32130 ) M1M2_PR
     NEW met1 ( 1586310 1684190 ) M1M2_PR
     NEW met1 ( 1584470 1684190 ) M1M2_PR
-    NEW li1 ( 1607010 32130 ) L1M1_PR_MR
-    NEW li1 ( 1607930 31790 ) L1M1_PR_MR
-    NEW li1 ( 1787330 31790 ) L1M1_PR_MR
-    NEW li1 ( 1788250 31790 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) 
-  + ROUTED met1 ( 1588150 1684190 ) ( 1592290 1684190 )
-    NEW met2 ( 1588150 1684190 ) ( 1588150 1700340 0 )
-    NEW li1 ( 1592290 1570290 ) ( 1592290 1593410 )
-    NEW met2 ( 1592290 1593410 ) ( 1592290 1684190 )
-    NEW met2 ( 1641050 31450 ) ( 1641050 32300 )
-    NEW li1 ( 1641050 31450 ) ( 1641970 31450 )
-    NEW li1 ( 1732130 31450 ) ( 1732590 31450 )
-    NEW met2 ( 1732590 31450 ) ( 1732590 32300 )
-    NEW met3 ( 1732590 32300 ) ( 1779970 32300 )
-    NEW met2 ( 1779970 31450 ) ( 1779970 32300 )
-    NEW li1 ( 2118530 30430 ) ( 2118530 31450 )
-    NEW met1 ( 2118530 30430 ) ( 2131870 30430 )
-    NEW met2 ( 2131870 2380 0 ) ( 2131870 30430 )
-    NEW met1 ( 1779970 31450 ) ( 2118530 31450 )
-    NEW met2 ( 1592290 32300 ) ( 1592290 1570290 )
-    NEW met3 ( 1592290 32300 ) ( 1641050 32300 )
-    NEW met2 ( 1683830 31450 ) ( 1683830 32300 )
-    NEW met3 ( 1683830 32300 ) ( 1691650 32300 )
-    NEW met2 ( 1691650 31450 ) ( 1691650 32300 )
-    NEW met1 ( 1641970 31450 ) ( 1683830 31450 )
-    NEW met1 ( 1691650 31450 ) ( 1732130 31450 )
-    NEW met1 ( 1592290 1684190 ) M1M2_PR
-    NEW met1 ( 1588150 1684190 ) M1M2_PR
-    NEW li1 ( 1592290 1570290 ) L1M1_PR_MR
-    NEW met1 ( 1592290 1570290 ) M1M2_PR
-    NEW li1 ( 1592290 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1592290 1593410 ) M1M2_PR
-    NEW met2 ( 1641050 32300 ) via2_FR
-    NEW li1 ( 1641050 31450 ) L1M1_PR_MR
-    NEW met1 ( 1641050 31450 ) M1M2_PR
-    NEW li1 ( 1641970 31450 ) L1M1_PR_MR
-    NEW li1 ( 1732130 31450 ) L1M1_PR_MR
-    NEW li1 ( 1732590 31450 ) L1M1_PR_MR
-    NEW met1 ( 1732590 31450 ) M1M2_PR
-    NEW met2 ( 1732590 32300 ) via2_FR
-    NEW met2 ( 1779970 32300 ) via2_FR
-    NEW met1 ( 1779970 31450 ) M1M2_PR
-    NEW li1 ( 2118530 31450 ) L1M1_PR_MR
-    NEW li1 ( 2118530 30430 ) L1M1_PR_MR
-    NEW met1 ( 2131870 30430 ) M1M2_PR
-    NEW met2 ( 1592290 32300 ) via2_FR
-    NEW met1 ( 1683830 31450 ) M1M2_PR
-    NEW met2 ( 1683830 32300 ) via2_FR
-    NEW met2 ( 1691650 32300 ) via2_FR
-    NEW met1 ( 1691650 31450 ) M1M2_PR
-    NEW met1 ( 1592290 1570290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1592290 1593410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1641050 31450 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1732590 31450 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2118990 2380 0 ) ( 2118990 31790 )
+    NEW met1 ( 1588150 1684530 ) ( 1592750 1684530 )
+    NEW met2 ( 1588150 1684530 ) ( 1588150 1700340 0 )
+    NEW met2 ( 1592750 31790 ) ( 1592750 1684530 )
+    NEW met1 ( 1592750 31790 ) ( 2118990 31790 )
+    NEW met1 ( 2118990 31790 ) M1M2_PR
+    NEW met1 ( 1592750 31790 ) M1M2_PR
+    NEW met1 ( 1592750 1684530 ) M1M2_PR
+    NEW met1 ( 1588150 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) 
-  + ROUTED met1 ( 1592750 31450 ) ( 1607010 31450 )
-    NEW met1 ( 1607010 31110 ) ( 1607010 31450 )
-    NEW met2 ( 1591830 1700340 0 ) ( 1592750 1700340 )
-    NEW met1 ( 1607010 31110 ) ( 2149810 31110 )
-    NEW met2 ( 2149810 2380 0 ) ( 2149810 31110 )
-    NEW met2 ( 1592290 1571140 ) ( 1592750 1571140 )
-    NEW met2 ( 1592290 1571140 ) ( 1592290 1592220 )
-    NEW met3 ( 1591140 1592220 ) ( 1592290 1592220 )
-    NEW met3 ( 1591140 1592220 ) ( 1591140 1593580 )
-    NEW met3 ( 1591140 1593580 ) ( 1592750 1593580 )
-    NEW met2 ( 1592750 31450 ) ( 1592750 1571140 )
-    NEW met2 ( 1592750 1593580 ) ( 1592750 1700340 )
-    NEW met1 ( 1592750 31450 ) M1M2_PR
-    NEW met1 ( 2149810 31110 ) M1M2_PR
-    NEW met2 ( 1592290 1592220 ) via2_FR
-    NEW met2 ( 1592750 1593580 ) via2_FR
+  + ROUTED met2 ( 2136470 2380 0 ) ( 2136470 31450 )
+    NEW met1 ( 1591830 1684190 ) ( 1593210 1684190 )
+    NEW met2 ( 1591830 1684190 ) ( 1591830 1700340 0 )
+    NEW met2 ( 1593210 31450 ) ( 1593210 1684190 )
+    NEW met1 ( 1593210 31450 ) ( 2136470 31450 )
+    NEW met1 ( 2136470 31450 ) M1M2_PR
+    NEW met1 ( 1593210 31450 ) M1M2_PR
+    NEW met1 ( 1593210 1684190 ) M1M2_PR
+    NEW met1 ( 1591830 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) 
-  + ROUTED li1 ( 1608390 34850 ) ( 1608390 35870 )
-    NEW met1 ( 1599190 35870 ) ( 1608390 35870 )
-    NEW met1 ( 1595510 1684530 ) ( 1599190 1684530 )
-    NEW met2 ( 1595510 1684530 ) ( 1595510 1700340 0 )
-    NEW met2 ( 1656230 30260 ) ( 1656230 34850 )
-    NEW met1 ( 1608390 34850 ) ( 1656230 34850 )
-    NEW met2 ( 1849890 30260 ) ( 1849890 30770 )
-    NEW met3 ( 1656230 30260 ) ( 1849890 30260 )
-    NEW met1 ( 1849890 30770 ) ( 2167750 30770 )
-    NEW met2 ( 2167750 2380 0 ) ( 2167750 30770 )
-    NEW li1 ( 1599190 159290 ) ( 1599190 192610 )
-    NEW met2 ( 1599190 35870 ) ( 1599190 159290 )
-    NEW met2 ( 1599190 192610 ) ( 1599190 1684530 )
-    NEW li1 ( 1608390 35870 ) L1M1_PR_MR
-    NEW li1 ( 1608390 34850 ) L1M1_PR_MR
-    NEW met1 ( 1599190 35870 ) M1M2_PR
-    NEW met1 ( 1599190 1684530 ) M1M2_PR
-    NEW met1 ( 1595510 1684530 ) M1M2_PR
-    NEW met1 ( 1656230 34850 ) M1M2_PR
-    NEW met2 ( 1656230 30260 ) via2_FR
-    NEW met2 ( 1849890 30260 ) via2_FR
-    NEW met1 ( 1849890 30770 ) M1M2_PR
-    NEW met1 ( 2167750 30770 ) M1M2_PR
-    NEW li1 ( 1599190 159290 ) L1M1_PR_MR
-    NEW met1 ( 1599190 159290 ) M1M2_PR
-    NEW li1 ( 1599190 192610 ) L1M1_PR_MR
-    NEW met1 ( 1599190 192610 ) M1M2_PR
-    NEW met1 ( 1599190 159290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1599190 192610 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1869670 31110 ) ( 1869670 32980 )
+    NEW met2 ( 2154410 2380 0 ) ( 2154410 31110 )
+    NEW met3 ( 1802740 31620 ) ( 1802740 32980 )
+    NEW met3 ( 1802740 32980 ) ( 1869670 32980 )
+    NEW met1 ( 1869670 31110 ) ( 2154410 31110 )
+    NEW met1 ( 1599650 33830 ) ( 1607010 33830 )
+    NEW li1 ( 1607010 33830 ) ( 1607470 33830 )
+    NEW li1 ( 1607470 33830 ) ( 1607470 34510 )
+    NEW met1 ( 1595510 1684190 ) ( 1599650 1684190 )
+    NEW met2 ( 1595510 1684190 ) ( 1595510 1700340 0 )
+    NEW met2 ( 1656230 31620 ) ( 1656230 34510 )
+    NEW met1 ( 1607470 34510 ) ( 1656230 34510 )
+    NEW met3 ( 1656230 31620 ) ( 1802740 31620 )
+    NEW li1 ( 1599650 1256130 ) ( 1599650 1257490 )
+    NEW met2 ( 1599650 1257490 ) ( 1599650 1684190 )
+    NEW met2 ( 1599650 33830 ) ( 1599650 1256130 )
+    NEW met2 ( 1869670 32980 ) via2_FR
+    NEW met1 ( 1869670 31110 ) M1M2_PR
+    NEW met1 ( 2154410 31110 ) M1M2_PR
+    NEW met1 ( 1599650 33830 ) M1M2_PR
+    NEW li1 ( 1607010 33830 ) L1M1_PR_MR
+    NEW li1 ( 1607470 34510 ) L1M1_PR_MR
+    NEW met1 ( 1599650 1684190 ) M1M2_PR
+    NEW met1 ( 1595510 1684190 ) M1M2_PR
+    NEW met1 ( 1656230 34510 ) M1M2_PR
+    NEW met2 ( 1656230 31620 ) via2_FR
+    NEW li1 ( 1599650 1256130 ) L1M1_PR_MR
+    NEW met1 ( 1599650 1256130 ) M1M2_PR
+    NEW li1 ( 1599650 1257490 ) L1M1_PR_MR
+    NEW met1 ( 1599650 1257490 ) M1M2_PR
+    NEW met1 ( 1599650 1256130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1599650 1257490 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) 
-  + ROUTED met2 ( 1599190 1700340 0 ) ( 1599650 1700340 )
-    NEW met3 ( 1599650 30940 ) ( 2185230 30940 )
-    NEW met2 ( 2185230 2380 0 ) ( 2185230 30940 )
-    NEW met2 ( 1599650 30940 ) ( 1599650 1700340 )
-    NEW met2 ( 1599650 30940 ) via2_FR
-    NEW met2 ( 2185230 30940 ) via2_FR
+  + ROUTED met2 ( 1869210 30260 ) ( 1869210 31110 )
+    NEW met2 ( 1870590 30260 ) ( 1870590 30770 )
+    NEW met3 ( 1869210 30260 ) ( 1870590 30260 )
+    NEW met2 ( 2172350 2380 0 ) ( 2172350 30770 )
+    NEW met2 ( 1607930 30260 ) ( 1607930 34850 )
+    NEW met2 ( 1848970 30260 ) ( 1848970 31110 )
+    NEW met1 ( 1848970 31110 ) ( 1869210 31110 )
+    NEW met1 ( 1870590 30770 ) ( 2172350 30770 )
+    NEW met1 ( 1600110 34170 ) ( 1606550 34170 )
+    NEW li1 ( 1606550 34170 ) ( 1606550 34850 )
+    NEW met1 ( 1606550 34850 ) ( 1607930 34850 )
+    NEW met2 ( 1599190 1700340 0 ) ( 1600110 1700340 )
+    NEW met3 ( 1607930 30260 ) ( 1848970 30260 )
+    NEW met2 ( 1600110 34170 ) ( 1600110 1700340 )
+    NEW met1 ( 1869210 31110 ) M1M2_PR
+    NEW met2 ( 1869210 30260 ) via2_FR
+    NEW met2 ( 1870590 30260 ) via2_FR
+    NEW met1 ( 1870590 30770 ) M1M2_PR
+    NEW met1 ( 2172350 30770 ) M1M2_PR
+    NEW met1 ( 1607930 34850 ) M1M2_PR
+    NEW met2 ( 1607930 30260 ) via2_FR
+    NEW met2 ( 1848970 30260 ) via2_FR
+    NEW met1 ( 1848970 31110 ) M1M2_PR
+    NEW met1 ( 1600110 34170 ) M1M2_PR
+    NEW li1 ( 1606550 34170 ) L1M1_PR_MR
+    NEW li1 ( 1606550 34850 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) 
-  + ROUTED met2 ( 2203170 2380 0 ) ( 2203170 2890 )
-    NEW met2 ( 2202710 2890 ) ( 2203170 2890 )
-    NEW met2 ( 2202710 2890 ) ( 2202710 3060 )
-    NEW met2 ( 2201330 3060 ) ( 2202710 3060 )
-    NEW met2 ( 2201330 3060 ) ( 2201330 1549210 )
-    NEW met1 ( 1605630 1549210 ) ( 2201330 1549210 )
-    NEW met1 ( 1602870 1685210 ) ( 1605630 1685210 )
-    NEW met2 ( 1602870 1685210 ) ( 1602870 1700340 0 )
-    NEW met2 ( 1605630 1549210 ) ( 1605630 1685210 )
-    NEW met1 ( 2201330 1549210 ) M1M2_PR
-    NEW met1 ( 1605630 1549210 ) M1M2_PR
-    NEW met1 ( 1605630 1685210 ) M1M2_PR
-    NEW met1 ( 1602870 1685210 ) M1M2_PR
+  + ROUTED met2 ( 2189830 2380 0 ) ( 2189830 30940 )
+    NEW met2 ( 1606090 46580 ) ( 1607010 46580 )
+    NEW met2 ( 1606090 30940 ) ( 1606090 46580 )
+    NEW met1 ( 1602870 1684530 ) ( 1607010 1684530 )
+    NEW met2 ( 1602870 1684530 ) ( 1602870 1700340 0 )
+    NEW met2 ( 1607010 46580 ) ( 1607010 1684530 )
+    NEW met3 ( 1606090 30940 ) ( 2189830 30940 )
+    NEW met2 ( 2189830 30940 ) via2_FR
+    NEW met2 ( 1606090 30940 ) via2_FR
+    NEW met1 ( 1607010 1684530 ) M1M2_PR
+    NEW met1 ( 1602870 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) 
-  + ROUTED met2 ( 2221110 2380 0 ) ( 2221110 2890 )
-    NEW met1 ( 2215590 2890 ) ( 2221110 2890 )
-    NEW met2 ( 2215590 2890 ) ( 2215590 1535610 )
-    NEW met1 ( 1606090 1535610 ) ( 2215590 1535610 )
+  + ROUTED met2 ( 2207770 2380 0 ) ( 2207770 18190 )
+    NEW met1 ( 2201790 18190 ) ( 2207770 18190 )
+    NEW met2 ( 2201790 18190 ) ( 2201790 1549210 )
+    NEW met1 ( 1606090 1549210 ) ( 2201790 1549210 )
     NEW met2 ( 1606090 1700340 ) ( 1606550 1700340 0 )
-    NEW met2 ( 1606090 1535610 ) ( 1606090 1700340 )
-    NEW met1 ( 2221110 2890 ) M1M2_PR
-    NEW met1 ( 2215590 2890 ) M1M2_PR
-    NEW met1 ( 2215590 1535610 ) M1M2_PR
-    NEW met1 ( 1606090 1535610 ) M1M2_PR
+    NEW met2 ( 1606090 1549210 ) ( 1606090 1700340 )
+    NEW met1 ( 2207770 18190 ) M1M2_PR
+    NEW met1 ( 2201790 18190 ) M1M2_PR
+    NEW met1 ( 2201790 1549210 ) M1M2_PR
+    NEW met1 ( 1606090 1549210 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) 
-  + ROUTED met2 ( 775790 2380 0 ) ( 775790 2890 )
-    NEW met1 ( 775790 2890 ) ( 779470 2890 )
-    NEW met2 ( 779470 2890 ) ( 779470 1652910 )
-    NEW met1 ( 779470 1652910 ) ( 1308010 1652910 )
-    NEW met2 ( 1308010 1677900 ) ( 1308930 1677900 )
-    NEW met2 ( 1308930 1677900 ) ( 1308930 1700340 0 )
-    NEW met2 ( 1308010 1652910 ) ( 1308010 1677900 )
-    NEW met1 ( 775790 2890 ) M1M2_PR
-    NEW met1 ( 779470 2890 ) M1M2_PR
-    NEW met1 ( 779470 1652910 ) M1M2_PR
-    NEW met1 ( 1308010 1652910 ) M1M2_PR
+  + ROUTED met2 ( 1308930 1660050 ) ( 1308930 1700340 0 )
+    NEW li1 ( 772570 1642370 ) ( 772570 1660050 )
+    NEW met1 ( 772570 1660050 ) ( 1308930 1660050 )
+    NEW met2 ( 771190 61540 ) ( 771650 61540 )
+    NEW met2 ( 771650 61540 ) ( 771650 62220 )
+    NEW met2 ( 771650 62220 ) ( 772570 62220 )
+    NEW met2 ( 771190 2380 0 ) ( 771190 61540 )
+    NEW met2 ( 772570 62220 ) ( 772570 1642370 )
+    NEW met1 ( 1308930 1660050 ) M1M2_PR
+    NEW li1 ( 772570 1642370 ) L1M1_PR_MR
+    NEW met1 ( 772570 1642370 ) M1M2_PR
+    NEW li1 ( 772570 1660050 ) L1M1_PR_MR
+    NEW met1 ( 772570 1642370 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) 
-  + ROUTED met2 ( 2239050 2380 0 ) ( 2239050 2890 )
-    NEW met1 ( 2235830 2890 ) ( 2239050 2890 )
-    NEW met2 ( 1610230 1646110 ) ( 1610230 1700340 0 )
-    NEW met1 ( 1610230 1646110 ) ( 2235830 1646110 )
-    NEW met2 ( 2235830 2890 ) ( 2235830 1646110 )
-    NEW met1 ( 2239050 2890 ) M1M2_PR
-    NEW met1 ( 2235830 2890 ) M1M2_PR
-    NEW met1 ( 2235830 1646110 ) M1M2_PR
-    NEW met1 ( 1610230 1646110 ) M1M2_PR
+  + ROUTED met2 ( 2225250 2380 0 ) ( 2225250 2890 )
+    NEW met1 ( 2222030 2890 ) ( 2225250 2890 )
+    NEW met2 ( 1611150 1677900 ) ( 1612530 1677900 )
+    NEW met2 ( 1611150 1677900 ) ( 1611150 1700340 )
+    NEW met2 ( 1610230 1700340 0 ) ( 1611150 1700340 )
+    NEW met2 ( 1612530 1535610 ) ( 1612530 1677900 )
+    NEW met2 ( 2222030 2890 ) ( 2222030 1535610 )
+    NEW met1 ( 1612530 1535610 ) ( 2222030 1535610 )
+    NEW met1 ( 2225250 2890 ) M1M2_PR
+    NEW met1 ( 2222030 2890 ) M1M2_PR
+    NEW met1 ( 2222030 1535610 ) M1M2_PR
+    NEW met1 ( 1612530 1535610 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) 
-  + ROUTED met2 ( 1612530 1677900 ) ( 1612990 1677900 )
-    NEW met2 ( 1612990 1677900 ) ( 1612990 1700340 )
+  + ROUTED met2 ( 2243190 2380 0 ) ( 2243190 7820 )
+    NEW met2 ( 2242730 7820 ) ( 2243190 7820 )
     NEW met2 ( 1612990 1700340 ) ( 1613910 1700340 0 )
-    NEW met2 ( 1612530 1521670 ) ( 1612530 1677900 )
-    NEW met1 ( 1612530 1521670 ) ( 2256530 1521670 )
-    NEW met2 ( 2256530 2380 0 ) ( 2256530 1521670 )
-    NEW met1 ( 2256530 1521670 ) M1M2_PR
-    NEW met1 ( 1612530 1521670 ) M1M2_PR
+    NEW met2 ( 1612990 1521670 ) ( 1612990 1700340 )
+    NEW met1 ( 1612990 1521670 ) ( 2242730 1521670 )
+    NEW met2 ( 2242730 7820 ) ( 2242730 1521670 )
+    NEW met1 ( 2242730 1521670 ) M1M2_PR
+    NEW met1 ( 1612990 1521670 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) 
-  + ROUTED met2 ( 2274470 2380 0 ) ( 2274470 2890 )
-    NEW met1 ( 2270330 2890 ) ( 2274470 2890 )
-    NEW met2 ( 1617590 1680110 ) ( 1617590 1700340 0 )
-    NEW met1 ( 1617590 1680110 ) ( 2270330 1680110 )
-    NEW met2 ( 2270330 2890 ) ( 2270330 1680110 )
-    NEW met1 ( 2274470 2890 ) M1M2_PR
-    NEW met1 ( 2270330 2890 ) M1M2_PR
-    NEW met1 ( 2270330 1680110 ) M1M2_PR
-    NEW met1 ( 1617590 1680110 ) M1M2_PR
+  + ROUTED met2 ( 2260670 2380 0 ) ( 2260670 2890 )
+    NEW met1 ( 2256530 2890 ) ( 2260670 2890 )
+    NEW met2 ( 1617590 1700340 0 ) ( 1618510 1700340 )
+    NEW met1 ( 1618510 1507730 ) ( 2256530 1507730 )
+    NEW met2 ( 2256530 2890 ) ( 2256530 1507730 )
+    NEW met1 ( 1618510 1545810 ) ( 1618970 1545810 )
+    NEW met2 ( 1618510 1507730 ) ( 1618510 1545810 )
+    NEW met2 ( 1618510 1666340 ) ( 1618970 1666340 )
+    NEW met2 ( 1618510 1666340 ) ( 1618510 1700340 )
+    NEW met2 ( 1618970 1545810 ) ( 1618970 1666340 )
+    NEW met1 ( 2260670 2890 ) M1M2_PR
+    NEW met1 ( 2256530 2890 ) M1M2_PR
+    NEW met1 ( 2256530 1507730 ) M1M2_PR
+    NEW met1 ( 1618510 1507730 ) M1M2_PR
+    NEW met1 ( 1618510 1545810 ) M1M2_PR
+    NEW met1 ( 1618970 1545810 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) 
-  + ROUTED met2 ( 2292410 2380 0 ) ( 2292410 2890 )
-    NEW met2 ( 2291950 2890 ) ( 2292410 2890 )
-    NEW met2 ( 2291950 2890 ) ( 2291950 3060 )
-    NEW met2 ( 2291030 3060 ) ( 2291950 3060 )
-    NEW met2 ( 1618970 1664980 ) ( 1619430 1664980 )
-    NEW met2 ( 1618970 1664980 ) ( 1618970 1684190 )
-    NEW met1 ( 1618970 1684190 ) ( 1621270 1684190 )
-    NEW met2 ( 1621270 1684190 ) ( 1621270 1700340 0 )
-    NEW met2 ( 1619430 1507730 ) ( 1619430 1664980 )
-    NEW met2 ( 2291030 3060 ) ( 2291030 1507730 )
-    NEW met1 ( 1619430 1507730 ) ( 2291030 1507730 )
-    NEW met1 ( 2291030 1507730 ) M1M2_PR
-    NEW met1 ( 1619430 1507730 ) M1M2_PR
-    NEW met1 ( 1618970 1684190 ) M1M2_PR
-    NEW met1 ( 1621270 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2278610 2380 0 ) ( 2278610 3060 )
+    NEW met2 ( 2277230 3060 ) ( 2278610 3060 )
+    NEW met1 ( 1619890 1671270 ) ( 1620350 1671270 )
+    NEW met1 ( 1620350 1671270 ) ( 1620350 1672290 )
+    NEW met2 ( 1620350 1672290 ) ( 1620350 1700340 )
+    NEW met2 ( 1620350 1700340 ) ( 1621270 1700340 0 )
+    NEW met2 ( 1619890 1500930 ) ( 1619890 1671270 )
+    NEW met1 ( 1619890 1500930 ) ( 2277230 1500930 )
+    NEW met2 ( 2277230 3060 ) ( 2277230 1500930 )
+    NEW met1 ( 2277230 1500930 ) M1M2_PR
+    NEW met1 ( 1619890 1500930 ) M1M2_PR
+    NEW met1 ( 1619890 1671270 ) M1M2_PR
+    NEW met1 ( 1620350 1672290 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) 
-  + ROUTED met2 ( 2310350 2380 0 ) ( 2310350 2890 )
-    NEW met1 ( 2304830 2890 ) ( 2310350 2890 )
-    NEW met2 ( 1624950 1676540 ) ( 1626330 1676540 )
-    NEW met2 ( 1624950 1676540 ) ( 1624950 1700340 0 )
-    NEW met2 ( 1626330 1500930 ) ( 1626330 1676540 )
-    NEW met2 ( 2304830 2890 ) ( 2304830 1500930 )
-    NEW met1 ( 1626330 1500930 ) ( 2304830 1500930 )
-    NEW met1 ( 2310350 2890 ) M1M2_PR
-    NEW met1 ( 2304830 2890 ) M1M2_PR
-    NEW met1 ( 2304830 1500930 ) M1M2_PR
-    NEW met1 ( 1626330 1500930 ) M1M2_PR
+  + ROUTED met2 ( 2296090 2380 0 ) ( 2296090 2890 )
+    NEW met1 ( 2291030 2890 ) ( 2296090 2890 )
+    NEW met2 ( 1625870 1662940 ) ( 1626330 1662940 )
+    NEW met2 ( 1625870 1662940 ) ( 1625870 1700340 )
+    NEW met2 ( 1624950 1700340 0 ) ( 1625870 1700340 )
+    NEW met2 ( 1626330 1487330 ) ( 1626330 1662940 )
+    NEW met2 ( 2291030 2890 ) ( 2291030 1487330 )
+    NEW met1 ( 1626330 1487330 ) ( 2291030 1487330 )
+    NEW met1 ( 2296090 2890 ) M1M2_PR
+    NEW met1 ( 2291030 2890 ) M1M2_PR
+    NEW met1 ( 2291030 1487330 ) M1M2_PR
+    NEW met1 ( 1626330 1487330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) 
-  + ROUTED met2 ( 2328290 2380 0 ) ( 2328290 2890 )
-    NEW met1 ( 2325530 2890 ) ( 2328290 2890 )
-    NEW met1 ( 1628630 1684190 ) ( 1632770 1684190 )
-    NEW met2 ( 1628630 1684190 ) ( 1628630 1700340 0 )
-    NEW met2 ( 1632770 1632510 ) ( 1632770 1684190 )
-    NEW met2 ( 2325530 2890 ) ( 2325530 1632510 )
-    NEW met1 ( 1632770 1632510 ) ( 2325530 1632510 )
-    NEW met1 ( 2328290 2890 ) M1M2_PR
-    NEW met1 ( 2325530 2890 ) M1M2_PR
-    NEW met1 ( 2325530 1632510 ) M1M2_PR
-    NEW met1 ( 1632770 1632510 ) M1M2_PR
-    NEW met1 ( 1632770 1684190 ) M1M2_PR
-    NEW met1 ( 1628630 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2314030 2380 0 ) ( 2314030 2890 )
+    NEW met1 ( 2311730 2890 ) ( 2314030 2890 )
+    NEW met2 ( 1628630 1700340 0 ) ( 1629550 1700340 )
+    NEW met2 ( 2311730 2890 ) ( 2311730 1652570 )
+    NEW met2 ( 1629550 1652910 ) ( 1629550 1700340 )
+    NEW met1 ( 1732130 1652570 ) ( 1732130 1652910 )
+    NEW li1 ( 1732130 1652570 ) ( 1732130 1652910 )
+    NEW li1 ( 1732130 1652570 ) ( 1733050 1652570 )
+    NEW met1 ( 1733050 1652570 ) ( 2311730 1652570 )
+    NEW li1 ( 1683830 1651890 ) ( 1683830 1652910 )
+    NEW met1 ( 1683830 1651890 ) ( 1731670 1651890 )
+    NEW li1 ( 1731670 1651890 ) ( 1731670 1652570 )
+    NEW met1 ( 1629550 1652910 ) ( 1683830 1652910 )
+    NEW met1 ( 1731670 1652570 ) ( 1732130 1652570 )
+    NEW met1 ( 2314030 2890 ) M1M2_PR
+    NEW met1 ( 2311730 2890 ) M1M2_PR
+    NEW met1 ( 2311730 1652570 ) M1M2_PR
+    NEW met1 ( 1629550 1652910 ) M1M2_PR
+    NEW li1 ( 1732130 1652910 ) L1M1_PR_MR
+    NEW li1 ( 1733050 1652570 ) L1M1_PR_MR
+    NEW li1 ( 1683830 1652910 ) L1M1_PR_MR
+    NEW li1 ( 1683830 1651890 ) L1M1_PR_MR
+    NEW li1 ( 1731670 1651890 ) L1M1_PR_MR
+    NEW li1 ( 1731670 1652570 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) 
-  + ROUTED met1 ( 1632310 1684530 ) ( 1634150 1684530 )
-    NEW met2 ( 1632310 1684530 ) ( 1632310 1700340 0 )
-    NEW met2 ( 1634150 38930 ) ( 1634150 1684530 )
-    NEW li1 ( 2284590 34170 ) ( 2284590 38930 )
-    NEW met1 ( 1634150 38930 ) ( 2284590 38930 )
-    NEW met1 ( 2284590 34170 ) ( 2345770 34170 )
-    NEW met2 ( 2345770 2380 0 ) ( 2345770 34170 )
-    NEW met1 ( 1634150 38930 ) M1M2_PR
-    NEW met1 ( 1634150 1684530 ) M1M2_PR
-    NEW met1 ( 1632310 1684530 ) M1M2_PR
-    NEW li1 ( 2284590 38930 ) L1M1_PR_MR
-    NEW li1 ( 2284590 34170 ) L1M1_PR_MR
-    NEW met1 ( 2345770 34170 ) M1M2_PR
+  + ROUTED met2 ( 2331510 2380 0 ) ( 2331510 17510 )
+    NEW met1 ( 2325530 17510 ) ( 2331510 17510 )
+    NEW met2 ( 1631390 1631660 ) ( 1633230 1631660 )
+    NEW met2 ( 1633230 1473390 ) ( 1633230 1631660 )
+    NEW met2 ( 1631390 1700340 ) ( 1632310 1700340 0 )
+    NEW met2 ( 1631390 1631660 ) ( 1631390 1700340 )
+    NEW met2 ( 2325530 17510 ) ( 2325530 1473390 )
+    NEW met1 ( 1633230 1473390 ) ( 2325530 1473390 )
+    NEW met1 ( 2331510 17510 ) M1M2_PR
+    NEW met1 ( 2325530 17510 ) M1M2_PR
+    NEW met1 ( 2325530 1473390 ) M1M2_PR
+    NEW met1 ( 1633230 1473390 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) 
-  + ROUTED met1 ( 1636910 1677730 ) ( 1641050 1677730 )
-    NEW met2 ( 1636910 1677730 ) ( 1636910 1700340 )
-    NEW met2 ( 1635990 1700340 0 ) ( 1636910 1700340 )
-    NEW li1 ( 2284130 33830 ) ( 2284130 38590 )
-    NEW met1 ( 1641050 38590 ) ( 2284130 38590 )
-    NEW met1 ( 2284130 33830 ) ( 2363710 33830 )
-    NEW met2 ( 2363710 2380 0 ) ( 2363710 33830 )
-    NEW met2 ( 1641050 38590 ) ( 1641050 1677730 )
-    NEW met1 ( 1641050 38590 ) M1M2_PR
-    NEW met1 ( 1641050 1677730 ) M1M2_PR
-    NEW met1 ( 1636910 1677730 ) M1M2_PR
-    NEW li1 ( 2284130 38590 ) L1M1_PR_MR
-    NEW li1 ( 2284130 33830 ) L1M1_PR_MR
-    NEW met1 ( 2363710 33830 ) M1M2_PR
+  + ROUTED met2 ( 2349450 2380 0 ) ( 2349450 34170 )
+    NEW met1 ( 2318630 34170 ) ( 2349450 34170 )
+    NEW li1 ( 2304830 38590 ) ( 2304830 42330 )
+    NEW met1 ( 2304830 42330 ) ( 2318630 42330 )
+    NEW li1 ( 2318630 34170 ) ( 2318630 42330 )
+    NEW met1 ( 1635990 1684530 ) ( 1640590 1684530 )
+    NEW met2 ( 1635990 1684530 ) ( 1635990 1700340 0 )
+    NEW met2 ( 1640590 38590 ) ( 1640590 1684530 )
+    NEW met1 ( 1640590 38590 ) ( 2304830 38590 )
+    NEW met1 ( 2349450 34170 ) M1M2_PR
+    NEW li1 ( 2318630 34170 ) L1M1_PR_MR
+    NEW li1 ( 2304830 38590 ) L1M1_PR_MR
+    NEW li1 ( 2304830 42330 ) L1M1_PR_MR
+    NEW li1 ( 2318630 42330 ) L1M1_PR_MR
+    NEW met1 ( 1640590 38590 ) M1M2_PR
+    NEW met1 ( 1640590 1684530 ) M1M2_PR
+    NEW met1 ( 1635990 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) 
-  + ROUTED met2 ( 1639670 1700340 0 ) ( 1640590 1700340 )
-    NEW met2 ( 2381650 2380 0 ) ( 2381650 34510 )
-    NEW met1 ( 1640590 34510 ) ( 2381650 34510 )
-    NEW met1 ( 1640590 474810 ) ( 1640590 475490 )
-    NEW met2 ( 1640590 34510 ) ( 1640590 474810 )
-    NEW met2 ( 1640590 475490 ) ( 1640590 1700340 )
-    NEW met1 ( 1640590 34510 ) M1M2_PR
-    NEW met1 ( 2381650 34510 ) M1M2_PR
-    NEW met1 ( 1640590 474810 ) M1M2_PR
-    NEW met1 ( 1640590 475490 ) M1M2_PR
+  + ROUTED li1 ( 2353130 38930 ) ( 2353130 41990 )
+    NEW met1 ( 1639670 1684190 ) ( 1641050 1684190 )
+    NEW met2 ( 1639670 1684190 ) ( 1639670 1700340 0 )
+    NEW met2 ( 1641050 38930 ) ( 1641050 1684190 )
+    NEW met1 ( 1641050 38930 ) ( 2353130 38930 )
+    NEW met2 ( 2368310 35870 ) ( 2368310 41990 )
+    NEW met2 ( 2367390 35870 ) ( 2368310 35870 )
+    NEW met2 ( 2367390 2380 0 ) ( 2367390 35870 )
+    NEW met1 ( 2353130 41990 ) ( 2368310 41990 )
+    NEW li1 ( 2353130 38930 ) L1M1_PR_MR
+    NEW li1 ( 2353130 41990 ) L1M1_PR_MR
+    NEW met1 ( 1641050 38930 ) M1M2_PR
+    NEW met1 ( 1641050 1684190 ) M1M2_PR
+    NEW met1 ( 1639670 1684190 ) M1M2_PR
+    NEW met1 ( 2368310 41990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) 
-  + ROUTED met1 ( 1643350 1684530 ) ( 1647950 1684530 )
+  + ROUTED met2 ( 2384870 2380 0 ) ( 2384870 34510 )
+    NEW met1 ( 1643350 1684530 ) ( 1647950 1684530 )
     NEW met2 ( 1643350 1684530 ) ( 1643350 1700340 0 )
     NEW met2 ( 1647950 39270 ) ( 1647950 1684530 )
-    NEW met2 ( 2399590 2380 0 ) ( 2399590 34850 )
-    NEW li1 ( 1680150 34850 ) ( 1680150 39270 )
+    NEW li1 ( 1680150 34510 ) ( 1680150 39270 )
     NEW met1 ( 1647950 39270 ) ( 1680150 39270 )
-    NEW met1 ( 1680150 34850 ) ( 2399590 34850 )
+    NEW met1 ( 1680150 34510 ) ( 2384870 34510 )
+    NEW met1 ( 2384870 34510 ) M1M2_PR
     NEW met1 ( 1647950 39270 ) M1M2_PR
     NEW met1 ( 1647950 1684530 ) M1M2_PR
     NEW met1 ( 1643350 1684530 ) M1M2_PR
-    NEW met1 ( 2399590 34850 ) M1M2_PR
     NEW li1 ( 1680150 39270 ) L1M1_PR_MR
-    NEW li1 ( 1680150 34850 ) L1M1_PR_MR
+    NEW li1 ( 1680150 34510 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) 
-  + ROUTED met1 ( 1236250 1535610 ) ( 1236250 1535950 )
-    NEW met1 ( 1236250 1535950 ) ( 1237170 1535950 )
-    NEW met1 ( 1237170 1535610 ) ( 1237170 1535950 )
-    NEW met1 ( 800170 1535610 ) ( 1236250 1535610 )
-    NEW met1 ( 1237170 1535610 ) ( 1313070 1535610 )
-    NEW met1 ( 793730 18190 ) ( 800170 18190 )
-    NEW met2 ( 793730 2380 0 ) ( 793730 18190 )
-    NEW met2 ( 800170 18190 ) ( 800170 1535610 )
+  + ROUTED met2 ( 789130 2380 0 ) ( 789130 2890 )
+    NEW met1 ( 789130 2890 ) ( 793270 2890 )
+    NEW met1 ( 793270 1549210 ) ( 1313070 1549210 )
+    NEW met2 ( 793270 2890 ) ( 793270 1549210 )
     NEW met2 ( 1312610 1700340 0 ) ( 1313070 1700340 )
-    NEW met2 ( 1313070 1535610 ) ( 1313070 1700340 )
-    NEW met1 ( 800170 1535610 ) M1M2_PR
-    NEW met1 ( 1313070 1535610 ) M1M2_PR
-    NEW met1 ( 793730 18190 ) M1M2_PR
-    NEW met1 ( 800170 18190 ) M1M2_PR
+    NEW met2 ( 1313070 1549210 ) ( 1313070 1700340 )
+    NEW met1 ( 789130 2890 ) M1M2_PR
+    NEW met1 ( 793270 2890 ) M1M2_PR
+    NEW met1 ( 793270 1549210 ) M1M2_PR
+    NEW met1 ( 1313070 1549210 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) 
-  + ROUTED met2 ( 639170 2380 0 ) ( 639170 17510 )
-    NEW met1 ( 639170 17510 ) ( 641470 17510 )
-    NEW met2 ( 641470 17510 ) ( 641470 1521330 )
-    NEW met1 ( 641470 1521330 ) ( 1277650 1521330 )
+  + ROUTED met1 ( 641470 1535610 ) ( 1277650 1535610 )
+    NEW met1 ( 635030 17510 ) ( 641470 17510 )
+    NEW met2 ( 635030 2380 0 ) ( 635030 17510 )
+    NEW met2 ( 641470 17510 ) ( 641470 1535610 )
     NEW met2 ( 1277650 1678580 ) ( 1280410 1678580 )
     NEW met2 ( 1280410 1678580 ) ( 1280410 1700340 )
     NEW met2 ( 1280410 1700340 ) ( 1280870 1700340 0 )
-    NEW met2 ( 1277650 1521330 ) ( 1277650 1678580 )
-    NEW met1 ( 639170 17510 ) M1M2_PR
+    NEW met2 ( 1277650 1535610 ) ( 1277650 1678580 )
+    NEW met1 ( 1277650 1535610 ) M1M2_PR
+    NEW met1 ( 641470 1535610 ) M1M2_PR
+    NEW met1 ( 635030 17510 ) M1M2_PR
     NEW met1 ( 641470 17510 ) M1M2_PR
-    NEW met1 ( 641470 1521330 ) M1M2_PR
-    NEW met1 ( 1277650 1521330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) 
-  + ROUTED met2 ( 1648410 35870 ) ( 1648410 1700340 0 )
-    NEW met2 ( 2423050 2380 0 ) ( 2423050 35870 )
-    NEW met2 ( 2366930 35700 ) ( 2366930 35870 )
-    NEW met3 ( 2366930 35700 ) ( 2368770 35700 )
-    NEW met2 ( 2368770 35700 ) ( 2368770 35870 )
-    NEW met1 ( 1648410 35870 ) ( 2366930 35870 )
-    NEW met1 ( 2368770 35870 ) ( 2423050 35870 )
-    NEW met1 ( 1648410 35870 ) M1M2_PR
-    NEW met1 ( 2423050 35870 ) M1M2_PR
-    NEW met1 ( 2366930 35870 ) M1M2_PR
-    NEW met2 ( 2366930 35700 ) via2_FR
-    NEW met2 ( 2368770 35700 ) via2_FR
-    NEW met1 ( 2368770 35870 ) M1M2_PR
+  + ROUTED met2 ( 2408790 2380 0 ) ( 2408790 34850 )
+    NEW met2 ( 1648410 34850 ) ( 1648410 1700340 0 )
+    NEW met1 ( 1648410 34850 ) ( 2408790 34850 )
+    NEW met1 ( 2408790 34850 ) M1M2_PR
+    NEW met1 ( 1648410 34850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) 
-  + ROUTED met2 ( 1653470 62220 ) ( 1653930 62220 )
-    NEW met2 ( 1653470 206380 ) ( 1653930 206380 )
-    NEW met2 ( 1653470 1631660 ) ( 1653930 1631660 )
-    NEW met2 ( 1653470 36210 ) ( 1653470 62220 )
-    NEW met2 ( 1653930 62220 ) ( 1653930 206380 )
-    NEW met2 ( 1653470 207060 ) ( 1653930 207060 )
-    NEW met2 ( 1653470 206380 ) ( 1653470 207060 )
-    NEW met1 ( 1652090 1684870 ) ( 1653470 1684870 )
-    NEW met2 ( 1652090 1684870 ) ( 1652090 1700340 0 )
-    NEW met2 ( 1653470 1631660 ) ( 1653470 1684870 )
-    NEW met2 ( 2440990 2380 0 ) ( 2440990 36210 )
-    NEW li1 ( 1653930 313990 ) ( 1653930 337790 )
-    NEW met2 ( 1653930 207060 ) ( 1653930 313990 )
-    NEW met3 ( 1653010 386580 ) ( 1653930 386580 )
-    NEW met2 ( 1653010 386580 ) ( 1653010 434690 )
-    NEW met1 ( 1653010 434690 ) ( 1653930 434690 )
-    NEW met2 ( 1653930 337790 ) ( 1653930 386580 )
+  + ROUTED met2 ( 1653470 206380 ) ( 1653930 206380 )
+    NEW met2 ( 1653470 448460 ) ( 1653930 448460 )
+    NEW met2 ( 1653470 1317500 ) ( 1653930 1317500 )
+    NEW met1 ( 1653470 96730 ) ( 1653930 96730 )
+    NEW met1 ( 1652550 289850 ) ( 1653010 289850 )
+    NEW met2 ( 1652550 289850 ) ( 1652550 337620 )
+    NEW met3 ( 1652550 337620 ) ( 1653470 337620 )
+    NEW met3 ( 1652090 386580 ) ( 1653010 386580 )
+    NEW met2 ( 1652090 386580 ) ( 1652090 434690 )
+    NEW met1 ( 1652090 434690 ) ( 1653470 434690 )
+    NEW met2 ( 1653470 434690 ) ( 1653470 448460 )
     NEW met3 ( 1653010 483140 ) ( 1653930 483140 )
     NEW met2 ( 1653010 483140 ) ( 1653010 531250 )
     NEW met1 ( 1653010 531250 ) ( 1653930 531250 )
-    NEW met2 ( 1653930 434690 ) ( 1653930 483140 )
-    NEW met3 ( 1653010 579700 ) ( 1653930 579700 )
-    NEW met2 ( 1653010 579700 ) ( 1653010 627810 )
-    NEW met1 ( 1653010 627810 ) ( 1653930 627810 )
-    NEW met2 ( 1653930 531250 ) ( 1653930 579700 )
-    NEW met3 ( 1653010 676260 ) ( 1653930 676260 )
-    NEW met2 ( 1653010 676260 ) ( 1653010 724370 )
-    NEW met1 ( 1653010 724370 ) ( 1653930 724370 )
-    NEW met2 ( 1653930 627810 ) ( 1653930 676260 )
-    NEW met3 ( 1653010 772820 ) ( 1653930 772820 )
-    NEW met2 ( 1653010 772820 ) ( 1653010 820930 )
-    NEW met1 ( 1653010 820930 ) ( 1653930 820930 )
-    NEW met2 ( 1653930 724370 ) ( 1653930 772820 )
-    NEW met1 ( 1653010 869550 ) ( 1653930 869550 )
-    NEW met2 ( 1653010 869550 ) ( 1653010 917660 )
-    NEW met3 ( 1653010 917660 ) ( 1653930 917660 )
-    NEW met2 ( 1653930 820930 ) ( 1653930 869550 )
-    NEW met1 ( 1653010 966110 ) ( 1653930 966110 )
-    NEW met2 ( 1653010 966110 ) ( 1653010 1014220 )
-    NEW met3 ( 1653010 1014220 ) ( 1653930 1014220 )
-    NEW met2 ( 1653930 917660 ) ( 1653930 966110 )
-    NEW met1 ( 1653010 1062670 ) ( 1653930 1062670 )
-    NEW met2 ( 1653010 1062670 ) ( 1653010 1110780 )
-    NEW met3 ( 1653010 1110780 ) ( 1653930 1110780 )
-    NEW met2 ( 1653930 1014220 ) ( 1653930 1062670 )
-    NEW met1 ( 1653010 1159230 ) ( 1653930 1159230 )
-    NEW met2 ( 1653010 1159230 ) ( 1653010 1207340 )
-    NEW met3 ( 1653010 1207340 ) ( 1653930 1207340 )
-    NEW met2 ( 1653930 1110780 ) ( 1653930 1159230 )
-    NEW li1 ( 1653930 1256130 ) ( 1653930 1304070 )
-    NEW met2 ( 1653930 1207340 ) ( 1653930 1256130 )
-    NEW li1 ( 1653930 1352690 ) ( 1653930 1400290 )
-    NEW met2 ( 1653930 1304070 ) ( 1653930 1352690 )
-    NEW met2 ( 1653470 1545980 ) ( 1653930 1545980 )
-    NEW met2 ( 1653930 1545980 ) ( 1653930 1546150 )
-    NEW met1 ( 1653470 1546150 ) ( 1653930 1546150 )
-    NEW li1 ( 1653470 1546150 ) ( 1653470 1559750 )
-    NEW met2 ( 1653470 1559750 ) ( 1653470 1593580 )
-    NEW met2 ( 1653470 1593580 ) ( 1653930 1593580 )
-    NEW met2 ( 1653930 1593580 ) ( 1653930 1631660 )
-    NEW met1 ( 1653470 1510790 ) ( 1653470 1511130 )
-    NEW met1 ( 1653470 1510790 ) ( 1653930 1510790 )
-    NEW met2 ( 1653470 1511130 ) ( 1653470 1545980 )
-    NEW li1 ( 2367390 36210 ) ( 2368310 36210 )
-    NEW met1 ( 1653470 36210 ) ( 2367390 36210 )
-    NEW met1 ( 2368310 36210 ) ( 2440990 36210 )
-    NEW met1 ( 1653930 1462510 ) ( 1653930 1462850 )
-    NEW met1 ( 1653470 1462850 ) ( 1653930 1462850 )
-    NEW met2 ( 1653470 1462850 ) ( 1653470 1490220 )
-    NEW met2 ( 1653470 1490220 ) ( 1653930 1490220 )
-    NEW met2 ( 1653930 1400290 ) ( 1653930 1462510 )
-    NEW met2 ( 1653930 1490220 ) ( 1653930 1510790 )
-    NEW met1 ( 1653470 36210 ) M1M2_PR
-    NEW met1 ( 1653470 1684870 ) M1M2_PR
-    NEW met1 ( 1652090 1684870 ) M1M2_PR
-    NEW met1 ( 2440990 36210 ) M1M2_PR
-    NEW li1 ( 1653930 313990 ) L1M1_PR_MR
-    NEW met1 ( 1653930 313990 ) M1M2_PR
-    NEW li1 ( 1653930 337790 ) L1M1_PR_MR
-    NEW met1 ( 1653930 337790 ) M1M2_PR
-    NEW met2 ( 1653930 386580 ) via2_FR
+    NEW met2 ( 1653930 448460 ) ( 1653930 483140 )
+    NEW met1 ( 1652550 627810 ) ( 1653930 627810 )
+    NEW met2 ( 1653930 531250 ) ( 1653930 627810 )
+    NEW met1 ( 1652550 689690 ) ( 1652550 690030 )
+    NEW met1 ( 1652550 689690 ) ( 1653010 689690 )
+    NEW met2 ( 1653470 1014220 ) ( 1653930 1014220 )
+    NEW met2 ( 1653930 1014050 ) ( 1653930 1014220 )
+    NEW li1 ( 1653930 978690 ) ( 1653930 1014050 )
+    NEW met1 ( 1652550 1075930 ) ( 1652550 1076610 )
+    NEW met1 ( 1652550 1075930 ) ( 1653010 1075930 )
+    NEW met3 ( 1652550 1207340 ) ( 1653470 1207340 )
+    NEW met2 ( 1653470 1159230 ) ( 1653470 1207340 )
+    NEW met1 ( 1653010 1159230 ) ( 1653470 1159230 )
+    NEW met2 ( 1653470 1376660 ) ( 1653930 1376660 )
+    NEW met2 ( 1653930 1317500 ) ( 1653930 1376660 )
+    NEW met1 ( 1653010 1449250 ) ( 1653930 1449250 )
+    NEW met2 ( 1653930 1449250 ) ( 1653930 1463020 )
+    NEW met2 ( 1653470 1463020 ) ( 1653930 1463020 )
+    NEW met2 ( 2415230 35700 ) ( 2415230 35870 )
+    NEW met3 ( 2415230 35700 ) ( 2426270 35700 )
+    NEW met2 ( 2426270 2380 0 ) ( 2426270 35700 )
+    NEW met2 ( 1653930 35870 ) ( 1653930 96730 )
+    NEW met2 ( 1653470 158780 ) ( 1653930 158780 )
+    NEW met2 ( 1653470 96730 ) ( 1653470 158780 )
+    NEW met2 ( 1653930 158780 ) ( 1653930 206380 )
+    NEW li1 ( 1653010 254830 ) ( 1653010 289170 )
+    NEW met1 ( 1653010 254830 ) ( 1653470 254830 )
+    NEW met2 ( 1653010 289170 ) ( 1653010 289850 )
+    NEW met2 ( 1653470 206380 ) ( 1653470 254830 )
+    NEW met2 ( 1653010 351220 ) ( 1653470 351220 )
+    NEW met2 ( 1653010 351220 ) ( 1653010 386580 )
+    NEW met2 ( 1653470 337620 ) ( 1653470 351220 )
+    NEW met2 ( 1652550 627980 ) ( 1653010 627980 )
+    NEW met3 ( 1653010 627980 ) ( 1653930 627980 )
+    NEW met2 ( 1653930 627980 ) ( 1653930 676090 )
+    NEW met1 ( 1653010 676090 ) ( 1653930 676090 )
+    NEW met2 ( 1652550 627810 ) ( 1652550 627980 )
+    NEW met2 ( 1653010 676090 ) ( 1653010 689690 )
+    NEW met3 ( 1652550 724540 ) ( 1653930 724540 )
+    NEW met2 ( 1653930 724540 ) ( 1653930 738820 )
+    NEW met2 ( 1653470 738820 ) ( 1653930 738820 )
+    NEW met2 ( 1652550 690030 ) ( 1652550 724540 )
+    NEW met2 ( 1653010 1038700 ) ( 1653930 1038700 )
+    NEW met2 ( 1653930 1027820 ) ( 1653930 1038700 )
+    NEW met2 ( 1653470 1027820 ) ( 1653930 1027820 )
+    NEW met2 ( 1653010 1038700 ) ( 1653010 1075930 )
+    NEW met2 ( 1653470 1014220 ) ( 1653470 1027820 )
+    NEW li1 ( 1652550 1110950 ) ( 1652550 1124890 )
+    NEW met2 ( 1652550 1124890 ) ( 1652550 1159060 )
+    NEW met2 ( 1652550 1159060 ) ( 1653010 1159060 )
+    NEW met2 ( 1652550 1076610 ) ( 1652550 1110950 )
+    NEW met2 ( 1653010 1159060 ) ( 1653010 1159230 )
+    NEW met2 ( 1652550 1220940 ) ( 1653470 1220940 )
+    NEW met2 ( 1652550 1207340 ) ( 1652550 1220940 )
+    NEW li1 ( 1653010 1413890 ) ( 1653010 1448570 )
+    NEW met2 ( 1653010 1401140 ) ( 1653010 1413890 )
+    NEW met2 ( 1653010 1401140 ) ( 1653470 1401140 )
+    NEW met2 ( 1653010 1448570 ) ( 1653010 1449250 )
+    NEW met2 ( 1653470 1376660 ) ( 1653470 1401140 )
+    NEW li1 ( 1653470 1497530 ) ( 1653470 1545470 )
+    NEW met2 ( 1653470 1463020 ) ( 1653470 1497530 )
+    NEW met2 ( 1652090 1618060 ) ( 1652550 1618060 )
+    NEW met2 ( 1652550 1606500 ) ( 1652550 1618060 )
+    NEW met2 ( 1652550 1606500 ) ( 1653470 1606500 )
+    NEW met2 ( 1653470 1545470 ) ( 1653470 1606500 )
+    NEW li1 ( 2367390 35870 ) ( 2368770 35870 )
+    NEW met1 ( 1653930 35870 ) ( 2367390 35870 )
+    NEW met1 ( 2368770 35870 ) ( 2415230 35870 )
+    NEW li1 ( 1652550 771970 ) ( 1652550 789990 )
+    NEW met1 ( 1652550 771970 ) ( 1653470 771970 )
+    NEW met2 ( 1653470 738820 ) ( 1653470 771970 )
+    NEW li1 ( 1653010 883150 ) ( 1653010 910690 )
+    NEW met1 ( 1653010 910690 ) ( 1653930 910690 )
+    NEW met2 ( 1653930 910690 ) ( 1653930 978690 )
+    NEW met1 ( 1653470 1269390 ) ( 1653470 1269730 )
+    NEW met1 ( 1653010 1269730 ) ( 1653470 1269730 )
+    NEW met2 ( 1653010 1269730 ) ( 1653010 1297100 )
+    NEW met2 ( 1653010 1297100 ) ( 1653470 1297100 )
+    NEW met2 ( 1653470 1220940 ) ( 1653470 1269390 )
+    NEW met2 ( 1653470 1297100 ) ( 1653470 1317500 )
+    NEW li1 ( 1652550 814470 ) ( 1652550 861730 )
+    NEW met1 ( 1652550 861730 ) ( 1653010 861730 )
+    NEW met2 ( 1652550 789990 ) ( 1652550 814470 )
+    NEW met2 ( 1653010 861730 ) ( 1653010 883150 )
+    NEW met1 ( 1651170 1684190 ) ( 1652090 1684190 )
+    NEW met2 ( 1651170 1684190 ) ( 1651170 1701020 )
+    NEW met2 ( 1651170 1701020 ) ( 1652090 1701020 0 )
+    NEW met2 ( 1652090 1618060 ) ( 1652090 1684190 )
+    NEW met1 ( 1653930 35870 ) M1M2_PR
+    NEW met1 ( 1653470 96730 ) M1M2_PR
+    NEW met1 ( 1653930 96730 ) M1M2_PR
+    NEW met1 ( 1653010 289850 ) M1M2_PR
+    NEW met1 ( 1652550 289850 ) M1M2_PR
+    NEW met2 ( 1652550 337620 ) via2_FR
+    NEW met2 ( 1653470 337620 ) via2_FR
     NEW met2 ( 1653010 386580 ) via2_FR
-    NEW met1 ( 1653010 434690 ) M1M2_PR
-    NEW met1 ( 1653930 434690 ) M1M2_PR
+    NEW met2 ( 1652090 386580 ) via2_FR
+    NEW met1 ( 1652090 434690 ) M1M2_PR
+    NEW met1 ( 1653470 434690 ) M1M2_PR
     NEW met2 ( 1653930 483140 ) via2_FR
     NEW met2 ( 1653010 483140 ) via2_FR
     NEW met1 ( 1653010 531250 ) M1M2_PR
     NEW met1 ( 1653930 531250 ) M1M2_PR
-    NEW met2 ( 1653930 579700 ) via2_FR
-    NEW met2 ( 1653010 579700 ) via2_FR
-    NEW met1 ( 1653010 627810 ) M1M2_PR
+    NEW met1 ( 1652550 627810 ) M1M2_PR
     NEW met1 ( 1653930 627810 ) M1M2_PR
-    NEW met2 ( 1653930 676260 ) via2_FR
-    NEW met2 ( 1653010 676260 ) via2_FR
-    NEW met1 ( 1653010 724370 ) M1M2_PR
-    NEW met1 ( 1653930 724370 ) M1M2_PR
-    NEW met2 ( 1653930 772820 ) via2_FR
-    NEW met2 ( 1653010 772820 ) via2_FR
-    NEW met1 ( 1653010 820930 ) M1M2_PR
-    NEW met1 ( 1653930 820930 ) M1M2_PR
-    NEW met1 ( 1653930 869550 ) M1M2_PR
-    NEW met1 ( 1653010 869550 ) M1M2_PR
-    NEW met2 ( 1653010 917660 ) via2_FR
-    NEW met2 ( 1653930 917660 ) via2_FR
-    NEW met1 ( 1653930 966110 ) M1M2_PR
-    NEW met1 ( 1653010 966110 ) M1M2_PR
-    NEW met2 ( 1653010 1014220 ) via2_FR
-    NEW met2 ( 1653930 1014220 ) via2_FR
-    NEW met1 ( 1653930 1062670 ) M1M2_PR
-    NEW met1 ( 1653010 1062670 ) M1M2_PR
-    NEW met2 ( 1653010 1110780 ) via2_FR
-    NEW met2 ( 1653930 1110780 ) via2_FR
-    NEW met1 ( 1653930 1159230 ) M1M2_PR
+    NEW met1 ( 1652550 690030 ) M1M2_PR
+    NEW met1 ( 1653010 689690 ) M1M2_PR
+    NEW li1 ( 1653930 1014050 ) L1M1_PR_MR
+    NEW met1 ( 1653930 1014050 ) M1M2_PR
+    NEW li1 ( 1653930 978690 ) L1M1_PR_MR
+    NEW met1 ( 1653930 978690 ) M1M2_PR
+    NEW met1 ( 1652550 1076610 ) M1M2_PR
+    NEW met1 ( 1653010 1075930 ) M1M2_PR
+    NEW met2 ( 1652550 1207340 ) via2_FR
+    NEW met2 ( 1653470 1207340 ) via2_FR
+    NEW met1 ( 1653470 1159230 ) M1M2_PR
     NEW met1 ( 1653010 1159230 ) M1M2_PR
-    NEW met2 ( 1653010 1207340 ) via2_FR
-    NEW met2 ( 1653930 1207340 ) via2_FR
-    NEW li1 ( 1653930 1256130 ) L1M1_PR_MR
-    NEW met1 ( 1653930 1256130 ) M1M2_PR
-    NEW li1 ( 1653930 1304070 ) L1M1_PR_MR
-    NEW met1 ( 1653930 1304070 ) M1M2_PR
-    NEW li1 ( 1653930 1352690 ) L1M1_PR_MR
-    NEW met1 ( 1653930 1352690 ) M1M2_PR
-    NEW li1 ( 1653930 1400290 ) L1M1_PR_MR
-    NEW met1 ( 1653930 1400290 ) M1M2_PR
-    NEW met1 ( 1653930 1546150 ) M1M2_PR
-    NEW li1 ( 1653470 1546150 ) L1M1_PR_MR
-    NEW li1 ( 1653470 1559750 ) L1M1_PR_MR
-    NEW met1 ( 1653470 1559750 ) M1M2_PR
-    NEW met1 ( 1653470 1511130 ) M1M2_PR
-    NEW met1 ( 1653930 1510790 ) M1M2_PR
-    NEW li1 ( 2367390 36210 ) L1M1_PR_MR
-    NEW li1 ( 2368310 36210 ) L1M1_PR_MR
-    NEW met1 ( 1653930 1462510 ) M1M2_PR
-    NEW met1 ( 1653470 1462850 ) M1M2_PR
-    NEW met1 ( 1653930 313990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653930 337790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653930 1256130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653930 1304070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653930 1352690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653930 1400290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1653470 1559750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653010 1449250 ) M1M2_PR
+    NEW met1 ( 1653930 1449250 ) M1M2_PR
+    NEW met1 ( 2415230 35870 ) M1M2_PR
+    NEW met2 ( 2415230 35700 ) via2_FR
+    NEW met2 ( 2426270 35700 ) via2_FR
+    NEW li1 ( 1653010 289170 ) L1M1_PR_MR
+    NEW met1 ( 1653010 289170 ) M1M2_PR
+    NEW li1 ( 1653010 254830 ) L1M1_PR_MR
+    NEW met1 ( 1653470 254830 ) M1M2_PR
+    NEW met2 ( 1653010 627980 ) via2_FR
+    NEW met2 ( 1653930 627980 ) via2_FR
+    NEW met1 ( 1653930 676090 ) M1M2_PR
+    NEW met1 ( 1653010 676090 ) M1M2_PR
+    NEW met2 ( 1652550 724540 ) via2_FR
+    NEW met2 ( 1653930 724540 ) via2_FR
+    NEW li1 ( 1652550 1110950 ) L1M1_PR_MR
+    NEW met1 ( 1652550 1110950 ) M1M2_PR
+    NEW li1 ( 1652550 1124890 ) L1M1_PR_MR
+    NEW met1 ( 1652550 1124890 ) M1M2_PR
+    NEW li1 ( 1653010 1448570 ) L1M1_PR_MR
+    NEW met1 ( 1653010 1448570 ) M1M2_PR
+    NEW li1 ( 1653010 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1653010 1413890 ) M1M2_PR
+    NEW li1 ( 1653470 1497530 ) L1M1_PR_MR
+    NEW met1 ( 1653470 1497530 ) M1M2_PR
+    NEW li1 ( 1653470 1545470 ) L1M1_PR_MR
+    NEW met1 ( 1653470 1545470 ) M1M2_PR
+    NEW li1 ( 2367390 35870 ) L1M1_PR_MR
+    NEW li1 ( 2368770 35870 ) L1M1_PR_MR
+    NEW li1 ( 1652550 789990 ) L1M1_PR_MR
+    NEW met1 ( 1652550 789990 ) M1M2_PR
+    NEW li1 ( 1652550 771970 ) L1M1_PR_MR
+    NEW met1 ( 1653470 771970 ) M1M2_PR
+    NEW li1 ( 1653010 883150 ) L1M1_PR_MR
+    NEW met1 ( 1653010 883150 ) M1M2_PR
+    NEW li1 ( 1653010 910690 ) L1M1_PR_MR
+    NEW met1 ( 1653930 910690 ) M1M2_PR
+    NEW met1 ( 1653470 1269390 ) M1M2_PR
+    NEW met1 ( 1653010 1269730 ) M1M2_PR
+    NEW li1 ( 1652550 814470 ) L1M1_PR_MR
+    NEW met1 ( 1652550 814470 ) M1M2_PR
+    NEW li1 ( 1652550 861730 ) L1M1_PR_MR
+    NEW met1 ( 1653010 861730 ) M1M2_PR
+    NEW met1 ( 1652090 1684190 ) M1M2_PR
+    NEW met1 ( 1651170 1684190 ) M1M2_PR
+    NEW met1 ( 1653930 1014050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653930 978690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653010 289170 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1652550 1110950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1652550 1124890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653010 1448570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653010 1413890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653470 1497530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653470 1545470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1652550 789990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1653010 883150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1652550 814470 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) 
-  + ROUTED met2 ( 1654850 54060 ) ( 1655310 54060 )
-    NEW met2 ( 1654850 36550 ) ( 1654850 54060 )
+  + ROUTED met2 ( 1654390 53380 ) ( 1655310 53380 )
+    NEW met2 ( 1654390 36210 ) ( 1654390 53380 )
     NEW met2 ( 1655310 1700340 ) ( 1655770 1700340 0 )
-    NEW met2 ( 2458930 2380 0 ) ( 2458930 36550 )
-    NEW met2 ( 1655310 54060 ) ( 1655310 1700340 )
-    NEW met1 ( 1654850 36550 ) ( 2458930 36550 )
-    NEW met1 ( 1654850 36550 ) M1M2_PR
-    NEW met1 ( 2458930 36550 ) M1M2_PR
+    NEW li1 ( 2415690 31790 ) ( 2415690 36210 )
+    NEW met1 ( 2415690 31790 ) ( 2444210 31790 )
+    NEW met2 ( 2444210 2380 0 ) ( 2444210 31790 )
+    NEW met2 ( 1655310 53380 ) ( 1655310 1700340 )
+    NEW li1 ( 2368310 36210 ) ( 2369230 36210 )
+    NEW met1 ( 1654390 36210 ) ( 2368310 36210 )
+    NEW met1 ( 2369230 36210 ) ( 2415690 36210 )
+    NEW met1 ( 1654390 36210 ) M1M2_PR
+    NEW li1 ( 2415690 36210 ) L1M1_PR_MR
+    NEW li1 ( 2415690 31790 ) L1M1_PR_MR
+    NEW met1 ( 2444210 31790 ) M1M2_PR
+    NEW li1 ( 2368310 36210 ) L1M1_PR_MR
+    NEW li1 ( 2369230 36210 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) 
-  + ROUTED met1 ( 1662670 39950 ) ( 1688430 39950 )
-    NEW met2 ( 1688430 37060 ) ( 1688430 39950 )
+  + ROUTED met1 ( 1662670 40290 ) ( 1694870 40290 )
+    NEW met2 ( 1694870 39780 ) ( 1694870 40290 )
+    NEW met3 ( 1694870 39780 ) ( 1704070 39780 )
+    NEW met2 ( 1704070 36550 ) ( 1704070 39780 )
     NEW met1 ( 1659450 1684530 ) ( 1662670 1684530 )
     NEW met2 ( 1659450 1684530 ) ( 1659450 1700340 0 )
-    NEW met2 ( 1662670 39950 ) ( 1662670 1684530 )
-    NEW met2 ( 2476870 2380 0 ) ( 2476870 36890 )
-    NEW met2 ( 1714650 36890 ) ( 1714650 37060 )
-    NEW met3 ( 1688430 37060 ) ( 1714650 37060 )
-    NEW li1 ( 2367390 36890 ) ( 2368310 36890 )
-    NEW met1 ( 2368310 36890 ) ( 2476870 36890 )
-    NEW li1 ( 1732130 36890 ) ( 1732590 36890 )
-    NEW met2 ( 1732590 36890 ) ( 1732590 37060 )
-    NEW met3 ( 1732590 37060 ) ( 1779970 37060 )
-    NEW met2 ( 1779970 36890 ) ( 1779970 37060 )
-    NEW met1 ( 1714650 36890 ) ( 1732130 36890 )
-    NEW li1 ( 2118530 34170 ) ( 2118530 36890 )
-    NEW met1 ( 2118530 34170 ) ( 2166370 34170 )
-    NEW li1 ( 2166370 34170 ) ( 2166370 36890 )
-    NEW met1 ( 1779970 36890 ) ( 2118530 36890 )
-    NEW li1 ( 2311730 33490 ) ( 2311730 36890 )
-    NEW met1 ( 2311730 33490 ) ( 2359570 33490 )
-    NEW li1 ( 2359570 33490 ) ( 2359570 36890 )
-    NEW met1 ( 2166370 36890 ) ( 2311730 36890 )
-    NEW met1 ( 2359570 36890 ) ( 2367390 36890 )
-    NEW met1 ( 1662670 39950 ) M1M2_PR
-    NEW met1 ( 1688430 39950 ) M1M2_PR
-    NEW met2 ( 1688430 37060 ) via2_FR
+    NEW met2 ( 1662670 40290 ) ( 1662670 1684530 )
+    NEW li1 ( 2415230 31110 ) ( 2415230 36550 )
+    NEW met1 ( 2415230 31110 ) ( 2461690 31110 )
+    NEW met2 ( 2461690 2380 0 ) ( 2461690 31110 )
+    NEW met1 ( 1704070 36550 ) ( 2415230 36550 )
+    NEW met1 ( 1662670 40290 ) M1M2_PR
+    NEW met1 ( 1694870 40290 ) M1M2_PR
+    NEW met2 ( 1694870 39780 ) via2_FR
+    NEW met2 ( 1704070 39780 ) via2_FR
+    NEW met1 ( 1704070 36550 ) M1M2_PR
     NEW met1 ( 1662670 1684530 ) M1M2_PR
     NEW met1 ( 1659450 1684530 ) M1M2_PR
-    NEW met1 ( 2476870 36890 ) M1M2_PR
-    NEW met2 ( 1714650 37060 ) via2_FR
-    NEW met1 ( 1714650 36890 ) M1M2_PR
-    NEW li1 ( 2367390 36890 ) L1M1_PR_MR
-    NEW li1 ( 2368310 36890 ) L1M1_PR_MR
-    NEW li1 ( 1732130 36890 ) L1M1_PR_MR
-    NEW li1 ( 1732590 36890 ) L1M1_PR_MR
-    NEW met1 ( 1732590 36890 ) M1M2_PR
-    NEW met2 ( 1732590 37060 ) via2_FR
-    NEW met2 ( 1779970 37060 ) via2_FR
-    NEW met1 ( 1779970 36890 ) M1M2_PR
-    NEW li1 ( 2118530 36890 ) L1M1_PR_MR
-    NEW li1 ( 2118530 34170 ) L1M1_PR_MR
-    NEW li1 ( 2166370 34170 ) L1M1_PR_MR
-    NEW li1 ( 2166370 36890 ) L1M1_PR_MR
-    NEW li1 ( 2311730 36890 ) L1M1_PR_MR
-    NEW li1 ( 2311730 33490 ) L1M1_PR_MR
-    NEW li1 ( 2359570 33490 ) L1M1_PR_MR
-    NEW li1 ( 2359570 36890 ) L1M1_PR_MR
-    NEW met1 ( 1732590 36890 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 2415230 36550 ) L1M1_PR_MR
+    NEW li1 ( 2415230 31110 ) L1M1_PR_MR
+    NEW met1 ( 2461690 31110 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) 
-  + ROUTED met2 ( 2494810 2380 0 ) ( 2494810 37230 )
-    NEW met1 ( 1669110 39610 ) ( 1695790 39610 )
-    NEW li1 ( 1695790 37570 ) ( 1695790 39610 )
-    NEW li1 ( 1695790 37570 ) ( 1702230 37570 )
-    NEW met1 ( 1702230 37570 ) ( 1703150 37570 )
-    NEW met1 ( 1703150 37230 ) ( 1703150 37570 )
-    NEW met1 ( 1663590 1677730 ) ( 1669110 1677730 )
-    NEW met2 ( 1663590 1677730 ) ( 1663590 1700340 )
-    NEW met2 ( 1663130 1700340 0 ) ( 1663590 1700340 )
-    NEW met2 ( 1669110 39610 ) ( 1669110 1677730 )
-    NEW li1 ( 1787330 37230 ) ( 1788250 37230 )
-    NEW met1 ( 1703150 37230 ) ( 1787330 37230 )
-    NEW met1 ( 1788250 37230 ) ( 2494810 37230 )
-    NEW met1 ( 2494810 37230 ) M1M2_PR
-    NEW met1 ( 1669110 39610 ) M1M2_PR
-    NEW li1 ( 1695790 39610 ) L1M1_PR_MR
-    NEW li1 ( 1702230 37570 ) L1M1_PR_MR
-    NEW met1 ( 1669110 1677730 ) M1M2_PR
-    NEW met1 ( 1663590 1677730 ) M1M2_PR
-    NEW li1 ( 1787330 37230 ) L1M1_PR_MR
-    NEW li1 ( 1788250 37230 ) L1M1_PR_MR
+  + ROUTED met2 ( 2479630 2380 0 ) ( 2479630 36890 )
+    NEW met1 ( 1663130 1631150 ) ( 1669570 1631150 )
+    NEW met2 ( 1669570 39610 ) ( 1669570 1631150 )
+    NEW met2 ( 1663130 1631150 ) ( 1663130 1700340 0 )
+    NEW li1 ( 2415690 36890 ) ( 2416610 36890 )
+    NEW met1 ( 2416610 36890 ) ( 2479630 36890 )
+    NEW met2 ( 1695790 39100 ) ( 1695790 39610 )
+    NEW met3 ( 1695790 39100 ) ( 1706370 39100 )
+    NEW met2 ( 1706370 36890 ) ( 1706370 39100 )
+    NEW met1 ( 1669570 39610 ) ( 1695790 39610 )
+    NEW li1 ( 2366930 34170 ) ( 2366930 36890 )
+    NEW met1 ( 2366930 34170 ) ( 2414770 34170 )
+    NEW li1 ( 2414770 34170 ) ( 2414770 36890 )
+    NEW met1 ( 2414770 36890 ) ( 2415690 36890 )
+    NEW met2 ( 1732130 36380 ) ( 1732130 36890 )
+    NEW met3 ( 1732130 36380 ) ( 1779970 36380 )
+    NEW met2 ( 1779970 36380 ) ( 1779970 36890 )
+    NEW met1 ( 1706370 36890 ) ( 1732130 36890 )
+    NEW met2 ( 1828730 36380 ) ( 1828730 36890 )
+    NEW met3 ( 1828730 36380 ) ( 1876110 36380 )
+    NEW met2 ( 1876110 36380 ) ( 1876110 36890 )
+    NEW li1 ( 1876110 36890 ) ( 1876570 36890 )
+    NEW met1 ( 1779970 36890 ) ( 1828730 36890 )
+    NEW met2 ( 1925330 36380 ) ( 1925330 36890 )
+    NEW met3 ( 1925330 36380 ) ( 1973170 36380 )
+    NEW met2 ( 1973170 36380 ) ( 1973170 36890 )
+    NEW met1 ( 1876570 36890 ) ( 1925330 36890 )
+    NEW li1 ( 2021930 36890 ) ( 2022390 36890 )
+    NEW met2 ( 2022390 36890 ) ( 2022390 37060 )
+    NEW met3 ( 2022390 37060 ) ( 2069770 37060 )
+    NEW met2 ( 2069770 36890 ) ( 2069770 37060 )
+    NEW met1 ( 1973170 36890 ) ( 2021930 36890 )
+    NEW li1 ( 2118530 33490 ) ( 2118530 36890 )
+    NEW met1 ( 2118530 33490 ) ( 2166370 33490 )
+    NEW li1 ( 2166370 33490 ) ( 2166370 36890 )
+    NEW met1 ( 2069770 36890 ) ( 2118530 36890 )
+    NEW met2 ( 2215130 36380 ) ( 2215130 36890 )
+    NEW met3 ( 2215130 36380 ) ( 2262970 36380 )
+    NEW met2 ( 2262970 36380 ) ( 2262970 36890 )
+    NEW met1 ( 2166370 36890 ) ( 2215130 36890 )
+    NEW li1 ( 2311730 33830 ) ( 2311730 36890 )
+    NEW met1 ( 2311730 33830 ) ( 2359570 33830 )
+    NEW li1 ( 2359570 33830 ) ( 2359570 36890 )
+    NEW met1 ( 2262970 36890 ) ( 2311730 36890 )
+    NEW met1 ( 2359570 36890 ) ( 2366930 36890 )
+    NEW met1 ( 2479630 36890 ) M1M2_PR
+    NEW met1 ( 1663130 1631150 ) M1M2_PR
+    NEW met1 ( 1669570 1631150 ) M1M2_PR
+    NEW met1 ( 1669570 39610 ) M1M2_PR
+    NEW li1 ( 2415690 36890 ) L1M1_PR_MR
+    NEW li1 ( 2416610 36890 ) L1M1_PR_MR
+    NEW met1 ( 1695790 39610 ) M1M2_PR
+    NEW met2 ( 1695790 39100 ) via2_FR
+    NEW met2 ( 1706370 39100 ) via2_FR
+    NEW met1 ( 1706370 36890 ) M1M2_PR
+    NEW li1 ( 2366930 36890 ) L1M1_PR_MR
+    NEW li1 ( 2366930 34170 ) L1M1_PR_MR
+    NEW li1 ( 2414770 34170 ) L1M1_PR_MR
+    NEW li1 ( 2414770 36890 ) L1M1_PR_MR
+    NEW met1 ( 1732130 36890 ) M1M2_PR
+    NEW met2 ( 1732130 36380 ) via2_FR
+    NEW met2 ( 1779970 36380 ) via2_FR
+    NEW met1 ( 1779970 36890 ) M1M2_PR
+    NEW met1 ( 1828730 36890 ) M1M2_PR
+    NEW met2 ( 1828730 36380 ) via2_FR
+    NEW met2 ( 1876110 36380 ) via2_FR
+    NEW li1 ( 1876110 36890 ) L1M1_PR_MR
+    NEW met1 ( 1876110 36890 ) M1M2_PR
+    NEW li1 ( 1876570 36890 ) L1M1_PR_MR
+    NEW met1 ( 1925330 36890 ) M1M2_PR
+    NEW met2 ( 1925330 36380 ) via2_FR
+    NEW met2 ( 1973170 36380 ) via2_FR
+    NEW met1 ( 1973170 36890 ) M1M2_PR
+    NEW li1 ( 2021930 36890 ) L1M1_PR_MR
+    NEW li1 ( 2022390 36890 ) L1M1_PR_MR
+    NEW met1 ( 2022390 36890 ) M1M2_PR
+    NEW met2 ( 2022390 37060 ) via2_FR
+    NEW met2 ( 2069770 37060 ) via2_FR
+    NEW met1 ( 2069770 36890 ) M1M2_PR
+    NEW li1 ( 2118530 36890 ) L1M1_PR_MR
+    NEW li1 ( 2118530 33490 ) L1M1_PR_MR
+    NEW li1 ( 2166370 33490 ) L1M1_PR_MR
+    NEW li1 ( 2166370 36890 ) L1M1_PR_MR
+    NEW met1 ( 2215130 36890 ) M1M2_PR
+    NEW met2 ( 2215130 36380 ) via2_FR
+    NEW met2 ( 2262970 36380 ) via2_FR
+    NEW met1 ( 2262970 36890 ) M1M2_PR
+    NEW li1 ( 2311730 36890 ) L1M1_PR_MR
+    NEW li1 ( 2311730 33830 ) L1M1_PR_MR
+    NEW li1 ( 2359570 33830 ) L1M1_PR_MR
+    NEW li1 ( 2359570 36890 ) L1M1_PR_MR
+    NEW met1 ( 1876110 36890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2022390 36890 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) 
-  + ROUTED met2 ( 2512290 2380 0 ) ( 2512290 37570 )
-    NEW met1 ( 1669570 36890 ) ( 1703610 36890 )
-    NEW li1 ( 1703610 36890 ) ( 1704070 36890 )
-    NEW li1 ( 1704070 36890 ) ( 1704070 37570 )
-    NEW met1 ( 1666810 1684870 ) ( 1669570 1684870 )
-    NEW met2 ( 1666810 1684870 ) ( 1666810 1700340 0 )
-    NEW met2 ( 1669570 36890 ) ( 1669570 1684870 )
-    NEW li1 ( 2366930 37570 ) ( 2367850 37570 )
-    NEW met1 ( 1704070 37570 ) ( 2366930 37570 )
-    NEW met1 ( 2367850 37570 ) ( 2512290 37570 )
-    NEW met1 ( 2512290 37570 ) M1M2_PR
-    NEW met1 ( 1669570 36890 ) M1M2_PR
-    NEW li1 ( 1703610 36890 ) L1M1_PR_MR
-    NEW li1 ( 1704070 37570 ) L1M1_PR_MR
-    NEW met1 ( 1669570 1684870 ) M1M2_PR
-    NEW met1 ( 1666810 1684870 ) M1M2_PR
-    NEW li1 ( 2366930 37570 ) L1M1_PR_MR
-    NEW li1 ( 2367850 37570 ) L1M1_PR_MR
+  + ROUTED met2 ( 2497110 2380 0 ) ( 2497110 37230 )
+    NEW met1 ( 1663590 1631490 ) ( 1669110 1631490 )
+    NEW met2 ( 1669110 36550 ) ( 1669110 1631490 )
+    NEW met1 ( 1663590 1684190 ) ( 1666810 1684190 )
+    NEW met2 ( 1666810 1684190 ) ( 1666810 1700340 0 )
+    NEW met2 ( 1663590 1631490 ) ( 1663590 1684190 )
+    NEW li1 ( 2125430 37230 ) ( 2126350 37230 )
+    NEW li1 ( 1702230 36210 ) ( 1702230 36550 )
+    NEW li1 ( 1702230 36210 ) ( 1704990 36210 )
+    NEW li1 ( 1704990 36210 ) ( 1704990 37230 )
+    NEW met1 ( 1669110 36550 ) ( 1702230 36550 )
+    NEW met1 ( 1704990 37230 ) ( 2125430 37230 )
+    NEW met1 ( 2126350 37230 ) ( 2497110 37230 )
+    NEW met1 ( 2497110 37230 ) M1M2_PR
+    NEW met1 ( 1663590 1631490 ) M1M2_PR
+    NEW met1 ( 1669110 1631490 ) M1M2_PR
+    NEW met1 ( 1669110 36550 ) M1M2_PR
+    NEW met1 ( 1663590 1684190 ) M1M2_PR
+    NEW met1 ( 1666810 1684190 ) M1M2_PR
+    NEW li1 ( 2125430 37230 ) L1M1_PR_MR
+    NEW li1 ( 2126350 37230 ) L1M1_PR_MR
+    NEW li1 ( 1702230 36550 ) L1M1_PR_MR
+    NEW li1 ( 1704990 37230 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) 
-  + ROUTED met1 ( 1676010 37570 ) ( 1701770 37570 )
-    NEW met2 ( 1701770 37570 ) ( 1701770 41140 )
-    NEW met3 ( 1701770 41140 ) ( 1704070 41140 )
-    NEW met2 ( 1704070 41140 ) ( 1704070 41310 )
-    NEW met1 ( 1670490 1684870 ) ( 1676010 1684870 )
-    NEW met2 ( 1670490 1684870 ) ( 1670490 1700340 0 )
-    NEW met2 ( 1676010 37570 ) ( 1676010 1684870 )
-    NEW met1 ( 1704070 41310 ) ( 2530230 41310 )
-    NEW met2 ( 2530230 2380 0 ) ( 2530230 41310 )
-    NEW met1 ( 1676010 37570 ) M1M2_PR
-    NEW met1 ( 1701770 37570 ) M1M2_PR
-    NEW met2 ( 1701770 41140 ) via2_FR
-    NEW met2 ( 1704070 41140 ) via2_FR
-    NEW met1 ( 1704070 41310 ) M1M2_PR
-    NEW met1 ( 1676010 1684870 ) M1M2_PR
-    NEW met1 ( 1670490 1684870 ) M1M2_PR
-    NEW met1 ( 2530230 41310 ) M1M2_PR
+  + ROUTED met2 ( 2515050 2380 0 ) ( 2515050 37570 )
+    NEW met1 ( 1670030 1631490 ) ( 1675550 1631490 )
+    NEW met2 ( 1675550 37230 ) ( 1675550 1631490 )
+    NEW met2 ( 1670030 1700340 ) ( 1670490 1700340 0 )
+    NEW met2 ( 1670030 1631490 ) ( 1670030 1700340 )
+    NEW li1 ( 2415230 37570 ) ( 2416610 37570 )
+    NEW met1 ( 2416610 37570 ) ( 2515050 37570 )
+    NEW li1 ( 1702690 36550 ) ( 1702690 37230 )
+    NEW li1 ( 1702690 36550 ) ( 1704530 36550 )
+    NEW li1 ( 1704530 36550 ) ( 1704530 37570 )
+    NEW met1 ( 1675550 37230 ) ( 1702690 37230 )
+    NEW met1 ( 1704530 37570 ) ( 2415230 37570 )
+    NEW met1 ( 2515050 37570 ) M1M2_PR
+    NEW met1 ( 1670030 1631490 ) M1M2_PR
+    NEW met1 ( 1675550 1631490 ) M1M2_PR
+    NEW met1 ( 1675550 37230 ) M1M2_PR
+    NEW li1 ( 2415230 37570 ) L1M1_PR_MR
+    NEW li1 ( 2416610 37570 ) L1M1_PR_MR
+    NEW li1 ( 1702690 37230 ) L1M1_PR_MR
+    NEW li1 ( 1704530 37570 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) 
-  + ROUTED met2 ( 1704530 40290 ) ( 1704530 40460 )
-    NEW met1 ( 1675550 37230 ) ( 1701310 37230 )
-    NEW met2 ( 1701310 37230 ) ( 1701310 40460 )
-    NEW met3 ( 1701310 40460 ) ( 1704530 40460 )
-    NEW met1 ( 1674170 1684530 ) ( 1675550 1684530 )
-    NEW met2 ( 1674170 1684530 ) ( 1674170 1700340 0 )
-    NEW met2 ( 1675550 37230 ) ( 1675550 1684530 )
-    NEW met1 ( 1704530 40290 ) ( 2548170 40290 )
-    NEW met2 ( 2548170 2380 0 ) ( 2548170 40290 )
-    NEW met2 ( 1704530 40460 ) via2_FR
-    NEW met1 ( 1704530 40290 ) M1M2_PR
-    NEW met1 ( 1675550 37230 ) M1M2_PR
-    NEW met1 ( 1701310 37230 ) M1M2_PR
-    NEW met2 ( 1701310 40460 ) via2_FR
-    NEW met1 ( 1675550 1684530 ) M1M2_PR
-    NEW met1 ( 1674170 1684530 ) M1M2_PR
-    NEW met1 ( 2548170 40290 ) M1M2_PR
+  + ROUTED met2 ( 2532530 2380 0 ) ( 2532530 7140 )
+    NEW met2 ( 2532530 7140 ) ( 2532990 7140 )
+    NEW met2 ( 2532990 7140 ) ( 2532990 41310 )
+    NEW met1 ( 1676010 36890 ) ( 1703150 36890 )
+    NEW li1 ( 1703150 36890 ) ( 1703150 37570 )
+    NEW li1 ( 1703150 37570 ) ( 1704070 37570 )
+    NEW li1 ( 1704070 37570 ) ( 1704070 41310 )
+    NEW met1 ( 1704070 41310 ) ( 2532990 41310 )
+    NEW met1 ( 1674170 1684190 ) ( 1676010 1684190 )
+    NEW met2 ( 1674170 1684190 ) ( 1674170 1700340 0 )
+    NEW met1 ( 1676010 1649510 ) ( 1676010 1650530 )
+    NEW met2 ( 1676010 36890 ) ( 1676010 1649510 )
+    NEW met2 ( 1676010 1650530 ) ( 1676010 1684190 )
+    NEW met1 ( 2532990 41310 ) M1M2_PR
+    NEW met1 ( 1676010 36890 ) M1M2_PR
+    NEW li1 ( 1703150 36890 ) L1M1_PR_MR
+    NEW li1 ( 1704070 41310 ) L1M1_PR_MR
+    NEW met1 ( 1676010 1684190 ) M1M2_PR
+    NEW met1 ( 1674170 1684190 ) M1M2_PR
+    NEW met1 ( 1676010 1649510 ) M1M2_PR
+    NEW met1 ( 1676010 1650530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) 
-  + ROUTED li1 ( 1750990 39270 ) ( 1750990 45730 )
-    NEW li1 ( 1750990 39270 ) ( 1751910 39270 )
-    NEW li1 ( 1751910 39270 ) ( 1751910 39950 )
-    NEW li1 ( 1751910 39950 ) ( 1752370 39950 )
-    NEW met1 ( 1682450 40290 ) ( 1704070 40290 )
-    NEW li1 ( 1704070 40290 ) ( 1704070 45730 )
-    NEW met1 ( 1704070 45730 ) ( 1750990 45730 )
-    NEW met1 ( 1677390 1684870 ) ( 1682450 1684870 )
-    NEW met2 ( 1677390 1684870 ) ( 1677390 1700340 0 )
-    NEW met2 ( 1682450 40290 ) ( 1682450 1684870 )
-    NEW met1 ( 1752370 39950 ) ( 2566110 39950 )
-    NEW met2 ( 2566110 2380 0 ) ( 2566110 39950 )
-    NEW li1 ( 1750990 45730 ) L1M1_PR_MR
-    NEW li1 ( 1752370 39950 ) L1M1_PR_MR
-    NEW met1 ( 1682450 40290 ) M1M2_PR
-    NEW li1 ( 1704070 40290 ) L1M1_PR_MR
-    NEW li1 ( 1704070 45730 ) L1M1_PR_MR
-    NEW met1 ( 1682450 1684870 ) M1M2_PR
-    NEW met1 ( 1677390 1684870 ) M1M2_PR
-    NEW met1 ( 2566110 39950 ) M1M2_PR
+  + ROUTED met2 ( 2550470 2380 0 ) ( 2550470 40290 )
+    NEW met1 ( 1704990 45390 ) ( 1704990 45730 )
+    NEW met1 ( 1704990 45390 ) ( 1751910 45390 )
+    NEW li1 ( 1751910 40290 ) ( 1751910 45390 )
+    NEW li1 ( 1751910 40290 ) ( 1752370 40290 )
+    NEW met1 ( 1752370 40290 ) ( 2550470 40290 )
+    NEW met1 ( 1682450 41310 ) ( 1703610 41310 )
+    NEW li1 ( 1703610 41310 ) ( 1703610 45730 )
+    NEW met1 ( 1703610 45730 ) ( 1704990 45730 )
+    NEW met2 ( 1681530 1677220 ) ( 1682450 1677220 )
+    NEW met2 ( 1681530 1677220 ) ( 1681530 1684530 )
+    NEW met1 ( 1677390 1684530 ) ( 1681530 1684530 )
+    NEW met2 ( 1677390 1684530 ) ( 1677390 1700340 0 )
+    NEW met2 ( 1682450 41310 ) ( 1682450 1677220 )
+    NEW met1 ( 2550470 40290 ) M1M2_PR
+    NEW li1 ( 1751910 45390 ) L1M1_PR_MR
+    NEW li1 ( 1752370 40290 ) L1M1_PR_MR
+    NEW met1 ( 1682450 41310 ) M1M2_PR
+    NEW li1 ( 1703610 41310 ) L1M1_PR_MR
+    NEW li1 ( 1703610 45730 ) L1M1_PR_MR
+    NEW met1 ( 1681530 1684530 ) M1M2_PR
+    NEW met1 ( 1677390 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) 
-  + ROUTED li1 ( 1751450 39610 ) ( 1751450 45390 )
-    NEW met2 ( 2584050 2380 0 ) ( 2584050 39610 )
-    NEW met1 ( 1682910 41310 ) ( 1703610 41310 )
-    NEW li1 ( 1703610 41310 ) ( 1703610 45390 )
-    NEW met1 ( 1703610 45390 ) ( 1751450 45390 )
-    NEW met1 ( 1681070 1684190 ) ( 1682910 1684190 )
-    NEW met2 ( 1681070 1684190 ) ( 1681070 1700340 0 )
-    NEW met2 ( 1682910 41310 ) ( 1682910 1684190 )
-    NEW met1 ( 1751450 39610 ) ( 2584050 39610 )
-    NEW li1 ( 1751450 45390 ) L1M1_PR_MR
-    NEW li1 ( 1751450 39610 ) L1M1_PR_MR
-    NEW met1 ( 2584050 39610 ) M1M2_PR
-    NEW met1 ( 1682910 41310 ) M1M2_PR
-    NEW li1 ( 1703610 41310 ) L1M1_PR_MR
-    NEW li1 ( 1703610 45390 ) L1M1_PR_MR
-    NEW met1 ( 1682910 1684190 ) M1M2_PR
-    NEW met1 ( 1681070 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2567950 2380 0 ) ( 2567950 39950 )
+    NEW met2 ( 1705910 40290 ) ( 1705910 40460 )
+    NEW met1 ( 1705910 40290 ) ( 1728910 40290 )
+    NEW met1 ( 1728910 39950 ) ( 1728910 40290 )
+    NEW met1 ( 1728910 39950 ) ( 2567950 39950 )
+    NEW met1 ( 1682910 37570 ) ( 1702230 37570 )
+    NEW met2 ( 1702230 37570 ) ( 1702230 40460 )
+    NEW met3 ( 1702230 40460 ) ( 1705910 40460 )
+    NEW met2 ( 1681990 1677900 ) ( 1682910 1677900 )
+    NEW met2 ( 1681990 1677900 ) ( 1681990 1700340 )
+    NEW met2 ( 1681070 1700340 0 ) ( 1681990 1700340 )
+    NEW met2 ( 1682910 37570 ) ( 1682910 1677900 )
+    NEW met1 ( 2567950 39950 ) M1M2_PR
+    NEW met2 ( 1705910 40460 ) via2_FR
+    NEW met1 ( 1705910 40290 ) M1M2_PR
+    NEW met1 ( 1682910 37570 ) M1M2_PR
+    NEW met1 ( 1702230 37570 ) M1M2_PR
+    NEW met2 ( 1702230 40460 ) via2_FR
 + USE SIGNAL ;
 - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) 
-  + ROUTED met2 ( 817650 2380 0 ) ( 817650 32130 )
-    NEW met1 ( 817650 32130 ) ( 1313530 32130 )
-    NEW met1 ( 1313530 1674670 ) ( 1316750 1674670 )
-    NEW met2 ( 1316750 1674670 ) ( 1316750 1700340 )
+  + ROUTED met2 ( 812590 2380 0 ) ( 812590 32130 )
+    NEW met2 ( 1269830 32130 ) ( 1269830 32300 )
+    NEW met3 ( 1269830 32300 ) ( 1285010 32300 )
+    NEW met2 ( 1285010 32130 ) ( 1285010 32300 )
+    NEW met1 ( 1285010 32130 ) ( 1313530 32130 )
+    NEW met1 ( 812590 32130 ) ( 1269830 32130 )
+    NEW met1 ( 1313530 1673310 ) ( 1316750 1673310 )
+    NEW met2 ( 1316750 1673310 ) ( 1316750 1700340 )
     NEW met2 ( 1316750 1700340 ) ( 1317670 1700340 0 )
-    NEW met2 ( 1313530 32130 ) ( 1313530 1674670 )
-    NEW met1 ( 817650 32130 ) M1M2_PR
+    NEW met2 ( 1313530 32130 ) ( 1313530 1673310 )
+    NEW met1 ( 812590 32130 ) M1M2_PR
+    NEW met1 ( 1269830 32130 ) M1M2_PR
+    NEW met2 ( 1269830 32300 ) via2_FR
+    NEW met2 ( 1285010 32300 ) via2_FR
+    NEW met1 ( 1285010 32130 ) M1M2_PR
     NEW met1 ( 1313530 32130 ) M1M2_PR
-    NEW met1 ( 1313530 1674670 ) M1M2_PR
-    NEW met1 ( 1316750 1674670 ) M1M2_PR
+    NEW met1 ( 1313530 1673310 ) M1M2_PR
+    NEW met1 ( 1316750 1673310 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) 
-  + ROUTED met2 ( 2601530 2380 0 ) ( 2601530 7140 )
-    NEW met2 ( 2601530 7140 ) ( 2601990 7140 )
-    NEW met2 ( 2601990 7140 ) ( 2601990 39270 )
-    NEW met1 ( 1684750 1684530 ) ( 1688890 1684530 )
+  + ROUTED met2 ( 2585890 2380 0 ) ( 2585890 39610 )
+    NEW met1 ( 1728450 39610 ) ( 1728450 39950 )
+    NEW met1 ( 1728450 39610 ) ( 2585890 39610 )
+    NEW met1 ( 1689350 39950 ) ( 1728450 39950 )
+    NEW met1 ( 1684750 1684530 ) ( 1689350 1684530 )
     NEW met2 ( 1684750 1684530 ) ( 1684750 1700340 0 )
-    NEW met2 ( 1688890 39270 ) ( 1688890 1684530 )
-    NEW met1 ( 1688890 39270 ) ( 2601990 39270 )
-    NEW met1 ( 2601990 39270 ) M1M2_PR
-    NEW met1 ( 1688890 39270 ) M1M2_PR
-    NEW met1 ( 1688890 1684530 ) M1M2_PR
+    NEW met2 ( 1689350 39950 ) ( 1689350 1684530 )
+    NEW met1 ( 2585890 39610 ) M1M2_PR
+    NEW met1 ( 1689350 39950 ) M1M2_PR
+    NEW met1 ( 1689350 1684530 ) M1M2_PR
     NEW met1 ( 1684750 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) 
-  + ROUTED met2 ( 1751910 39100 ) ( 1751910 39950 )
-    NEW met2 ( 1803890 39100 ) ( 1803890 41650 )
-    NEW li1 ( 2285510 38930 ) ( 2285510 41650 )
-    NEW met2 ( 2619470 2380 0 ) ( 2619470 38930 )
-    NEW met1 ( 1689350 39950 ) ( 1751910 39950 )
-    NEW met2 ( 1688430 1700340 0 ) ( 1689350 1700340 )
-    NEW met2 ( 1689350 39950 ) ( 1689350 1700340 )
-    NEW met3 ( 1751910 39100 ) ( 1803890 39100 )
-    NEW met1 ( 1803890 41650 ) ( 2285510 41650 )
-    NEW met1 ( 2285510 38930 ) ( 2619470 38930 )
-    NEW met1 ( 1751910 39950 ) M1M2_PR
-    NEW met2 ( 1751910 39100 ) via2_FR
-    NEW met2 ( 1803890 39100 ) via2_FR
-    NEW met1 ( 1803890 41650 ) M1M2_PR
-    NEW li1 ( 2285510 41650 ) L1M1_PR_MR
-    NEW li1 ( 2285510 38930 ) L1M1_PR_MR
-    NEW met1 ( 2619470 38930 ) M1M2_PR
-    NEW met1 ( 1689350 39950 ) M1M2_PR
+  + ROUTED met2 ( 2603830 2380 0 ) ( 2603830 39270 )
+    NEW met1 ( 1688890 39270 ) ( 2603830 39270 )
+    NEW met2 ( 1688430 1700340 0 ) ( 1688890 1700340 )
+    NEW met2 ( 1688890 39270 ) ( 1688890 1700340 )
+    NEW met1 ( 2603830 39270 ) M1M2_PR
+    NEW met1 ( 1688890 39270 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) 
-  + ROUTED met2 ( 1750990 38420 ) ( 1750990 39610 )
-    NEW met2 ( 1994790 38420 ) ( 1994790 41990 )
-    NEW met2 ( 2188910 38420 ) ( 2188910 41990 )
-    NEW li1 ( 2285050 38590 ) ( 2285050 41990 )
-    NEW met1 ( 1696250 39610 ) ( 1750990 39610 )
-    NEW met2 ( 1696250 1677220 ) ( 1696710 1677220 )
-    NEW met2 ( 1696710 1677220 ) ( 1696710 1684870 )
-    NEW met1 ( 1692110 1684870 ) ( 1696710 1684870 )
-    NEW met2 ( 1692110 1684870 ) ( 1692110 1700340 0 )
-    NEW met2 ( 1696250 39610 ) ( 1696250 1677220 )
-    NEW met2 ( 1778590 38420 ) ( 1778590 41990 )
-    NEW met3 ( 1750990 38420 ) ( 1778590 38420 )
-    NEW met2 ( 1849430 38420 ) ( 1849430 41990 )
-    NEW met1 ( 1778590 41990 ) ( 1849430 41990 )
-    NEW met3 ( 1849430 38420 ) ( 1994790 38420 )
-    NEW met2 ( 2043550 38420 ) ( 2043550 41990 )
-    NEW met1 ( 1994790 41990 ) ( 2043550 41990 )
-    NEW met3 ( 2043550 38420 ) ( 2188910 38420 )
-    NEW met1 ( 2188910 41990 ) ( 2285050 41990 )
-    NEW met1 ( 2285050 38590 ) ( 2637410 38590 )
-    NEW met2 ( 2637410 2380 0 ) ( 2637410 38590 )
-    NEW met1 ( 1750990 39610 ) M1M2_PR
-    NEW met2 ( 1750990 38420 ) via2_FR
-    NEW met2 ( 1994790 38420 ) via2_FR
-    NEW met1 ( 1994790 41990 ) M1M2_PR
-    NEW met2 ( 2188910 38420 ) via2_FR
-    NEW met1 ( 2188910 41990 ) M1M2_PR
-    NEW li1 ( 2285050 41990 ) L1M1_PR_MR
-    NEW li1 ( 2285050 38590 ) L1M1_PR_MR
+  + ROUTED li1 ( 2305290 38590 ) ( 2305290 41650 )
+    NEW met1 ( 2305290 38590 ) ( 2352210 38590 )
+    NEW li1 ( 2352210 38590 ) ( 2352210 41650 )
+    NEW met2 ( 2621310 2380 0 ) ( 2621310 38930 )
+    NEW met2 ( 1776290 39100 ) ( 1776290 41650 )
+    NEW li1 ( 2353590 38930 ) ( 2353590 41650 )
+    NEW met1 ( 2352210 41650 ) ( 2353590 41650 )
+    NEW met1 ( 2353590 38930 ) ( 2621310 38930 )
+    NEW li1 ( 1727990 39610 ) ( 1727990 40290 )
+    NEW li1 ( 1727990 40290 ) ( 1729370 40290 )
+    NEW met1 ( 1729370 40290 ) ( 1751910 40290 )
+    NEW met2 ( 1751910 39100 ) ( 1751910 40290 )
+    NEW met3 ( 1751910 39100 ) ( 1776290 39100 )
+    NEW met1 ( 1776290 41650 ) ( 2305290 41650 )
+    NEW met1 ( 1696250 39610 ) ( 1727990 39610 )
+    NEW met2 ( 1696250 1676540 ) ( 1696710 1676540 )
+    NEW met2 ( 1696710 1676540 ) ( 1696710 1684530 )
+    NEW met1 ( 1692110 1684530 ) ( 1696710 1684530 )
+    NEW met2 ( 1692110 1684530 ) ( 1692110 1700340 0 )
+    NEW met2 ( 1696250 39610 ) ( 1696250 1676540 )
+    NEW li1 ( 2305290 41650 ) L1M1_PR_MR
+    NEW li1 ( 2305290 38590 ) L1M1_PR_MR
+    NEW li1 ( 2352210 38590 ) L1M1_PR_MR
+    NEW li1 ( 2352210 41650 ) L1M1_PR_MR
+    NEW met1 ( 2621310 38930 ) M1M2_PR
+    NEW met2 ( 1776290 39100 ) via2_FR
+    NEW met1 ( 1776290 41650 ) M1M2_PR
+    NEW li1 ( 2353590 41650 ) L1M1_PR_MR
+    NEW li1 ( 2353590 38930 ) L1M1_PR_MR
+    NEW li1 ( 1727990 39610 ) L1M1_PR_MR
+    NEW li1 ( 1729370 40290 ) L1M1_PR_MR
+    NEW met1 ( 1751910 40290 ) M1M2_PR
+    NEW met2 ( 1751910 39100 ) via2_FR
     NEW met1 ( 1696250 39610 ) M1M2_PR
-    NEW met1 ( 1696710 1684870 ) M1M2_PR
-    NEW met1 ( 1692110 1684870 ) M1M2_PR
-    NEW met2 ( 1778590 38420 ) via2_FR
-    NEW met1 ( 1778590 41990 ) M1M2_PR
-    NEW met1 ( 1849430 41990 ) M1M2_PR
-    NEW met2 ( 1849430 38420 ) via2_FR
-    NEW met1 ( 2043550 41990 ) M1M2_PR
-    NEW met2 ( 2043550 38420 ) via2_FR
-    NEW met1 ( 2637410 38590 ) M1M2_PR
+    NEW met1 ( 1696710 1684530 ) M1M2_PR
+    NEW met1 ( 1692110 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) 
-  + ROUTED met1 ( 1710050 1652230 ) ( 1710050 1652570 )
-    NEW met1 ( 1694410 1652230 ) ( 1710050 1652230 )
-    NEW met1 ( 1710050 1652570 ) ( 2649830 1652570 )
-    NEW met1 ( 1694410 1684530 ) ( 1695790 1684530 )
-    NEW met2 ( 1695790 1684530 ) ( 1695790 1700340 0 )
-    NEW met2 ( 1694410 1652230 ) ( 1694410 1684530 )
-    NEW met1 ( 2649830 62050 ) ( 2655350 62050 )
-    NEW met2 ( 2649830 62050 ) ( 2649830 1652570 )
-    NEW met2 ( 2655350 2380 0 ) ( 2655350 62050 )
-    NEW met1 ( 2649830 1652570 ) M1M2_PR
-    NEW met1 ( 1694410 1652230 ) M1M2_PR
-    NEW met1 ( 1694410 1684530 ) M1M2_PR
-    NEW met1 ( 1695790 1684530 ) M1M2_PR
-    NEW met1 ( 2649830 62050 ) M1M2_PR
-    NEW met1 ( 2655350 62050 ) M1M2_PR
+  + ROUTED li1 ( 2352670 38590 ) ( 2352670 41990 )
+    NEW met2 ( 2639250 2380 0 ) ( 2639250 38590 )
+    NEW met1 ( 2352670 38590 ) ( 2639250 38590 )
+    NEW met2 ( 1705450 38420 ) ( 1705450 40290 )
+    NEW met2 ( 2091850 38420 ) ( 2091850 41990 )
+    NEW met3 ( 1705450 38420 ) ( 2091850 38420 )
+    NEW met1 ( 2091850 41990 ) ( 2352670 41990 )
+    NEW met2 ( 1694870 738820 ) ( 1695330 738820 )
+    NEW met1 ( 1694410 1631490 ) ( 1695790 1631490 )
+    NEW met1 ( 1695330 40290 ) ( 1705450 40290 )
+    NEW met2 ( 1694870 738140 ) ( 1695330 738140 )
+    NEW met2 ( 1695330 737460 ) ( 1695330 738140 )
+    NEW met2 ( 1695330 737460 ) ( 1695790 737460 )
+    NEW met2 ( 1694870 738140 ) ( 1694870 738820 )
+    NEW met2 ( 1694410 1463020 ) ( 1695330 1463020 )
+    NEW met1 ( 1694410 1559410 ) ( 1695790 1559410 )
+    NEW met2 ( 1695790 1559410 ) ( 1695790 1631490 )
+    NEW met2 ( 1694410 1677900 ) ( 1695330 1677900 )
+    NEW met2 ( 1695330 1677900 ) ( 1695330 1700340 )
+    NEW met2 ( 1695330 1700340 ) ( 1695790 1700340 0 )
+    NEW met2 ( 1694410 1631490 ) ( 1694410 1677900 )
+    NEW met1 ( 1694870 109990 ) ( 1694870 110670 )
+    NEW met1 ( 1694870 109990 ) ( 1695330 109990 )
+    NEW met2 ( 1695330 40290 ) ( 1695330 109990 )
+    NEW met1 ( 1695790 399330 ) ( 1697630 399330 )
+    NEW met1 ( 1695330 1304070 ) ( 1695790 1304070 )
+    NEW li1 ( 1694870 145010 ) ( 1694870 158950 )
+    NEW met2 ( 1694870 158950 ) ( 1694870 192780 )
+    NEW met2 ( 1694870 192780 ) ( 1695330 192780 )
+    NEW met2 ( 1694870 110670 ) ( 1694870 145010 )
+    NEW li1 ( 1695330 1413890 ) ( 1695330 1448910 )
+    NEW met2 ( 1695330 1401140 ) ( 1695330 1413890 )
+    NEW met2 ( 1695330 1401140 ) ( 1695790 1401140 )
+    NEW met2 ( 1695330 1448910 ) ( 1695330 1463020 )
+    NEW met2 ( 1695790 1304070 ) ( 1695790 1401140 )
+    NEW li1 ( 1694410 1497530 ) ( 1694410 1545470 )
+    NEW met2 ( 1694410 1463020 ) ( 1694410 1497530 )
+    NEW met2 ( 1694410 1545470 ) ( 1694410 1559410 )
+    NEW met2 ( 1695330 499460 ) ( 1695790 499460 )
+    NEW met3 ( 1694410 572900 ) ( 1695790 572900 )
+    NEW met2 ( 1694410 572900 ) ( 1694410 620670 )
+    NEW met1 ( 1694410 620670 ) ( 1695790 620670 )
+    NEW met3 ( 1693950 862580 ) ( 1694870 862580 )
+    NEW met2 ( 1693950 862580 ) ( 1693950 910180 )
+    NEW met3 ( 1693950 910180 ) ( 1695100 910180 )
+    NEW met2 ( 1694410 1078820 ) ( 1694870 1078820 )
+    NEW li1 ( 1695330 234770 ) ( 1695330 256530 )
+    NEW met2 ( 1695330 192780 ) ( 1695330 234770 )
+    NEW met1 ( 1695330 331330 ) ( 1695790 331330 )
+    NEW met2 ( 1695330 256530 ) ( 1695330 331330 )
+    NEW met2 ( 1695790 331330 ) ( 1695790 399330 )
+    NEW met1 ( 1695790 475490 ) ( 1697630 475490 )
+    NEW met2 ( 1695790 475490 ) ( 1695790 499460 )
+    NEW met2 ( 1697630 399330 ) ( 1697630 475490 )
+    NEW li1 ( 1695330 524450 ) ( 1695330 572390 )
+    NEW met1 ( 1695330 572390 ) ( 1695790 572390 )
+    NEW met2 ( 1695330 499460 ) ( 1695330 524450 )
+    NEW met2 ( 1695790 572390 ) ( 1695790 572900 )
+    NEW li1 ( 1695790 621350 ) ( 1695790 643450 )
+    NEW met2 ( 1695790 620670 ) ( 1695790 621350 )
+    NEW met2 ( 1695790 643450 ) ( 1695790 737460 )
+    NEW met3 ( 1694870 814980 ) ( 1695100 814980 )
+    NEW met3 ( 1695100 814300 ) ( 1695100 814980 )
+    NEW met3 ( 1695100 814300 ) ( 1695330 814300 )
+    NEW met2 ( 1694870 814980 ) ( 1694870 862580 )
+    NEW met2 ( 1695330 738820 ) ( 1695330 814300 )
+    NEW met3 ( 1695100 910860 ) ( 1695330 910860 )
+    NEW met3 ( 1695100 910180 ) ( 1695100 910860 )
+    NEW li1 ( 1694870 1027650 ) ( 1694870 1054850 )
+    NEW met1 ( 1694870 1027650 ) ( 1695330 1027650 )
+    NEW met2 ( 1694870 1054850 ) ( 1694870 1078820 )
+    NEW met3 ( 1694410 1151580 ) ( 1695100 1151580 )
+    NEW met3 ( 1695100 1151580 ) ( 1695100 1152260 )
+    NEW met3 ( 1695100 1152260 ) ( 1695790 1152260 )
+    NEW met2 ( 1694410 1078820 ) ( 1694410 1151580 )
+    NEW li1 ( 1695330 969850 ) ( 1695330 1000450 )
+    NEW met2 ( 1695330 910860 ) ( 1695330 969850 )
+    NEW met2 ( 1695330 1000450 ) ( 1695330 1027650 )
+    NEW li1 ( 1695330 1243890 ) ( 1695330 1304070 )
+    NEW li1 ( 1695330 1220770 ) ( 1695330 1241850 )
+    NEW met2 ( 1695330 1193740 ) ( 1695330 1220770 )
+    NEW met2 ( 1695330 1193740 ) ( 1695790 1193740 )
+    NEW met2 ( 1695330 1241850 ) ( 1695330 1243890 )
+    NEW met2 ( 1695790 1152260 ) ( 1695790 1193740 )
+    NEW li1 ( 2352670 41990 ) L1M1_PR_MR
+    NEW li1 ( 2352670 38590 ) L1M1_PR_MR
+    NEW met1 ( 2639250 38590 ) M1M2_PR
+    NEW met1 ( 1705450 40290 ) M1M2_PR
+    NEW met2 ( 1705450 38420 ) via2_FR
+    NEW met2 ( 2091850 38420 ) via2_FR
+    NEW met1 ( 2091850 41990 ) M1M2_PR
+    NEW met1 ( 1694410 1631490 ) M1M2_PR
+    NEW met1 ( 1695790 1631490 ) M1M2_PR
+    NEW met1 ( 1695330 40290 ) M1M2_PR
+    NEW met1 ( 1694410 1559410 ) M1M2_PR
+    NEW met1 ( 1695790 1559410 ) M1M2_PR
+    NEW met1 ( 1694870 110670 ) M1M2_PR
+    NEW met1 ( 1695330 109990 ) M1M2_PR
+    NEW met1 ( 1697630 399330 ) M1M2_PR
+    NEW met1 ( 1695790 399330 ) M1M2_PR
+    NEW li1 ( 1695330 1304070 ) L1M1_PR_MR
+    NEW met1 ( 1695790 1304070 ) M1M2_PR
+    NEW li1 ( 1694870 145010 ) L1M1_PR_MR
+    NEW met1 ( 1694870 145010 ) M1M2_PR
+    NEW li1 ( 1694870 158950 ) L1M1_PR_MR
+    NEW met1 ( 1694870 158950 ) M1M2_PR
+    NEW li1 ( 1695330 1448910 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1448910 ) M1M2_PR
+    NEW li1 ( 1695330 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1413890 ) M1M2_PR
+    NEW li1 ( 1694410 1497530 ) L1M1_PR_MR
+    NEW met1 ( 1694410 1497530 ) M1M2_PR
+    NEW li1 ( 1694410 1545470 ) L1M1_PR_MR
+    NEW met1 ( 1694410 1545470 ) M1M2_PR
+    NEW met2 ( 1695790 572900 ) via2_FR
+    NEW met2 ( 1694410 572900 ) via2_FR
+    NEW met1 ( 1694410 620670 ) M1M2_PR
+    NEW met1 ( 1695790 620670 ) M1M2_PR
+    NEW met2 ( 1694870 862580 ) via2_FR
+    NEW met2 ( 1693950 862580 ) via2_FR
+    NEW met2 ( 1693950 910180 ) via2_FR
+    NEW li1 ( 1695330 234770 ) L1M1_PR_MR
+    NEW met1 ( 1695330 234770 ) M1M2_PR
+    NEW li1 ( 1695330 256530 ) L1M1_PR_MR
+    NEW met1 ( 1695330 256530 ) M1M2_PR
+    NEW met1 ( 1695330 331330 ) M1M2_PR
+    NEW met1 ( 1695790 331330 ) M1M2_PR
+    NEW met1 ( 1695790 475490 ) M1M2_PR
+    NEW met1 ( 1697630 475490 ) M1M2_PR
+    NEW li1 ( 1695330 524450 ) L1M1_PR_MR
+    NEW met1 ( 1695330 524450 ) M1M2_PR
+    NEW li1 ( 1695330 572390 ) L1M1_PR_MR
+    NEW met1 ( 1695790 572390 ) M1M2_PR
+    NEW li1 ( 1695790 621350 ) L1M1_PR_MR
+    NEW met1 ( 1695790 621350 ) M1M2_PR
+    NEW li1 ( 1695790 643450 ) L1M1_PR_MR
+    NEW met1 ( 1695790 643450 ) M1M2_PR
+    NEW met2 ( 1694870 814980 ) via2_FR
+    NEW met2 ( 1695330 814300 ) via2_FR
+    NEW met2 ( 1695330 910860 ) via2_FR
+    NEW li1 ( 1694870 1054850 ) L1M1_PR_MR
+    NEW met1 ( 1694870 1054850 ) M1M2_PR
+    NEW li1 ( 1694870 1027650 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1027650 ) M1M2_PR
+    NEW met2 ( 1694410 1151580 ) via2_FR
+    NEW met2 ( 1695790 1152260 ) via2_FR
+    NEW li1 ( 1695330 969850 ) L1M1_PR_MR
+    NEW met1 ( 1695330 969850 ) M1M2_PR
+    NEW li1 ( 1695330 1000450 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1000450 ) M1M2_PR
+    NEW li1 ( 1695330 1243890 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1243890 ) M1M2_PR
+    NEW li1 ( 1695330 1241850 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1241850 ) M1M2_PR
+    NEW li1 ( 1695330 1220770 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1220770 ) M1M2_PR
+    NEW met1 ( 1694870 145010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1694870 158950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1448910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1413890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1694410 1497530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1694410 1545470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 234770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 256530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 524450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695790 621350 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695790 643450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1694870 1054850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 969850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1000450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1243890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1241850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1695330 1220770 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) 
-  + ROUTED met1 ( 2670530 62050 ) ( 2672830 62050 )
-    NEW met2 ( 2670530 62050 ) ( 2670530 1486990 )
-    NEW met2 ( 2672830 2380 0 ) ( 2672830 62050 )
-    NEW met2 ( 1700390 1677900 ) ( 1702230 1677900 )
-    NEW met2 ( 1700390 1677900 ) ( 1700390 1700340 )
-    NEW met2 ( 1699470 1700340 0 ) ( 1700390 1700340 )
-    NEW met2 ( 1702230 1486990 ) ( 1702230 1677900 )
-    NEW met1 ( 1702230 1486990 ) ( 2670530 1486990 )
-    NEW met1 ( 2670530 1486990 ) M1M2_PR
-    NEW met1 ( 2670530 62050 ) M1M2_PR
-    NEW met1 ( 2672830 62050 ) M1M2_PR
-    NEW met1 ( 1702230 1486990 ) M1M2_PR
+  + ROUTED met1 ( 1702230 1459110 ) ( 2656730 1459110 )
+    NEW met2 ( 1699930 1677900 ) ( 1702230 1677900 )
+    NEW met2 ( 1699930 1677900 ) ( 1699930 1700340 )
+    NEW met2 ( 1699470 1700340 0 ) ( 1699930 1700340 )
+    NEW met2 ( 2656730 2380 0 ) ( 2656730 1459110 )
+    NEW met2 ( 1702230 1459110 ) ( 1702230 1677900 )
+    NEW met1 ( 2656730 1459110 ) M1M2_PR
+    NEW met1 ( 1702230 1459110 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) 
-  + ROUTED met1 ( 2684330 37570 ) ( 2690770 37570 )
-    NEW met2 ( 2684330 37570 ) ( 2684330 1473050 )
-    NEW met2 ( 2690770 2380 0 ) ( 2690770 37570 )
+  + ROUTED met2 ( 2674670 2380 0 ) ( 2674670 17340 )
+    NEW met2 ( 2670530 17340 ) ( 2674670 17340 )
+    NEW met2 ( 2670530 17340 ) ( 2670530 1431570 )
+    NEW met1 ( 1702690 1431570 ) ( 2670530 1431570 )
     NEW met2 ( 1702690 1700340 ) ( 1703150 1700340 0 )
-    NEW met2 ( 1702690 1473050 ) ( 1702690 1700340 )
-    NEW met1 ( 1702690 1473050 ) ( 2684330 1473050 )
-    NEW met1 ( 2684330 1473050 ) M1M2_PR
-    NEW met1 ( 2684330 37570 ) M1M2_PR
-    NEW met1 ( 2690770 37570 ) M1M2_PR
-    NEW met1 ( 1702690 1473050 ) M1M2_PR
+    NEW met2 ( 1702690 1431570 ) ( 1702690 1700340 )
+    NEW met1 ( 2670530 1431570 ) M1M2_PR
+    NEW met1 ( 1702690 1431570 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) 
-  + ROUTED met2 ( 1706830 1638630 ) ( 1706830 1700340 0 )
-    NEW met1 ( 1706830 1638630 ) ( 2705030 1638630 )
-    NEW li1 ( 2705030 48450 ) ( 2705030 96390 )
-    NEW met1 ( 2705030 48450 ) ( 2708710 48450 )
-    NEW met2 ( 2705030 96390 ) ( 2705030 1638630 )
-    NEW met2 ( 2708710 2380 0 ) ( 2708710 48450 )
-    NEW met1 ( 2705030 1638630 ) M1M2_PR
-    NEW met1 ( 1706830 1638630 ) M1M2_PR
-    NEW li1 ( 2705030 96390 ) L1M1_PR_MR
-    NEW met1 ( 2705030 96390 ) M1M2_PR
-    NEW li1 ( 2705030 48450 ) L1M1_PR_MR
-    NEW met1 ( 2708710 48450 ) M1M2_PR
-    NEW met1 ( 2705030 96390 ) RECT ( 0 -70 355 70 )
+  + ROUTED met2 ( 2692150 2380 0 ) ( 2692150 17340 )
+    NEW met2 ( 2691230 17340 ) ( 2692150 17340 )
+    NEW li1 ( 2139230 1665150 ) ( 2139230 1665830 )
+    NEW met1 ( 2318630 1666510 ) ( 2318630 1666850 )
+    NEW met1 ( 2318630 1666850 ) ( 2342550 1666850 )
+    NEW li1 ( 2342550 1665490 ) ( 2342550 1666850 )
+    NEW li1 ( 2077130 1665150 ) ( 2077130 1666170 )
+    NEW met1 ( 2077130 1665150 ) ( 2124970 1665150 )
+    NEW li1 ( 2124970 1665150 ) ( 2124970 1665830 )
+    NEW met1 ( 2124970 1665830 ) ( 2139230 1665830 )
+    NEW li1 ( 2187070 1665150 ) ( 2187530 1665150 )
+    NEW li1 ( 2187530 1665150 ) ( 2187530 1665490 )
+    NEW met1 ( 2139230 1665150 ) ( 2187070 1665150 )
+    NEW li1 ( 2656730 1657670 ) ( 2656730 1665490 )
+    NEW met1 ( 2656730 1657670 ) ( 2691230 1657670 )
+    NEW met2 ( 2691230 17340 ) ( 2691230 1657670 )
+    NEW li1 ( 1732130 1665490 ) ( 1732130 1666170 )
+    NEW met1 ( 2414310 1665490 ) ( 2414310 1666170 )
+    NEW met1 ( 2414310 1666170 ) ( 2456170 1666170 )
+    NEW met1 ( 2456170 1666170 ) ( 2456170 1666510 )
+    NEW met1 ( 2342550 1665490 ) ( 2414310 1665490 )
+    NEW li1 ( 2263430 1665490 ) ( 2263890 1665490 )
+    NEW li1 ( 2263890 1665490 ) ( 2263890 1665830 )
+    NEW met1 ( 2263890 1665830 ) ( 2287350 1665830 )
+    NEW li1 ( 2287350 1665830 ) ( 2287350 1666510 )
+    NEW met1 ( 2187530 1665490 ) ( 2263430 1665490 )
+    NEW met1 ( 2287350 1666510 ) ( 2318630 1666510 )
+    NEW met1 ( 2456630 1666170 ) ( 2456630 1666510 )
+    NEW li1 ( 2456630 1666170 ) ( 2457090 1666170 )
+    NEW li1 ( 2457090 1666170 ) ( 2457090 1666510 )
+    NEW met1 ( 2456170 1666510 ) ( 2456630 1666510 )
+    NEW li1 ( 1849890 1665150 ) ( 1849890 1665830 )
+    NEW met1 ( 1849890 1665150 ) ( 1869670 1665150 )
+    NEW li1 ( 1869670 1665150 ) ( 1869670 1665830 )
+    NEW li1 ( 2038950 1665490 ) ( 2038950 1666170 )
+    NEW met1 ( 2038950 1666170 ) ( 2077130 1666170 )
+    NEW li1 ( 2498030 1665150 ) ( 2498030 1666510 )
+    NEW met1 ( 2498030 1665150 ) ( 2545870 1665150 )
+    NEW li1 ( 2545870 1665150 ) ( 2545870 1666170 )
+    NEW met1 ( 2457090 1666510 ) ( 2498030 1666510 )
+    NEW li1 ( 2601070 1666170 ) ( 2601530 1666170 )
+    NEW li1 ( 2601530 1665830 ) ( 2601530 1666170 )
+    NEW met1 ( 2601530 1665830 ) ( 2642470 1665830 )
+    NEW met1 ( 2642470 1665490 ) ( 2642470 1665830 )
+    NEW met1 ( 2642470 1665490 ) ( 2656730 1665490 )
+    NEW met1 ( 1706830 1671270 ) ( 1723850 1671270 )
+    NEW li1 ( 1723850 1665490 ) ( 1723850 1671270 )
+    NEW li1 ( 1723850 1665490 ) ( 1724770 1665490 )
+    NEW met1 ( 1724770 1665490 ) ( 1724770 1666170 )
+    NEW met2 ( 1706830 1671270 ) ( 1706830 1700340 0 )
+    NEW met1 ( 1724770 1666170 ) ( 1732130 1666170 )
+    NEW met1 ( 1797450 1665150 ) ( 1797450 1665490 )
+    NEW met1 ( 1797450 1665150 ) ( 1821370 1665150 )
+    NEW li1 ( 1821370 1665150 ) ( 1821370 1665830 )
+    NEW met1 ( 1732130 1665490 ) ( 1797450 1665490 )
+    NEW met1 ( 1821370 1665830 ) ( 1849890 1665830 )
+    NEW met1 ( 2546330 1666170 ) ( 2546330 1666510 )
+    NEW li1 ( 2546330 1666170 ) ( 2546330 1666510 )
+    NEW li1 ( 2546330 1666170 ) ( 2547250 1666170 )
+    NEW met1 ( 2545870 1666170 ) ( 2546330 1666170 )
+    NEW met1 ( 2547250 1666170 ) ( 2601070 1666170 )
+    NEW met1 ( 1917970 1665830 ) ( 1917970 1666170 )
+    NEW met1 ( 1917970 1666170 ) ( 1958910 1666170 )
+    NEW met2 ( 1958910 1665660 ) ( 1958910 1666170 )
+    NEW met2 ( 1958910 1665660 ) ( 1959370 1665660 )
+    NEW met2 ( 1959370 1665660 ) ( 1959370 1665830 )
+    NEW met1 ( 1869670 1665830 ) ( 1917970 1665830 )
+    NEW met1 ( 1959830 1665490 ) ( 1959830 1665830 )
+    NEW li1 ( 1959830 1664130 ) ( 1959830 1665490 )
+    NEW met1 ( 1959830 1664130 ) ( 2007670 1664130 )
+    NEW li1 ( 2007670 1664130 ) ( 2007670 1665490 )
+    NEW met1 ( 1959370 1665830 ) ( 1959830 1665830 )
+    NEW met1 ( 2007670 1665490 ) ( 2038950 1665490 )
+    NEW li1 ( 2139230 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2139230 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2342550 1666850 ) L1M1_PR_MR
+    NEW li1 ( 2342550 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2077130 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2077130 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2124970 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2124970 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2187070 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2187530 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2656730 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2656730 1657670 ) L1M1_PR_MR
+    NEW met1 ( 2691230 1657670 ) M1M2_PR
+    NEW li1 ( 1732130 1666170 ) L1M1_PR_MR
+    NEW li1 ( 1732130 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2263430 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2263890 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2287350 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2287350 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2456630 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2457090 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1849890 1665830 ) L1M1_PR_MR
+    NEW li1 ( 1849890 1665150 ) L1M1_PR_MR
+    NEW li1 ( 1869670 1665150 ) L1M1_PR_MR
+    NEW li1 ( 1869670 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2038950 1665490 ) L1M1_PR_MR
+    NEW li1 ( 2038950 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2498030 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2498030 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2545870 1665150 ) L1M1_PR_MR
+    NEW li1 ( 2545870 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2601070 1666170 ) L1M1_PR_MR
+    NEW li1 ( 2601530 1665830 ) L1M1_PR_MR
+    NEW met1 ( 1706830 1671270 ) M1M2_PR
+    NEW li1 ( 1723850 1671270 ) L1M1_PR_MR
+    NEW li1 ( 1724770 1665490 ) L1M1_PR_MR
+    NEW li1 ( 1821370 1665150 ) L1M1_PR_MR
+    NEW li1 ( 1821370 1665830 ) L1M1_PR_MR
+    NEW li1 ( 2546330 1666510 ) L1M1_PR_MR
+    NEW li1 ( 2547250 1666170 ) L1M1_PR_MR
+    NEW met1 ( 1958910 1666170 ) M1M2_PR
+    NEW met1 ( 1959370 1665830 ) M1M2_PR
+    NEW li1 ( 1959830 1665490 ) L1M1_PR_MR
+    NEW li1 ( 1959830 1664130 ) L1M1_PR_MR
+    NEW li1 ( 2007670 1664130 ) L1M1_PR_MR
+    NEW li1 ( 2007670 1665490 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) 
-  + ROUTED met2 ( 1709130 1653420 ) ( 1709590 1653420 )
-    NEW met1 ( 1709590 1685890 ) ( 1710970 1685890 )
-    NEW met2 ( 1710970 1685890 ) ( 1710970 1700340 )
-    NEW met2 ( 1710510 1700340 0 ) ( 1710970 1700340 )
-    NEW met2 ( 1709590 1653420 ) ( 1709590 1685890 )
-    NEW met2 ( 1709130 1459110 ) ( 1709130 1653420 )
-    NEW met1 ( 1709130 1459110 ) ( 2725730 1459110 )
-    NEW met2 ( 2725270 72420 ) ( 2725730 72420 )
-    NEW met2 ( 2725270 48450 ) ( 2725270 72420 )
-    NEW met1 ( 2725270 48450 ) ( 2726650 48450 )
-    NEW met2 ( 2725730 72420 ) ( 2725730 1459110 )
-    NEW met2 ( 2726650 2380 0 ) ( 2726650 48450 )
-    NEW met1 ( 1709590 1685890 ) M1M2_PR
-    NEW met1 ( 1710970 1685890 ) M1M2_PR
-    NEW met1 ( 1709130 1459110 ) M1M2_PR
-    NEW met1 ( 2725730 1459110 ) M1M2_PR
-    NEW met1 ( 2725270 48450 ) M1M2_PR
-    NEW met1 ( 2726650 48450 ) M1M2_PR
+  + ROUTED met2 ( 2710090 2380 0 ) ( 2710090 17340 )
+    NEW met2 ( 2705030 17340 ) ( 2710090 17340 )
+    NEW met1 ( 1709130 1417970 ) ( 2705030 1417970 )
+    NEW met1 ( 1709130 1678750 ) ( 1710510 1678750 )
+    NEW met2 ( 1710510 1678750 ) ( 1710510 1700340 0 )
+    NEW met2 ( 1709130 1417970 ) ( 1709130 1678750 )
+    NEW met2 ( 2705030 17340 ) ( 2705030 1417970 )
+    NEW met1 ( 2705030 1417970 ) M1M2_PR
+    NEW met1 ( 1709130 1417970 ) M1M2_PR
+    NEW met1 ( 1709130 1678750 ) M1M2_PR
+    NEW met1 ( 1710510 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) 
-  + ROUTED met1 ( 1711430 1684530 ) ( 1714190 1684530 )
-    NEW met2 ( 1714190 1684530 ) ( 1714190 1700340 0 )
-    NEW met2 ( 1711430 1673310 ) ( 1711430 1684530 )
-    NEW met1 ( 1711430 1673310 ) ( 2739530 1673310 )
-    NEW met1 ( 2739530 62050 ) ( 2744590 62050 )
-    NEW met2 ( 2744590 2380 0 ) ( 2744590 62050 )
-    NEW met2 ( 2739530 62050 ) ( 2739530 1673310 )
-    NEW met1 ( 1711430 1673310 ) M1M2_PR
-    NEW met1 ( 2739530 1673310 ) M1M2_PR
-    NEW met1 ( 1711430 1684530 ) M1M2_PR
-    NEW met1 ( 1714190 1684530 ) M1M2_PR
-    NEW met1 ( 2739530 62050 ) M1M2_PR
-    NEW met1 ( 2744590 62050 ) M1M2_PR
+  + ROUTED met2 ( 2727570 2380 0 ) ( 2727570 17340 )
+    NEW met2 ( 2725730 17340 ) ( 2727570 17340 )
+    NEW met2 ( 1714190 1638630 ) ( 1714190 1700340 0 )
+    NEW met1 ( 1714190 1638630 ) ( 2725730 1638630 )
+    NEW met2 ( 2725730 17340 ) ( 2725730 1638630 )
+    NEW met1 ( 2725730 1638630 ) M1M2_PR
+    NEW met1 ( 1714190 1638630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) 
-  + ROUTED met1 ( 1716030 1685210 ) ( 1717870 1685210 )
-    NEW met2 ( 1717870 1685210 ) ( 1717870 1700340 0 )
-    NEW met2 ( 1716030 1611090 ) ( 1716030 1685210 )
-    NEW met1 ( 1716030 1611090 ) ( 2760230 1611090 )
-    NEW met1 ( 2760230 48450 ) ( 2762070 48450 )
-    NEW met2 ( 2762070 2380 0 ) ( 2762070 48450 )
-    NEW met2 ( 2760230 145180 ) ( 2760690 145180 )
-    NEW met3 ( 2759310 338300 ) ( 2760230 338300 )
-    NEW met1 ( 2760230 821950 ) ( 2761150 821950 )
-    NEW met3 ( 2760230 1208020 ) ( 2761150 1208020 )
-    NEW met2 ( 2760230 1304580 ) ( 2760690 1304580 )
-    NEW met2 ( 2760230 1497700 ) ( 2760690 1497700 )
-    NEW met2 ( 2760690 1497700 ) ( 2760690 1545470 )
-    NEW met1 ( 2760230 1545470 ) ( 2760690 1545470 )
-    NEW met2 ( 2760230 1545470 ) ( 2760230 1611090 )
-    NEW li1 ( 2760230 48450 ) ( 2760230 137870 )
-    NEW met2 ( 2760230 137870 ) ( 2760230 145180 )
-    NEW li1 ( 2760230 186490 ) ( 2760230 234430 )
-    NEW met1 ( 2760230 186490 ) ( 2760690 186490 )
-    NEW met2 ( 2760690 145180 ) ( 2760690 186490 )
-    NEW li1 ( 2760230 283390 ) ( 2760230 330990 )
-    NEW met2 ( 2760230 234430 ) ( 2760230 283390 )
-    NEW met2 ( 2760230 330990 ) ( 2760230 338300 )
-    NEW li1 ( 2759310 385730 ) ( 2759310 427550 )
-    NEW met1 ( 2759310 427550 ) ( 2759770 427550 )
-    NEW met2 ( 2759310 338300 ) ( 2759310 385730 )
-    NEW li1 ( 2758850 669630 ) ( 2758850 717570 )
-    NEW met1 ( 2758850 717570 ) ( 2760230 717570 )
-    NEW li1 ( 2760230 766190 ) ( 2760230 814130 )
-    NEW met2 ( 2760230 717570 ) ( 2760230 766190 )
-    NEW met2 ( 2760230 814130 ) ( 2760230 821950 )
-    NEW met3 ( 2759310 862580 ) ( 2761150 862580 )
-    NEW met2 ( 2761150 821950 ) ( 2761150 862580 )
-    NEW li1 ( 2759770 981410 ) ( 2759770 1007250 )
-    NEW met1 ( 2759310 1055870 ) ( 2760230 1055870 )
-    NEW met1 ( 2759310 1152430 ) ( 2759770 1152430 )
-    NEW met2 ( 2759770 1152430 ) ( 2759770 1200540 )
-    NEW met2 ( 2759770 1200540 ) ( 2760230 1200540 )
-    NEW met2 ( 2760230 1200540 ) ( 2760230 1208020 )
-    NEW met3 ( 2760230 1297100 ) ( 2761150 1297100 )
-    NEW met2 ( 2760230 1297100 ) ( 2760230 1304580 )
-    NEW met2 ( 2761150 1208020 ) ( 2761150 1297100 )
-    NEW met2 ( 2760230 1393660 ) ( 2760690 1393660 )
-    NEW met3 ( 2760690 1393660 ) ( 2761610 1393660 )
-    NEW met2 ( 2761610 1345550 ) ( 2761610 1393660 )
-    NEW met1 ( 2760690 1345550 ) ( 2761610 1345550 )
-    NEW met2 ( 2760690 1304580 ) ( 2760690 1345550 )
-    NEW met2 ( 2759770 1466420 ) ( 2760230 1466420 )
-    NEW met2 ( 2760230 1466420 ) ( 2760230 1497700 )
-    NEW li1 ( 2759770 434350 ) ( 2759770 435710 )
-    NEW met2 ( 2759770 427550 ) ( 2759770 434350 )
-    NEW met3 ( 2759770 524620 ) ( 2760690 524620 )
-    NEW met2 ( 2760690 524620 ) ( 2760690 572390 )
-    NEW met1 ( 2760230 572390 ) ( 2760690 572390 )
-    NEW li1 ( 2758850 627130 ) ( 2758850 668610 )
-    NEW met1 ( 2758850 627130 ) ( 2760230 627130 )
-    NEW met2 ( 2758850 668610 ) ( 2758850 669630 )
-    NEW met2 ( 2760230 572390 ) ( 2760230 627130 )
-    NEW met2 ( 2759310 910860 ) ( 2759770 910860 )
-    NEW met2 ( 2759770 910860 ) ( 2759770 917490 )
-    NEW li1 ( 2759770 917490 ) ( 2759770 958970 )
-    NEW met2 ( 2759310 862580 ) ( 2759310 910860 )
-    NEW met2 ( 2759770 958970 ) ( 2759770 981410 )
-    NEW met3 ( 2759540 1007420 ) ( 2759770 1007420 )
-    NEW met3 ( 2759540 1007420 ) ( 2759540 1008100 )
-    NEW met3 ( 2759540 1008100 ) ( 2760230 1008100 )
-    NEW met2 ( 2759770 1007250 ) ( 2759770 1007420 )
-    NEW met2 ( 2760230 1008100 ) ( 2760230 1055870 )
-    NEW met2 ( 2759310 1055870 ) ( 2759310 1152430 )
-    NEW met3 ( 2759770 1441940 ) ( 2760690 1441940 )
-    NEW met2 ( 2760690 1393830 ) ( 2760690 1441940 )
-    NEW met1 ( 2760230 1393830 ) ( 2760690 1393830 )
-    NEW met2 ( 2759770 1441940 ) ( 2759770 1466420 )
-    NEW met2 ( 2760230 1393660 ) ( 2760230 1393830 )
-    NEW met1 ( 2759770 469370 ) ( 2760230 469370 )
-    NEW li1 ( 2760230 469370 ) ( 2760230 517310 )
-    NEW met1 ( 2759770 517310 ) ( 2760230 517310 )
-    NEW met2 ( 2759770 435710 ) ( 2759770 469370 )
-    NEW met2 ( 2759770 517310 ) ( 2759770 524620 )
+  + ROUTED met2 ( 2745510 2380 0 ) ( 2745510 17510 )
+    NEW met1 ( 2739530 17510 ) ( 2745510 17510 )
+    NEW met1 ( 1716030 1684190 ) ( 1717870 1684190 )
+    NEW met2 ( 1717870 1684190 ) ( 1717870 1700340 0 )
+    NEW met2 ( 1716030 1611090 ) ( 1716030 1684190 )
+    NEW met1 ( 1716030 1611090 ) ( 2739530 1611090 )
+    NEW met2 ( 2739530 17510 ) ( 2739530 1611090 )
+    NEW met1 ( 2745510 17510 ) M1M2_PR
+    NEW met1 ( 2739530 17510 ) M1M2_PR
+    NEW met1 ( 2739530 1611090 ) M1M2_PR
     NEW met1 ( 1716030 1611090 ) M1M2_PR
-    NEW met1 ( 1716030 1685210 ) M1M2_PR
-    NEW met1 ( 1717870 1685210 ) M1M2_PR
-    NEW met1 ( 2760230 1611090 ) M1M2_PR
-    NEW li1 ( 2760230 48450 ) L1M1_PR_MR
-    NEW met1 ( 2762070 48450 ) M1M2_PR
-    NEW met2 ( 2760230 338300 ) via2_FR
-    NEW met2 ( 2759310 338300 ) via2_FR
-    NEW met1 ( 2760230 821950 ) M1M2_PR
-    NEW met1 ( 2761150 821950 ) M1M2_PR
-    NEW met2 ( 2760230 1208020 ) via2_FR
-    NEW met2 ( 2761150 1208020 ) via2_FR
-    NEW met1 ( 2760690 1545470 ) M1M2_PR
-    NEW met1 ( 2760230 1545470 ) M1M2_PR
-    NEW li1 ( 2760230 137870 ) L1M1_PR_MR
-    NEW met1 ( 2760230 137870 ) M1M2_PR
-    NEW li1 ( 2760230 234430 ) L1M1_PR_MR
-    NEW met1 ( 2760230 234430 ) M1M2_PR
-    NEW li1 ( 2760230 186490 ) L1M1_PR_MR
-    NEW met1 ( 2760690 186490 ) M1M2_PR
-    NEW li1 ( 2760230 283390 ) L1M1_PR_MR
-    NEW met1 ( 2760230 283390 ) M1M2_PR
-    NEW li1 ( 2760230 330990 ) L1M1_PR_MR
-    NEW met1 ( 2760230 330990 ) M1M2_PR
-    NEW li1 ( 2759310 385730 ) L1M1_PR_MR
-    NEW met1 ( 2759310 385730 ) M1M2_PR
-    NEW li1 ( 2759310 427550 ) L1M1_PR_MR
-    NEW met1 ( 2759770 427550 ) M1M2_PR
-    NEW li1 ( 2758850 669630 ) L1M1_PR_MR
-    NEW met1 ( 2758850 669630 ) M1M2_PR
-    NEW li1 ( 2758850 717570 ) L1M1_PR_MR
-    NEW met1 ( 2760230 717570 ) M1M2_PR
-    NEW li1 ( 2760230 766190 ) L1M1_PR_MR
-    NEW met1 ( 2760230 766190 ) M1M2_PR
-    NEW li1 ( 2760230 814130 ) L1M1_PR_MR
-    NEW met1 ( 2760230 814130 ) M1M2_PR
-    NEW met2 ( 2759310 862580 ) via2_FR
-    NEW met2 ( 2761150 862580 ) via2_FR
-    NEW li1 ( 2759770 981410 ) L1M1_PR_MR
-    NEW met1 ( 2759770 981410 ) M1M2_PR
-    NEW li1 ( 2759770 1007250 ) L1M1_PR_MR
-    NEW met1 ( 2759770 1007250 ) M1M2_PR
-    NEW met1 ( 2760230 1055870 ) M1M2_PR
-    NEW met1 ( 2759310 1055870 ) M1M2_PR
-    NEW met1 ( 2759310 1152430 ) M1M2_PR
-    NEW met1 ( 2759770 1152430 ) M1M2_PR
-    NEW met2 ( 2760230 1297100 ) via2_FR
-    NEW met2 ( 2761150 1297100 ) via2_FR
-    NEW met2 ( 2760690 1393660 ) via2_FR
-    NEW met2 ( 2761610 1393660 ) via2_FR
-    NEW met1 ( 2761610 1345550 ) M1M2_PR
-    NEW met1 ( 2760690 1345550 ) M1M2_PR
-    NEW li1 ( 2759770 434350 ) L1M1_PR_MR
-    NEW met1 ( 2759770 434350 ) M1M2_PR
-    NEW li1 ( 2759770 435710 ) L1M1_PR_MR
-    NEW met1 ( 2759770 435710 ) M1M2_PR
-    NEW met2 ( 2759770 524620 ) via2_FR
-    NEW met2 ( 2760690 524620 ) via2_FR
-    NEW met1 ( 2760690 572390 ) M1M2_PR
-    NEW met1 ( 2760230 572390 ) M1M2_PR
-    NEW li1 ( 2758850 668610 ) L1M1_PR_MR
-    NEW met1 ( 2758850 668610 ) M1M2_PR
-    NEW li1 ( 2758850 627130 ) L1M1_PR_MR
-    NEW met1 ( 2760230 627130 ) M1M2_PR
-    NEW li1 ( 2759770 917490 ) L1M1_PR_MR
-    NEW met1 ( 2759770 917490 ) M1M2_PR
-    NEW li1 ( 2759770 958970 ) L1M1_PR_MR
-    NEW met1 ( 2759770 958970 ) M1M2_PR
-    NEW met2 ( 2759770 1007420 ) via2_FR
-    NEW met2 ( 2760230 1008100 ) via2_FR
-    NEW met2 ( 2759770 1441940 ) via2_FR
-    NEW met2 ( 2760690 1441940 ) via2_FR
-    NEW met1 ( 2760690 1393830 ) M1M2_PR
-    NEW met1 ( 2760230 1393830 ) M1M2_PR
-    NEW met1 ( 2759770 469370 ) M1M2_PR
-    NEW li1 ( 2760230 469370 ) L1M1_PR_MR
-    NEW li1 ( 2760230 517310 ) L1M1_PR_MR
-    NEW met1 ( 2759770 517310 ) M1M2_PR
-    NEW met1 ( 2760230 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2760230 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2760230 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2760230 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759310 385730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2758850 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2760230 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2760230 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 981410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 1007250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 434350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 435710 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2758850 668610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 917490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2759770 958970 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 2760230 1393830 ) RECT ( -70 0 70 315 )
+    NEW met1 ( 1716030 1684190 ) M1M2_PR
+    NEW met1 ( 1717870 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) 
-  + ROUTED met2 ( 835590 2380 0 ) ( 835590 33150 )
-    NEW met2 ( 1320430 1700340 ) ( 1321350 1700340 0 )
+  + ROUTED met2 ( 1320430 1700340 ) ( 1321350 1700340 0 )
+    NEW met2 ( 830530 2380 0 ) ( 830530 33150 )
+    NEW met1 ( 830530 33150 ) ( 1320430 33150 )
     NEW met2 ( 1320430 33150 ) ( 1320430 1700340 )
-    NEW met1 ( 835590 33150 ) ( 1320430 33150 )
-    NEW met1 ( 835590 33150 ) M1M2_PR
     NEW met1 ( 1320430 33150 ) M1M2_PR
+    NEW met1 ( 830530 33150 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) 
-  + ROUTED met2 ( 1722470 1688780 ) ( 1723390 1688780 )
-    NEW met2 ( 1722470 1688780 ) ( 1722470 1700340 )
+  + ROUTED met2 ( 2763450 2380 0 ) ( 2763450 17340 )
+    NEW met2 ( 2760230 17340 ) ( 2763450 17340 )
+    NEW met1 ( 1723390 1548870 ) ( 2760230 1548870 )
+    NEW met2 ( 1722470 1661580 ) ( 1723390 1661580 )
+    NEW met2 ( 1722470 1661580 ) ( 1722470 1700340 )
     NEW met2 ( 1721550 1700340 0 ) ( 1722470 1700340 )
-    NEW met2 ( 1723390 1548870 ) ( 1723390 1688780 )
-    NEW met1 ( 2774030 37570 ) ( 2780010 37570 )
-    NEW met2 ( 2774030 37570 ) ( 2774030 1548870 )
-    NEW met2 ( 2780010 2380 0 ) ( 2780010 37570 )
-    NEW met1 ( 1723390 1548870 ) ( 2774030 1548870 )
+    NEW met2 ( 1723390 1548870 ) ( 1723390 1661580 )
+    NEW met2 ( 2760230 17340 ) ( 2760230 1548870 )
     NEW met1 ( 1723390 1548870 ) M1M2_PR
-    NEW met1 ( 2774030 1548870 ) M1M2_PR
-    NEW met1 ( 2774030 37570 ) M1M2_PR
-    NEW met1 ( 2780010 37570 ) M1M2_PR
+    NEW met1 ( 2760230 1548870 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) 
-  + ROUTED met1 ( 1725230 1685210 ) ( 1730750 1685210 )
-    NEW met2 ( 1725230 1685210 ) ( 1725230 1700340 0 )
-    NEW met1 ( 1730750 46750 ) ( 1744090 46750 )
-    NEW met2 ( 1744090 45900 ) ( 1744090 46750 )
-    NEW met2 ( 1730750 46750 ) ( 1730750 1685210 )
-    NEW met2 ( 2797950 2380 0 ) ( 2797950 47090 )
-    NEW met2 ( 1753290 45900 ) ( 1753290 47090 )
-    NEW met3 ( 1744090 45900 ) ( 1753290 45900 )
-    NEW met1 ( 1753290 47090 ) ( 2797950 47090 )
-    NEW met1 ( 1730750 1685210 ) M1M2_PR
-    NEW met1 ( 1725230 1685210 ) M1M2_PR
-    NEW met1 ( 1730750 46750 ) M1M2_PR
-    NEW met1 ( 1744090 46750 ) M1M2_PR
-    NEW met2 ( 1744090 45900 ) via2_FR
-    NEW met1 ( 2797950 47090 ) M1M2_PR
-    NEW met2 ( 1753290 45900 ) via2_FR
-    NEW met1 ( 1753290 47090 ) M1M2_PR
+  + ROUTED met2 ( 2780930 2380 0 ) ( 2780930 7140 )
+    NEW met2 ( 2780930 7140 ) ( 2781390 7140 )
+    NEW met2 ( 1725230 1673310 ) ( 1725230 1700340 0 )
+    NEW met2 ( 2781390 7140 ) ( 2781390 1673310 )
+    NEW met1 ( 1725230 1673310 ) ( 2781390 1673310 )
+    NEW met1 ( 2781390 1673310 ) M1M2_PR
+    NEW met1 ( 1725230 1673310 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) 
-  + ROUTED met1 ( 1728910 1685890 ) ( 1730290 1685890 )
-    NEW met2 ( 1728910 1685890 ) ( 1728910 1700340 0 )
-    NEW met2 ( 1730290 55930 ) ( 1730290 1685890 )
-    NEW li1 ( 1754210 45050 ) ( 1754210 55930 )
-    NEW met1 ( 1754210 45050 ) ( 1776290 45050 )
-    NEW li1 ( 1776290 45050 ) ( 1777670 45050 )
-    NEW li1 ( 1777670 45050 ) ( 1777670 46750 )
-    NEW met1 ( 1730290 55930 ) ( 1754210 55930 )
-    NEW met1 ( 1777670 46750 ) ( 2815890 46750 )
-    NEW met2 ( 2815890 2380 0 ) ( 2815890 46750 )
-    NEW met1 ( 1730290 1685890 ) M1M2_PR
-    NEW met1 ( 1728910 1685890 ) M1M2_PR
-    NEW met1 ( 1730290 55930 ) M1M2_PR
-    NEW li1 ( 1754210 55930 ) L1M1_PR_MR
-    NEW li1 ( 1754210 45050 ) L1M1_PR_MR
-    NEW li1 ( 1776290 45050 ) L1M1_PR_MR
-    NEW li1 ( 1777670 46750 ) L1M1_PR_MR
-    NEW met1 ( 2815890 46750 ) M1M2_PR
+  + ROUTED met2 ( 1773070 46750 ) ( 1773070 47260 )
+    NEW met2 ( 2798870 2380 0 ) ( 2798870 46750 )
+    NEW met1 ( 1730750 45050 ) ( 1744550 45050 )
+    NEW met2 ( 1744550 45050 ) ( 1744550 47260 )
+    NEW met3 ( 1744550 47260 ) ( 1773070 47260 )
+    NEW met1 ( 1728910 1684530 ) ( 1730750 1684530 )
+    NEW met2 ( 1728910 1684530 ) ( 1728910 1700340 0 )
+    NEW met2 ( 1730750 45050 ) ( 1730750 1684530 )
+    NEW met1 ( 1773070 46750 ) ( 2798870 46750 )
+    NEW met2 ( 1773070 47260 ) via2_FR
+    NEW met1 ( 1773070 46750 ) M1M2_PR
+    NEW met1 ( 2798870 46750 ) M1M2_PR
+    NEW met1 ( 1730750 45050 ) M1M2_PR
+    NEW met1 ( 1744550 45050 ) M1M2_PR
+    NEW met2 ( 1744550 47260 ) via2_FR
+    NEW met1 ( 1730750 1684530 ) M1M2_PR
+    NEW met1 ( 1728910 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) 
-  + ROUTED met1 ( 1732590 1685550 ) ( 1737650 1685550 )
-    NEW met2 ( 1732590 1685550 ) ( 1732590 1700340 0 )
-    NEW met3 ( 1737650 47260 ) ( 1751910 47260 )
-    NEW met2 ( 1751910 47090 ) ( 1751910 47260 )
-    NEW met2 ( 1737650 47260 ) ( 1737650 1685550 )
-    NEW li1 ( 1752830 47090 ) ( 1753290 47090 )
-    NEW li1 ( 1753290 45730 ) ( 1753290 47090 )
-    NEW met1 ( 1751910 47090 ) ( 1752830 47090 )
-    NEW met1 ( 1753290 45730 ) ( 2833830 45730 )
-    NEW met2 ( 2833830 2380 0 ) ( 2833830 45730 )
-    NEW met1 ( 1737650 1685550 ) M1M2_PR
-    NEW met1 ( 1732590 1685550 ) M1M2_PR
-    NEW met2 ( 1737650 47260 ) via2_FR
-    NEW met2 ( 1751910 47260 ) via2_FR
-    NEW met1 ( 1751910 47090 ) M1M2_PR
-    NEW li1 ( 1752830 47090 ) L1M1_PR_MR
-    NEW li1 ( 1753290 45730 ) L1M1_PR_MR
-    NEW met1 ( 2833830 45730 ) M1M2_PR
+  + ROUTED met2 ( 1770770 45730 ) ( 1770770 45900 )
+    NEW met2 ( 2816350 2380 0 ) ( 2816350 45730 )
+    NEW met1 ( 1732130 1631490 ) ( 1737650 1631490 )
+    NEW met3 ( 1737650 45900 ) ( 1770770 45900 )
+    NEW met2 ( 1737650 45900 ) ( 1737650 1631490 )
+    NEW met2 ( 1732130 1700340 ) ( 1732590 1700340 0 )
+    NEW met2 ( 1732130 1631490 ) ( 1732130 1700340 )
+    NEW met1 ( 1770770 45730 ) ( 2816350 45730 )
+    NEW met2 ( 1770770 45900 ) via2_FR
+    NEW met1 ( 1770770 45730 ) M1M2_PR
+    NEW met1 ( 2816350 45730 ) M1M2_PR
+    NEW met1 ( 1732130 1631490 ) M1M2_PR
+    NEW met1 ( 1737650 1631490 ) M1M2_PR
+    NEW met2 ( 1737650 45900 ) via2_FR
 + USE SIGNAL ;
 - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) 
-  + ROUTED met1 ( 1736270 1684870 ) ( 1738110 1684870 )
-    NEW met2 ( 1736270 1684870 ) ( 1736270 1700340 0 )
-    NEW met1 ( 1738110 56270 ) ( 1752370 56270 )
-    NEW li1 ( 1752370 46750 ) ( 1752370 56270 )
-    NEW met2 ( 1738110 56270 ) ( 1738110 1684870 )
-    NEW li1 ( 1777210 45390 ) ( 1777210 46750 )
-    NEW met1 ( 1752370 46750 ) ( 1777210 46750 )
-    NEW met1 ( 1777210 45390 ) ( 2851310 45390 )
-    NEW met2 ( 2851310 2380 0 ) ( 2851310 45390 )
-    NEW met1 ( 1738110 1684870 ) M1M2_PR
-    NEW met1 ( 1736270 1684870 ) M1M2_PR
-    NEW met1 ( 1738110 56270 ) M1M2_PR
-    NEW li1 ( 1752370 56270 ) L1M1_PR_MR
-    NEW li1 ( 1752370 46750 ) L1M1_PR_MR
-    NEW li1 ( 1777210 46750 ) L1M1_PR_MR
-    NEW li1 ( 1777210 45390 ) L1M1_PR_MR
-    NEW met1 ( 2851310 45390 ) M1M2_PR
+  + ROUTED li1 ( 1772610 46750 ) ( 1773070 46750 )
+    NEW li1 ( 1773070 45390 ) ( 1773070 46750 )
+    NEW met2 ( 2834290 2380 0 ) ( 2834290 45390 )
+    NEW met1 ( 1738110 46750 ) ( 1772610 46750 )
+    NEW met1 ( 1736270 1684190 ) ( 1738110 1684190 )
+    NEW met2 ( 1736270 1684190 ) ( 1736270 1700340 0 )
+    NEW met2 ( 1738110 46750 ) ( 1738110 1684190 )
+    NEW met1 ( 1773070 45390 ) ( 2834290 45390 )
+    NEW li1 ( 1772610 46750 ) L1M1_PR_MR
+    NEW li1 ( 1773070 45390 ) L1M1_PR_MR
+    NEW met1 ( 2834290 45390 ) M1M2_PR
+    NEW met1 ( 1738110 46750 ) M1M2_PR
+    NEW met1 ( 1738110 1684190 ) M1M2_PR
+    NEW met1 ( 1736270 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) 
-  + ROUTED met1 ( 1739950 1685210 ) ( 1745010 1685210 )
-    NEW met2 ( 1739950 1685210 ) ( 1739950 1700340 0 )
-    NEW met1 ( 1745010 46750 ) ( 1750990 46750 )
-    NEW li1 ( 1750990 46750 ) ( 1750990 47430 )
-    NEW li1 ( 1750990 47430 ) ( 1751910 47430 )
-    NEW li1 ( 1751910 46410 ) ( 1751910 47430 )
-    NEW li1 ( 1751910 46410 ) ( 1752370 46410 )
-    NEW li1 ( 1752370 45390 ) ( 1752370 46410 )
-    NEW met2 ( 1745010 46750 ) ( 1745010 1685210 )
-    NEW met2 ( 2869250 2380 0 ) ( 2869250 45050 )
-    NEW met1 ( 1776750 45050 ) ( 1776750 45390 )
-    NEW met1 ( 1752370 45390 ) ( 1776750 45390 )
-    NEW met1 ( 1776750 45050 ) ( 2869250 45050 )
-    NEW met1 ( 1745010 1685210 ) M1M2_PR
-    NEW met1 ( 1739950 1685210 ) M1M2_PR
-    NEW met1 ( 1745010 46750 ) M1M2_PR
-    NEW li1 ( 1750990 46750 ) L1M1_PR_MR
-    NEW li1 ( 1752370 45390 ) L1M1_PR_MR
-    NEW met1 ( 2869250 45050 ) M1M2_PR
+  + ROUTED met2 ( 2851770 2380 0 ) ( 2851770 45050 )
+    NEW met2 ( 1745010 1677900 ) ( 1745470 1677900 )
+    NEW met2 ( 1745470 1677900 ) ( 1745470 1684530 )
+    NEW met1 ( 1739950 1684530 ) ( 1745470 1684530 )
+    NEW met2 ( 1739950 1684530 ) ( 1739950 1700340 0 )
+    NEW met1 ( 1745010 80410 ) ( 1774450 80410 )
+    NEW li1 ( 1774450 45050 ) ( 1774450 80410 )
+    NEW met2 ( 1745010 80410 ) ( 1745010 1677900 )
+    NEW met1 ( 1774450 45050 ) ( 2851770 45050 )
+    NEW met1 ( 2851770 45050 ) M1M2_PR
+    NEW met1 ( 1745470 1684530 ) M1M2_PR
+    NEW met1 ( 1739950 1684530 ) M1M2_PR
+    NEW met1 ( 1745010 80410 ) M1M2_PR
+    NEW li1 ( 1774450 80410 ) L1M1_PR_MR
+    NEW li1 ( 1774450 45050 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) 
-  + ROUTED met2 ( 1743630 1700340 0 ) ( 1744550 1700340 )
-    NEW met1 ( 1744550 47090 ) ( 1751450 47090 )
-    NEW li1 ( 1751450 45730 ) ( 1751450 47090 )
-    NEW li1 ( 1751450 45730 ) ( 1751910 45730 )
-    NEW met2 ( 1744550 47090 ) ( 1744550 1700340 )
-    NEW met2 ( 2887190 2380 0 ) ( 2887190 44710 )
-    NEW li1 ( 1752830 44710 ) ( 1752830 45730 )
-    NEW li1 ( 1752830 44710 ) ( 1753290 44710 )
-    NEW met1 ( 1751910 45730 ) ( 1752830 45730 )
-    NEW met1 ( 1753290 44710 ) ( 2887190 44710 )
-    NEW met1 ( 1744550 47090 ) M1M2_PR
-    NEW li1 ( 1751450 47090 ) L1M1_PR_MR
-    NEW li1 ( 1751910 45730 ) L1M1_PR_MR
-    NEW met1 ( 2887190 44710 ) M1M2_PR
-    NEW li1 ( 1752830 45730 ) L1M1_PR_MR
-    NEW li1 ( 1753290 44710 ) L1M1_PR_MR
+  + ROUTED met2 ( 2869710 2380 0 ) ( 2869710 44540 )
+    NEW met2 ( 1743630 1700340 0 ) ( 1744550 1700340 )
+    NEW met3 ( 1744090 44540 ) ( 2869710 44540 )
+    NEW met2 ( 1744090 61540 ) ( 1744550 61540 )
+    NEW met2 ( 1744090 44540 ) ( 1744090 61540 )
+    NEW met2 ( 1744550 61540 ) ( 1744550 1700340 )
+    NEW met2 ( 2869710 44540 ) via2_FR
+    NEW met2 ( 1744090 44540 ) via2_FR
 + USE SIGNAL ;
 - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) 
-  + ROUTED met2 ( 2905130 2380 0 ) ( 2905130 7140 )
-    NEW met2 ( 2905130 7140 ) ( 2905590 7140 )
-    NEW met1 ( 1747310 1685890 ) ( 1752370 1685890 )
-    NEW met2 ( 1747310 1685890 ) ( 1747310 1700340 0 )
-    NEW met2 ( 1752370 44540 ) ( 1752370 1685890 )
-    NEW met2 ( 2905590 7140 ) ( 2905590 44540 )
-    NEW met3 ( 1752370 44540 ) ( 2905590 44540 )
-    NEW met1 ( 1752370 1685890 ) M1M2_PR
-    NEW met1 ( 1747310 1685890 ) M1M2_PR
-    NEW met2 ( 1752370 44540 ) via2_FR
-    NEW met2 ( 2905590 44540 ) via2_FR
+  + ROUTED met2 ( 2887190 2380 0 ) ( 2887190 44710 )
+    NEW met1 ( 1747310 1684190 ) ( 1752370 1684190 )
+    NEW met2 ( 1747310 1684190 ) ( 1747310 1700340 0 )
+    NEW met1 ( 1752370 82790 ) ( 1774910 82790 )
+    NEW li1 ( 1774910 44710 ) ( 1774910 82790 )
+    NEW met2 ( 1752370 82790 ) ( 1752370 1684190 )
+    NEW met1 ( 1774910 44710 ) ( 2887190 44710 )
+    NEW met1 ( 2887190 44710 ) M1M2_PR
+    NEW met1 ( 1752370 1684190 ) M1M2_PR
+    NEW met1 ( 1747310 1684190 ) M1M2_PR
+    NEW met1 ( 1752370 82790 ) M1M2_PR
+    NEW li1 ( 1774910 82790 ) L1M1_PR_MR
+    NEW li1 ( 1774910 44710 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) 
-  + ROUTED met2 ( 853070 2380 0 ) ( 853070 33490 )
-    NEW met2 ( 1325030 1700340 0 ) ( 1325490 1700340 )
-    NEW met2 ( 1325490 33490 ) ( 1325490 1700340 )
-    NEW met1 ( 853070 33490 ) ( 1325490 33490 )
-    NEW met1 ( 853070 33490 ) M1M2_PR
-    NEW met1 ( 1325490 33490 ) M1M2_PR
+  + ROUTED met2 ( 848010 2380 0 ) ( 848010 33490 )
+    NEW met2 ( 1325030 33490 ) ( 1325030 1700340 0 )
+    NEW met1 ( 848010 33490 ) ( 1325030 33490 )
+    NEW met1 ( 848010 33490 ) M1M2_PR
+    NEW met1 ( 1325030 33490 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) 
-  + ROUTED met2 ( 871010 2380 0 ) ( 871010 33830 )
+  + ROUTED met2 ( 865950 2380 0 ) ( 865950 33830 )
+    NEW met1 ( 1325490 1677730 ) ( 1327790 1677730 )
+    NEW met2 ( 1327790 1677730 ) ( 1327790 1700340 )
     NEW met2 ( 1327790 1700340 ) ( 1328710 1700340 0 )
-    NEW met2 ( 1327790 33830 ) ( 1327790 1700340 )
-    NEW met1 ( 871010 33830 ) ( 1327790 33830 )
-    NEW met1 ( 871010 33830 ) M1M2_PR
-    NEW met1 ( 1327790 33830 ) M1M2_PR
+    NEW met2 ( 1325490 33830 ) ( 1325490 1677730 )
+    NEW met1 ( 865950 33830 ) ( 1325490 33830 )
+    NEW met1 ( 865950 33830 ) M1M2_PR
+    NEW met1 ( 1325490 33830 ) M1M2_PR
+    NEW met1 ( 1325490 1677730 ) M1M2_PR
+    NEW met1 ( 1327790 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) 
   + ROUTED met2 ( 1332390 34170 ) ( 1332390 1700340 0 )
-    NEW met2 ( 888950 2380 0 ) ( 888950 34170 )
-    NEW met1 ( 888950 34170 ) ( 1332390 34170 )
+    NEW met2 ( 883430 2380 0 ) ( 883430 34170 )
+    NEW met1 ( 883430 34170 ) ( 1332390 34170 )
     NEW met1 ( 1332390 34170 ) M1M2_PR
-    NEW met1 ( 888950 34170 ) M1M2_PR
+    NEW met1 ( 883430 34170 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) 
-  + ROUTED met2 ( 1334230 1677900 ) ( 1335610 1677900 )
-    NEW met2 ( 1335610 1677900 ) ( 1335610 1700340 )
+  + ROUTED met2 ( 1334230 1677220 ) ( 1335610 1677220 )
+    NEW met2 ( 1335610 1677220 ) ( 1335610 1700340 )
     NEW met2 ( 1335610 1700340 ) ( 1336070 1700340 0 )
-    NEW met2 ( 1334230 30430 ) ( 1334230 1677900 )
-    NEW met2 ( 906890 2380 0 ) ( 906890 30430 )
-    NEW met1 ( 906890 30430 ) ( 1334230 30430 )
+    NEW met2 ( 1334230 30430 ) ( 1334230 1677220 )
+    NEW met2 ( 901370 2380 0 ) ( 901370 30430 )
+    NEW met1 ( 901370 30430 ) ( 1334230 30430 )
     NEW met1 ( 1334230 30430 ) M1M2_PR
-    NEW met1 ( 906890 30430 ) M1M2_PR
+    NEW met1 ( 901370 30430 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) 
-  + ROUTED met2 ( 1339750 1678580 ) ( 1340670 1678580 )
-    NEW met2 ( 1340670 1678580 ) ( 1340670 1679940 )
-    NEW met2 ( 1339750 1679940 ) ( 1340670 1679940 )
-    NEW met2 ( 1339750 29410 ) ( 1339750 1678580 )
-    NEW met2 ( 924370 2380 0 ) ( 924370 29410 )
-    NEW met1 ( 924370 29410 ) ( 1339750 29410 )
-    NEW met2 ( 1339750 1679940 ) ( 1339750 1700340 0 )
-    NEW met1 ( 1339750 29410 ) M1M2_PR
-    NEW met1 ( 924370 29410 ) M1M2_PR
+  + ROUTED met2 ( 1339290 1700340 ) ( 1339750 1700340 0 )
+    NEW met2 ( 1339290 29410 ) ( 1339290 1700340 )
+    NEW met2 ( 918850 2380 0 ) ( 918850 29410 )
+    NEW met1 ( 918850 29410 ) ( 1339290 29410 )
+    NEW met1 ( 1339290 29410 ) M1M2_PR
+    NEW met1 ( 918850 29410 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) 
-  + ROUTED met2 ( 942310 2380 0 ) ( 942310 29070 )
-    NEW met1 ( 1339290 1678750 ) ( 1342510 1678750 )
-    NEW met2 ( 1342510 1678750 ) ( 1342510 1700340 )
-    NEW met2 ( 1342510 1700340 ) ( 1343430 1700340 0 )
-    NEW met2 ( 1339290 29070 ) ( 1339290 1678750 )
-    NEW met1 ( 942310 29070 ) ( 1339290 29070 )
-    NEW met1 ( 942310 29070 ) M1M2_PR
-    NEW met1 ( 1339290 29070 ) M1M2_PR
-    NEW met1 ( 1339290 1678750 ) M1M2_PR
-    NEW met1 ( 1342510 1678750 ) M1M2_PR
+  + ROUTED met2 ( 1341590 882980 ) ( 1342050 882980 )
+    NEW met2 ( 1341590 1076100 ) ( 1342050 1076100 )
+    NEW met2 ( 936790 2380 0 ) ( 936790 29070 )
+    NEW met2 ( 1341590 883660 ) ( 1342050 883660 )
+    NEW met2 ( 1341590 882980 ) ( 1341590 883660 )
+    NEW met2 ( 1341590 1076780 ) ( 1342050 1076780 )
+    NEW met2 ( 1341590 1076100 ) ( 1341590 1076780 )
+    NEW met2 ( 1342970 1700340 ) ( 1343430 1700340 0 )
+    NEW met3 ( 1341820 772820 ) ( 1342050 772820 )
+    NEW met3 ( 1341820 772820 ) ( 1341820 773500 )
+    NEW met3 ( 1341820 773500 ) ( 1342050 773500 )
+    NEW met2 ( 1342050 773500 ) ( 1342050 882980 )
+    NEW li1 ( 1342050 1256130 ) ( 1342050 1304070 )
+    NEW met2 ( 1342050 1076780 ) ( 1342050 1256130 )
+    NEW met1 ( 1342050 1545810 ) ( 1342510 1545810 )
+    NEW met2 ( 1342510 1545810 ) ( 1342510 1593580 )
+    NEW met2 ( 1342050 1593580 ) ( 1342510 1593580 )
+    NEW met1 ( 1341590 1642370 ) ( 1342970 1642370 )
+    NEW met2 ( 1342970 1642370 ) ( 1342970 1700340 )
+    NEW li1 ( 1342050 1027650 ) ( 1342050 1028670 )
+    NEW met2 ( 1342050 883660 ) ( 1342050 1027650 )
+    NEW met2 ( 1342050 1028670 ) ( 1342050 1076100 )
+    NEW li1 ( 1342050 1413890 ) ( 1342050 1414910 )
+    NEW met2 ( 1342050 1304070 ) ( 1342050 1413890 )
+    NEW li1 ( 1341590 1607010 ) ( 1341590 1641690 )
+    NEW met2 ( 1341590 1594260 ) ( 1341590 1607010 )
+    NEW met2 ( 1341590 1594260 ) ( 1342050 1594260 )
+    NEW met2 ( 1341590 1641690 ) ( 1341590 1642370 )
+    NEW met2 ( 1342050 1593580 ) ( 1342050 1594260 )
+    NEW met1 ( 936790 29070 ) ( 1342050 29070 )
+    NEW met1 ( 1341590 234430 ) ( 1342510 234430 )
+    NEW met2 ( 1342050 717570 ) ( 1342050 772820 )
+    NEW met1 ( 1342050 1462510 ) ( 1342050 1462850 )
+    NEW met1 ( 1341590 1462850 ) ( 1342050 1462850 )
+    NEW met2 ( 1341590 1462850 ) ( 1341590 1463020 )
+    NEW met2 ( 1341590 1463020 ) ( 1342050 1463020 )
+    NEW met2 ( 1342050 1414910 ) ( 1342050 1462510 )
+    NEW met2 ( 1342050 1463020 ) ( 1342050 1545810 )
+    NEW met2 ( 1341590 202980 ) ( 1342510 202980 )
+    NEW met2 ( 1342510 202980 ) ( 1342510 234430 )
+    NEW li1 ( 1341590 276250 ) ( 1341590 324190 )
+    NEW met2 ( 1341590 234430 ) ( 1341590 276250 )
+    NEW met2 ( 1341590 372980 ) ( 1342050 372980 )
+    NEW met3 ( 1340670 372980 ) ( 1341590 372980 )
+    NEW met2 ( 1340670 372980 ) ( 1340670 397460 )
+    NEW met2 ( 1340670 397460 ) ( 1341590 397460 )
+    NEW met1 ( 1341590 469370 ) ( 1342050 469370 )
+    NEW met2 ( 1341590 469370 ) ( 1341590 524450 )
+    NEW li1 ( 1341590 524450 ) ( 1341590 589730 )
+    NEW li1 ( 1342050 686630 ) ( 1342050 717570 )
+    NEW li1 ( 1342050 58310 ) ( 1342050 82790 )
+    NEW met2 ( 1342050 29070 ) ( 1342050 58310 )
+    NEW li1 ( 1341590 131410 ) ( 1341590 179010 )
+    NEW met1 ( 1341590 131410 ) ( 1342050 131410 )
+    NEW met2 ( 1341590 179010 ) ( 1341590 202980 )
+    NEW met2 ( 1342050 82790 ) ( 1342050 131410 )
+    NEW li1 ( 1341590 324870 ) ( 1341590 352070 )
+    NEW met2 ( 1341590 352070 ) ( 1341590 372300 )
+    NEW met2 ( 1341590 372300 ) ( 1342050 372300 )
+    NEW met2 ( 1341590 324190 ) ( 1341590 324870 )
+    NEW met2 ( 1342050 372300 ) ( 1342050 372980 )
+    NEW met2 ( 1341590 468860 ) ( 1342050 468860 )
+    NEW met2 ( 1341590 397460 ) ( 1341590 468860 )
+    NEW met2 ( 1342050 468860 ) ( 1342050 469370 )
+    NEW met2 ( 1341590 638180 ) ( 1342050 638180 )
+    NEW met2 ( 1341590 589730 ) ( 1341590 638180 )
+    NEW met2 ( 1342050 638180 ) ( 1342050 686630 )
+    NEW met1 ( 936790 29070 ) M1M2_PR
+    NEW met2 ( 1342050 772820 ) via2_FR
+    NEW met2 ( 1342050 773500 ) via2_FR
+    NEW li1 ( 1342050 1256130 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1256130 ) M1M2_PR
+    NEW li1 ( 1342050 1304070 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1304070 ) M1M2_PR
+    NEW met1 ( 1342050 1545810 ) M1M2_PR
+    NEW met1 ( 1342510 1545810 ) M1M2_PR
+    NEW met1 ( 1341590 1642370 ) M1M2_PR
+    NEW met1 ( 1342970 1642370 ) M1M2_PR
+    NEW li1 ( 1342050 1027650 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1027650 ) M1M2_PR
+    NEW li1 ( 1342050 1028670 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1028670 ) M1M2_PR
+    NEW li1 ( 1342050 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1413890 ) M1M2_PR
+    NEW li1 ( 1342050 1414910 ) L1M1_PR_MR
+    NEW met1 ( 1342050 1414910 ) M1M2_PR
+    NEW li1 ( 1341590 1641690 ) L1M1_PR_MR
+    NEW met1 ( 1341590 1641690 ) M1M2_PR
+    NEW li1 ( 1341590 1607010 ) L1M1_PR_MR
+    NEW met1 ( 1341590 1607010 ) M1M2_PR
+    NEW met1 ( 1342050 29070 ) M1M2_PR
+    NEW met1 ( 1341590 234430 ) M1M2_PR
+    NEW met1 ( 1342510 234430 ) M1M2_PR
+    NEW li1 ( 1342050 717570 ) L1M1_PR_MR
+    NEW met1 ( 1342050 717570 ) M1M2_PR
+    NEW met1 ( 1342050 1462510 ) M1M2_PR
+    NEW met1 ( 1341590 1462850 ) M1M2_PR
+    NEW li1 ( 1341590 524450 ) L1M1_PR_MR
+    NEW met1 ( 1341590 524450 ) M1M2_PR
+    NEW li1 ( 1341590 276250 ) L1M1_PR_MR
+    NEW met1 ( 1341590 276250 ) M1M2_PR
+    NEW li1 ( 1341590 324190 ) L1M1_PR_MR
+    NEW met1 ( 1341590 324190 ) M1M2_PR
+    NEW met2 ( 1341590 372980 ) via2_FR
+    NEW met2 ( 1340670 372980 ) via2_FR
+    NEW met1 ( 1341590 469370 ) M1M2_PR
+    NEW met1 ( 1342050 469370 ) M1M2_PR
+    NEW li1 ( 1341590 589730 ) L1M1_PR_MR
+    NEW met1 ( 1341590 589730 ) M1M2_PR
+    NEW li1 ( 1342050 686630 ) L1M1_PR_MR
+    NEW met1 ( 1342050 686630 ) M1M2_PR
+    NEW li1 ( 1342050 58310 ) L1M1_PR_MR
+    NEW met1 ( 1342050 58310 ) M1M2_PR
+    NEW li1 ( 1342050 82790 ) L1M1_PR_MR
+    NEW met1 ( 1342050 82790 ) M1M2_PR
+    NEW li1 ( 1341590 179010 ) L1M1_PR_MR
+    NEW met1 ( 1341590 179010 ) M1M2_PR
+    NEW li1 ( 1341590 131410 ) L1M1_PR_MR
+    NEW met1 ( 1342050 131410 ) M1M2_PR
+    NEW li1 ( 1341590 324870 ) L1M1_PR_MR
+    NEW met1 ( 1341590 324870 ) M1M2_PR
+    NEW li1 ( 1341590 352070 ) L1M1_PR_MR
+    NEW met1 ( 1341590 352070 ) M1M2_PR
+    NEW met1 ( 1342050 1256130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 1304070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 1027650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 1028670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 1413890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 1414910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 1641690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 1607010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 717570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 524450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 276250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 324190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 589730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 686630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 58310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1342050 82790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 179010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 324870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1341590 352070 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) 
-  + ROUTED met2 ( 960250 2380 0 ) ( 960250 28730 )
-    NEW met2 ( 1347110 28730 ) ( 1347110 1700340 0 )
-    NEW met1 ( 960250 28730 ) ( 1347110 28730 )
-    NEW met1 ( 960250 28730 ) M1M2_PR
-    NEW met1 ( 1347110 28730 ) M1M2_PR
+  + ROUTED met2 ( 954270 2380 0 ) ( 954270 28730 )
+    NEW met2 ( 1346650 1700340 ) ( 1347110 1700340 0 )
+    NEW met2 ( 1346650 28730 ) ( 1346650 1700340 )
+    NEW met1 ( 954270 28730 ) ( 1346650 28730 )
+    NEW met1 ( 954270 28730 ) M1M2_PR
+    NEW met1 ( 1346650 28730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) 
-  + ROUTED met1 ( 1346650 1678750 ) ( 1349870 1678750 )
-    NEW met2 ( 1349870 1678750 ) ( 1349870 1700340 )
+  + ROUTED met2 ( 972210 2380 0 ) ( 972210 28390 )
     NEW met2 ( 1349870 1700340 ) ( 1350790 1700340 0 )
-    NEW met2 ( 1346650 28390 ) ( 1346650 1678750 )
-    NEW met2 ( 978190 2380 0 ) ( 978190 13090 )
-    NEW li1 ( 978190 13090 ) ( 978190 28390 )
-    NEW met1 ( 978190 28390 ) ( 1346650 28390 )
-    NEW met1 ( 1346650 28390 ) M1M2_PR
-    NEW met1 ( 1346650 1678750 ) M1M2_PR
-    NEW met1 ( 1349870 1678750 ) M1M2_PR
-    NEW li1 ( 978190 13090 ) L1M1_PR_MR
-    NEW met1 ( 978190 13090 ) M1M2_PR
-    NEW li1 ( 978190 28390 ) L1M1_PR_MR
-    NEW met1 ( 978190 13090 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1349870 28390 ) ( 1349870 1700340 )
+    NEW met1 ( 972210 28390 ) ( 1349870 28390 )
+    NEW met1 ( 972210 28390 ) M1M2_PR
+    NEW met1 ( 1349870 28390 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) 
-  + ROUTED met2 ( 657110 2380 0 ) ( 657110 31790 )
-    NEW met1 ( 657110 31790 ) ( 1284550 31790 )
-    NEW met2 ( 1284550 31790 ) ( 1284550 1700340 0 )
-    NEW met1 ( 657110 31790 ) M1M2_PR
-    NEW met1 ( 1284550 31790 ) M1M2_PR
+  + ROUTED met2 ( 652970 2380 0 ) ( 652970 31790 )
+    NEW met1 ( 1270290 31790 ) ( 1270290 32130 )
+    NEW met1 ( 1270290 32130 ) ( 1284550 32130 )
+    NEW met1 ( 652970 31790 ) ( 1270290 31790 )
+    NEW met2 ( 1284550 32130 ) ( 1284550 1700340 0 )
+    NEW met1 ( 652970 31790 ) M1M2_PR
+    NEW met1 ( 1284550 32130 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) 
   + ROUTED met2 ( 1354010 1700340 ) ( 1354470 1700340 0 )
-    NEW met2 ( 996130 2380 0 ) ( 996130 28050 )
-    NEW met1 ( 996130 28050 ) ( 1354010 28050 )
+    NEW met2 ( 989690 2380 0 ) ( 989690 28050 )
+    NEW met1 ( 989690 28050 ) ( 1354010 28050 )
     NEW met2 ( 1354010 28050 ) ( 1354010 1700340 )
     NEW met1 ( 1354010 28050 ) M1M2_PR
-    NEW met1 ( 996130 28050 ) M1M2_PR
+    NEW met1 ( 989690 28050 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) 
-  + ROUTED met1 ( 1353550 1678750 ) ( 1357230 1678750 )
-    NEW met2 ( 1357230 1678750 ) ( 1357230 1700340 )
+  + ROUTED met1 ( 1353550 1677730 ) ( 1357230 1677730 )
+    NEW met2 ( 1357230 1677730 ) ( 1357230 1700340 )
     NEW met2 ( 1357230 1700340 ) ( 1358150 1700340 0 )
-    NEW met2 ( 1013610 2380 0 ) ( 1013610 27710 )
-    NEW met1 ( 1013610 27710 ) ( 1353550 27710 )
-    NEW met2 ( 1353550 27710 ) ( 1353550 1678750 )
+    NEW met2 ( 1007630 2380 0 ) ( 1007630 27710 )
+    NEW met1 ( 1007630 27710 ) ( 1353550 27710 )
+    NEW met2 ( 1353550 27710 ) ( 1353550 1677730 )
     NEW met1 ( 1353550 27710 ) M1M2_PR
-    NEW met1 ( 1353550 1678750 ) M1M2_PR
-    NEW met1 ( 1357230 1678750 ) M1M2_PR
-    NEW met1 ( 1013610 27710 ) M1M2_PR
+    NEW met1 ( 1353550 1677730 ) M1M2_PR
+    NEW met1 ( 1357230 1677730 ) M1M2_PR
+    NEW met1 ( 1007630 27710 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) 
-  + ROUTED met2 ( 1031550 2380 0 ) ( 1031550 29580 )
-    NEW met2 ( 1077090 29580 ) ( 1077090 30770 )
-    NEW met3 ( 1031550 29580 ) ( 1077090 29580 )
-    NEW li1 ( 1361830 241570 ) ( 1361830 289510 )
-    NEW li1 ( 1361830 30770 ) ( 1361830 41310 )
-    NEW met1 ( 1077090 30770 ) ( 1361830 30770 )
-    NEW li1 ( 1361830 90270 ) ( 1361830 137870 )
-    NEW met2 ( 1361830 41310 ) ( 1361830 90270 )
-    NEW li1 ( 1361830 186490 ) ( 1361830 234430 )
-    NEW met2 ( 1361830 137870 ) ( 1361830 186490 )
-    NEW met2 ( 1361830 234430 ) ( 1361830 241570 )
-    NEW met2 ( 1361830 1684020 ) ( 1362290 1684020 )
-    NEW met2 ( 1362290 1684020 ) ( 1362290 1700340 )
-    NEW met2 ( 1361830 1700340 0 ) ( 1362290 1700340 )
-    NEW met2 ( 1361830 1579980 ) ( 1362290 1579980 )
-    NEW met2 ( 1361830 1579980 ) ( 1361830 1684020 )
-    NEW met1 ( 1361830 831130 ) ( 1361830 831470 )
-    NEW met1 ( 1361830 831470 ) ( 1362290 831470 )
-    NEW met2 ( 1362290 831470 ) ( 1362290 838780 )
-    NEW met2 ( 1362290 838780 ) ( 1362750 838780 )
-    NEW li1 ( 1361830 559130 ) ( 1361830 607070 )
-    NEW met2 ( 1361830 289510 ) ( 1361830 559130 )
-    NEW met2 ( 1361830 607070 ) ( 1361830 831130 )
-    NEW met2 ( 1361830 896580 ) ( 1362290 896580 )
-    NEW met2 ( 1361830 855100 ) ( 1361830 896580 )
-    NEW met2 ( 1361830 855100 ) ( 1362750 855100 )
-    NEW met2 ( 1362750 838780 ) ( 1362750 855100 )
-    NEW met2 ( 1361830 1524900 ) ( 1362290 1524900 )
-    NEW met2 ( 1362290 1524900 ) ( 1362290 1579980 )
-    NEW met1 ( 1360450 1524730 ) ( 1361830 1524730 )
-    NEW met2 ( 1361830 1524730 ) ( 1361830 1524900 )
-    NEW met2 ( 1361830 986340 ) ( 1362290 986340 )
-    NEW met2 ( 1362290 945370 ) ( 1362290 986340 )
-    NEW met1 ( 1362290 944690 ) ( 1362290 945370 )
-    NEW met2 ( 1362290 896580 ) ( 1362290 944690 )
-    NEW met3 ( 1361830 1324980 ) ( 1362750 1324980 )
-    NEW met2 ( 1362750 1324980 ) ( 1362750 1373090 )
-    NEW met1 ( 1361830 1373090 ) ( 1362750 1373090 )
-    NEW met2 ( 1361830 1179630 ) ( 1361830 1324980 )
-    NEW met3 ( 1361830 1373260 ) ( 1362060 1373260 )
-    NEW met3 ( 1362060 1373260 ) ( 1362060 1373940 )
-    NEW met3 ( 1362060 1373940 ) ( 1362290 1373940 )
-    NEW met2 ( 1362290 1373940 ) ( 1362290 1421370 )
-    NEW met1 ( 1361830 1421370 ) ( 1362290 1421370 )
-    NEW met2 ( 1361830 1373090 ) ( 1361830 1373260 )
-    NEW met1 ( 1360450 1493790 ) ( 1362290 1493790 )
-    NEW met2 ( 1362290 1475940 ) ( 1362290 1493790 )
-    NEW met2 ( 1361830 1475940 ) ( 1362290 1475940 )
-    NEW met2 ( 1360450 1493790 ) ( 1360450 1524730 )
-    NEW met2 ( 1361830 1421370 ) ( 1361830 1475940 )
-    NEW li1 ( 1361830 1052130 ) ( 1361830 1076270 )
-    NEW met2 ( 1361830 986340 ) ( 1361830 1052130 )
-    NEW met1 ( 1361830 1157870 ) ( 1362290 1157870 )
-    NEW li1 ( 1361830 1157870 ) ( 1361830 1179630 )
-    NEW met2 ( 1361830 1076780 ) ( 1362290 1076780 )
-    NEW met2 ( 1362290 1076780 ) ( 1362290 1089870 )
-    NEW li1 ( 1362290 1089870 ) ( 1362290 1124550 )
-    NEW met2 ( 1361830 1076270 ) ( 1361830 1076780 )
-    NEW met2 ( 1362290 1124550 ) ( 1362290 1157870 )
-    NEW met2 ( 1031550 29580 ) via2_FR
+  + ROUTED met2 ( 1030170 29580 ) ( 1030170 30770 )
+    NEW met1 ( 1030170 30770 ) ( 1075250 30770 )
+    NEW met2 ( 1075250 29580 ) ( 1075250 30770 )
+    NEW met2 ( 1360910 1700340 ) ( 1361830 1700340 0 )
+    NEW met2 ( 1360910 30770 ) ( 1360910 1700340 )
+    NEW met2 ( 1025570 2380 0 ) ( 1025570 29580 )
+    NEW met3 ( 1025570 29580 ) ( 1030170 29580 )
+    NEW met2 ( 1077090 29580 ) ( 1077090 31450 )
+    NEW met1 ( 1077090 31450 ) ( 1100550 31450 )
+    NEW li1 ( 1100550 31110 ) ( 1100550 31450 )
+    NEW li1 ( 1100550 31110 ) ( 1101470 31110 )
+    NEW met1 ( 1101470 31110 ) ( 1124010 31110 )
+    NEW met1 ( 1124010 30770 ) ( 1124010 31110 )
+    NEW met3 ( 1075250 29580 ) ( 1077090 29580 )
+    NEW met1 ( 1124010 30770 ) ( 1360910 30770 )
+    NEW met2 ( 1030170 29580 ) via2_FR
+    NEW met1 ( 1030170 30770 ) M1M2_PR
+    NEW met1 ( 1075250 30770 ) M1M2_PR
+    NEW met2 ( 1075250 29580 ) via2_FR
+    NEW met1 ( 1360910 30770 ) M1M2_PR
+    NEW met2 ( 1025570 29580 ) via2_FR
     NEW met2 ( 1077090 29580 ) via2_FR
-    NEW met1 ( 1077090 30770 ) M1M2_PR
-    NEW li1 ( 1361830 241570 ) L1M1_PR_MR
-    NEW met1 ( 1361830 241570 ) M1M2_PR
-    NEW li1 ( 1361830 289510 ) L1M1_PR_MR
-    NEW met1 ( 1361830 289510 ) M1M2_PR
-    NEW li1 ( 1361830 30770 ) L1M1_PR_MR
-    NEW li1 ( 1361830 41310 ) L1M1_PR_MR
-    NEW met1 ( 1361830 41310 ) M1M2_PR
-    NEW li1 ( 1361830 90270 ) L1M1_PR_MR
-    NEW met1 ( 1361830 90270 ) M1M2_PR
-    NEW li1 ( 1361830 137870 ) L1M1_PR_MR
-    NEW met1 ( 1361830 137870 ) M1M2_PR
-    NEW li1 ( 1361830 186490 ) L1M1_PR_MR
-    NEW met1 ( 1361830 186490 ) M1M2_PR
-    NEW li1 ( 1361830 234430 ) L1M1_PR_MR
-    NEW met1 ( 1361830 234430 ) M1M2_PR
-    NEW met1 ( 1361830 831130 ) M1M2_PR
-    NEW met1 ( 1362290 831470 ) M1M2_PR
-    NEW li1 ( 1361830 559130 ) L1M1_PR_MR
-    NEW met1 ( 1361830 559130 ) M1M2_PR
-    NEW li1 ( 1361830 607070 ) L1M1_PR_MR
-    NEW met1 ( 1361830 607070 ) M1M2_PR
-    NEW met1 ( 1360450 1524730 ) M1M2_PR
-    NEW met1 ( 1361830 1524730 ) M1M2_PR
-    NEW met1 ( 1362290 945370 ) M1M2_PR
-    NEW met1 ( 1362290 944690 ) M1M2_PR
-    NEW li1 ( 1361830 1179630 ) L1M1_PR_MR
-    NEW met1 ( 1361830 1179630 ) M1M2_PR
-    NEW met2 ( 1361830 1324980 ) via2_FR
-    NEW met2 ( 1362750 1324980 ) via2_FR
-    NEW met1 ( 1362750 1373090 ) M1M2_PR
-    NEW met1 ( 1361830 1373090 ) M1M2_PR
-    NEW met2 ( 1361830 1373260 ) via2_FR
-    NEW met2 ( 1362290 1373940 ) via2_FR
-    NEW met1 ( 1362290 1421370 ) M1M2_PR
-    NEW met1 ( 1361830 1421370 ) M1M2_PR
-    NEW met1 ( 1360450 1493790 ) M1M2_PR
-    NEW met1 ( 1362290 1493790 ) M1M2_PR
-    NEW li1 ( 1361830 1052130 ) L1M1_PR_MR
-    NEW met1 ( 1361830 1052130 ) M1M2_PR
-    NEW li1 ( 1361830 1076270 ) L1M1_PR_MR
-    NEW met1 ( 1361830 1076270 ) M1M2_PR
-    NEW li1 ( 1361830 1157870 ) L1M1_PR_MR
-    NEW met1 ( 1362290 1157870 ) M1M2_PR
-    NEW li1 ( 1362290 1089870 ) L1M1_PR_MR
-    NEW met1 ( 1362290 1089870 ) M1M2_PR
-    NEW li1 ( 1362290 1124550 ) L1M1_PR_MR
-    NEW met1 ( 1362290 1124550 ) M1M2_PR
-    NEW met1 ( 1361830 241570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 289510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 41310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 559130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 607070 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 1361830 1524730 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1361830 1179630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 1052130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361830 1076270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362290 1089870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1362290 1124550 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1077090 31450 ) M1M2_PR
+    NEW li1 ( 1100550 31450 ) L1M1_PR_MR
+    NEW li1 ( 1101470 31110 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) 
-  + ROUTED met2 ( 1049490 2380 0 ) ( 1049490 28900 )
-    NEW met1 ( 1360910 1677390 ) ( 1364590 1677390 )
-    NEW met2 ( 1124010 28900 ) ( 1124010 31110 )
-    NEW met3 ( 1049490 28900 ) ( 1124010 28900 )
-    NEW met1 ( 1124010 31110 ) ( 1360910 31110 )
-    NEW met2 ( 1364590 1701020 ) ( 1365510 1701020 0 )
-    NEW met2 ( 1364590 1677390 ) ( 1364590 1701020 )
-    NEW li1 ( 1360910 1476110 ) ( 1360910 1477810 )
-    NEW met2 ( 1360910 1477810 ) ( 1360910 1677390 )
-    NEW met2 ( 1360910 31110 ) ( 1360910 1476110 )
-    NEW met2 ( 1049490 28900 ) via2_FR
-    NEW met1 ( 1360910 31110 ) M1M2_PR
-    NEW met1 ( 1360910 1677390 ) M1M2_PR
-    NEW met1 ( 1364590 1677390 ) M1M2_PR
-    NEW met2 ( 1124010 28900 ) via2_FR
-    NEW met1 ( 1124010 31110 ) M1M2_PR
-    NEW li1 ( 1360910 1476110 ) L1M1_PR_MR
-    NEW met1 ( 1360910 1476110 ) M1M2_PR
-    NEW li1 ( 1360910 1477810 ) L1M1_PR_MR
-    NEW met1 ( 1360910 1477810 ) M1M2_PR
-    NEW met1 ( 1360910 1476110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1360910 1477810 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1362750 786420 ) ( 1363210 786420 )
+    NEW met2 ( 1362750 1076100 ) ( 1363210 1076100 )
+    NEW met2 ( 1362750 1269220 ) ( 1363210 1269220 )
+    NEW met2 ( 1043050 2380 0 ) ( 1043050 21250 )
+    NEW met2 ( 1362750 787100 ) ( 1363210 787100 )
+    NEW met2 ( 1362750 786420 ) ( 1362750 787100 )
+    NEW met2 ( 1362750 1076780 ) ( 1363210 1076780 )
+    NEW met2 ( 1362750 1076100 ) ( 1362750 1076780 )
+    NEW met2 ( 1362750 1269900 ) ( 1363210 1269900 )
+    NEW met2 ( 1362750 1269220 ) ( 1362750 1269900 )
+    NEW met2 ( 1365050 1700340 ) ( 1365510 1700340 0 )
+    NEW met2 ( 1076630 21250 ) ( 1076630 21420 )
+    NEW met3 ( 1076630 21420 ) ( 1124470 21420 )
+    NEW met2 ( 1124470 21420 ) ( 1124470 31110 )
+    NEW met1 ( 1043050 21250 ) ( 1076630 21250 )
+    NEW met1 ( 1124470 31110 ) ( 1362750 31110 )
+    NEW met2 ( 1362750 884340 ) ( 1363210 884340 )
+    NEW met3 ( 1362750 1014220 ) ( 1362980 1014220 )
+    NEW met3 ( 1362980 1013540 ) ( 1362980 1014220 )
+    NEW met3 ( 1362980 1013540 ) ( 1363210 1013540 )
+    NEW met2 ( 1363210 884340 ) ( 1363210 1013540 )
+    NEW met1 ( 1363210 1159230 ) ( 1364130 1159230 )
+    NEW met2 ( 1364130 1159230 ) ( 1364130 1207340 )
+    NEW met3 ( 1363210 1207340 ) ( 1364130 1207340 )
+    NEW met2 ( 1363210 1076780 ) ( 1363210 1159230 )
+    NEW met2 ( 1363210 1207340 ) ( 1363210 1269220 )
+    NEW met2 ( 1362750 31110 ) ( 1362750 48450 )
+    NEW met2 ( 1362750 846940 ) ( 1363210 846940 )
+    NEW met2 ( 1362750 846940 ) ( 1362750 884340 )
+    NEW met2 ( 1363210 787100 ) ( 1363210 846940 )
+    NEW met2 ( 1362750 1027820 ) ( 1363210 1027820 )
+    NEW met2 ( 1362750 1014220 ) ( 1362750 1027820 )
+    NEW met2 ( 1363210 1027820 ) ( 1363210 1076100 )
+    NEW met1 ( 1362750 1352350 ) ( 1363210 1352350 )
+    NEW met2 ( 1363210 1269900 ) ( 1363210 1352350 )
+    NEW met1 ( 1361830 113730 ) ( 1362750 113730 )
+    NEW li1 ( 1362750 48450 ) ( 1362750 113730 )
+    NEW li1 ( 1363210 1442790 ) ( 1363210 1462850 )
+    NEW met1 ( 1363210 1539010 ) ( 1363670 1539010 )
+    NEW met2 ( 1363670 1539010 ) ( 1363670 1586780 )
+    NEW met2 ( 1363210 1586780 ) ( 1363670 1586780 )
+    NEW met1 ( 1362750 1635570 ) ( 1365050 1635570 )
+    NEW met2 ( 1365050 1635570 ) ( 1365050 1700340 )
+    NEW met1 ( 1362750 331330 ) ( 1363670 331330 )
+    NEW met2 ( 1363670 331330 ) ( 1363670 331500 )
+    NEW met2 ( 1363210 331500 ) ( 1363670 331500 )
+    NEW met1 ( 1363210 427890 ) ( 1363670 427890 )
+    NEW met2 ( 1362750 1418140 ) ( 1363210 1418140 )
+    NEW met2 ( 1362750 1352350 ) ( 1362750 1418140 )
+    NEW met2 ( 1363210 1418140 ) ( 1363210 1442790 )
+    NEW met2 ( 1363210 1462850 ) ( 1363210 1539010 )
+    NEW met1 ( 1362750 1611090 ) ( 1363670 1611090 )
+    NEW met2 ( 1363670 1607180 ) ( 1363670 1611090 )
+    NEW met2 ( 1363210 1607180 ) ( 1363670 1607180 )
+    NEW met2 ( 1362750 1611090 ) ( 1362750 1635570 )
+    NEW met2 ( 1363210 1586780 ) ( 1363210 1607180 )
+    NEW met1 ( 1362750 227630 ) ( 1364130 227630 )
+    NEW met2 ( 1362750 300220 ) ( 1363210 300220 )
+    NEW met2 ( 1362750 300220 ) ( 1362750 331330 )
+    NEW met2 ( 1363210 331500 ) ( 1363210 427890 )
+    NEW met3 ( 1362980 517140 ) ( 1363670 517140 )
+    NEW met2 ( 1363670 427890 ) ( 1363670 517140 )
+    NEW met1 ( 1361830 155550 ) ( 1364130 155550 )
+    NEW met2 ( 1361830 113730 ) ( 1361830 155550 )
+    NEW met1 ( 1362750 275910 ) ( 1363210 275910 )
+    NEW met2 ( 1362750 227630 ) ( 1362750 275910 )
+    NEW met2 ( 1363210 275910 ) ( 1363210 300220 )
+    NEW met3 ( 1362980 517820 ) ( 1363210 517820 )
+    NEW met3 ( 1362980 517140 ) ( 1362980 517820 )
+    NEW met2 ( 1364130 179010 ) ( 1365050 179010 )
+    NEW met2 ( 1365050 179010 ) ( 1365050 220660 )
+    NEW met3 ( 1364130 220660 ) ( 1365050 220660 )
+    NEW met2 ( 1364130 155550 ) ( 1364130 179010 )
+    NEW met2 ( 1364130 220660 ) ( 1364130 227630 )
+    NEW met2 ( 1362750 679660 ) ( 1363210 679660 )
+    NEW met2 ( 1362750 655860 ) ( 1362750 679660 )
+    NEW met3 ( 1362750 655860 ) ( 1363670 655860 )
+    NEW met2 ( 1363210 679660 ) ( 1363210 786420 )
+    NEW li1 ( 1363210 607410 ) ( 1363210 614210 )
+    NEW met2 ( 1363210 614210 ) ( 1363210 655180 )
+    NEW met2 ( 1363210 655180 ) ( 1363670 655180 )
+    NEW met2 ( 1363210 517820 ) ( 1363210 607410 )
+    NEW met2 ( 1363670 655180 ) ( 1363670 655860 )
+    NEW met1 ( 1043050 21250 ) M1M2_PR
+    NEW met1 ( 1362750 31110 ) M1M2_PR
+    NEW met1 ( 1076630 21250 ) M1M2_PR
+    NEW met2 ( 1076630 21420 ) via2_FR
+    NEW met2 ( 1124470 21420 ) via2_FR
+    NEW met1 ( 1124470 31110 ) M1M2_PR
+    NEW met2 ( 1362750 1014220 ) via2_FR
+    NEW met2 ( 1363210 1013540 ) via2_FR
+    NEW met1 ( 1363210 1159230 ) M1M2_PR
+    NEW met1 ( 1364130 1159230 ) M1M2_PR
+    NEW met2 ( 1364130 1207340 ) via2_FR
+    NEW met2 ( 1363210 1207340 ) via2_FR
+    NEW li1 ( 1362750 48450 ) L1M1_PR_MR
+    NEW met1 ( 1362750 48450 ) M1M2_PR
+    NEW met1 ( 1362750 1352350 ) M1M2_PR
+    NEW met1 ( 1363210 1352350 ) M1M2_PR
+    NEW li1 ( 1362750 113730 ) L1M1_PR_MR
+    NEW met1 ( 1361830 113730 ) M1M2_PR
+    NEW li1 ( 1363210 1442790 ) L1M1_PR_MR
+    NEW met1 ( 1363210 1442790 ) M1M2_PR
+    NEW li1 ( 1363210 1462850 ) L1M1_PR_MR
+    NEW met1 ( 1363210 1462850 ) M1M2_PR
+    NEW met1 ( 1363210 1539010 ) M1M2_PR
+    NEW met1 ( 1363670 1539010 ) M1M2_PR
+    NEW met1 ( 1362750 1635570 ) M1M2_PR
+    NEW met1 ( 1365050 1635570 ) M1M2_PR
+    NEW met1 ( 1362750 331330 ) M1M2_PR
+    NEW met1 ( 1363670 331330 ) M1M2_PR
+    NEW met1 ( 1363210 427890 ) M1M2_PR
+    NEW met1 ( 1363670 427890 ) M1M2_PR
+    NEW met1 ( 1362750 1611090 ) M1M2_PR
+    NEW met1 ( 1363670 1611090 ) M1M2_PR
+    NEW met1 ( 1362750 227630 ) M1M2_PR
+    NEW met1 ( 1364130 227630 ) M1M2_PR
+    NEW met2 ( 1363670 517140 ) via2_FR
+    NEW met1 ( 1361830 155550 ) M1M2_PR
+    NEW met1 ( 1364130 155550 ) M1M2_PR
+    NEW met1 ( 1362750 275910 ) M1M2_PR
+    NEW met1 ( 1363210 275910 ) M1M2_PR
+    NEW met2 ( 1363210 517820 ) via2_FR
+    NEW met2 ( 1365050 220660 ) via2_FR
+    NEW met2 ( 1364130 220660 ) via2_FR
+    NEW met2 ( 1362750 655860 ) via2_FR
+    NEW met2 ( 1363670 655860 ) via2_FR
+    NEW li1 ( 1363210 607410 ) L1M1_PR_MR
+    NEW met1 ( 1363210 607410 ) M1M2_PR
+    NEW li1 ( 1363210 614210 ) L1M1_PR_MR
+    NEW met1 ( 1363210 614210 ) M1M2_PR
+    NEW met1 ( 1362750 48450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1363210 1442790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1363210 1462850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1363210 607410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1363210 614210 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) 
-  + ROUTED met2 ( 1369190 1660050 ) ( 1369190 1700340 0 )
-    NEW met3 ( 1067430 48620 ) ( 1070190 48620 )
-    NEW met2 ( 1067430 2380 0 ) ( 1067430 48620 )
-    NEW met1 ( 1069270 137870 ) ( 1070190 137870 )
-    NEW met2 ( 1070190 48620 ) ( 1070190 137870 )
-    NEW li1 ( 1069270 186490 ) ( 1069270 234430 )
-    NEW met2 ( 1069270 137870 ) ( 1069270 186490 )
-    NEW li1 ( 1069270 283390 ) ( 1069270 330990 )
-    NEW met2 ( 1069270 234430 ) ( 1069270 283390 )
-    NEW li1 ( 1069270 379610 ) ( 1069270 427550 )
-    NEW met2 ( 1069270 330990 ) ( 1069270 379610 )
-    NEW li1 ( 1069270 476510 ) ( 1069270 524110 )
-    NEW met2 ( 1069270 427550 ) ( 1069270 476510 )
-    NEW li1 ( 1069270 572730 ) ( 1069270 620670 )
-    NEW met2 ( 1069270 524110 ) ( 1069270 572730 )
-    NEW li1 ( 1069270 669630 ) ( 1069270 717230 )
-    NEW met2 ( 1069270 620670 ) ( 1069270 669630 )
-    NEW li1 ( 1069270 766190 ) ( 1069270 814130 )
-    NEW met2 ( 1069270 717230 ) ( 1069270 766190 )
-    NEW met3 ( 1069270 862580 ) ( 1070190 862580 )
-    NEW met2 ( 1070190 862580 ) ( 1070190 910690 )
-    NEW met1 ( 1069270 910690 ) ( 1070190 910690 )
-    NEW met2 ( 1069270 814130 ) ( 1069270 862580 )
-    NEW met3 ( 1069270 959140 ) ( 1070190 959140 )
-    NEW met2 ( 1070190 959140 ) ( 1070190 1007250 )
-    NEW met1 ( 1069270 1007250 ) ( 1070190 1007250 )
-    NEW met2 ( 1069270 910690 ) ( 1069270 959140 )
-    NEW met3 ( 1069270 1055700 ) ( 1070190 1055700 )
-    NEW met2 ( 1070190 1055700 ) ( 1070190 1103980 )
-    NEW met3 ( 1069270 1103980 ) ( 1070190 1103980 )
-    NEW met2 ( 1069270 1007250 ) ( 1069270 1055700 )
-    NEW met1 ( 1069270 1152430 ) ( 1070190 1152430 )
-    NEW met2 ( 1070190 1152430 ) ( 1070190 1200540 )
-    NEW met3 ( 1069270 1200540 ) ( 1070190 1200540 )
-    NEW met2 ( 1069270 1103980 ) ( 1069270 1152430 )
-    NEW met1 ( 1069270 1248990 ) ( 1070190 1248990 )
-    NEW met2 ( 1070190 1248990 ) ( 1070190 1297100 )
-    NEW met3 ( 1069270 1297100 ) ( 1070190 1297100 )
-    NEW met2 ( 1069270 1200540 ) ( 1069270 1248990 )
-    NEW met1 ( 1069270 1345550 ) ( 1070190 1345550 )
-    NEW met2 ( 1070190 1345550 ) ( 1070190 1393660 )
-    NEW met3 ( 1069270 1393660 ) ( 1070190 1393660 )
-    NEW met2 ( 1069270 1297100 ) ( 1069270 1345550 )
-    NEW met1 ( 1069270 1442110 ) ( 1070190 1442110 )
-    NEW met2 ( 1070190 1442110 ) ( 1070190 1490220 )
-    NEW met3 ( 1069270 1490220 ) ( 1070190 1490220 )
-    NEW met2 ( 1069270 1393660 ) ( 1069270 1442110 )
-    NEW li1 ( 1069270 1539010 ) ( 1069270 1586950 )
-    NEW met2 ( 1069270 1490220 ) ( 1069270 1539010 )
-    NEW li1 ( 1069270 1635570 ) ( 1069270 1660050 )
-    NEW met2 ( 1069270 1586950 ) ( 1069270 1635570 )
-    NEW met1 ( 1069270 1660050 ) ( 1369190 1660050 )
-    NEW met1 ( 1369190 1660050 ) M1M2_PR
-    NEW met2 ( 1067430 48620 ) via2_FR
-    NEW met2 ( 1070190 48620 ) via2_FR
-    NEW met1 ( 1069270 137870 ) M1M2_PR
-    NEW met1 ( 1070190 137870 ) M1M2_PR
-    NEW li1 ( 1069270 186490 ) L1M1_PR_MR
-    NEW met1 ( 1069270 186490 ) M1M2_PR
-    NEW li1 ( 1069270 234430 ) L1M1_PR_MR
-    NEW met1 ( 1069270 234430 ) M1M2_PR
-    NEW li1 ( 1069270 283390 ) L1M1_PR_MR
-    NEW met1 ( 1069270 283390 ) M1M2_PR
-    NEW li1 ( 1069270 330990 ) L1M1_PR_MR
-    NEW met1 ( 1069270 330990 ) M1M2_PR
-    NEW li1 ( 1069270 379610 ) L1M1_PR_MR
-    NEW met1 ( 1069270 379610 ) M1M2_PR
-    NEW li1 ( 1069270 427550 ) L1M1_PR_MR
-    NEW met1 ( 1069270 427550 ) M1M2_PR
-    NEW li1 ( 1069270 476510 ) L1M1_PR_MR
-    NEW met1 ( 1069270 476510 ) M1M2_PR
-    NEW li1 ( 1069270 524110 ) L1M1_PR_MR
-    NEW met1 ( 1069270 524110 ) M1M2_PR
-    NEW li1 ( 1069270 572730 ) L1M1_PR_MR
-    NEW met1 ( 1069270 572730 ) M1M2_PR
-    NEW li1 ( 1069270 620670 ) L1M1_PR_MR
-    NEW met1 ( 1069270 620670 ) M1M2_PR
-    NEW li1 ( 1069270 669630 ) L1M1_PR_MR
-    NEW met1 ( 1069270 669630 ) M1M2_PR
-    NEW li1 ( 1069270 717230 ) L1M1_PR_MR
-    NEW met1 ( 1069270 717230 ) M1M2_PR
-    NEW li1 ( 1069270 766190 ) L1M1_PR_MR
-    NEW met1 ( 1069270 766190 ) M1M2_PR
-    NEW li1 ( 1069270 814130 ) L1M1_PR_MR
-    NEW met1 ( 1069270 814130 ) M1M2_PR
-    NEW met2 ( 1069270 862580 ) via2_FR
-    NEW met2 ( 1070190 862580 ) via2_FR
-    NEW met1 ( 1070190 910690 ) M1M2_PR
-    NEW met1 ( 1069270 910690 ) M1M2_PR
-    NEW met2 ( 1069270 959140 ) via2_FR
-    NEW met2 ( 1070190 959140 ) via2_FR
-    NEW met1 ( 1070190 1007250 ) M1M2_PR
-    NEW met1 ( 1069270 1007250 ) M1M2_PR
-    NEW met2 ( 1069270 1055700 ) via2_FR
-    NEW met2 ( 1070190 1055700 ) via2_FR
-    NEW met2 ( 1070190 1103980 ) via2_FR
-    NEW met2 ( 1069270 1103980 ) via2_FR
-    NEW met1 ( 1069270 1152430 ) M1M2_PR
-    NEW met1 ( 1070190 1152430 ) M1M2_PR
-    NEW met2 ( 1070190 1200540 ) via2_FR
-    NEW met2 ( 1069270 1200540 ) via2_FR
-    NEW met1 ( 1069270 1248990 ) M1M2_PR
-    NEW met1 ( 1070190 1248990 ) M1M2_PR
-    NEW met2 ( 1070190 1297100 ) via2_FR
-    NEW met2 ( 1069270 1297100 ) via2_FR
-    NEW met1 ( 1069270 1345550 ) M1M2_PR
-    NEW met1 ( 1070190 1345550 ) M1M2_PR
-    NEW met2 ( 1070190 1393660 ) via2_FR
-    NEW met2 ( 1069270 1393660 ) via2_FR
-    NEW met1 ( 1069270 1442110 ) M1M2_PR
-    NEW met1 ( 1070190 1442110 ) M1M2_PR
-    NEW met2 ( 1070190 1490220 ) via2_FR
-    NEW met2 ( 1069270 1490220 ) via2_FR
-    NEW li1 ( 1069270 1539010 ) L1M1_PR_MR
-    NEW met1 ( 1069270 1539010 ) M1M2_PR
-    NEW li1 ( 1069270 1586950 ) L1M1_PR_MR
-    NEW met1 ( 1069270 1586950 ) M1M2_PR
-    NEW li1 ( 1069270 1635570 ) L1M1_PR_MR
-    NEW met1 ( 1069270 1635570 ) M1M2_PR
-    NEW li1 ( 1069270 1660050 ) L1M1_PR_MR
-    NEW met1 ( 1069270 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 717230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 1539010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 1586950 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1069270 1635570 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1060990 2380 0 ) ( 1060990 3060 )
+    NEW met2 ( 1060990 3060 ) ( 1062370 3060 )
+    NEW met2 ( 1062370 3060 ) ( 1062370 1639310 )
+    NEW met1 ( 1062370 1639310 ) ( 1366430 1639310 )
+    NEW met1 ( 1366430 1678750 ) ( 1369190 1678750 )
+    NEW met2 ( 1369190 1678750 ) ( 1369190 1700340 0 )
+    NEW met2 ( 1366430 1639310 ) ( 1366430 1678750 )
+    NEW met1 ( 1062370 1639310 ) M1M2_PR
+    NEW met1 ( 1366430 1639310 ) M1M2_PR
+    NEW met1 ( 1366430 1678750 ) M1M2_PR
+    NEW met1 ( 1369190 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) 
-  + ROUTED met1 ( 1089970 1639310 ) ( 1366430 1639310 )
-    NEW met1 ( 1085370 19890 ) ( 1089970 19890 )
-    NEW met2 ( 1085370 2380 0 ) ( 1085370 19890 )
-    NEW met2 ( 1089970 19890 ) ( 1089970 1639310 )
-    NEW met1 ( 1366430 1678750 ) ( 1371950 1678750 )
-    NEW met2 ( 1371950 1678750 ) ( 1371950 1700340 )
+  + ROUTED met2 ( 1078470 2380 0 ) ( 1078470 2890 )
+    NEW met1 ( 1078470 2890 ) ( 1083070 2890 )
+    NEW met1 ( 1083070 1646450 ) ( 1368270 1646450 )
+    NEW met2 ( 1083070 2890 ) ( 1083070 1646450 )
+    NEW met1 ( 1368270 1668550 ) ( 1371950 1668550 )
+    NEW met2 ( 1371950 1668550 ) ( 1371950 1700340 )
     NEW met2 ( 1371950 1700340 ) ( 1372870 1700340 0 )
-    NEW met2 ( 1366430 1639310 ) ( 1366430 1678750 )
-    NEW met1 ( 1089970 1639310 ) M1M2_PR
-    NEW met1 ( 1366430 1639310 ) M1M2_PR
-    NEW met1 ( 1085370 19890 ) M1M2_PR
-    NEW met1 ( 1089970 19890 ) M1M2_PR
-    NEW met1 ( 1366430 1678750 ) M1M2_PR
-    NEW met1 ( 1371950 1678750 ) M1M2_PR
+    NEW met2 ( 1368270 1646450 ) ( 1368270 1668550 )
+    NEW met1 ( 1078470 2890 ) M1M2_PR
+    NEW met1 ( 1083070 2890 ) M1M2_PR
+    NEW met1 ( 1083070 1646450 ) M1M2_PR
+    NEW met1 ( 1368270 1646450 ) M1M2_PR
+    NEW met1 ( 1368270 1668550 ) M1M2_PR
+    NEW met1 ( 1371950 1668550 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) 
-  + ROUTED met2 ( 1102850 2380 0 ) ( 1102850 2890 )
-    NEW met1 ( 1102850 2890 ) ( 1103770 2890 )
-    NEW met1 ( 1103770 1646110 ) ( 1373330 1646110 )
-    NEW met2 ( 1103770 2890 ) ( 1103770 1646110 )
-    NEW met1 ( 1373330 1679090 ) ( 1375630 1679090 )
-    NEW met2 ( 1375630 1679090 ) ( 1375630 1700340 )
+  + ROUTED met1 ( 1096410 1625370 ) ( 1375630 1625370 )
+    NEW met2 ( 1096410 2380 0 ) ( 1096410 1625370 )
     NEW met2 ( 1375630 1700340 ) ( 1376550 1700340 0 )
-    NEW met2 ( 1373330 1646110 ) ( 1373330 1679090 )
-    NEW met1 ( 1103770 1646110 ) M1M2_PR
-    NEW met1 ( 1102850 2890 ) M1M2_PR
-    NEW met1 ( 1103770 2890 ) M1M2_PR
-    NEW met1 ( 1373330 1646110 ) M1M2_PR
-    NEW met1 ( 1373330 1679090 ) M1M2_PR
-    NEW met1 ( 1375630 1679090 ) M1M2_PR
+    NEW met2 ( 1375630 1625370 ) ( 1375630 1700340 )
+    NEW met1 ( 1096410 1625370 ) M1M2_PR
+    NEW met1 ( 1375630 1625370 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) 
-  + ROUTED met2 ( 1380230 1681470 ) ( 1380230 1700340 0 )
-    NEW met2 ( 1120790 2380 0 ) ( 1120790 39780 )
-    NEW met3 ( 1120790 39780 ) ( 1124010 39780 )
-    NEW li1 ( 1124470 676770 ) ( 1124470 724370 )
-    NEW met1 ( 1124470 1681470 ) ( 1380230 1681470 )
-    NEW met3 ( 1123090 48620 ) ( 1124010 48620 )
-    NEW met2 ( 1124010 39780 ) ( 1124010 48620 )
-    NEW met2 ( 1124470 145180 ) ( 1124930 145180 )
-    NEW met3 ( 1123550 241740 ) ( 1124470 241740 )
-    NEW met2 ( 1123550 241740 ) ( 1123550 289510 )
-    NEW met1 ( 1123550 289510 ) ( 1124470 289510 )
-    NEW met2 ( 1124470 289510 ) ( 1124470 676770 )
-    NEW met3 ( 1124470 821100 ) ( 1124700 821100 )
-    NEW met4 ( 1124700 821100 ) ( 1124700 869380 )
-    NEW met3 ( 1124470 869380 ) ( 1124700 869380 )
-    NEW met2 ( 1124470 724370 ) ( 1124470 821100 )
-    NEW met1 ( 1124470 1015070 ) ( 1125390 1015070 )
-    NEW met2 ( 1125390 1015070 ) ( 1125390 1062500 )
-    NEW met3 ( 1124470 1062500 ) ( 1125390 1062500 )
-    NEW met2 ( 1124470 869380 ) ( 1124470 1015070 )
-    NEW li1 ( 1124470 1208190 ) ( 1124470 1255790 )
-    NEW met2 ( 1124470 1062500 ) ( 1124470 1208190 )
-    NEW li1 ( 1124470 1304410 ) ( 1124470 1352350 )
-    NEW met2 ( 1124470 1255790 ) ( 1124470 1304410 )
-    NEW li1 ( 1124470 1497530 ) ( 1124470 1545470 )
-    NEW met2 ( 1124470 1352350 ) ( 1124470 1497530 )
-    NEW met2 ( 1124470 1545470 ) ( 1124470 1681470 )
-    NEW li1 ( 1123090 90270 ) ( 1123090 137870 )
-    NEW met1 ( 1123090 137870 ) ( 1124470 137870 )
-    NEW met2 ( 1123090 48620 ) ( 1123090 90270 )
-    NEW met2 ( 1124470 137870 ) ( 1124470 145180 )
-    NEW li1 ( 1124470 186490 ) ( 1124470 234430 )
-    NEW met1 ( 1124470 186490 ) ( 1124930 186490 )
-    NEW met2 ( 1124470 234430 ) ( 1124470 241740 )
-    NEW met2 ( 1124930 145180 ) ( 1124930 186490 )
-    NEW met1 ( 1380230 1681470 ) M1M2_PR
-    NEW met2 ( 1120790 39780 ) via2_FR
-    NEW met2 ( 1124010 39780 ) via2_FR
-    NEW li1 ( 1124470 676770 ) L1M1_PR_MR
-    NEW met1 ( 1124470 676770 ) M1M2_PR
-    NEW li1 ( 1124470 724370 ) L1M1_PR_MR
-    NEW met1 ( 1124470 724370 ) M1M2_PR
-    NEW met1 ( 1124470 1681470 ) M1M2_PR
-    NEW met2 ( 1124010 48620 ) via2_FR
-    NEW met2 ( 1123090 48620 ) via2_FR
-    NEW met2 ( 1124470 241740 ) via2_FR
-    NEW met2 ( 1123550 241740 ) via2_FR
-    NEW met1 ( 1123550 289510 ) M1M2_PR
-    NEW met1 ( 1124470 289510 ) M1M2_PR
-    NEW met2 ( 1124470 821100 ) via2_FR
-    NEW met3 ( 1124700 821100 ) M3M4_PR_M
-    NEW met3 ( 1124700 869380 ) M3M4_PR_M
-    NEW met2 ( 1124470 869380 ) via2_FR
-    NEW met1 ( 1124470 1015070 ) M1M2_PR
-    NEW met1 ( 1125390 1015070 ) M1M2_PR
-    NEW met2 ( 1125390 1062500 ) via2_FR
-    NEW met2 ( 1124470 1062500 ) via2_FR
-    NEW li1 ( 1124470 1208190 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1208190 ) M1M2_PR
-    NEW li1 ( 1124470 1255790 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1255790 ) M1M2_PR
-    NEW li1 ( 1124470 1304410 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1304410 ) M1M2_PR
-    NEW li1 ( 1124470 1352350 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1352350 ) M1M2_PR
-    NEW li1 ( 1124470 1497530 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1497530 ) M1M2_PR
-    NEW li1 ( 1124470 1545470 ) L1M1_PR_MR
-    NEW met1 ( 1124470 1545470 ) M1M2_PR
-    NEW li1 ( 1123090 90270 ) L1M1_PR_MR
-    NEW met1 ( 1123090 90270 ) M1M2_PR
-    NEW li1 ( 1123090 137870 ) L1M1_PR_MR
-    NEW met1 ( 1124470 137870 ) M1M2_PR
-    NEW li1 ( 1124470 234430 ) L1M1_PR_MR
-    NEW met1 ( 1124470 234430 ) M1M2_PR
-    NEW li1 ( 1124470 186490 ) L1M1_PR_MR
-    NEW met1 ( 1124930 186490 ) M1M2_PR
-    NEW met1 ( 1124470 676770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 724370 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1124700 821100 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 1124700 869380 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1124470 1208190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 1255790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 1304410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 1352350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 1497530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 1545470 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1123090 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1124470 234430 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1380230 1681810 ) ( 1380230 1700340 0 )
+    NEW met1 ( 1117570 1681810 ) ( 1380230 1681810 )
+    NEW met1 ( 1113890 62050 ) ( 1117110 62050 )
+    NEW met2 ( 1117110 62050 ) ( 1117110 62900 )
+    NEW met2 ( 1117110 62900 ) ( 1117570 62900 )
+    NEW met2 ( 1113890 2380 0 ) ( 1113890 62050 )
+    NEW met1 ( 1117570 241570 ) ( 1118030 241570 )
+    NEW met1 ( 1117570 338130 ) ( 1118490 338130 )
+    NEW met1 ( 1117570 1304410 ) ( 1118030 1304410 )
+    NEW met1 ( 1117110 1642030 ) ( 1117570 1642030 )
+    NEW met2 ( 1117570 1642030 ) ( 1117570 1681810 )
+    NEW li1 ( 1117570 90270 ) ( 1117570 137870 )
+    NEW met2 ( 1117570 62900 ) ( 1117570 90270 )
+    NEW li1 ( 1117570 186490 ) ( 1117570 234430 )
+    NEW met2 ( 1117570 137870 ) ( 1117570 186490 )
+    NEW met2 ( 1117570 234430 ) ( 1117570 241570 )
+    NEW li1 ( 1117570 289510 ) ( 1117570 330990 )
+    NEW met2 ( 1117570 283220 ) ( 1117570 289510 )
+    NEW met2 ( 1117570 283220 ) ( 1118030 283220 )
+    NEW met2 ( 1117570 330990 ) ( 1117570 338130 )
+    NEW met2 ( 1118030 241570 ) ( 1118030 283220 )
+    NEW met1 ( 1117570 427550 ) ( 1118490 427550 )
+    NEW met2 ( 1118490 338130 ) ( 1118490 427550 )
+    NEW met2 ( 1117110 572900 ) ( 1117570 572900 )
+    NEW met2 ( 1117110 572900 ) ( 1117110 573070 )
+    NEW met1 ( 1117110 573070 ) ( 1117570 573070 )
+    NEW li1 ( 1117570 573070 ) ( 1117570 620670 )
+    NEW met2 ( 1117110 669460 ) ( 1117570 669460 )
+    NEW met2 ( 1117110 669460 ) ( 1117110 669630 )
+    NEW met1 ( 1117110 669630 ) ( 1117570 669630 )
+    NEW li1 ( 1117570 669630 ) ( 1117570 717570 )
+    NEW met1 ( 1117570 910690 ) ( 1118950 910690 )
+    NEW met3 ( 1118030 959140 ) ( 1118950 959140 )
+    NEW met2 ( 1118950 959140 ) ( 1118950 1007250 )
+    NEW met1 ( 1117570 1007250 ) ( 1118950 1007250 )
+    NEW met3 ( 1117570 1103980 ) ( 1118950 1103980 )
+    NEW met1 ( 1116650 1249670 ) ( 1117570 1249670 )
+    NEW met2 ( 1116650 1249670 ) ( 1116650 1297100 )
+    NEW met3 ( 1116650 1297100 ) ( 1117570 1297100 )
+    NEW met2 ( 1117570 1297100 ) ( 1117570 1304410 )
+    NEW met1 ( 1118030 1351330 ) ( 1118950 1351330 )
+    NEW met2 ( 1118030 1304410 ) ( 1118030 1351330 )
+    NEW met3 ( 1117570 428060 ) ( 1118950 428060 )
+    NEW met2 ( 1118950 428060 ) ( 1118950 475660 )
+    NEW met3 ( 1117570 475660 ) ( 1118950 475660 )
+    NEW met2 ( 1117570 427550 ) ( 1117570 428060 )
+    NEW li1 ( 1117570 524450 ) ( 1117570 572390 )
+    NEW met2 ( 1117570 475660 ) ( 1117570 524450 )
+    NEW met2 ( 1117570 572390 ) ( 1117570 572900 )
+    NEW met3 ( 1117570 621180 ) ( 1118950 621180 )
+    NEW met2 ( 1118950 621180 ) ( 1118950 668780 )
+    NEW met3 ( 1117570 668780 ) ( 1118950 668780 )
+    NEW met2 ( 1117570 620670 ) ( 1117570 621180 )
+    NEW met2 ( 1117570 668780 ) ( 1117570 669460 )
+    NEW met3 ( 1117340 717740 ) ( 1117570 717740 )
+    NEW met3 ( 1117340 717740 ) ( 1117340 718420 )
+    NEW met3 ( 1116650 718420 ) ( 1117340 718420 )
+    NEW met2 ( 1116650 718420 ) ( 1116650 765850 )
+    NEW met1 ( 1116650 765850 ) ( 1117570 765850 )
+    NEW met2 ( 1117570 717570 ) ( 1117570 717740 )
+    NEW met1 ( 1117570 814470 ) ( 1118030 814470 )
+    NEW met2 ( 1117570 765850 ) ( 1117570 814470 )
+    NEW met3 ( 1117340 910860 ) ( 1117570 910860 )
+    NEW met4 ( 1117340 910860 ) ( 1117340 935340 )
+    NEW met3 ( 1117110 935340 ) ( 1117340 935340 )
+    NEW met2 ( 1117110 935340 ) ( 1117110 958970 )
+    NEW met1 ( 1117110 958970 ) ( 1118030 958970 )
+    NEW met2 ( 1117570 910690 ) ( 1117570 910860 )
+    NEW met2 ( 1118030 958970 ) ( 1118030 959140 )
+    NEW met2 ( 1117110 1007420 ) ( 1117570 1007420 )
+    NEW met2 ( 1117110 1007420 ) ( 1117110 1007590 )
+    NEW met1 ( 1116650 1007590 ) ( 1117110 1007590 )
+    NEW met2 ( 1117570 1007250 ) ( 1117570 1007420 )
+    NEW met1 ( 1116650 1104830 ) ( 1117570 1104830 )
+    NEW met2 ( 1116650 1104830 ) ( 1116650 1152260 )
+    NEW met3 ( 1116650 1152260 ) ( 1117570 1152260 )
+    NEW met2 ( 1117570 1103980 ) ( 1117570 1104830 )
+    NEW met1 ( 1116650 1200710 ) ( 1117570 1200710 )
+    NEW met2 ( 1116650 1200710 ) ( 1116650 1248820 )
+    NEW met3 ( 1116650 1248820 ) ( 1117570 1248820 )
+    NEW met2 ( 1117570 1152260 ) ( 1117570 1200710 )
+    NEW met2 ( 1117570 1248820 ) ( 1117570 1249670 )
+    NEW met1 ( 1117570 1393830 ) ( 1118950 1393830 )
+    NEW met2 ( 1118950 1351330 ) ( 1118950 1393830 )
+    NEW li1 ( 1117110 1593410 ) ( 1117110 1610750 )
+    NEW met1 ( 1117110 1593410 ) ( 1117570 1593410 )
+    NEW met2 ( 1117110 1610750 ) ( 1117110 1642030 )
+    NEW li1 ( 1118030 861730 ) ( 1118030 903890 )
+    NEW met1 ( 1118030 903890 ) ( 1118950 903890 )
+    NEW met2 ( 1118030 814470 ) ( 1118030 861730 )
+    NEW met2 ( 1118950 903890 ) ( 1118950 910690 )
+    NEW met3 ( 1116650 1048900 ) ( 1118950 1048900 )
+    NEW met2 ( 1116650 1007590 ) ( 1116650 1048900 )
+    NEW met2 ( 1118950 1048900 ) ( 1118950 1103980 )
+    NEW met1 ( 1116650 1435310 ) ( 1117570 1435310 )
+    NEW met2 ( 1116650 1435310 ) ( 1116650 1483420 )
+    NEW met3 ( 1116650 1483420 ) ( 1117570 1483420 )
+    NEW met2 ( 1117570 1393830 ) ( 1117570 1435310 )
+    NEW met1 ( 1116650 1531870 ) ( 1117570 1531870 )
+    NEW met2 ( 1116650 1531870 ) ( 1116650 1579980 )
+    NEW met3 ( 1116650 1579980 ) ( 1117570 1579980 )
+    NEW met2 ( 1117570 1483420 ) ( 1117570 1531870 )
+    NEW met2 ( 1117570 1579980 ) ( 1117570 1593410 )
+    NEW met1 ( 1117570 1681810 ) M1M2_PR
+    NEW met1 ( 1380230 1681810 ) M1M2_PR
+    NEW met1 ( 1113890 62050 ) M1M2_PR
+    NEW met1 ( 1117110 62050 ) M1M2_PR
+    NEW met1 ( 1117570 241570 ) M1M2_PR
+    NEW met1 ( 1118030 241570 ) M1M2_PR
+    NEW met1 ( 1117570 338130 ) M1M2_PR
+    NEW met1 ( 1118490 338130 ) M1M2_PR
+    NEW met1 ( 1117570 1304410 ) M1M2_PR
+    NEW met1 ( 1118030 1304410 ) M1M2_PR
+    NEW met1 ( 1117110 1642030 ) M1M2_PR
+    NEW met1 ( 1117570 1642030 ) M1M2_PR
+    NEW li1 ( 1117570 90270 ) L1M1_PR_MR
+    NEW met1 ( 1117570 90270 ) M1M2_PR
+    NEW li1 ( 1117570 137870 ) L1M1_PR_MR
+    NEW met1 ( 1117570 137870 ) M1M2_PR
+    NEW li1 ( 1117570 186490 ) L1M1_PR_MR
+    NEW met1 ( 1117570 186490 ) M1M2_PR
+    NEW li1 ( 1117570 234430 ) L1M1_PR_MR
+    NEW met1 ( 1117570 234430 ) M1M2_PR
+    NEW li1 ( 1117570 330990 ) L1M1_PR_MR
+    NEW met1 ( 1117570 330990 ) M1M2_PR
+    NEW li1 ( 1117570 289510 ) L1M1_PR_MR
+    NEW met1 ( 1117570 289510 ) M1M2_PR
+    NEW met1 ( 1117570 427550 ) M1M2_PR
+    NEW met1 ( 1118490 427550 ) M1M2_PR
+    NEW met1 ( 1117110 573070 ) M1M2_PR
+    NEW li1 ( 1117570 573070 ) L1M1_PR_MR
+    NEW li1 ( 1117570 620670 ) L1M1_PR_MR
+    NEW met1 ( 1117570 620670 ) M1M2_PR
+    NEW met1 ( 1117110 669630 ) M1M2_PR
+    NEW li1 ( 1117570 669630 ) L1M1_PR_MR
+    NEW li1 ( 1117570 717570 ) L1M1_PR_MR
+    NEW met1 ( 1117570 717570 ) M1M2_PR
+    NEW met1 ( 1118950 910690 ) M1M2_PR
+    NEW met1 ( 1117570 910690 ) M1M2_PR
+    NEW met2 ( 1118030 959140 ) via2_FR
+    NEW met2 ( 1118950 959140 ) via2_FR
+    NEW met1 ( 1118950 1007250 ) M1M2_PR
+    NEW met1 ( 1117570 1007250 ) M1M2_PR
+    NEW met2 ( 1118950 1103980 ) via2_FR
+    NEW met2 ( 1117570 1103980 ) via2_FR
+    NEW met1 ( 1117570 1249670 ) M1M2_PR
+    NEW met1 ( 1116650 1249670 ) M1M2_PR
+    NEW met2 ( 1116650 1297100 ) via2_FR
+    NEW met2 ( 1117570 1297100 ) via2_FR
+    NEW met1 ( 1118950 1351330 ) M1M2_PR
+    NEW met1 ( 1118030 1351330 ) M1M2_PR
+    NEW met2 ( 1117570 428060 ) via2_FR
+    NEW met2 ( 1118950 428060 ) via2_FR
+    NEW met2 ( 1118950 475660 ) via2_FR
+    NEW met2 ( 1117570 475660 ) via2_FR
+    NEW li1 ( 1117570 524450 ) L1M1_PR_MR
+    NEW met1 ( 1117570 524450 ) M1M2_PR
+    NEW li1 ( 1117570 572390 ) L1M1_PR_MR
+    NEW met1 ( 1117570 572390 ) M1M2_PR
+    NEW met2 ( 1117570 621180 ) via2_FR
+    NEW met2 ( 1118950 621180 ) via2_FR
+    NEW met2 ( 1118950 668780 ) via2_FR
+    NEW met2 ( 1117570 668780 ) via2_FR
+    NEW met2 ( 1117570 717740 ) via2_FR
+    NEW met2 ( 1116650 718420 ) via2_FR
+    NEW met1 ( 1116650 765850 ) M1M2_PR
+    NEW met1 ( 1117570 765850 ) M1M2_PR
+    NEW met1 ( 1117570 814470 ) M1M2_PR
+    NEW met1 ( 1118030 814470 ) M1M2_PR
+    NEW met2 ( 1117570 910860 ) via2_FR
+    NEW met3 ( 1117340 910860 ) M3M4_PR_M
+    NEW met3 ( 1117340 935340 ) M3M4_PR_M
+    NEW met2 ( 1117110 935340 ) via2_FR
+    NEW met1 ( 1117110 958970 ) M1M2_PR
+    NEW met1 ( 1118030 958970 ) M1M2_PR
+    NEW met1 ( 1117110 1007590 ) M1M2_PR
+    NEW met1 ( 1116650 1007590 ) M1M2_PR
+    NEW met1 ( 1117570 1104830 ) M1M2_PR
+    NEW met1 ( 1116650 1104830 ) M1M2_PR
+    NEW met2 ( 1116650 1152260 ) via2_FR
+    NEW met2 ( 1117570 1152260 ) via2_FR
+    NEW met1 ( 1117570 1200710 ) M1M2_PR
+    NEW met1 ( 1116650 1200710 ) M1M2_PR
+    NEW met2 ( 1116650 1248820 ) via2_FR
+    NEW met2 ( 1117570 1248820 ) via2_FR
+    NEW met1 ( 1117570 1393830 ) M1M2_PR
+    NEW met1 ( 1118950 1393830 ) M1M2_PR
+    NEW li1 ( 1117110 1610750 ) L1M1_PR_MR
+    NEW met1 ( 1117110 1610750 ) M1M2_PR
+    NEW li1 ( 1117110 1593410 ) L1M1_PR_MR
+    NEW met1 ( 1117570 1593410 ) M1M2_PR
+    NEW li1 ( 1118030 861730 ) L1M1_PR_MR
+    NEW met1 ( 1118030 861730 ) M1M2_PR
+    NEW li1 ( 1118030 903890 ) L1M1_PR_MR
+    NEW met1 ( 1118950 903890 ) M1M2_PR
+    NEW met2 ( 1116650 1048900 ) via2_FR
+    NEW met2 ( 1118950 1048900 ) via2_FR
+    NEW met1 ( 1117570 1435310 ) M1M2_PR
+    NEW met1 ( 1116650 1435310 ) M1M2_PR
+    NEW met2 ( 1116650 1483420 ) via2_FR
+    NEW met2 ( 1117570 1483420 ) via2_FR
+    NEW met1 ( 1117570 1531870 ) M1M2_PR
+    NEW met1 ( 1116650 1531870 ) M1M2_PR
+    NEW met2 ( 1116650 1579980 ) via2_FR
+    NEW met2 ( 1117570 1579980 ) via2_FR
+    NEW met1 ( 1117570 90270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 137870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 186490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 234430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 330990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 289510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 620670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 717570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 524450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1117570 572390 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1117570 910860 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1117340 935340 ) RECT ( 0 -150 390 150 )
+    NEW met1 ( 1117110 1610750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1118030 861730 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) 
-  + ROUTED met1 ( 1138730 20230 ) ( 1145170 20230 )
-    NEW met2 ( 1138730 2380 0 ) ( 1138730 20230 )
-    NEW met2 ( 1145170 20230 ) ( 1145170 1625370 )
-    NEW met1 ( 1145170 1625370 ) ( 1382070 1625370 )
-    NEW met2 ( 1382070 1677900 ) ( 1382990 1677900 )
-    NEW met2 ( 1382990 1677900 ) ( 1382990 1700340 )
-    NEW met2 ( 1382990 1700340 ) ( 1383910 1700340 0 )
-    NEW met2 ( 1382070 1625370 ) ( 1382070 1677900 )
-    NEW met1 ( 1145170 1625370 ) M1M2_PR
-    NEW met1 ( 1138730 20230 ) M1M2_PR
-    NEW met1 ( 1145170 20230 ) M1M2_PR
-    NEW met1 ( 1382070 1625370 ) M1M2_PR
+  + ROUTED met2 ( 1131830 2380 0 ) ( 1131830 6970 )
+    NEW met1 ( 1131830 6970 ) ( 1138270 6970 )
+    NEW met2 ( 1138270 6970 ) ( 1138270 1632850 )
+    NEW met1 ( 1138270 1632850 ) ( 1383910 1632850 )
+    NEW met2 ( 1383910 1632850 ) ( 1383910 1700340 0 )
+    NEW met1 ( 1131830 6970 ) M1M2_PR
+    NEW met1 ( 1138270 6970 ) M1M2_PR
+    NEW met1 ( 1138270 1632850 ) M1M2_PR
+    NEW met1 ( 1383910 1632850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) 
-  + ROUTED met2 ( 1383450 1675860 ) ( 1385750 1675860 )
-    NEW met2 ( 1385750 1675860 ) ( 1385750 1684020 )
-    NEW met2 ( 1385750 1684020 ) ( 1387130 1684020 )
-    NEW met2 ( 1387130 1684020 ) ( 1387130 1700340 )
-    NEW met2 ( 1387130 1700340 ) ( 1387590 1700340 0 )
-    NEW met2 ( 1156670 2380 0 ) ( 1156670 2890 )
-    NEW met1 ( 1156670 2890 ) ( 1157590 2890 )
-    NEW met2 ( 1157590 2890 ) ( 1157590 24820 )
-    NEW met2 ( 1157130 24820 ) ( 1157590 24820 )
-    NEW met3 ( 1157130 48620 ) ( 1158510 48620 )
-    NEW met2 ( 1158510 48620 ) ( 1158510 86190 )
-    NEW met2 ( 1157130 24820 ) ( 1157130 48620 )
-    NEW met1 ( 1158510 86190 ) ( 1383450 86190 )
-    NEW met2 ( 1383450 86190 ) ( 1383450 1675860 )
-    NEW met1 ( 1383450 86190 ) M1M2_PR
-    NEW met1 ( 1156670 2890 ) M1M2_PR
-    NEW met1 ( 1157590 2890 ) M1M2_PR
-    NEW met2 ( 1157130 48620 ) via2_FR
-    NEW met2 ( 1158510 48620 ) via2_FR
-    NEW met1 ( 1158510 86190 ) M1M2_PR
+  + ROUTED met1 ( 1152070 1521670 ) ( 1383450 1521670 )
+    NEW met1 ( 1383450 1684190 ) ( 1387590 1684190 )
+    NEW met2 ( 1387590 1684190 ) ( 1387590 1700340 0 )
+    NEW met2 ( 1383450 1521670 ) ( 1383450 1684190 )
+    NEW met3 ( 1147930 48620 ) ( 1149310 48620 )
+    NEW met2 ( 1147930 48620 ) ( 1147930 96390 )
+    NEW met1 ( 1147930 96390 ) ( 1152070 96390 )
+    NEW met2 ( 1149310 2380 0 ) ( 1149310 48620 )
+    NEW met2 ( 1152070 96390 ) ( 1152070 1521670 )
+    NEW met1 ( 1152070 1521670 ) M1M2_PR
+    NEW met1 ( 1383450 1521670 ) M1M2_PR
+    NEW met1 ( 1383450 1684190 ) M1M2_PR
+    NEW met1 ( 1387590 1684190 ) M1M2_PR
+    NEW met2 ( 1149310 48620 ) via2_FR
+    NEW met2 ( 1147930 48620 ) via2_FR
+    NEW met1 ( 1147930 96390 ) M1M2_PR
+    NEW met1 ( 1152070 96390 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) 
-  + ROUTED met2 ( 674590 2380 0 ) ( 674590 3060 )
-    NEW met2 ( 674590 3060 ) ( 675050 3060 )
-    NEW met2 ( 1287310 1660220 ) ( 1288230 1660220 )
-    NEW met2 ( 1288230 1660220 ) ( 1288230 1700340 0 )
-    NEW met2 ( 1287310 1611090 ) ( 1287310 1660220 )
-    NEW met1 ( 675970 1611090 ) ( 1287310 1611090 )
-    NEW met3 ( 674130 48620 ) ( 675050 48620 )
-    NEW met2 ( 675050 3060 ) ( 675050 48620 )
-    NEW met3 ( 675740 242420 ) ( 675970 242420 )
-    NEW met3 ( 675740 242420 ) ( 675740 243100 )
-    NEW met3 ( 675740 243100 ) ( 675970 243100 )
-    NEW met2 ( 675510 821780 ) ( 675970 821780 )
-    NEW met3 ( 675510 821780 ) ( 675740 821780 )
-    NEW met3 ( 675740 821780 ) ( 675740 822460 )
-    NEW met3 ( 675740 822460 ) ( 675970 822460 )
-    NEW li1 ( 674130 90270 ) ( 674130 137870 )
-    NEW met1 ( 674130 137870 ) ( 675970 137870 )
-    NEW met2 ( 674130 48620 ) ( 674130 90270 )
-    NEW li1 ( 675970 186490 ) ( 675970 234430 )
-    NEW met2 ( 675970 137870 ) ( 675970 186490 )
-    NEW met2 ( 675970 234430 ) ( 675970 242420 )
-    NEW li1 ( 675970 283390 ) ( 675970 330990 )
-    NEW met2 ( 675970 243100 ) ( 675970 283390 )
-    NEW li1 ( 675970 379610 ) ( 675970 427550 )
-    NEW met2 ( 675970 330990 ) ( 675970 379610 )
-    NEW li1 ( 675970 476510 ) ( 675970 524110 )
-    NEW met2 ( 675970 427550 ) ( 675970 476510 )
-    NEW li1 ( 675970 572730 ) ( 675970 620670 )
-    NEW met2 ( 675970 524110 ) ( 675970 572730 )
-    NEW li1 ( 675970 669630 ) ( 675970 717230 )
-    NEW met2 ( 675970 620670 ) ( 675970 669630 )
-    NEW li1 ( 675970 766190 ) ( 675970 814130 )
-    NEW met2 ( 675970 717230 ) ( 675970 766190 )
-    NEW met2 ( 675970 814130 ) ( 675970 821780 )
-    NEW met3 ( 675050 862580 ) ( 675970 862580 )
-    NEW met2 ( 675050 862580 ) ( 675050 910690 )
-    NEW met1 ( 675050 910690 ) ( 675970 910690 )
-    NEW met2 ( 675970 822460 ) ( 675970 862580 )
-    NEW met3 ( 675050 959140 ) ( 675970 959140 )
-    NEW met2 ( 675050 959140 ) ( 675050 1007250 )
-    NEW met1 ( 675050 1007250 ) ( 675970 1007250 )
-    NEW met2 ( 675970 910690 ) ( 675970 959140 )
-    NEW met3 ( 675050 1055700 ) ( 675970 1055700 )
-    NEW met2 ( 675050 1055700 ) ( 675050 1103980 )
-    NEW met3 ( 675050 1103980 ) ( 675970 1103980 )
-    NEW met2 ( 675970 1007250 ) ( 675970 1055700 )
-    NEW met1 ( 675050 1152430 ) ( 675970 1152430 )
-    NEW met2 ( 675050 1152430 ) ( 675050 1200540 )
-    NEW met3 ( 675050 1200540 ) ( 675970 1200540 )
-    NEW met2 ( 675970 1103980 ) ( 675970 1152430 )
-    NEW met1 ( 675050 1248990 ) ( 675970 1248990 )
-    NEW met2 ( 675050 1248990 ) ( 675050 1297100 )
-    NEW met3 ( 675050 1297100 ) ( 675970 1297100 )
-    NEW met2 ( 675970 1200540 ) ( 675970 1248990 )
-    NEW met1 ( 675050 1345550 ) ( 675970 1345550 )
-    NEW met2 ( 675050 1345550 ) ( 675050 1393660 )
-    NEW met3 ( 675050 1393660 ) ( 675970 1393660 )
-    NEW met2 ( 675970 1297100 ) ( 675970 1345550 )
-    NEW met1 ( 675050 1442110 ) ( 675970 1442110 )
-    NEW met2 ( 675050 1442110 ) ( 675050 1490220 )
-    NEW met3 ( 675050 1490220 ) ( 675970 1490220 )
-    NEW met2 ( 675970 1393660 ) ( 675970 1442110 )
-    NEW li1 ( 675970 1539010 ) ( 675970 1586950 )
-    NEW met2 ( 675970 1490220 ) ( 675970 1539010 )
-    NEW met2 ( 675970 1586950 ) ( 675970 1611090 )
-    NEW met1 ( 675970 1611090 ) M1M2_PR
-    NEW met1 ( 1287310 1611090 ) M1M2_PR
-    NEW met2 ( 675050 48620 ) via2_FR
-    NEW met2 ( 674130 48620 ) via2_FR
-    NEW met2 ( 675970 242420 ) via2_FR
-    NEW met2 ( 675970 243100 ) via2_FR
-    NEW met2 ( 675510 821780 ) via2_FR
-    NEW met2 ( 675970 822460 ) via2_FR
-    NEW li1 ( 674130 90270 ) L1M1_PR_MR
-    NEW met1 ( 674130 90270 ) M1M2_PR
-    NEW li1 ( 674130 137870 ) L1M1_PR_MR
-    NEW met1 ( 675970 137870 ) M1M2_PR
-    NEW li1 ( 675970 186490 ) L1M1_PR_MR
-    NEW met1 ( 675970 186490 ) M1M2_PR
-    NEW li1 ( 675970 234430 ) L1M1_PR_MR
-    NEW met1 ( 675970 234430 ) M1M2_PR
-    NEW li1 ( 675970 283390 ) L1M1_PR_MR
-    NEW met1 ( 675970 283390 ) M1M2_PR
-    NEW li1 ( 675970 330990 ) L1M1_PR_MR
-    NEW met1 ( 675970 330990 ) M1M2_PR
-    NEW li1 ( 675970 379610 ) L1M1_PR_MR
-    NEW met1 ( 675970 379610 ) M1M2_PR
-    NEW li1 ( 675970 427550 ) L1M1_PR_MR
-    NEW met1 ( 675970 427550 ) M1M2_PR
-    NEW li1 ( 675970 476510 ) L1M1_PR_MR
-    NEW met1 ( 675970 476510 ) M1M2_PR
-    NEW li1 ( 675970 524110 ) L1M1_PR_MR
-    NEW met1 ( 675970 524110 ) M1M2_PR
-    NEW li1 ( 675970 572730 ) L1M1_PR_MR
-    NEW met1 ( 675970 572730 ) M1M2_PR
-    NEW li1 ( 675970 620670 ) L1M1_PR_MR
-    NEW met1 ( 675970 620670 ) M1M2_PR
-    NEW li1 ( 675970 669630 ) L1M1_PR_MR
-    NEW met1 ( 675970 669630 ) M1M2_PR
-    NEW li1 ( 675970 717230 ) L1M1_PR_MR
-    NEW met1 ( 675970 717230 ) M1M2_PR
-    NEW li1 ( 675970 766190 ) L1M1_PR_MR
-    NEW met1 ( 675970 766190 ) M1M2_PR
-    NEW li1 ( 675970 814130 ) L1M1_PR_MR
-    NEW met1 ( 675970 814130 ) M1M2_PR
-    NEW met2 ( 675970 862580 ) via2_FR
-    NEW met2 ( 675050 862580 ) via2_FR
-    NEW met1 ( 675050 910690 ) M1M2_PR
-    NEW met1 ( 675970 910690 ) M1M2_PR
-    NEW met2 ( 675970 959140 ) via2_FR
-    NEW met2 ( 675050 959140 ) via2_FR
-    NEW met1 ( 675050 1007250 ) M1M2_PR
-    NEW met1 ( 675970 1007250 ) M1M2_PR
-    NEW met2 ( 675970 1055700 ) via2_FR
-    NEW met2 ( 675050 1055700 ) via2_FR
-    NEW met2 ( 675050 1103980 ) via2_FR
-    NEW met2 ( 675970 1103980 ) via2_FR
-    NEW met1 ( 675970 1152430 ) M1M2_PR
-    NEW met1 ( 675050 1152430 ) M1M2_PR
-    NEW met2 ( 675050 1200540 ) via2_FR
-    NEW met2 ( 675970 1200540 ) via2_FR
-    NEW met1 ( 675970 1248990 ) M1M2_PR
-    NEW met1 ( 675050 1248990 ) M1M2_PR
-    NEW met2 ( 675050 1297100 ) via2_FR
-    NEW met2 ( 675970 1297100 ) via2_FR
-    NEW met1 ( 675970 1345550 ) M1M2_PR
-    NEW met1 ( 675050 1345550 ) M1M2_PR
-    NEW met2 ( 675050 1393660 ) via2_FR
-    NEW met2 ( 675970 1393660 ) via2_FR
-    NEW met1 ( 675970 1442110 ) M1M2_PR
-    NEW met1 ( 675050 1442110 ) M1M2_PR
-    NEW met2 ( 675050 1490220 ) via2_FR
-    NEW met2 ( 675970 1490220 ) via2_FR
-    NEW li1 ( 675970 1539010 ) L1M1_PR_MR
-    NEW met1 ( 675970 1539010 ) M1M2_PR
-    NEW li1 ( 675970 1586950 ) L1M1_PR_MR
-    NEW met1 ( 675970 1586950 ) M1M2_PR
-    NEW met1 ( 674130 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 717230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 1539010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 675970 1586950 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 670910 17170 ) ( 675970 17170 )
+    NEW met2 ( 670910 2380 0 ) ( 670910 17170 )
+    NEW met2 ( 675970 17170 ) ( 675970 1507730 )
+    NEW met2 ( 1266150 1507730 ) ( 1266150 1685890 )
+    NEW met1 ( 675970 1507730 ) ( 1266150 1507730 )
+    NEW met2 ( 1288230 1685890 ) ( 1288230 1700340 0 )
+    NEW met1 ( 1266150 1685890 ) ( 1288230 1685890 )
+    NEW met1 ( 675970 1507730 ) M1M2_PR
+    NEW met1 ( 670910 17170 ) M1M2_PR
+    NEW met1 ( 675970 17170 ) M1M2_PR
+    NEW met1 ( 1266150 1507730 ) M1M2_PR
+    NEW met1 ( 1266150 1685890 ) M1M2_PR
+    NEW met1 ( 1288230 1685890 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) 
-  + ROUTED met1 ( 1179670 92990 ) ( 1376550 92990 )
-    NEW met1 ( 1174150 20570 ) ( 1179670 20570 )
-    NEW met2 ( 1174150 2380 0 ) ( 1174150 20570 )
-    NEW met2 ( 1179670 20570 ) ( 1179670 92990 )
-    NEW met1 ( 1378390 1684190 ) ( 1391270 1684190 )
-    NEW met2 ( 1391270 1684190 ) ( 1391270 1700340 0 )
-    NEW met1 ( 1376550 1621970 ) ( 1378390 1621970 )
-    NEW met2 ( 1378390 1621970 ) ( 1378390 1684190 )
-    NEW met2 ( 1376550 92990 ) ( 1376550 1621970 )
-    NEW met1 ( 1179670 92990 ) M1M2_PR
-    NEW met1 ( 1376550 92990 ) M1M2_PR
-    NEW met1 ( 1174150 20570 ) M1M2_PR
-    NEW met1 ( 1179670 20570 ) M1M2_PR
-    NEW met1 ( 1378390 1684190 ) M1M2_PR
-    NEW met1 ( 1391270 1684190 ) M1M2_PR
-    NEW met1 ( 1376550 1621970 ) M1M2_PR
-    NEW met1 ( 1378390 1621970 ) M1M2_PR
+  + ROUTED met1 ( 1172770 1611770 ) ( 1388970 1611770 )
+    NEW met1 ( 1388970 1677730 ) ( 1390350 1677730 )
+    NEW met2 ( 1390350 1677730 ) ( 1390350 1700340 )
+    NEW met2 ( 1390350 1700340 ) ( 1391270 1700340 0 )
+    NEW met2 ( 1388970 1611770 ) ( 1388970 1677730 )
+    NEW met2 ( 1167250 2380 0 ) ( 1167250 18530 )
+    NEW met1 ( 1167250 18530 ) ( 1170010 18530 )
+    NEW met1 ( 1170010 62050 ) ( 1172310 62050 )
+    NEW met2 ( 1172310 62050 ) ( 1172310 62220 )
+    NEW met2 ( 1172310 62220 ) ( 1172770 62220 )
+    NEW met2 ( 1170010 18530 ) ( 1170010 62050 )
+    NEW met2 ( 1172770 62220 ) ( 1172770 1611770 )
+    NEW met1 ( 1172770 1611770 ) M1M2_PR
+    NEW met1 ( 1388970 1611770 ) M1M2_PR
+    NEW met1 ( 1388970 1677730 ) M1M2_PR
+    NEW met1 ( 1390350 1677730 ) M1M2_PR
+    NEW met1 ( 1167250 18530 ) M1M2_PR
+    NEW met1 ( 1170010 18530 ) M1M2_PR
+    NEW met1 ( 1170010 62050 ) M1M2_PR
+    NEW met1 ( 1172310 62050 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) 
-  + ROUTED met2 ( 1192090 2380 0 ) ( 1192090 39270 )
-    NEW met1 ( 1192090 39270 ) ( 1395410 39270 )
-    NEW met2 ( 1394950 1700340 0 ) ( 1395410 1700340 )
-    NEW met2 ( 1395410 39270 ) ( 1395410 1700340 )
-    NEW met1 ( 1192090 39270 ) M1M2_PR
-    NEW met1 ( 1395410 39270 ) M1M2_PR
+  + ROUTED met1 ( 1185190 39610 ) ( 1202210 39610 )
+    NEW met2 ( 1202210 39100 ) ( 1202210 39610 )
+    NEW met3 ( 1202210 39100 ) ( 1210490 39100 )
+    NEW met2 ( 1210490 38930 ) ( 1210490 39100 )
+    NEW met2 ( 1185190 2380 0 ) ( 1185190 39610 )
+    NEW met1 ( 1210490 38930 ) ( 1394950 38930 )
+    NEW met2 ( 1394950 38930 ) ( 1394950 1700340 0 )
+    NEW met1 ( 1185190 39610 ) M1M2_PR
+    NEW met1 ( 1202210 39610 ) M1M2_PR
+    NEW met2 ( 1202210 39100 ) via2_FR
+    NEW met2 ( 1210490 39100 ) via2_FR
+    NEW met1 ( 1210490 38930 ) M1M2_PR
+    NEW met1 ( 1394950 38930 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) 
-  + ROUTED met2 ( 1210030 2380 0 ) ( 1210030 39610 )
-    NEW met1 ( 1210030 39610 ) ( 1396790 39610 )
+  + ROUTED met1 ( 1245450 39610 ) ( 1245450 39950 )
+    NEW met1 ( 1245450 39950 ) ( 1394490 39950 )
+    NEW met1 ( 1394490 1679090 ) ( 1397710 1679090 )
+    NEW met2 ( 1397710 1679090 ) ( 1397710 1700340 )
     NEW met2 ( 1397710 1700340 ) ( 1398630 1700340 0 )
-    NEW met2 ( 1396330 1183540 ) ( 1396790 1183540 )
-    NEW met1 ( 1396330 1279930 ) ( 1396330 1280270 )
-    NEW met1 ( 1396330 1279930 ) ( 1396790 1279930 )
-    NEW met2 ( 1396330 821100 ) ( 1396790 821100 )
-    NEW met1 ( 1396330 1062330 ) ( 1396790 1062330 )
-    NEW met1 ( 1396330 1304410 ) ( 1396790 1304410 )
-    NEW met2 ( 1396330 1280270 ) ( 1396330 1304410 )
-    NEW met2 ( 1396330 1424940 ) ( 1396790 1424940 )
-    NEW met1 ( 1396790 186490 ) ( 1397710 186490 )
-    NEW met3 ( 1396330 1393660 ) ( 1397710 1393660 )
-    NEW met2 ( 1397710 1345550 ) ( 1397710 1393660 )
-    NEW met1 ( 1396790 1345550 ) ( 1397710 1345550 )
-    NEW met2 ( 1396330 1393660 ) ( 1396330 1424940 )
-    NEW met2 ( 1396790 1304410 ) ( 1396790 1345550 )
-    NEW li1 ( 1396790 1635570 ) ( 1396790 1671610 )
-    NEW met1 ( 1396790 1671610 ) ( 1397710 1671610 )
-    NEW met2 ( 1396790 1424940 ) ( 1396790 1635570 )
-    NEW met2 ( 1397710 1671610 ) ( 1397710 1700340 )
-    NEW met2 ( 1396790 39610 ) ( 1396790 186150 )
-    NEW met1 ( 1396790 186150 ) ( 1396790 186490 )
-    NEW met1 ( 1396330 258910 ) ( 1397710 258910 )
-    NEW met2 ( 1397710 186490 ) ( 1397710 258910 )
-    NEW met1 ( 1396330 917490 ) ( 1396330 918170 )
-    NEW met1 ( 1396330 918170 ) ( 1396790 918170 )
-    NEW met2 ( 1396330 821100 ) ( 1396330 917490 )
-    NEW met1 ( 1394950 1200710 ) ( 1396330 1200710 )
-    NEW met2 ( 1394950 1200710 ) ( 1394950 1248820 )
-    NEW met3 ( 1394950 1248820 ) ( 1396790 1248820 )
-    NEW met2 ( 1396330 1183540 ) ( 1396330 1200710 )
-    NEW met2 ( 1396790 1248820 ) ( 1396790 1279930 )
-    NEW li1 ( 1396330 276250 ) ( 1396330 324190 )
-    NEW met2 ( 1396330 258910 ) ( 1396330 276250 )
-    NEW li1 ( 1396790 952510 ) ( 1396790 1000450 )
-    NEW met2 ( 1396790 918170 ) ( 1396790 952510 )
-    NEW met2 ( 1396790 1000450 ) ( 1396790 1062330 )
-    NEW met3 ( 1396330 324700 ) ( 1397710 324700 )
-    NEW met2 ( 1396330 324190 ) ( 1396330 324700 )
-    NEW met1 ( 1396330 1097350 ) ( 1396790 1097350 )
-    NEW met2 ( 1396330 1062330 ) ( 1396330 1097350 )
-    NEW met2 ( 1396790 1097350 ) ( 1396790 1183540 )
-    NEW met1 ( 1396790 413950 ) ( 1398170 413950 )
-    NEW met2 ( 1398170 372300 ) ( 1398170 413950 )
-    NEW met2 ( 1397710 372300 ) ( 1398170 372300 )
-    NEW met2 ( 1397710 324700 ) ( 1397710 372300 )
-    NEW met1 ( 1396330 607070 ) ( 1396790 607070 )
-    NEW met1 ( 1396790 434010 ) ( 1397710 434010 )
-    NEW met2 ( 1396790 413950 ) ( 1396790 434010 )
-    NEW met1 ( 1396790 455430 ) ( 1397710 455430 )
-    NEW met2 ( 1396790 455430 ) ( 1396790 503540 )
-    NEW met3 ( 1396790 503540 ) ( 1397710 503540 )
-    NEW met2 ( 1397710 434010 ) ( 1397710 455430 )
-    NEW li1 ( 1396330 648890 ) ( 1396330 696830 )
-    NEW met1 ( 1396330 648890 ) ( 1396790 648890 )
-    NEW met2 ( 1396790 607070 ) ( 1396790 648890 )
-    NEW met1 ( 1396330 503710 ) ( 1397710 503710 )
-    NEW met2 ( 1396330 503710 ) ( 1396330 607070 )
-    NEW met2 ( 1397710 503540 ) ( 1397710 503710 )
-    NEW met2 ( 1396330 697340 ) ( 1396790 697340 )
-    NEW met2 ( 1396330 696830 ) ( 1396330 697340 )
-    NEW met2 ( 1396790 697340 ) ( 1396790 821100 )
-    NEW met1 ( 1210030 39610 ) M1M2_PR
-    NEW met1 ( 1396790 39610 ) M1M2_PR
-    NEW met1 ( 1396330 1280270 ) M1M2_PR
-    NEW met1 ( 1396790 1279930 ) M1M2_PR
-    NEW met1 ( 1396330 1062330 ) M1M2_PR
-    NEW met1 ( 1396790 1062330 ) M1M2_PR
-    NEW met1 ( 1396330 1304410 ) M1M2_PR
-    NEW met1 ( 1396790 1304410 ) M1M2_PR
-    NEW met1 ( 1397710 186490 ) M1M2_PR
-    NEW met2 ( 1396330 1393660 ) via2_FR
-    NEW met2 ( 1397710 1393660 ) via2_FR
-    NEW met1 ( 1397710 1345550 ) M1M2_PR
-    NEW met1 ( 1396790 1345550 ) M1M2_PR
-    NEW li1 ( 1396790 1635570 ) L1M1_PR_MR
-    NEW met1 ( 1396790 1635570 ) M1M2_PR
-    NEW li1 ( 1396790 1671610 ) L1M1_PR_MR
-    NEW met1 ( 1397710 1671610 ) M1M2_PR
-    NEW met1 ( 1396790 186150 ) M1M2_PR
-    NEW met1 ( 1396330 258910 ) M1M2_PR
-    NEW met1 ( 1397710 258910 ) M1M2_PR
-    NEW met1 ( 1396330 917490 ) M1M2_PR
-    NEW met1 ( 1396790 918170 ) M1M2_PR
-    NEW met1 ( 1396330 1200710 ) M1M2_PR
-    NEW met1 ( 1394950 1200710 ) M1M2_PR
-    NEW met2 ( 1394950 1248820 ) via2_FR
-    NEW met2 ( 1396790 1248820 ) via2_FR
-    NEW li1 ( 1396330 276250 ) L1M1_PR_MR
-    NEW met1 ( 1396330 276250 ) M1M2_PR
-    NEW li1 ( 1396330 324190 ) L1M1_PR_MR
-    NEW met1 ( 1396330 324190 ) M1M2_PR
-    NEW li1 ( 1396790 952510 ) L1M1_PR_MR
-    NEW met1 ( 1396790 952510 ) M1M2_PR
-    NEW li1 ( 1396790 1000450 ) L1M1_PR_MR
-    NEW met1 ( 1396790 1000450 ) M1M2_PR
-    NEW met2 ( 1396330 324700 ) via2_FR
-    NEW met2 ( 1397710 324700 ) via2_FR
-    NEW met1 ( 1396330 1097350 ) M1M2_PR
-    NEW met1 ( 1396790 1097350 ) M1M2_PR
-    NEW met1 ( 1396790 413950 ) M1M2_PR
-    NEW met1 ( 1398170 413950 ) M1M2_PR
-    NEW met1 ( 1396330 607070 ) M1M2_PR
-    NEW met1 ( 1396790 607070 ) M1M2_PR
-    NEW met1 ( 1396790 434010 ) M1M2_PR
-    NEW met1 ( 1397710 434010 ) M1M2_PR
-    NEW met1 ( 1397710 455430 ) M1M2_PR
-    NEW met1 ( 1396790 455430 ) M1M2_PR
-    NEW met2 ( 1396790 503540 ) via2_FR
-    NEW met2 ( 1397710 503540 ) via2_FR
-    NEW li1 ( 1396330 696830 ) L1M1_PR_MR
-    NEW met1 ( 1396330 696830 ) M1M2_PR
-    NEW li1 ( 1396330 648890 ) L1M1_PR_MR
-    NEW met1 ( 1396790 648890 ) M1M2_PR
-    NEW met1 ( 1396330 503710 ) M1M2_PR
-    NEW met1 ( 1397710 503710 ) M1M2_PR
-    NEW met1 ( 1396790 1635570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1396330 276250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1396330 324190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1396790 952510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1396790 1000450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1396330 696830 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1394490 39950 ) ( 1394490 1679090 )
+    NEW met2 ( 1202670 2380 0 ) ( 1202670 39610 )
+    NEW met1 ( 1202670 39610 ) ( 1245450 39610 )
+    NEW met1 ( 1394490 39950 ) M1M2_PR
+    NEW met1 ( 1394490 1679090 ) M1M2_PR
+    NEW met1 ( 1397710 1679090 ) M1M2_PR
+    NEW met1 ( 1202670 39610 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) 
-  + ROUTED met2 ( 1227510 20060 ) ( 1227970 20060 )
-    NEW met2 ( 1227510 20060 ) ( 1227510 39950 )
-    NEW met2 ( 1227970 2380 0 ) ( 1227970 20060 )
-    NEW met1 ( 1227510 39950 ) ( 1401850 39950 )
-    NEW met2 ( 1401850 1700340 ) ( 1402310 1700340 0 )
-    NEW met2 ( 1401850 39950 ) ( 1401850 1700340 )
-    NEW met1 ( 1227510 39950 ) M1M2_PR
-    NEW met1 ( 1401850 39950 ) M1M2_PR
+  + ROUTED met2 ( 1220610 2380 0 ) ( 1220610 2890 )
+    NEW met1 ( 1220610 2890 ) ( 1220610 3230 )
+    NEW met1 ( 1220610 3230 ) ( 1245910 3230 )
+    NEW li1 ( 1245910 3230 ) ( 1245910 39610 )
+    NEW met1 ( 1245910 39610 ) ( 1403690 39610 )
+    NEW met1 ( 1402310 1693370 ) ( 1403690 1693370 )
+    NEW met2 ( 1402310 1693370 ) ( 1402310 1700340 0 )
+    NEW met2 ( 1403690 39610 ) ( 1403690 1693370 )
+    NEW met1 ( 1403690 39610 ) M1M2_PR
+    NEW met1 ( 1220610 2890 ) M1M2_PR
+    NEW li1 ( 1245910 3230 ) L1M1_PR_MR
+    NEW li1 ( 1245910 39610 ) L1M1_PR_MR
+    NEW met1 ( 1403690 1693370 ) M1M2_PR
+    NEW met1 ( 1402310 1693370 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) 
-  + ROUTED met2 ( 1245910 2380 0 ) ( 1245910 40290 )
-    NEW met1 ( 1245910 40290 ) ( 1401390 40290 )
+  + ROUTED met1 ( 1238090 20570 ) ( 1269370 20570 )
+    NEW li1 ( 1269370 20570 ) ( 1269370 40290 )
+    NEW met2 ( 1238090 2380 0 ) ( 1238090 20570 )
+    NEW met1 ( 1269370 40290 ) ( 1401390 40290 )
     NEW met1 ( 1401390 1677730 ) ( 1405070 1677730 )
     NEW met2 ( 1405070 1677730 ) ( 1405070 1700340 )
     NEW met2 ( 1405070 1700340 ) ( 1405990 1700340 0 )
     NEW met2 ( 1401390 40290 ) ( 1401390 1677730 )
-    NEW met1 ( 1245910 40290 ) M1M2_PR
+    NEW met1 ( 1238090 20570 ) M1M2_PR
+    NEW li1 ( 1269370 20570 ) L1M1_PR_MR
+    NEW li1 ( 1269370 40290 ) L1M1_PR_MR
     NEW met1 ( 1401390 40290 ) M1M2_PR
     NEW met1 ( 1401390 1677730 ) M1M2_PR
     NEW met1 ( 1405070 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) 
-  + ROUTED met1 ( 1263390 15810 ) ( 1268450 15810 )
-    NEW met2 ( 1268450 15810 ) ( 1268450 46580 )
-    NEW met2 ( 1268450 46580 ) ( 1268910 46580 )
-    NEW met2 ( 1263390 2380 0 ) ( 1263390 15810 )
-    NEW met2 ( 1268910 46580 ) ( 1268910 1686910 )
-    NEW met2 ( 1409670 1686910 ) ( 1409670 1700340 0 )
-    NEW met1 ( 1268910 1686910 ) ( 1409670 1686910 )
-    NEW met1 ( 1263390 15810 ) M1M2_PR
-    NEW met1 ( 1268450 15810 ) M1M2_PR
-    NEW met1 ( 1268910 1686910 ) M1M2_PR
-    NEW met1 ( 1409670 1686910 ) M1M2_PR
+  + ROUTED met2 ( 1256030 2380 0 ) ( 1256030 17850 )
+    NEW met1 ( 1408290 1318010 ) ( 1409670 1318010 )
+    NEW met2 ( 1408290 1318010 ) ( 1408290 1365950 )
+    NEW met1 ( 1408290 1365950 ) ( 1409670 1365950 )
+    NEW met1 ( 1410590 1462170 ) ( 1410590 1462510 )
+    NEW met1 ( 1409670 1462510 ) ( 1410590 1462510 )
+    NEW li1 ( 1373790 17170 ) ( 1373790 17850 )
+    NEW met1 ( 1373790 17170 ) ( 1391270 17170 )
+    NEW met1 ( 1391270 17170 ) ( 1391270 17510 )
+    NEW met1 ( 1256030 17850 ) ( 1373790 17850 )
+    NEW met2 ( 1409670 1317500 ) ( 1410130 1317500 )
+    NEW met2 ( 1409670 1317500 ) ( 1409670 1318010 )
+    NEW met2 ( 1409670 1463020 ) ( 1410130 1463020 )
+    NEW met2 ( 1409670 1462510 ) ( 1409670 1463020 )
+    NEW met1 ( 1408290 820930 ) ( 1410130 820930 )
+    NEW met3 ( 1409670 917660 ) ( 1409900 917660 )
+    NEW met3 ( 1409900 916980 ) ( 1409900 917660 )
+    NEW met3 ( 1409670 916980 ) ( 1409900 916980 )
+    NEW met2 ( 1409670 869890 ) ( 1409670 916980 )
+    NEW met1 ( 1409670 869890 ) ( 1410130 869890 )
+    NEW met1 ( 1409670 1075930 ) ( 1410130 1075930 )
+    NEW met3 ( 1408290 821100 ) ( 1410130 821100 )
+    NEW met2 ( 1408290 821100 ) ( 1408290 869210 )
+    NEW met1 ( 1408290 869210 ) ( 1410130 869210 )
+    NEW met2 ( 1410130 820930 ) ( 1410130 821100 )
+    NEW met2 ( 1410130 869210 ) ( 1410130 869890 )
+    NEW li1 ( 1409670 917830 ) ( 1409670 942310 )
+    NEW met1 ( 1409670 942310 ) ( 1410130 942310 )
+    NEW met2 ( 1409670 917660 ) ( 1409670 917830 )
+    NEW met2 ( 1409670 1015070 ) ( 1410130 1015070 )
+    NEW met2 ( 1410130 1015070 ) ( 1410130 1075930 )
+    NEW met1 ( 1409670 1407770 ) ( 1410590 1407770 )
+    NEW met2 ( 1409670 1365950 ) ( 1409670 1407770 )
+    NEW met2 ( 1410590 1407770 ) ( 1410590 1462170 )
+    NEW met2 ( 1410130 1463020 ) ( 1410130 1497530 )
+    NEW met1 ( 1409670 1594770 ) ( 1409670 1595110 )
+    NEW met1 ( 1409670 1595110 ) ( 1410590 1595110 )
+    NEW met2 ( 1408750 17510 ) ( 1408750 41140 )
+    NEW met2 ( 1408750 41140 ) ( 1409670 41140 )
+    NEW met1 ( 1391270 17510 ) ( 1408750 17510 )
+    NEW met3 ( 1408290 427380 ) ( 1409670 427380 )
+    NEW met2 ( 1409670 596700 ) ( 1409670 596870 )
+    NEW met3 ( 1409670 596700 ) ( 1409900 596700 )
+    NEW met1 ( 1410130 675750 ) ( 1410130 676430 )
+    NEW met1 ( 1410130 675750 ) ( 1410590 675750 )
+    NEW li1 ( 1409670 959310 ) ( 1409670 1007250 )
+    NEW met1 ( 1409670 959310 ) ( 1410130 959310 )
+    NEW met2 ( 1409670 1007250 ) ( 1409670 1015070 )
+    NEW met2 ( 1410130 942310 ) ( 1410130 959310 )
+    NEW li1 ( 1410130 1248990 ) ( 1410130 1296930 )
+    NEW met2 ( 1410130 1296930 ) ( 1410130 1317500 )
+    NEW met1 ( 1409670 1586950 ) ( 1410130 1586950 )
+    NEW met2 ( 1409670 1586950 ) ( 1409670 1594770 )
+    NEW li1 ( 1410130 1497530 ) ( 1410130 1586950 )
+    NEW li1 ( 1409670 1635570 ) ( 1409670 1683170 )
+    NEW met1 ( 1409670 1635570 ) ( 1410590 1635570 )
+    NEW met2 ( 1409670 1683170 ) ( 1409670 1700340 0 )
+    NEW met2 ( 1410590 1595110 ) ( 1410590 1635570 )
+    NEW met1 ( 1409670 65790 ) ( 1410130 65790 )
+    NEW met2 ( 1409670 41140 ) ( 1409670 65790 )
+    NEW met1 ( 1409670 331330 ) ( 1410130 331330 )
+    NEW li1 ( 1409670 427890 ) ( 1409670 449310 )
+    NEW met2 ( 1409670 427380 ) ( 1409670 427890 )
+    NEW met3 ( 1409670 524620 ) ( 1409670 525300 )
+    NEW met3 ( 1409670 525300 ) ( 1409900 525300 )
+    NEW met4 ( 1409900 525300 ) ( 1409900 596700 )
+    NEW met1 ( 1409670 638690 ) ( 1410590 638690 )
+    NEW li1 ( 1409670 596870 ) ( 1409670 638690 )
+    NEW met2 ( 1410590 638690 ) ( 1410590 675750 )
+    NEW met3 ( 1408290 717740 ) ( 1410130 717740 )
+    NEW met2 ( 1408290 717740 ) ( 1408290 820930 )
+    NEW met2 ( 1410130 676430 ) ( 1410130 717740 )
+    NEW met1 ( 1409670 1122850 ) ( 1410130 1122850 )
+    NEW li1 ( 1409670 1075930 ) ( 1409670 1122850 )
+    NEW li1 ( 1409670 1220770 ) ( 1409670 1221790 )
+    NEW met1 ( 1409670 1221790 ) ( 1410130 1221790 )
+    NEW met2 ( 1410130 1221790 ) ( 1410130 1248990 )
+    NEW met1 ( 1409670 226950 ) ( 1410130 226950 )
+    NEW met2 ( 1409670 179860 ) ( 1409670 226950 )
+    NEW met2 ( 1409670 179860 ) ( 1410130 179860 )
+    NEW met1 ( 1408290 396610 ) ( 1409670 396610 )
+    NEW met2 ( 1408290 396610 ) ( 1408290 427380 )
+    NEW li1 ( 1409670 331330 ) ( 1409670 396610 )
+    NEW li1 ( 1409670 475490 ) ( 1409670 517310 )
+    NEW met2 ( 1409670 449310 ) ( 1409670 475490 )
+    NEW met2 ( 1409670 517310 ) ( 1409670 524620 )
+    NEW li1 ( 1409670 1145630 ) ( 1409670 1193570 )
+    NEW met1 ( 1409670 1145630 ) ( 1410130 1145630 )
+    NEW met2 ( 1409670 1193570 ) ( 1409670 1220770 )
+    NEW met2 ( 1410130 1122850 ) ( 1410130 1145630 )
+    NEW met2 ( 1409670 131580 ) ( 1410130 131580 )
+    NEW met2 ( 1410130 131580 ) ( 1410130 179860 )
+    NEW li1 ( 1410130 251770 ) ( 1410130 275910 )
+    NEW met2 ( 1410130 226950 ) ( 1410130 251770 )
+    NEW met2 ( 1410130 275910 ) ( 1410130 331330 )
+    NEW li1 ( 1409670 96390 ) ( 1409670 123930 )
+    NEW met1 ( 1409670 96390 ) ( 1410130 96390 )
+    NEW met2 ( 1409670 123930 ) ( 1409670 131580 )
+    NEW met2 ( 1410130 65790 ) ( 1410130 96390 )
+    NEW met1 ( 1256030 17850 ) M1M2_PR
+    NEW met1 ( 1409670 1318010 ) M1M2_PR
+    NEW met1 ( 1408290 1318010 ) M1M2_PR
+    NEW met1 ( 1408290 1365950 ) M1M2_PR
+    NEW met1 ( 1409670 1365950 ) M1M2_PR
+    NEW met1 ( 1410590 1462170 ) M1M2_PR
+    NEW met1 ( 1409670 1462510 ) M1M2_PR
+    NEW li1 ( 1373790 17850 ) L1M1_PR_MR
+    NEW li1 ( 1373790 17170 ) L1M1_PR_MR
+    NEW met1 ( 1408290 820930 ) M1M2_PR
+    NEW met1 ( 1410130 820930 ) M1M2_PR
+    NEW met2 ( 1409670 917660 ) via2_FR
+    NEW met2 ( 1409670 916980 ) via2_FR
+    NEW met1 ( 1409670 869890 ) M1M2_PR
+    NEW met1 ( 1410130 869890 ) M1M2_PR
+    NEW li1 ( 1409670 1075930 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1075930 ) M1M2_PR
+    NEW met2 ( 1410130 821100 ) via2_FR
+    NEW met2 ( 1408290 821100 ) via2_FR
+    NEW met1 ( 1408290 869210 ) M1M2_PR
+    NEW met1 ( 1410130 869210 ) M1M2_PR
+    NEW li1 ( 1409670 917830 ) L1M1_PR_MR
+    NEW met1 ( 1409670 917830 ) M1M2_PR
+    NEW li1 ( 1409670 942310 ) L1M1_PR_MR
+    NEW met1 ( 1410130 942310 ) M1M2_PR
+    NEW met1 ( 1409670 1407770 ) M1M2_PR
+    NEW met1 ( 1410590 1407770 ) M1M2_PR
+    NEW li1 ( 1410130 1497530 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1497530 ) M1M2_PR
+    NEW met1 ( 1409670 1594770 ) M1M2_PR
+    NEW met1 ( 1410590 1595110 ) M1M2_PR
+    NEW met1 ( 1408750 17510 ) M1M2_PR
+    NEW met2 ( 1408290 427380 ) via2_FR
+    NEW met2 ( 1409670 427380 ) via2_FR
+    NEW li1 ( 1409670 596870 ) L1M1_PR_MR
+    NEW met1 ( 1409670 596870 ) M1M2_PR
+    NEW met2 ( 1409670 596700 ) via2_FR
+    NEW met3 ( 1409900 596700 ) M3M4_PR_M
+    NEW met1 ( 1410130 676430 ) M1M2_PR
+    NEW met1 ( 1410590 675750 ) M1M2_PR
+    NEW li1 ( 1409670 1007250 ) L1M1_PR_MR
+    NEW met1 ( 1409670 1007250 ) M1M2_PR
+    NEW li1 ( 1409670 959310 ) L1M1_PR_MR
+    NEW met1 ( 1410130 959310 ) M1M2_PR
+    NEW li1 ( 1410130 1248990 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1248990 ) M1M2_PR
+    NEW li1 ( 1410130 1296930 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1296930 ) M1M2_PR
+    NEW met1 ( 1409670 1586950 ) M1M2_PR
+    NEW li1 ( 1410130 1586950 ) L1M1_PR_MR
+    NEW li1 ( 1409670 1683170 ) L1M1_PR_MR
+    NEW met1 ( 1409670 1683170 ) M1M2_PR
+    NEW li1 ( 1409670 1635570 ) L1M1_PR_MR
+    NEW met1 ( 1410590 1635570 ) M1M2_PR
+    NEW met1 ( 1409670 65790 ) M1M2_PR
+    NEW met1 ( 1410130 65790 ) M1M2_PR
+    NEW li1 ( 1409670 331330 ) L1M1_PR_MR
+    NEW met1 ( 1410130 331330 ) M1M2_PR
+    NEW li1 ( 1409670 427890 ) L1M1_PR_MR
+    NEW met1 ( 1409670 427890 ) M1M2_PR
+    NEW li1 ( 1409670 449310 ) L1M1_PR_MR
+    NEW met1 ( 1409670 449310 ) M1M2_PR
+    NEW met2 ( 1409670 524620 ) via2_FR
+    NEW met3 ( 1409900 525300 ) M3M4_PR_M
+    NEW li1 ( 1409670 638690 ) L1M1_PR_MR
+    NEW met1 ( 1410590 638690 ) M1M2_PR
+    NEW met2 ( 1408290 717740 ) via2_FR
+    NEW met2 ( 1410130 717740 ) via2_FR
+    NEW li1 ( 1409670 1122850 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1122850 ) M1M2_PR
+    NEW li1 ( 1409670 1220770 ) L1M1_PR_MR
+    NEW met1 ( 1409670 1220770 ) M1M2_PR
+    NEW li1 ( 1409670 1221790 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1221790 ) M1M2_PR
+    NEW met1 ( 1410130 226950 ) M1M2_PR
+    NEW met1 ( 1409670 226950 ) M1M2_PR
+    NEW met1 ( 1408290 396610 ) M1M2_PR
+    NEW li1 ( 1409670 396610 ) L1M1_PR_MR
+    NEW li1 ( 1409670 475490 ) L1M1_PR_MR
+    NEW met1 ( 1409670 475490 ) M1M2_PR
+    NEW li1 ( 1409670 517310 ) L1M1_PR_MR
+    NEW met1 ( 1409670 517310 ) M1M2_PR
+    NEW li1 ( 1409670 1193570 ) L1M1_PR_MR
+    NEW met1 ( 1409670 1193570 ) M1M2_PR
+    NEW li1 ( 1409670 1145630 ) L1M1_PR_MR
+    NEW met1 ( 1410130 1145630 ) M1M2_PR
+    NEW li1 ( 1410130 251770 ) L1M1_PR_MR
+    NEW met1 ( 1410130 251770 ) M1M2_PR
+    NEW li1 ( 1410130 275910 ) L1M1_PR_MR
+    NEW met1 ( 1410130 275910 ) M1M2_PR
+    NEW li1 ( 1409670 123930 ) L1M1_PR_MR
+    NEW met1 ( 1409670 123930 ) M1M2_PR
+    NEW li1 ( 1409670 96390 ) L1M1_PR_MR
+    NEW met1 ( 1410130 96390 ) M1M2_PR
+    NEW met1 ( 1409670 917830 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1410130 1497530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 596870 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1409670 596700 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 1409670 1007250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1410130 1248990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1410130 1296930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 1683170 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 427890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 449310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 1220770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 475490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 517310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 1193570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1410130 251770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1410130 275910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1409670 123930 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) 
-  + ROUTED met2 ( 1281330 2380 0 ) ( 1281330 2890 )
-    NEW met1 ( 1281330 2890 ) ( 1283170 2890 )
-    NEW met2 ( 1283170 2890 ) ( 1283170 1687250 )
-    NEW met2 ( 1413350 1687250 ) ( 1413350 1700340 0 )
-    NEW met1 ( 1283170 1687250 ) ( 1413350 1687250 )
-    NEW met1 ( 1281330 2890 ) M1M2_PR
-    NEW met1 ( 1283170 2890 ) M1M2_PR
-    NEW met1 ( 1283170 1687250 ) M1M2_PR
-    NEW met1 ( 1413350 1687250 ) M1M2_PR
+  + ROUTED li1 ( 1342050 15810 ) ( 1342050 16830 )
+    NEW met1 ( 1342050 15810 ) ( 1365970 15810 )
+    NEW li1 ( 1365970 15810 ) ( 1365970 17170 )
+    NEW met2 ( 1410590 1125060 ) ( 1411050 1125060 )
+    NEW met2 ( 1410590 1221620 ) ( 1411050 1221620 )
+    NEW met2 ( 1273510 2380 0 ) ( 1273510 16830 )
+    NEW met1 ( 1273510 16830 ) ( 1342050 16830 )
+    NEW li1 ( 1373330 15810 ) ( 1373330 17170 )
+    NEW met1 ( 1373330 15810 ) ( 1390810 15810 )
+    NEW li1 ( 1390810 15810 ) ( 1390810 16830 )
+    NEW met1 ( 1365970 17170 ) ( 1373330 17170 )
+    NEW met2 ( 1410590 738140 ) ( 1411050 738140 )
+    NEW met2 ( 1410590 1124380 ) ( 1411050 1124380 )
+    NEW met2 ( 1410590 1124380 ) ( 1410590 1125060 )
+    NEW met2 ( 1410590 1220940 ) ( 1411050 1220940 )
+    NEW met2 ( 1410590 1220940 ) ( 1410590 1221620 )
+    NEW met2 ( 1411050 1125060 ) ( 1411050 1220940 )
+    NEW met2 ( 1410590 1317500 ) ( 1411050 1317500 )
+    NEW met2 ( 1412890 1700340 ) ( 1413350 1700340 0 )
+    NEW met1 ( 1410590 627130 ) ( 1410590 627470 )
+    NEW met1 ( 1410590 627130 ) ( 1411510 627130 )
+    NEW met2 ( 1411510 579700 ) ( 1411510 627130 )
+    NEW met2 ( 1411050 579700 ) ( 1411510 579700 )
+    NEW met2 ( 1410590 787100 ) ( 1411050 787100 )
+    NEW met2 ( 1410590 738140 ) ( 1410590 787100 )
+    NEW met3 ( 1409900 870060 ) ( 1411050 870060 )
+    NEW met3 ( 1410590 627980 ) ( 1411970 627980 )
+    NEW met2 ( 1411970 627980 ) ( 1411970 676090 )
+    NEW met1 ( 1411050 676090 ) ( 1411970 676090 )
+    NEW met2 ( 1410590 627470 ) ( 1410590 627980 )
+    NEW met2 ( 1411050 676090 ) ( 1411050 738140 )
+    NEW met3 ( 1409900 869380 ) ( 1411050 869380 )
+    NEW met3 ( 1409900 869380 ) ( 1409900 870060 )
+    NEW met2 ( 1411050 787100 ) ( 1411050 869380 )
+    NEW met1 ( 1411050 917830 ) ( 1411970 917830 )
+    NEW met2 ( 1411970 917830 ) ( 1411970 965940 )
+    NEW met3 ( 1411050 965940 ) ( 1411970 965940 )
+    NEW met2 ( 1411050 870060 ) ( 1411050 917830 )
+    NEW li1 ( 1411050 1027650 ) ( 1411050 1028670 )
+    NEW met2 ( 1411050 965940 ) ( 1411050 1027650 )
+    NEW met2 ( 1411050 1028670 ) ( 1411050 1124380 )
+    NEW met3 ( 1410590 1594260 ) ( 1410820 1594260 )
+    NEW met3 ( 1410820 1594260 ) ( 1410820 1594940 )
+    NEW met3 ( 1410820 1594940 ) ( 1411050 1594940 )
+    NEW met1 ( 1390810 16830 ) ( 1398630 16830 )
+    NEW met1 ( 1411050 330310 ) ( 1411050 330990 )
+    NEW met2 ( 1411050 1221620 ) ( 1411050 1317500 )
+    NEW met1 ( 1410590 1345550 ) ( 1411050 1345550 )
+    NEW met2 ( 1410590 1317500 ) ( 1410590 1345550 )
+    NEW met1 ( 1411050 1442110 ) ( 1412430 1442110 )
+    NEW met2 ( 1412430 1442110 ) ( 1412430 1490220 )
+    NEW met3 ( 1411510 1490220 ) ( 1412430 1490220 )
+    NEW met2 ( 1411050 1345550 ) ( 1411050 1442110 )
+    NEW met2 ( 1411510 1490220 ) ( 1411510 1497870 )
+    NEW met1 ( 1410590 1586950 ) ( 1411510 1586950 )
+    NEW met2 ( 1410590 1586950 ) ( 1410590 1594260 )
+    NEW li1 ( 1411510 1497870 ) ( 1411510 1586950 )
+    NEW met1 ( 1411050 1635570 ) ( 1412890 1635570 )
+    NEW met2 ( 1411050 1594940 ) ( 1411050 1635570 )
+    NEW met2 ( 1412890 1635570 ) ( 1412890 1700340 )
+    NEW met2 ( 1411050 379270 ) ( 1411510 379270 )
+    NEW met2 ( 1411050 330990 ) ( 1411050 379270 )
+    NEW met1 ( 1411050 572390 ) ( 1411510 572390 )
+    NEW met2 ( 1411050 572390 ) ( 1411050 579700 )
+    NEW met2 ( 1410590 179860 ) ( 1411050 179860 )
+    NEW met1 ( 1410130 469370 ) ( 1411970 469370 )
+    NEW met1 ( 1398630 66130 ) ( 1411050 66130 )
+    NEW li1 ( 1398630 16830 ) ( 1398630 66130 )
+    NEW li1 ( 1411050 227970 ) ( 1411050 256190 )
+    NEW met2 ( 1411050 179860 ) ( 1411050 227970 )
+    NEW met2 ( 1411050 256190 ) ( 1411050 330310 )
+    NEW li1 ( 1410130 421090 ) ( 1410130 468690 )
+    NEW met1 ( 1410130 421090 ) ( 1411510 421090 )
+    NEW met2 ( 1410130 468690 ) ( 1410130 469370 )
+    NEW met2 ( 1411510 379270 ) ( 1411510 421090 )
+    NEW li1 ( 1411510 517650 ) ( 1411510 565250 )
+    NEW met1 ( 1411510 517650 ) ( 1411970 517650 )
+    NEW met2 ( 1411510 565250 ) ( 1411510 572390 )
+    NEW met2 ( 1411970 469370 ) ( 1411970 517650 )
+    NEW met2 ( 1410590 76500 ) ( 1411050 76500 )
+    NEW met2 ( 1410590 76500 ) ( 1410590 124100 )
+    NEW met2 ( 1410590 124100 ) ( 1411050 124100 )
+    NEW met2 ( 1411050 66130 ) ( 1411050 76500 )
+    NEW met1 ( 1410590 124270 ) ( 1411050 124270 )
+    NEW met2 ( 1410590 124270 ) ( 1410590 179860 )
+    NEW met2 ( 1411050 124100 ) ( 1411050 124270 )
+    NEW li1 ( 1342050 16830 ) L1M1_PR_MR
+    NEW li1 ( 1342050 15810 ) L1M1_PR_MR
+    NEW li1 ( 1365970 15810 ) L1M1_PR_MR
+    NEW li1 ( 1365970 17170 ) L1M1_PR_MR
+    NEW met1 ( 1273510 16830 ) M1M2_PR
+    NEW li1 ( 1373330 17170 ) L1M1_PR_MR
+    NEW li1 ( 1373330 15810 ) L1M1_PR_MR
+    NEW li1 ( 1390810 15810 ) L1M1_PR_MR
+    NEW li1 ( 1390810 16830 ) L1M1_PR_MR
+    NEW met1 ( 1410590 627470 ) M1M2_PR
+    NEW met1 ( 1411510 627130 ) M1M2_PR
+    NEW met2 ( 1411050 870060 ) via2_FR
+    NEW met2 ( 1410590 627980 ) via2_FR
+    NEW met2 ( 1411970 627980 ) via2_FR
+    NEW met1 ( 1411970 676090 ) M1M2_PR
+    NEW met1 ( 1411050 676090 ) M1M2_PR
+    NEW met2 ( 1411050 869380 ) via2_FR
+    NEW met1 ( 1411050 917830 ) M1M2_PR
+    NEW met1 ( 1411970 917830 ) M1M2_PR
+    NEW met2 ( 1411970 965940 ) via2_FR
+    NEW met2 ( 1411050 965940 ) via2_FR
+    NEW li1 ( 1411050 1027650 ) L1M1_PR_MR
+    NEW met1 ( 1411050 1027650 ) M1M2_PR
+    NEW li1 ( 1411050 1028670 ) L1M1_PR_MR
+    NEW met1 ( 1411050 1028670 ) M1M2_PR
+    NEW li1 ( 1411510 1497870 ) L1M1_PR_MR
+    NEW met1 ( 1411510 1497870 ) M1M2_PR
+    NEW met2 ( 1410590 1594260 ) via2_FR
+    NEW met2 ( 1411050 1594940 ) via2_FR
+    NEW li1 ( 1398630 16830 ) L1M1_PR_MR
+    NEW met1 ( 1411050 330310 ) M1M2_PR
+    NEW met1 ( 1411050 330990 ) M1M2_PR
+    NEW met1 ( 1410590 1345550 ) M1M2_PR
+    NEW met1 ( 1411050 1345550 ) M1M2_PR
+    NEW met1 ( 1411050 1442110 ) M1M2_PR
+    NEW met1 ( 1412430 1442110 ) M1M2_PR
+    NEW met2 ( 1412430 1490220 ) via2_FR
+    NEW met2 ( 1411510 1490220 ) via2_FR
+    NEW met1 ( 1410590 1586950 ) M1M2_PR
+    NEW li1 ( 1411510 1586950 ) L1M1_PR_MR
+    NEW met1 ( 1411050 1635570 ) M1M2_PR
+    NEW met1 ( 1412890 1635570 ) M1M2_PR
+    NEW met1 ( 1411510 572390 ) M1M2_PR
+    NEW met1 ( 1411050 572390 ) M1M2_PR
+    NEW met1 ( 1410130 469370 ) M1M2_PR
+    NEW met1 ( 1411970 469370 ) M1M2_PR
+    NEW li1 ( 1398630 66130 ) L1M1_PR_MR
+    NEW met1 ( 1411050 66130 ) M1M2_PR
+    NEW li1 ( 1411050 227970 ) L1M1_PR_MR
+    NEW met1 ( 1411050 227970 ) M1M2_PR
+    NEW li1 ( 1411050 256190 ) L1M1_PR_MR
+    NEW met1 ( 1411050 256190 ) M1M2_PR
+    NEW li1 ( 1410130 468690 ) L1M1_PR_MR
+    NEW met1 ( 1410130 468690 ) M1M2_PR
+    NEW li1 ( 1410130 421090 ) L1M1_PR_MR
+    NEW met1 ( 1411510 421090 ) M1M2_PR
+    NEW li1 ( 1411510 565250 ) L1M1_PR_MR
+    NEW met1 ( 1411510 565250 ) M1M2_PR
+    NEW li1 ( 1411510 517650 ) L1M1_PR_MR
+    NEW met1 ( 1411970 517650 ) M1M2_PR
+    NEW met1 ( 1410590 124270 ) M1M2_PR
+    NEW met1 ( 1411050 124270 ) M1M2_PR
+    NEW met1 ( 1411050 1027650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1411050 1028670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1411510 1497870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1411050 227970 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1411050 256190 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1410130 468690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1411510 565250 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1411050 124270 ) RECT ( -70 0 70 315 )
 + USE SIGNAL ;
 - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) 
-  + ROUTED li1 ( 1331470 1685210 ) ( 1331470 1688270 )
-    NEW met2 ( 1417030 1690310 ) ( 1417030 1700340 0 )
-    NEW met1 ( 1299270 20570 ) ( 1303870 20570 )
-    NEW met2 ( 1299270 2380 0 ) ( 1299270 20570 )
-    NEW met1 ( 1303870 1685210 ) ( 1331470 1685210 )
-    NEW li1 ( 1390350 1688270 ) ( 1390350 1690310 )
-    NEW met1 ( 1331470 1688270 ) ( 1390350 1688270 )
-    NEW met1 ( 1390350 1690310 ) ( 1417030 1690310 )
-    NEW li1 ( 1303870 1601230 ) ( 1303870 1603270 )
-    NEW met2 ( 1303870 20570 ) ( 1303870 1601230 )
-    NEW met2 ( 1303870 1603270 ) ( 1303870 1685210 )
-    NEW li1 ( 1331470 1685210 ) L1M1_PR_MR
-    NEW li1 ( 1331470 1688270 ) L1M1_PR_MR
-    NEW met1 ( 1417030 1690310 ) M1M2_PR
-    NEW met1 ( 1299270 20570 ) M1M2_PR
-    NEW met1 ( 1303870 20570 ) M1M2_PR
-    NEW met1 ( 1303870 1685210 ) M1M2_PR
-    NEW li1 ( 1390350 1688270 ) L1M1_PR_MR
-    NEW li1 ( 1390350 1690310 ) L1M1_PR_MR
-    NEW li1 ( 1303870 1601230 ) L1M1_PR_MR
-    NEW met1 ( 1303870 1601230 ) M1M2_PR
-    NEW li1 ( 1303870 1603270 ) L1M1_PR_MR
-    NEW met1 ( 1303870 1603270 ) M1M2_PR
-    NEW met1 ( 1303870 1601230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1303870 1603270 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1416110 1700340 ) ( 1417030 1700340 0 )
+    NEW met2 ( 1416110 19550 ) ( 1416110 1700340 )
+    NEW met1 ( 1291450 17170 ) ( 1303870 17170 )
+    NEW met1 ( 1303870 17170 ) ( 1303870 17510 )
+    NEW met2 ( 1291450 2380 0 ) ( 1291450 17170 )
+    NEW li1 ( 1390810 17510 ) ( 1390810 19550 )
+    NEW met1 ( 1303870 17510 ) ( 1390810 17510 )
+    NEW met1 ( 1390810 19550 ) ( 1416110 19550 )
+    NEW met1 ( 1416110 19550 ) M1M2_PR
+    NEW met1 ( 1291450 17170 ) M1M2_PR
+    NEW li1 ( 1390810 17510 ) L1M1_PR_MR
+    NEW li1 ( 1390810 19550 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) 
-  + ROUTED met2 ( 1416110 1684700 ) ( 1419790 1684700 )
-    NEW met2 ( 1419790 1684700 ) ( 1419790 1700340 )
-    NEW met2 ( 1419790 1700340 ) ( 1420710 1700340 0 )
-    NEW met2 ( 1416110 18530 ) ( 1416110 1684700 )
-    NEW met2 ( 1317210 2380 0 ) ( 1317210 18530 )
-    NEW met1 ( 1317210 18530 ) ( 1416110 18530 )
-    NEW met1 ( 1416110 18530 ) M1M2_PR
-    NEW met1 ( 1317210 18530 ) M1M2_PR
+  + ROUTED met2 ( 1308930 2380 0 ) ( 1308930 2890 )
+    NEW met1 ( 1308930 2890 ) ( 1310770 2890 )
+    NEW li1 ( 1341590 1685890 ) ( 1341590 1688270 )
+    NEW met2 ( 1420710 1690310 ) ( 1420710 1700340 0 )
+    NEW met2 ( 1310770 2890 ) ( 1310770 1685890 )
+    NEW met1 ( 1310770 1685890 ) ( 1341590 1685890 )
+    NEW li1 ( 1390350 1688270 ) ( 1390350 1690310 )
+    NEW met1 ( 1341590 1688270 ) ( 1390350 1688270 )
+    NEW met1 ( 1390350 1690310 ) ( 1420710 1690310 )
+    NEW met1 ( 1308930 2890 ) M1M2_PR
+    NEW met1 ( 1310770 2890 ) M1M2_PR
+    NEW li1 ( 1341590 1685890 ) L1M1_PR_MR
+    NEW li1 ( 1341590 1688270 ) L1M1_PR_MR
+    NEW met1 ( 1420710 1690310 ) M1M2_PR
+    NEW met1 ( 1310770 1685890 ) M1M2_PR
+    NEW li1 ( 1390350 1688270 ) L1M1_PR_MR
+    NEW li1 ( 1390350 1690310 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) 
-  + ROUTED met2 ( 1335150 2380 0 ) ( 1335150 19890 )
+  + ROUTED met2 ( 1326870 2380 0 ) ( 1326870 19550 )
     NEW met2 ( 1423470 1700340 ) ( 1424390 1700340 0 )
-    NEW met1 ( 1335150 19890 ) ( 1422090 19890 )
-    NEW met1 ( 1422090 1666510 ) ( 1423470 1666510 )
-    NEW met2 ( 1422090 19890 ) ( 1422090 1666510 )
-    NEW met2 ( 1423470 1666510 ) ( 1423470 1700340 )
-    NEW met1 ( 1335150 19890 ) M1M2_PR
-    NEW met1 ( 1422090 19890 ) M1M2_PR
-    NEW met1 ( 1422090 1666510 ) M1M2_PR
-    NEW met1 ( 1423470 1666510 ) M1M2_PR
+    NEW met2 ( 1423470 19890 ) ( 1423470 1700340 )
+    NEW met1 ( 1390350 19550 ) ( 1390350 19890 )
+    NEW met1 ( 1326870 19550 ) ( 1390350 19550 )
+    NEW met1 ( 1390350 19890 ) ( 1423470 19890 )
+    NEW met1 ( 1326870 19550 ) M1M2_PR
+    NEW met1 ( 1423470 19890 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) 
-  + ROUTED met1 ( 692530 18190 ) ( 696670 18190 )
-    NEW met2 ( 692530 2380 0 ) ( 692530 18190 )
-    NEW met2 ( 696670 18190 ) ( 696670 1507390 )
-    NEW met1 ( 696670 1507390 ) ( 1291910 1507390 )
-    NEW met2 ( 1291910 1507390 ) ( 1291910 1700340 0 )
-    NEW met1 ( 696670 1507390 ) M1M2_PR
-    NEW met1 ( 692530 18190 ) M1M2_PR
-    NEW met1 ( 696670 18190 ) M1M2_PR
-    NEW met1 ( 1291910 1507390 ) M1M2_PR
+  + ROUTED met2 ( 1291910 1500930 ) ( 1291910 1700340 0 )
+    NEW met2 ( 688390 61540 ) ( 688850 61540 )
+    NEW met2 ( 688850 61540 ) ( 688850 72590 )
+    NEW met1 ( 688850 72590 ) ( 689770 72590 )
+    NEW met2 ( 688390 2380 0 ) ( 688390 61540 )
+    NEW met2 ( 689310 145180 ) ( 689770 145180 )
+    NEW met2 ( 689310 241740 ) ( 689770 241740 )
+    NEW met1 ( 689770 1500930 ) ( 1291910 1500930 )
+    NEW li1 ( 689770 90270 ) ( 689770 137870 )
+    NEW met2 ( 689770 72590 ) ( 689770 90270 )
+    NEW met2 ( 689770 137870 ) ( 689770 145180 )
+    NEW li1 ( 689310 186490 ) ( 689310 234430 )
+    NEW met1 ( 689310 234430 ) ( 689770 234430 )
+    NEW met2 ( 689310 145180 ) ( 689310 186490 )
+    NEW met2 ( 689770 234430 ) ( 689770 241740 )
+    NEW met1 ( 689310 283390 ) ( 691150 283390 )
+    NEW met2 ( 691150 283390 ) ( 691150 330820 )
+    NEW met3 ( 689770 330820 ) ( 691150 330820 )
+    NEW met2 ( 689310 241740 ) ( 689310 283390 )
+    NEW met1 ( 689770 476510 ) ( 691150 476510 )
+    NEW met2 ( 691150 476510 ) ( 691150 523940 )
+    NEW met3 ( 689770 523940 ) ( 691150 523940 )
+    NEW met2 ( 689770 330820 ) ( 689770 476510 )
+    NEW met2 ( 689770 523940 ) ( 689770 669630 )
+    NEW met1 ( 688850 1152430 ) ( 689310 1152430 )
+    NEW met2 ( 689310 1152430 ) ( 689310 1200540 )
+    NEW met2 ( 689310 1200540 ) ( 689770 1200540 )
+    NEW met1 ( 688850 1248990 ) ( 689770 1248990 )
+    NEW met2 ( 688850 1248990 ) ( 688850 1297100 )
+    NEW met3 ( 688850 1297100 ) ( 689770 1297100 )
+    NEW met2 ( 689770 1200540 ) ( 689770 1248990 )
+    NEW met1 ( 688850 1345550 ) ( 689770 1345550 )
+    NEW met2 ( 688850 1345550 ) ( 688850 1393660 )
+    NEW met3 ( 688850 1393660 ) ( 689770 1393660 )
+    NEW met2 ( 689770 1297100 ) ( 689770 1345550 )
+    NEW met1 ( 688850 1442110 ) ( 689770 1442110 )
+    NEW met2 ( 688850 1442110 ) ( 688850 1490220 )
+    NEW met3 ( 688850 1490220 ) ( 689770 1490220 )
+    NEW met2 ( 689770 1393660 ) ( 689770 1442110 )
+    NEW met2 ( 689770 1490220 ) ( 689770 1500930 )
+    NEW li1 ( 689770 669630 ) ( 689770 734910 )
+    NEW li1 ( 688850 1110610 ) ( 688850 1144610 )
+    NEW met1 ( 688850 1110610 ) ( 689310 1110610 )
+    NEW met2 ( 689310 1097180 ) ( 689310 1110610 )
+    NEW met2 ( 689310 1097180 ) ( 689770 1097180 )
+    NEW met2 ( 688850 1144610 ) ( 688850 1152430 )
+    NEW met2 ( 689770 734910 ) ( 689770 1097180 )
+    NEW met1 ( 1291910 1500930 ) M1M2_PR
+    NEW met1 ( 688850 72590 ) M1M2_PR
+    NEW met1 ( 689770 72590 ) M1M2_PR
+    NEW met1 ( 689770 1500930 ) M1M2_PR
+    NEW li1 ( 689770 90270 ) L1M1_PR_MR
+    NEW met1 ( 689770 90270 ) M1M2_PR
+    NEW li1 ( 689770 137870 ) L1M1_PR_MR
+    NEW met1 ( 689770 137870 ) M1M2_PR
+    NEW li1 ( 689310 186490 ) L1M1_PR_MR
+    NEW met1 ( 689310 186490 ) M1M2_PR
+    NEW li1 ( 689310 234430 ) L1M1_PR_MR
+    NEW met1 ( 689770 234430 ) M1M2_PR
+    NEW met1 ( 689310 283390 ) M1M2_PR
+    NEW met1 ( 691150 283390 ) M1M2_PR
+    NEW met2 ( 691150 330820 ) via2_FR
+    NEW met2 ( 689770 330820 ) via2_FR
+    NEW met1 ( 689770 476510 ) M1M2_PR
+    NEW met1 ( 691150 476510 ) M1M2_PR
+    NEW met2 ( 691150 523940 ) via2_FR
+    NEW met2 ( 689770 523940 ) via2_FR
+    NEW li1 ( 689770 669630 ) L1M1_PR_MR
+    NEW met1 ( 689770 669630 ) M1M2_PR
+    NEW met1 ( 688850 1152430 ) M1M2_PR
+    NEW met1 ( 689310 1152430 ) M1M2_PR
+    NEW met1 ( 689770 1248990 ) M1M2_PR
+    NEW met1 ( 688850 1248990 ) M1M2_PR
+    NEW met2 ( 688850 1297100 ) via2_FR
+    NEW met2 ( 689770 1297100 ) via2_FR
+    NEW met1 ( 689770 1345550 ) M1M2_PR
+    NEW met1 ( 688850 1345550 ) M1M2_PR
+    NEW met2 ( 688850 1393660 ) via2_FR
+    NEW met2 ( 689770 1393660 ) via2_FR
+    NEW met1 ( 689770 1442110 ) M1M2_PR
+    NEW met1 ( 688850 1442110 ) M1M2_PR
+    NEW met2 ( 688850 1490220 ) via2_FR
+    NEW met2 ( 689770 1490220 ) via2_FR
+    NEW li1 ( 689770 734910 ) L1M1_PR_MR
+    NEW met1 ( 689770 734910 ) M1M2_PR
+    NEW li1 ( 688850 1144610 ) L1M1_PR_MR
+    NEW met1 ( 688850 1144610 ) M1M2_PR
+    NEW li1 ( 688850 1110610 ) L1M1_PR_MR
+    NEW met1 ( 689310 1110610 ) M1M2_PR
+    NEW met1 ( 689770 90270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 689770 137870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 689310 186490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 689770 669630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 689770 734910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 688850 1144610 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) 
-  + ROUTED met2 ( 1425310 62220 ) ( 1426230 62220 )
-    NEW met1 ( 1425310 738310 ) ( 1426230 738310 )
-    NEW met1 ( 1425310 1124890 ) ( 1426230 1124890 )
-    NEW met2 ( 1352630 2380 0 ) ( 1352630 15810 )
-    NEW met2 ( 1425310 15470 ) ( 1425310 62220 )
-    NEW met2 ( 1425310 738140 ) ( 1425770 738140 )
-    NEW met2 ( 1425310 738140 ) ( 1425310 738310 )
-    NEW met2 ( 1425310 980220 ) ( 1425770 980220 )
-    NEW met2 ( 1425310 1124380 ) ( 1425770 1124380 )
-    NEW met2 ( 1425770 1076780 ) ( 1425770 1124380 )
-    NEW met2 ( 1425770 1076780 ) ( 1426230 1076780 )
-    NEW met2 ( 1425310 1124380 ) ( 1425310 1124890 )
-    NEW met2 ( 1427610 1700340 ) ( 1428070 1700340 0 )
-    NEW met1 ( 1388050 15470 ) ( 1388050 15810 )
-    NEW met1 ( 1352630 15810 ) ( 1388050 15810 )
-    NEW met1 ( 1388050 15470 ) ( 1425310 15470 )
-    NEW li1 ( 1426230 96730 ) ( 1426230 120870 )
-    NEW met1 ( 1426230 120870 ) ( 1426690 120870 )
-    NEW met2 ( 1426230 62220 ) ( 1426230 96730 )
-    NEW li1 ( 1425770 689690 ) ( 1425770 724370 )
-    NEW met2 ( 1425770 676260 ) ( 1425770 689690 )
-    NEW met2 ( 1425770 676260 ) ( 1426230 676260 )
-    NEW met2 ( 1425770 724370 ) ( 1425770 738140 )
-    NEW met1 ( 1426230 1159230 ) ( 1426690 1159230 )
-    NEW met2 ( 1426230 1124890 ) ( 1426230 1159230 )
-    NEW li1 ( 1425770 1256130 ) ( 1425770 1304070 )
-    NEW met1 ( 1425770 1256130 ) ( 1426230 1256130 )
-    NEW li1 ( 1425770 1545810 ) ( 1425770 1593410 )
-    NEW met1 ( 1425770 1545810 ) ( 1426230 1545810 )
-    NEW met1 ( 1426230 1642370 ) ( 1427610 1642370 )
-    NEW met2 ( 1427610 1642370 ) ( 1427610 1700340 )
-    NEW met1 ( 1426230 145010 ) ( 1426690 145010 )
-    NEW met2 ( 1426690 120870 ) ( 1426690 145010 )
-    NEW met1 ( 1426230 833510 ) ( 1426230 834190 )
-    NEW met2 ( 1426230 738310 ) ( 1426230 833510 )
-    NEW met2 ( 1426230 1231820 ) ( 1427150 1231820 )
-    NEW met2 ( 1427150 1220940 ) ( 1427150 1231820 )
-    NEW met2 ( 1426690 1220940 ) ( 1427150 1220940 )
-    NEW met2 ( 1426230 1231820 ) ( 1426230 1256130 )
-    NEW met2 ( 1426690 1159230 ) ( 1426690 1220940 )
-    NEW met2 ( 1425770 1304580 ) ( 1426230 1304580 )
-    NEW met2 ( 1425770 1304070 ) ( 1425770 1304580 )
-    NEW met2 ( 1425770 1618060 ) ( 1426230 1618060 )
-    NEW met2 ( 1425770 1593410 ) ( 1425770 1618060 )
-    NEW met2 ( 1426230 1618060 ) ( 1426230 1642370 )
-    NEW met1 ( 1425770 210290 ) ( 1426230 210290 )
-    NEW li1 ( 1426230 145010 ) ( 1426230 210290 )
-    NEW met1 ( 1425770 910690 ) ( 1426230 910690 )
-    NEW met2 ( 1426230 834190 ) ( 1426230 910690 )
-    NEW met1 ( 1426230 1393490 ) ( 1426690 1393490 )
-    NEW met2 ( 1426230 1304580 ) ( 1426230 1393490 )
-    NEW met1 ( 1425770 331330 ) ( 1426690 331330 )
-    NEW met2 ( 1426690 331330 ) ( 1426690 332180 )
-    NEW met2 ( 1426230 332180 ) ( 1426690 332180 )
-    NEW met1 ( 1425310 931090 ) ( 1425310 931770 )
-    NEW met1 ( 1425310 931090 ) ( 1425770 931090 )
-    NEW met2 ( 1425310 931770 ) ( 1425310 980220 )
-    NEW met2 ( 1425770 910690 ) ( 1425770 931090 )
-    NEW met1 ( 1425770 1007590 ) ( 1426230 1007590 )
-    NEW met2 ( 1425770 980220 ) ( 1425770 1007590 )
-    NEW met2 ( 1426230 1007590 ) ( 1426230 1076780 )
-    NEW met1 ( 1426230 1510450 ) ( 1426230 1511470 )
-    NEW met1 ( 1426230 1510450 ) ( 1426690 1510450 )
-    NEW met2 ( 1426230 1511470 ) ( 1426230 1545810 )
-    NEW met2 ( 1426690 1393490 ) ( 1426690 1510450 )
-    NEW met2 ( 1425770 300220 ) ( 1426230 300220 )
-    NEW met2 ( 1426230 300220 ) ( 1426230 304300 )
-    NEW met2 ( 1425770 304300 ) ( 1426230 304300 )
-    NEW met2 ( 1425770 210290 ) ( 1425770 300220 )
-    NEW met2 ( 1425770 304300 ) ( 1425770 331330 )
-    NEW met2 ( 1425770 534820 ) ( 1426230 534820 )
-    NEW met2 ( 1426230 332180 ) ( 1426230 534820 )
-    NEW met2 ( 1425770 620500 ) ( 1426230 620500 )
-    NEW met2 ( 1426230 620500 ) ( 1426230 676260 )
-    NEW li1 ( 1425770 556410 ) ( 1425770 600270 )
-    NEW met2 ( 1425770 534820 ) ( 1425770 556410 )
-    NEW met2 ( 1425770 600270 ) ( 1425770 620500 )
-    NEW met1 ( 1425310 738310 ) M1M2_PR
-    NEW met1 ( 1426230 738310 ) M1M2_PR
-    NEW met1 ( 1425310 1124890 ) M1M2_PR
-    NEW met1 ( 1426230 1124890 ) M1M2_PR
-    NEW met1 ( 1352630 15810 ) M1M2_PR
-    NEW met1 ( 1425310 15470 ) M1M2_PR
-    NEW li1 ( 1426230 96730 ) L1M1_PR_MR
-    NEW met1 ( 1426230 96730 ) M1M2_PR
-    NEW li1 ( 1426230 120870 ) L1M1_PR_MR
-    NEW met1 ( 1426690 120870 ) M1M2_PR
-    NEW li1 ( 1425770 724370 ) L1M1_PR_MR
-    NEW met1 ( 1425770 724370 ) M1M2_PR
-    NEW li1 ( 1425770 689690 ) L1M1_PR_MR
-    NEW met1 ( 1425770 689690 ) M1M2_PR
-    NEW met1 ( 1426230 1159230 ) M1M2_PR
-    NEW met1 ( 1426690 1159230 ) M1M2_PR
-    NEW li1 ( 1425770 1304070 ) L1M1_PR_MR
-    NEW met1 ( 1425770 1304070 ) M1M2_PR
-    NEW li1 ( 1425770 1256130 ) L1M1_PR_MR
-    NEW met1 ( 1426230 1256130 ) M1M2_PR
-    NEW li1 ( 1425770 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1425770 1593410 ) M1M2_PR
-    NEW li1 ( 1425770 1545810 ) L1M1_PR_MR
-    NEW met1 ( 1426230 1545810 ) M1M2_PR
-    NEW met1 ( 1426230 1642370 ) M1M2_PR
-    NEW met1 ( 1427610 1642370 ) M1M2_PR
-    NEW li1 ( 1426230 145010 ) L1M1_PR_MR
-    NEW met1 ( 1426690 145010 ) M1M2_PR
-    NEW met1 ( 1426230 833510 ) M1M2_PR
-    NEW met1 ( 1426230 834190 ) M1M2_PR
-    NEW met1 ( 1425770 210290 ) M1M2_PR
-    NEW li1 ( 1426230 210290 ) L1M1_PR_MR
-    NEW met1 ( 1425770 910690 ) M1M2_PR
-    NEW met1 ( 1426230 910690 ) M1M2_PR
-    NEW met1 ( 1426230 1393490 ) M1M2_PR
-    NEW met1 ( 1426690 1393490 ) M1M2_PR
-    NEW met1 ( 1425770 331330 ) M1M2_PR
-    NEW met1 ( 1426690 331330 ) M1M2_PR
-    NEW met1 ( 1425310 931770 ) M1M2_PR
-    NEW met1 ( 1425770 931090 ) M1M2_PR
-    NEW met1 ( 1425770 1007590 ) M1M2_PR
-    NEW met1 ( 1426230 1007590 ) M1M2_PR
-    NEW met1 ( 1426230 1511470 ) M1M2_PR
-    NEW met1 ( 1426690 1510450 ) M1M2_PR
-    NEW li1 ( 1425770 556410 ) L1M1_PR_MR
-    NEW met1 ( 1425770 556410 ) M1M2_PR
-    NEW li1 ( 1425770 600270 ) L1M1_PR_MR
-    NEW met1 ( 1425770 600270 ) M1M2_PR
-    NEW met1 ( 1426230 96730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 724370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 689690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 1304070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 1593410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 556410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1425770 600270 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1344350 2380 0 ) ( 1344350 3060 )
+    NEW met2 ( 1344350 3060 ) ( 1345270 3060 )
+    NEW met2 ( 1345270 3060 ) ( 1345270 1685890 )
+    NEW met2 ( 1428070 1685890 ) ( 1428070 1700340 0 )
+    NEW met1 ( 1345270 1685890 ) ( 1428070 1685890 )
+    NEW met1 ( 1345270 1685890 ) M1M2_PR
+    NEW met1 ( 1428070 1685890 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) 
-  + ROUTED met2 ( 1430830 1700340 ) ( 1431750 1700340 0 )
+  + ROUTED met2 ( 1362290 2380 0 ) ( 1362290 16830 )
+    NEW met2 ( 1430830 1700340 ) ( 1431750 1700340 0 )
     NEW met2 ( 1430830 14790 ) ( 1430830 1700340 )
-    NEW met2 ( 1370570 2380 0 ) ( 1370570 14790 )
-    NEW met1 ( 1370570 14790 ) ( 1430830 14790 )
+    NEW li1 ( 1390350 14790 ) ( 1390350 16830 )
+    NEW met1 ( 1362290 16830 ) ( 1390350 16830 )
+    NEW met1 ( 1390350 14790 ) ( 1430830 14790 )
+    NEW met1 ( 1362290 16830 ) M1M2_PR
     NEW met1 ( 1430830 14790 ) M1M2_PR
-    NEW met1 ( 1370570 14790 ) M1M2_PR
+    NEW li1 ( 1390350 16830 ) L1M1_PR_MR
+    NEW li1 ( 1390350 14790 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) 
-  + ROUTED met2 ( 1431750 1664300 ) ( 1433130 1664300 )
-    NEW met2 ( 1433130 1664300 ) ( 1433130 1684190 )
-    NEW met1 ( 1433130 1684190 ) ( 1435430 1684190 )
-    NEW met2 ( 1435430 1684190 ) ( 1435430 1700340 0 )
-    NEW met2 ( 1431750 15810 ) ( 1431750 1664300 )
-    NEW met2 ( 1388510 2380 0 ) ( 1388510 15810 )
-    NEW met1 ( 1388510 15810 ) ( 1431750 15810 )
-    NEW met1 ( 1431750 15810 ) M1M2_PR
-    NEW met1 ( 1433130 1684190 ) M1M2_PR
-    NEW met1 ( 1435430 1684190 ) M1M2_PR
-    NEW met1 ( 1388510 15810 ) M1M2_PR
+  + ROUTED met1 ( 1431290 14450 ) ( 1431290 14790 )
+    NEW met1 ( 1431290 14790 ) ( 1435430 14790 )
+    NEW met2 ( 1435430 14790 ) ( 1435430 1700340 0 )
+    NEW met2 ( 1380230 2380 0 ) ( 1380230 14450 )
+    NEW met1 ( 1380230 14450 ) ( 1431290 14450 )
+    NEW met1 ( 1435430 14790 ) M1M2_PR
+    NEW met1 ( 1380230 14450 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) 
-  + ROUTED met2 ( 1438650 1700340 ) ( 1439110 1700340 0 )
-    NEW met2 ( 1438650 14110 ) ( 1438650 1700340 )
-    NEW met2 ( 1406450 2380 0 ) ( 1406450 14110 )
-    NEW met1 ( 1406450 14110 ) ( 1438650 14110 )
-    NEW met1 ( 1438650 14110 ) M1M2_PR
-    NEW met1 ( 1406450 14110 ) M1M2_PR
+  + ROUTED met1 ( 1421630 17510 ) ( 1421630 17850 )
+    NEW met1 ( 1421630 17510 ) ( 1438650 17510 )
+    NEW met2 ( 1438650 1700340 ) ( 1439110 1700340 0 )
+    NEW met2 ( 1438650 17510 ) ( 1438650 1700340 )
+    NEW met2 ( 1397710 2380 0 ) ( 1397710 17850 )
+    NEW met1 ( 1397710 17850 ) ( 1421630 17850 )
+    NEW met1 ( 1438650 17510 ) M1M2_PR
+    NEW met1 ( 1397710 17850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) 
-  + ROUTED met1 ( 1423930 18190 ) ( 1446010 18190 )
-    NEW met2 ( 1423930 2380 0 ) ( 1423930 18190 )
-    NEW met1 ( 1442790 1677390 ) ( 1446010 1677390 )
-    NEW met2 ( 1442790 1677390 ) ( 1442790 1700340 0 )
-    NEW met2 ( 1446010 18190 ) ( 1446010 1677390 )
-    NEW met1 ( 1423930 18190 ) M1M2_PR
-    NEW met1 ( 1446010 18190 ) M1M2_PR
-    NEW met1 ( 1446010 1677390 ) M1M2_PR
-    NEW met1 ( 1442790 1677390 ) M1M2_PR
+  + ROUTED met1 ( 1415650 17510 ) ( 1421170 17510 )
+    NEW met2 ( 1415650 2380 0 ) ( 1415650 17510 )
+    NEW met1 ( 1421170 1686910 ) ( 1442790 1686910 )
+    NEW met2 ( 1442790 1686910 ) ( 1442790 1700340 0 )
+    NEW met2 ( 1421170 17510 ) ( 1421170 1686910 )
+    NEW met1 ( 1415650 17510 ) M1M2_PR
+    NEW met1 ( 1421170 17510 ) M1M2_PR
+    NEW met1 ( 1421170 1686910 ) M1M2_PR
+    NEW met1 ( 1442790 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) 
-  + ROUTED met1 ( 1441870 20570 ) ( 1444630 20570 )
-    NEW met2 ( 1441870 2380 0 ) ( 1441870 20570 )
-    NEW met2 ( 1444630 1678580 ) ( 1445550 1678580 )
-    NEW met2 ( 1445550 1678580 ) ( 1445550 1700340 )
+  + ROUTED met2 ( 1442330 1607860 ) ( 1443250 1607860 )
+    NEW met1 ( 1442330 158610 ) ( 1443250 158610 )
+    NEW met1 ( 1442330 1677730 ) ( 1445550 1677730 )
+    NEW met2 ( 1445550 1677730 ) ( 1445550 1700340 )
     NEW met2 ( 1445550 1700340 ) ( 1446470 1700340 0 )
-    NEW met2 ( 1444630 20570 ) ( 1444630 1678580 )
-    NEW met1 ( 1441870 20570 ) M1M2_PR
-    NEW met1 ( 1444630 20570 ) M1M2_PR
+    NEW met2 ( 1442330 1607860 ) ( 1442330 1677730 )
+    NEW met3 ( 1442100 579700 ) ( 1443250 579700 )
+    NEW met3 ( 1442100 579020 ) ( 1442790 579020 )
+    NEW met3 ( 1442100 579020 ) ( 1442100 579700 )
+    NEW met2 ( 1441870 953020 ) ( 1441870 965260 )
+    NEW met2 ( 1441870 953020 ) ( 1442790 953020 )
+    NEW met2 ( 1442330 1135260 ) ( 1443250 1135260 )
+    NEW met2 ( 1443250 1124380 ) ( 1443250 1135260 )
+    NEW met2 ( 1442790 1124380 ) ( 1443250 1124380 )
+    NEW met2 ( 1442790 1497700 ) ( 1443250 1497700 )
+    NEW met2 ( 1443250 1497700 ) ( 1443250 1607860 )
+    NEW li1 ( 1442330 186490 ) ( 1442330 234430 )
+    NEW met1 ( 1442330 234430 ) ( 1442790 234430 )
+    NEW met2 ( 1442330 158610 ) ( 1442330 186490 )
+    NEW met1 ( 1442330 403070 ) ( 1442330 403750 )
+    NEW met2 ( 1442330 403750 ) ( 1442330 427380 )
+    NEW met2 ( 1442330 427380 ) ( 1442790 427380 )
+    NEW met1 ( 1442330 476510 ) ( 1442330 477190 )
+    NEW met2 ( 1442330 477190 ) ( 1442330 500140 )
+    NEW met2 ( 1442330 500140 ) ( 1442790 500140 )
+    NEW met2 ( 1442790 500140 ) ( 1442790 579020 )
+    NEW met1 ( 1442330 717230 ) ( 1442330 717570 )
+    NEW met1 ( 1442330 717230 ) ( 1443250 717230 )
+    NEW met2 ( 1443250 579700 ) ( 1443250 717230 )
+    NEW met1 ( 1442790 868870 ) ( 1442790 869550 )
+    NEW met2 ( 1442790 869550 ) ( 1442790 953020 )
+    NEW met4 ( 1442100 965260 ) ( 1442100 980220 )
+    NEW met3 ( 1442100 980220 ) ( 1442790 980220 )
+    NEW met3 ( 1441870 965260 ) ( 1442100 965260 )
+    NEW li1 ( 1442330 1062670 ) ( 1442330 1103810 )
+    NEW met1 ( 1442330 1103810 ) ( 1442790 1103810 )
+    NEW met2 ( 1442790 1103810 ) ( 1442790 1124380 )
+    NEW met1 ( 1442330 1172830 ) ( 1442330 1173510 )
+    NEW met1 ( 1442330 1173510 ) ( 1442790 1173510 )
+    NEW met2 ( 1442330 1135260 ) ( 1442330 1172830 )
+    NEW met3 ( 1442330 1249500 ) ( 1442330 1250180 )
+    NEW met2 ( 1442330 1250180 ) ( 1442330 1297100 )
+    NEW met3 ( 1442330 1297100 ) ( 1443250 1297100 )
+    NEW met1 ( 1442330 1345890 ) ( 1443250 1345890 )
+    NEW met2 ( 1443250 1345890 ) ( 1443250 1366460 )
+    NEW met2 ( 1442790 1366460 ) ( 1443250 1366460 )
+    NEW met1 ( 1442330 1442110 ) ( 1442790 1442110 )
+    NEW met2 ( 1442330 1442110 ) ( 1442330 1490220 )
+    NEW met2 ( 1442330 1490220 ) ( 1442790 1490220 )
+    NEW met2 ( 1442790 1490220 ) ( 1442790 1497700 )
+    NEW li1 ( 1442330 336770 ) ( 1442330 379270 )
+    NEW met1 ( 1442330 336770 ) ( 1442790 336770 )
+    NEW met2 ( 1442330 379270 ) ( 1442330 403070 )
+    NEW li1 ( 1442330 427890 ) ( 1442330 475490 )
+    NEW met1 ( 1442330 427890 ) ( 1442790 427890 )
+    NEW met2 ( 1442330 475490 ) ( 1442330 476510 )
+    NEW met2 ( 1442790 427380 ) ( 1442790 427890 )
+    NEW met1 ( 1442330 737970 ) ( 1442330 738650 )
+    NEW met2 ( 1442330 717570 ) ( 1442330 737970 )
+    NEW met2 ( 1442330 814300 ) ( 1442790 814300 )
+    NEW met2 ( 1442790 814300 ) ( 1442790 814470 )
+    NEW li1 ( 1442790 814470 ) ( 1442790 821950 )
+    NEW met2 ( 1442330 738650 ) ( 1442330 814300 )
+    NEW met2 ( 1442790 821950 ) ( 1442790 868870 )
+    NEW li1 ( 1442330 1007590 ) ( 1442330 1054850 )
+    NEW met1 ( 1442330 1007590 ) ( 1442790 1007590 )
+    NEW met2 ( 1442330 1054850 ) ( 1442330 1062670 )
+    NEW met2 ( 1442790 980220 ) ( 1442790 1007590 )
+    NEW met3 ( 1442330 1248140 ) ( 1442330 1248820 )
+    NEW met3 ( 1442330 1248140 ) ( 1444630 1248140 )
+    NEW met2 ( 1444630 1200710 ) ( 1444630 1248140 )
+    NEW met1 ( 1442790 1200710 ) ( 1444630 1200710 )
+    NEW met2 ( 1442330 1248820 ) ( 1442330 1249500 )
+    NEW met2 ( 1442790 1173510 ) ( 1442790 1200710 )
+    NEW met3 ( 1442330 1345380 ) ( 1443250 1345380 )
+    NEW met2 ( 1442330 1345380 ) ( 1442330 1345890 )
+    NEW met2 ( 1443250 1297100 ) ( 1443250 1345380 )
+    NEW met1 ( 1442330 1393830 ) ( 1442790 1393830 )
+    NEW met2 ( 1442330 1393830 ) ( 1442330 1441260 )
+    NEW met3 ( 1442330 1441260 ) ( 1443020 1441260 )
+    NEW met3 ( 1443020 1441260 ) ( 1443020 1441940 )
+    NEW met3 ( 1442790 1441940 ) ( 1443020 1441940 )
+    NEW met2 ( 1442790 1366460 ) ( 1442790 1393830 )
+    NEW met2 ( 1442790 1441940 ) ( 1442790 1442110 )
+    NEW met2 ( 1433130 2380 0 ) ( 1433130 5610 )
+    NEW met1 ( 1433130 5610 ) ( 1442330 5610 )
+    NEW met1 ( 1443250 110670 ) ( 1444630 110670 )
+    NEW met2 ( 1443250 110670 ) ( 1443250 158610 )
+    NEW li1 ( 1442790 276250 ) ( 1442790 324190 )
+    NEW met2 ( 1442790 234430 ) ( 1442790 276250 )
+    NEW met2 ( 1442790 324190 ) ( 1442790 336770 )
+    NEW met1 ( 1442330 34510 ) ( 1444630 34510 )
+    NEW met2 ( 1442330 5610 ) ( 1442330 34510 )
+    NEW met2 ( 1444630 34510 ) ( 1444630 110670 )
+    NEW met1 ( 1442330 158610 ) M1M2_PR
+    NEW met1 ( 1443250 158610 ) M1M2_PR
+    NEW met1 ( 1442330 1677730 ) M1M2_PR
+    NEW met1 ( 1445550 1677730 ) M1M2_PR
+    NEW met2 ( 1443250 579700 ) via2_FR
+    NEW met2 ( 1442790 579020 ) via2_FR
+    NEW met2 ( 1441870 965260 ) via2_FR
+    NEW li1 ( 1442330 186490 ) L1M1_PR_MR
+    NEW met1 ( 1442330 186490 ) M1M2_PR
+    NEW li1 ( 1442330 234430 ) L1M1_PR_MR
+    NEW met1 ( 1442790 234430 ) M1M2_PR
+    NEW met1 ( 1442330 403070 ) M1M2_PR
+    NEW met1 ( 1442330 403750 ) M1M2_PR
+    NEW met1 ( 1442330 476510 ) M1M2_PR
+    NEW met1 ( 1442330 477190 ) M1M2_PR
+    NEW met1 ( 1442330 717570 ) M1M2_PR
+    NEW met1 ( 1443250 717230 ) M1M2_PR
+    NEW met1 ( 1442790 868870 ) M1M2_PR
+    NEW met1 ( 1442790 869550 ) M1M2_PR
+    NEW met3 ( 1442100 965260 ) M3M4_PR_M
+    NEW met3 ( 1442100 980220 ) M3M4_PR_M
+    NEW met2 ( 1442790 980220 ) via2_FR
+    NEW li1 ( 1442330 1062670 ) L1M1_PR_MR
+    NEW met1 ( 1442330 1062670 ) M1M2_PR
+    NEW li1 ( 1442330 1103810 ) L1M1_PR_MR
+    NEW met1 ( 1442790 1103810 ) M1M2_PR
+    NEW met1 ( 1442330 1172830 ) M1M2_PR
+    NEW met1 ( 1442790 1173510 ) M1M2_PR
+    NEW met2 ( 1442330 1249500 ) via2_FR
+    NEW met2 ( 1442330 1250180 ) via2_FR
+    NEW met2 ( 1442330 1297100 ) via2_FR
+    NEW met2 ( 1443250 1297100 ) via2_FR
+    NEW met1 ( 1442330 1345890 ) M1M2_PR
+    NEW met1 ( 1443250 1345890 ) M1M2_PR
+    NEW met1 ( 1442790 1442110 ) M1M2_PR
+    NEW met1 ( 1442330 1442110 ) M1M2_PR
+    NEW li1 ( 1442330 379270 ) L1M1_PR_MR
+    NEW met1 ( 1442330 379270 ) M1M2_PR
+    NEW li1 ( 1442330 336770 ) L1M1_PR_MR
+    NEW met1 ( 1442790 336770 ) M1M2_PR
+    NEW li1 ( 1442330 475490 ) L1M1_PR_MR
+    NEW met1 ( 1442330 475490 ) M1M2_PR
+    NEW li1 ( 1442330 427890 ) L1M1_PR_MR
+    NEW met1 ( 1442790 427890 ) M1M2_PR
+    NEW met1 ( 1442330 737970 ) M1M2_PR
+    NEW met1 ( 1442330 738650 ) M1M2_PR
+    NEW li1 ( 1442790 814470 ) L1M1_PR_MR
+    NEW met1 ( 1442790 814470 ) M1M2_PR
+    NEW li1 ( 1442790 821950 ) L1M1_PR_MR
+    NEW met1 ( 1442790 821950 ) M1M2_PR
+    NEW li1 ( 1442330 1054850 ) L1M1_PR_MR
+    NEW met1 ( 1442330 1054850 ) M1M2_PR
+    NEW li1 ( 1442330 1007590 ) L1M1_PR_MR
+    NEW met1 ( 1442790 1007590 ) M1M2_PR
+    NEW met2 ( 1442330 1248820 ) via2_FR
+    NEW met2 ( 1444630 1248140 ) via2_FR
+    NEW met1 ( 1444630 1200710 ) M1M2_PR
+    NEW met1 ( 1442790 1200710 ) M1M2_PR
+    NEW met2 ( 1442330 1345380 ) via2_FR
+    NEW met2 ( 1443250 1345380 ) via2_FR
+    NEW met1 ( 1442790 1393830 ) M1M2_PR
+    NEW met1 ( 1442330 1393830 ) M1M2_PR
+    NEW met2 ( 1442330 1441260 ) via2_FR
+    NEW met2 ( 1442790 1441940 ) via2_FR
+    NEW met1 ( 1433130 5610 ) M1M2_PR
+    NEW met1 ( 1442330 5610 ) M1M2_PR
+    NEW met1 ( 1444630 110670 ) M1M2_PR
+    NEW met1 ( 1443250 110670 ) M1M2_PR
+    NEW li1 ( 1442790 276250 ) L1M1_PR_MR
+    NEW met1 ( 1442790 276250 ) M1M2_PR
+    NEW li1 ( 1442790 324190 ) L1M1_PR_MR
+    NEW met1 ( 1442790 324190 ) M1M2_PR
+    NEW met1 ( 1442330 34510 ) M1M2_PR
+    NEW met1 ( 1444630 34510 ) M1M2_PR
+    NEW met1 ( 1442330 186490 ) RECT ( 0 -70 355 70 )
+    NEW met3 ( 1442100 965260 ) RECT ( 0 -150 570 150 )
+    NEW met1 ( 1442330 1062670 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1442330 379270 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1442330 475490 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1442790 814470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1442790 821950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1442330 1054850 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1442790 276250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1442790 324190 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) 
-  + ROUTED met1 ( 1455210 20570 ) ( 1459810 20570 )
-    NEW met2 ( 1459810 2380 0 ) ( 1459810 20570 )
-    NEW met1 ( 1450150 1684870 ) ( 1455210 1684870 )
-    NEW met2 ( 1450150 1684870 ) ( 1450150 1700340 0 )
-    NEW met2 ( 1455210 20570 ) ( 1455210 1684870 )
-    NEW met1 ( 1455210 20570 ) M1M2_PR
-    NEW met1 ( 1459810 20570 ) M1M2_PR
-    NEW met1 ( 1455210 1684870 ) M1M2_PR
-    NEW met1 ( 1450150 1684870 ) M1M2_PR
+  + ROUTED met2 ( 1451070 2380 0 ) ( 1451070 5100 )
+    NEW met2 ( 1451070 5100 ) ( 1451530 5100 )
+    NEW met2 ( 1450150 1656140 ) ( 1451530 1656140 )
+    NEW met2 ( 1450150 1656140 ) ( 1450150 1700340 0 )
+    NEW met2 ( 1451530 5100 ) ( 1451530 1656140 )
 + USE SIGNAL ;
 - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) 
-  + ROUTED met2 ( 1458890 1677220 ) ( 1459350 1677220 )
-    NEW met2 ( 1458890 1677220 ) ( 1458890 1685210 )
-    NEW met1 ( 1453370 1685210 ) ( 1458890 1685210 )
-    NEW met2 ( 1453370 1685210 ) ( 1453370 1700340 0 )
-    NEW met2 ( 1459350 15470 ) ( 1459350 1677220 )
-    NEW met1 ( 1459350 15470 ) ( 1477750 15470 )
-    NEW met2 ( 1477750 2380 0 ) ( 1477750 15470 )
-    NEW met1 ( 1459350 15470 ) M1M2_PR
-    NEW met1 ( 1458890 1685210 ) M1M2_PR
-    NEW met1 ( 1453370 1685210 ) M1M2_PR
-    NEW met1 ( 1477750 15470 ) M1M2_PR
+  + ROUTED met2 ( 1454290 1657500 ) ( 1455670 1657500 )
+    NEW met2 ( 1454290 1657500 ) ( 1454290 1700340 )
+    NEW met2 ( 1453370 1700340 0 ) ( 1454290 1700340 )
+    NEW met2 ( 1455670 17850 ) ( 1455670 1657500 )
+    NEW met1 ( 1455670 17850 ) ( 1468550 17850 )
+    NEW met2 ( 1468550 2380 0 ) ( 1468550 17850 )
+    NEW met1 ( 1455670 17850 ) M1M2_PR
+    NEW met1 ( 1468550 17850 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) 
-  + ROUTED met1 ( 1457050 1684870 ) ( 1462110 1684870 )
-    NEW met2 ( 1457050 1684870 ) ( 1457050 1700340 0 )
-    NEW met2 ( 1462110 18530 ) ( 1462110 1684870 )
-    NEW met1 ( 1462110 18530 ) ( 1495690 18530 )
-    NEW met2 ( 1495690 2380 0 ) ( 1495690 18530 )
-    NEW met1 ( 1462110 18530 ) M1M2_PR
-    NEW met1 ( 1462110 1684870 ) M1M2_PR
-    NEW met1 ( 1457050 1684870 ) M1M2_PR
-    NEW met1 ( 1495690 18530 ) M1M2_PR
+  + ROUTED met1 ( 1457050 1684530 ) ( 1462110 1684530 )
+    NEW met2 ( 1457050 1684530 ) ( 1457050 1700340 0 )
+    NEW met2 ( 1462110 18190 ) ( 1462110 1684530 )
+    NEW met1 ( 1462110 18190 ) ( 1486490 18190 )
+    NEW met2 ( 1486490 2380 0 ) ( 1486490 18190 )
+    NEW met1 ( 1462110 18190 ) M1M2_PR
+    NEW met1 ( 1462110 1684530 ) M1M2_PR
+    NEW met1 ( 1457050 1684530 ) M1M2_PR
+    NEW met1 ( 1486490 18190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) 
-  + ROUTED met2 ( 1460730 1689970 ) ( 1460730 1700340 0 )
-    NEW met1 ( 1460730 1689970 ) ( 1466710 1689970 )
-    NEW met2 ( 1513170 2380 0 ) ( 1513170 16830 )
-    NEW met1 ( 1466710 14110 ) ( 1490630 14110 )
-    NEW li1 ( 1490630 14110 ) ( 1490630 16830 )
-    NEW met1 ( 1490630 16830 ) ( 1513170 16830 )
-    NEW met2 ( 1466710 14110 ) ( 1466710 1689970 )
-    NEW met1 ( 1466710 1689970 ) M1M2_PR
-    NEW met1 ( 1460730 1689970 ) M1M2_PR
-    NEW met1 ( 1513170 16830 ) M1M2_PR
-    NEW met1 ( 1466710 14110 ) M1M2_PR
-    NEW li1 ( 1490630 14110 ) L1M1_PR_MR
-    NEW li1 ( 1490630 16830 ) L1M1_PR_MR
+  + ROUTED met2 ( 1460730 1685890 ) ( 1460730 1700340 0 )
+    NEW met1 ( 1466250 20570 ) ( 1503970 20570 )
+    NEW met2 ( 1503970 2380 0 ) ( 1503970 20570 )
+    NEW met1 ( 1460730 1685890 ) ( 1466250 1685890 )
+    NEW met2 ( 1466250 20570 ) ( 1466250 1685890 )
+    NEW met1 ( 1460730 1685890 ) M1M2_PR
+    NEW met1 ( 1466250 20570 ) M1M2_PR
+    NEW met1 ( 1503970 20570 ) M1M2_PR
+    NEW met1 ( 1466250 1685890 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) 
-  + ROUTED met2 ( 710010 17510 ) ( 710470 17510 )
-    NEW met2 ( 710010 17510 ) ( 710010 1500590 )
-    NEW met2 ( 710470 2380 0 ) ( 710470 17510 )
-    NEW met1 ( 710010 1500590 ) ( 1291450 1500590 )
-    NEW met1 ( 1291450 1677730 ) ( 1295130 1677730 )
-    NEW met2 ( 1295130 1677730 ) ( 1295130 1700340 )
+  + ROUTED li1 ( 1244530 37570 ) ( 1244530 41310 )
+    NEW met2 ( 706330 2380 0 ) ( 706330 41310 )
+    NEW met1 ( 706330 41310 ) ( 1244530 41310 )
+    NEW met1 ( 1270290 37230 ) ( 1270290 37570 )
+    NEW met1 ( 1270290 37230 ) ( 1292830 37230 )
+    NEW met1 ( 1244530 37570 ) ( 1270290 37570 )
+    NEW met1 ( 1292830 1678750 ) ( 1295130 1678750 )
+    NEW met2 ( 1295130 1678750 ) ( 1295130 1700340 )
     NEW met2 ( 1295130 1700340 ) ( 1295590 1700340 0 )
-    NEW met2 ( 1291450 1500590 ) ( 1291450 1677730 )
-    NEW met1 ( 710010 1500590 ) M1M2_PR
-    NEW met1 ( 1291450 1500590 ) M1M2_PR
-    NEW met1 ( 1291450 1677730 ) M1M2_PR
-    NEW met1 ( 1295130 1677730 ) M1M2_PR
+    NEW met2 ( 1292830 37230 ) ( 1292830 1678750 )
+    NEW li1 ( 1244530 41310 ) L1M1_PR_MR
+    NEW li1 ( 1244530 37570 ) L1M1_PR_MR
+    NEW met1 ( 706330 41310 ) M1M2_PR
+    NEW met1 ( 1292830 37230 ) M1M2_PR
+    NEW met1 ( 1292830 1678750 ) M1M2_PR
+    NEW met1 ( 1295130 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) 
-  + ROUTED met1 ( 1464410 1688610 ) ( 1468550 1688610 )
-    NEW met2 ( 1464410 1688610 ) ( 1464410 1700340 0 )
-    NEW met2 ( 1531110 2380 0 ) ( 1531110 14450 )
-    NEW met1 ( 1468550 15130 ) ( 1507650 15130 )
-    NEW met1 ( 1507650 14450 ) ( 1507650 15130 )
-    NEW met1 ( 1507650 14450 ) ( 1531110 14450 )
-    NEW met2 ( 1468550 15130 ) ( 1468550 1688610 )
-    NEW met1 ( 1468550 1688610 ) M1M2_PR
-    NEW met1 ( 1464410 1688610 ) M1M2_PR
-    NEW met1 ( 1531110 14450 ) M1M2_PR
-    NEW met1 ( 1468550 15130 ) M1M2_PR
+  + ROUTED met2 ( 1521910 2380 0 ) ( 1521910 16830 )
+    NEW met1 ( 1469470 16830 ) ( 1521910 16830 )
+    NEW met1 ( 1464410 1684530 ) ( 1469470 1684530 )
+    NEW met2 ( 1464410 1684530 ) ( 1464410 1700340 0 )
+    NEW met2 ( 1469470 16830 ) ( 1469470 1684530 )
+    NEW met1 ( 1521910 16830 ) M1M2_PR
+    NEW met1 ( 1469470 16830 ) M1M2_PR
+    NEW met1 ( 1469470 1684530 ) M1M2_PR
+    NEW met1 ( 1464410 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) 
-  + ROUTED met2 ( 1549050 2380 0 ) ( 1549050 17170 )
-    NEW met1 ( 1468090 15810 ) ( 1503050 15810 )
-    NEW li1 ( 1503050 15810 ) ( 1503050 17170 )
-    NEW met1 ( 1503050 17170 ) ( 1549050 17170 )
-    NEW met2 ( 1468090 15810 ) ( 1468090 1700340 0 )
-    NEW met1 ( 1549050 17170 ) M1M2_PR
-    NEW met1 ( 1468090 15810 ) M1M2_PR
-    NEW li1 ( 1503050 15810 ) L1M1_PR_MR
-    NEW li1 ( 1503050 17170 ) L1M1_PR_MR
+  + ROUTED met2 ( 1539850 2380 0 ) ( 1539850 20570 )
+    NEW met1 ( 1473150 20230 ) ( 1493390 20230 )
+    NEW met1 ( 1493390 19890 ) ( 1493390 20230 )
+    NEW met1 ( 1493390 19890 ) ( 1510410 19890 )
+    NEW li1 ( 1510410 19890 ) ( 1510410 20570 )
+    NEW li1 ( 1510410 20570 ) ( 1510870 20570 )
+    NEW met1 ( 1510870 20570 ) ( 1539850 20570 )
+    NEW met1 ( 1468090 1684870 ) ( 1473150 1684870 )
+    NEW met2 ( 1468090 1684870 ) ( 1468090 1700340 0 )
+    NEW met2 ( 1473150 20230 ) ( 1473150 1684870 )
+    NEW met1 ( 1539850 20570 ) M1M2_PR
+    NEW met1 ( 1473150 20230 ) M1M2_PR
+    NEW li1 ( 1510410 19890 ) L1M1_PR_MR
+    NEW li1 ( 1510870 20570 ) L1M1_PR_MR
+    NEW met1 ( 1473150 1684870 ) M1M2_PR
+    NEW met1 ( 1468090 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) 
-  + ROUTED met1 ( 1471770 1688610 ) ( 1476370 1688610 )
-    NEW met2 ( 1471770 1688610 ) ( 1471770 1700340 0 )
-    NEW met2 ( 1476370 18190 ) ( 1476370 1688610 )
-    NEW met1 ( 1476370 18190 ) ( 1566990 18190 )
-    NEW met2 ( 1566990 2380 0 ) ( 1566990 18190 )
-    NEW met1 ( 1476370 1688610 ) M1M2_PR
-    NEW met1 ( 1471770 1688610 ) M1M2_PR
-    NEW met1 ( 1476370 18190 ) M1M2_PR
-    NEW met1 ( 1566990 18190 ) M1M2_PR
+  + ROUTED met2 ( 1557330 2380 0 ) ( 1557330 19550 )
+    NEW met1 ( 1480050 19550 ) ( 1557330 19550 )
+    NEW met1 ( 1472690 1684530 ) ( 1480050 1684530 )
+    NEW met2 ( 1472690 1684530 ) ( 1472690 1700340 )
+    NEW met2 ( 1471770 1700340 0 ) ( 1472690 1700340 )
+    NEW met2 ( 1480050 19550 ) ( 1480050 1684530 )
+    NEW met1 ( 1557330 19550 ) M1M2_PR
+    NEW met1 ( 1480050 19550 ) M1M2_PR
+    NEW met1 ( 1480050 1684530 ) M1M2_PR
+    NEW met1 ( 1472690 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) 
-  + ROUTED met2 ( 1475450 1700340 0 ) ( 1475910 1700340 )
-    NEW met1 ( 1475910 25330 ) ( 1510410 25330 )
-    NEW li1 ( 1510410 25330 ) ( 1510870 25330 )
-    NEW li1 ( 1510870 25330 ) ( 1510870 26350 )
-    NEW met2 ( 1475910 25330 ) ( 1475910 1700340 )
-    NEW met2 ( 1560550 26180 ) ( 1560550 26350 )
-    NEW met3 ( 1560550 26180 ) ( 1584930 26180 )
-    NEW met1 ( 1510870 26350 ) ( 1560550 26350 )
-    NEW met2 ( 1584930 2380 0 ) ( 1584930 26180 )
-    NEW met1 ( 1475910 25330 ) M1M2_PR
-    NEW li1 ( 1510410 25330 ) L1M1_PR_MR
-    NEW li1 ( 1510870 26350 ) L1M1_PR_MR
-    NEW met1 ( 1560550 26350 ) M1M2_PR
-    NEW met2 ( 1560550 26180 ) via2_FR
-    NEW met2 ( 1584930 26180 ) via2_FR
+  + ROUTED met2 ( 1575270 2380 0 ) ( 1575270 2890 )
+    NEW met1 ( 1573430 2890 ) ( 1575270 2890 )
+    NEW met2 ( 1475450 58650 ) ( 1475450 1700340 0 )
+    NEW met1 ( 1475450 58650 ) ( 1573430 58650 )
+    NEW met2 ( 1573430 2890 ) ( 1573430 58650 )
+    NEW met1 ( 1575270 2890 ) M1M2_PR
+    NEW met1 ( 1573430 2890 ) M1M2_PR
+    NEW met1 ( 1475450 58650 ) M1M2_PR
+    NEW met1 ( 1573430 58650 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) 
-  + ROUTED met1 ( 1479130 1688610 ) ( 1481430 1688610 )
-    NEW met2 ( 1479130 1688610 ) ( 1479130 1700340 0 )
-    NEW met2 ( 1481430 26010 ) ( 1481430 1688610 )
-    NEW li1 ( 1559630 24650 ) ( 1559630 26010 )
-    NEW li1 ( 1559630 24650 ) ( 1560550 24650 )
-    NEW li1 ( 1560550 20230 ) ( 1560550 24650 )
-    NEW met1 ( 1560550 20230 ) ( 1602410 20230 )
-    NEW met1 ( 1481430 26010 ) ( 1559630 26010 )
-    NEW met2 ( 1602410 2380 0 ) ( 1602410 20230 )
-    NEW met1 ( 1481430 1688610 ) M1M2_PR
-    NEW met1 ( 1479130 1688610 ) M1M2_PR
-    NEW met1 ( 1481430 26010 ) M1M2_PR
-    NEW li1 ( 1559630 26010 ) L1M1_PR_MR
-    NEW li1 ( 1560550 20230 ) L1M1_PR_MR
-    NEW met1 ( 1602410 20230 ) M1M2_PR
+  + ROUTED met2 ( 1514550 72250 ) ( 1514550 1689630 )
+    NEW met1 ( 1514550 72250 ) ( 1587230 72250 )
+    NEW met2 ( 1479130 1689630 ) ( 1479130 1700340 0 )
+    NEW met1 ( 1479130 1689630 ) ( 1514550 1689630 )
+    NEW met2 ( 1587230 30940 ) ( 1592750 30940 )
+    NEW met2 ( 1587230 30940 ) ( 1587230 72250 )
+    NEW met2 ( 1592750 2380 0 ) ( 1592750 30940 )
+    NEW met1 ( 1514550 1689630 ) M1M2_PR
+    NEW met1 ( 1514550 72250 ) M1M2_PR
+    NEW met1 ( 1587230 72250 ) M1M2_PR
+    NEW met1 ( 1479130 1689630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) 
-  + ROUTED met1 ( 1481890 1688610 ) ( 1482810 1688610 )
-    NEW met2 ( 1482810 1688610 ) ( 1482810 1700340 0 )
-    NEW met2 ( 1620350 2380 0 ) ( 1620350 21250 )
-    NEW met1 ( 1481890 25670 ) ( 1503510 25670 )
-    NEW li1 ( 1503510 20230 ) ( 1503510 25670 )
-    NEW met1 ( 1503510 20230 ) ( 1510410 20230 )
-    NEW met2 ( 1510410 20230 ) ( 1510870 20230 )
-    NEW met2 ( 1510870 20230 ) ( 1510870 21250 )
-    NEW met2 ( 1481890 25670 ) ( 1481890 1688610 )
-    NEW met1 ( 1510870 21250 ) ( 1620350 21250 )
-    NEW met1 ( 1481890 1688610 ) M1M2_PR
-    NEW met1 ( 1482810 1688610 ) M1M2_PR
-    NEW met1 ( 1620350 21250 ) M1M2_PR
-    NEW met1 ( 1481890 25670 ) M1M2_PR
-    NEW li1 ( 1503510 25670 ) L1M1_PR_MR
-    NEW li1 ( 1503510 20230 ) L1M1_PR_MR
-    NEW met1 ( 1510410 20230 ) M1M2_PR
-    NEW met1 ( 1510870 21250 ) M1M2_PR
+  + ROUTED met2 ( 1610690 2380 0 ) ( 1610690 21250 )
+    NEW met1 ( 1481430 22270 ) ( 1502130 22270 )
+    NEW li1 ( 1502130 20230 ) ( 1502130 22270 )
+    NEW met1 ( 1502130 20230 ) ( 1504890 20230 )
+    NEW met1 ( 1504890 20230 ) ( 1504890 20570 )
+    NEW met1 ( 1504890 20570 ) ( 1510410 20570 )
+    NEW met1 ( 1510410 20570 ) ( 1510410 20910 )
+    NEW met1 ( 1481430 1684190 ) ( 1482810 1684190 )
+    NEW met2 ( 1482810 1684190 ) ( 1482810 1700340 0 )
+    NEW met2 ( 1481430 22270 ) ( 1481430 1684190 )
+    NEW li1 ( 1582170 20910 ) ( 1582170 21250 )
+    NEW li1 ( 1582170 21250 ) ( 1583090 21250 )
+    NEW met1 ( 1510410 20910 ) ( 1582170 20910 )
+    NEW met1 ( 1583090 21250 ) ( 1610690 21250 )
+    NEW met1 ( 1610690 21250 ) M1M2_PR
+    NEW met1 ( 1481430 22270 ) M1M2_PR
+    NEW li1 ( 1502130 22270 ) L1M1_PR_MR
+    NEW li1 ( 1502130 20230 ) L1M1_PR_MR
+    NEW met1 ( 1481430 1684190 ) M1M2_PR
+    NEW met1 ( 1482810 1684190 ) M1M2_PR
+    NEW li1 ( 1582170 20910 ) L1M1_PR_MR
+    NEW li1 ( 1583090 21250 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) 
-  + ROUTED met1 ( 1486490 1687930 ) ( 1489710 1687930 )
-    NEW met2 ( 1486490 1687930 ) ( 1486490 1700340 0 )
-    NEW met2 ( 1513170 22610 ) ( 1513170 22780 )
-    NEW met2 ( 1638290 2380 0 ) ( 1638290 22610 )
-    NEW met1 ( 1489710 22610 ) ( 1510410 22610 )
-    NEW met2 ( 1510410 22610 ) ( 1510410 22780 )
-    NEW met3 ( 1510410 22780 ) ( 1513170 22780 )
-    NEW met2 ( 1489710 22610 ) ( 1489710 1687930 )
-    NEW met1 ( 1513170 22610 ) ( 1638290 22610 )
-    NEW met1 ( 1489710 1687930 ) M1M2_PR
-    NEW met1 ( 1486490 1687930 ) M1M2_PR
-    NEW met2 ( 1513170 22780 ) via2_FR
-    NEW met1 ( 1513170 22610 ) M1M2_PR
-    NEW met1 ( 1638290 22610 ) M1M2_PR
-    NEW met1 ( 1489710 22610 ) M1M2_PR
-    NEW met1 ( 1510410 22610 ) M1M2_PR
-    NEW met2 ( 1510410 22780 ) via2_FR
+  + ROUTED met2 ( 1511790 22270 ) ( 1511790 22780 )
+    NEW met2 ( 1627710 13940 ) ( 1627710 20910 )
+    NEW met2 ( 1627710 13940 ) ( 1628170 13940 )
+    NEW met2 ( 1628170 2380 0 ) ( 1628170 13940 )
+    NEW met1 ( 1489250 25330 ) ( 1509950 25330 )
+    NEW met2 ( 1509950 22780 ) ( 1509950 25330 )
+    NEW met3 ( 1509950 22780 ) ( 1511790 22780 )
+    NEW met2 ( 1487410 1677900 ) ( 1489250 1677900 )
+    NEW met2 ( 1487410 1677900 ) ( 1487410 1700340 )
+    NEW met2 ( 1486490 1700340 0 ) ( 1487410 1700340 )
+    NEW li1 ( 1561010 22270 ) ( 1561010 22610 )
+    NEW li1 ( 1561010 22610 ) ( 1561930 22610 )
+    NEW li1 ( 1561930 21250 ) ( 1561930 22610 )
+    NEW met1 ( 1561930 21250 ) ( 1582630 21250 )
+    NEW met1 ( 1582630 20570 ) ( 1582630 21250 )
+    NEW met1 ( 1582630 20570 ) ( 1583550 20570 )
+    NEW met1 ( 1583550 20570 ) ( 1583550 20910 )
+    NEW met1 ( 1511790 22270 ) ( 1561010 22270 )
+    NEW met1 ( 1583550 20910 ) ( 1627710 20910 )
+    NEW met2 ( 1489250 25330 ) ( 1489250 1677900 )
+    NEW met2 ( 1511790 22780 ) via2_FR
+    NEW met1 ( 1511790 22270 ) M1M2_PR
+    NEW met1 ( 1627710 20910 ) M1M2_PR
+    NEW met1 ( 1489250 25330 ) M1M2_PR
+    NEW met1 ( 1509950 25330 ) M1M2_PR
+    NEW met2 ( 1509950 22780 ) via2_FR
+    NEW li1 ( 1561010 22270 ) L1M1_PR_MR
+    NEW li1 ( 1561930 21250 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) 
-  + ROUTED met1 ( 1488790 1689630 ) ( 1490170 1689630 )
-    NEW met2 ( 1490170 1689630 ) ( 1490170 1700340 0 )
-    NEW met2 ( 1511790 22610 ) ( 1511790 24820 )
-    NEW met3 ( 1511790 24820 ) ( 1558710 24820 )
-    NEW met2 ( 1558710 24820 ) ( 1558710 25330 )
-    NEW met1 ( 1488790 21250 ) ( 1510410 21250 )
-    NEW li1 ( 1510410 21250 ) ( 1510870 21250 )
-    NEW li1 ( 1510870 21250 ) ( 1510870 22610 )
-    NEW met1 ( 1510870 22610 ) ( 1511790 22610 )
-    NEW met2 ( 1488790 21250 ) ( 1488790 1689630 )
-    NEW met1 ( 1558710 25330 ) ( 1656230 25330 )
-    NEW met2 ( 1656230 2380 0 ) ( 1656230 25330 )
-    NEW met1 ( 1488790 1689630 ) M1M2_PR
-    NEW met1 ( 1490170 1689630 ) M1M2_PR
-    NEW met1 ( 1511790 22610 ) M1M2_PR
-    NEW met2 ( 1511790 24820 ) via2_FR
-    NEW met2 ( 1558710 24820 ) via2_FR
-    NEW met1 ( 1558710 25330 ) M1M2_PR
-    NEW met1 ( 1488790 21250 ) M1M2_PR
-    NEW li1 ( 1510410 21250 ) L1M1_PR_MR
-    NEW li1 ( 1510870 22610 ) L1M1_PR_MR
-    NEW met1 ( 1656230 25330 ) M1M2_PR
+  + ROUTED met2 ( 1512250 22100 ) ( 1512250 23970 )
+    NEW met1 ( 1512250 23970 ) ( 1536170 23970 )
+    NEW li1 ( 1536170 22950 ) ( 1536170 23970 )
+    NEW met2 ( 1646110 2380 0 ) ( 1646110 22950 )
+    NEW met2 ( 1487870 351900 ) ( 1488790 351900 )
+    NEW met2 ( 1487870 449140 ) ( 1488330 449140 )
+    NEW met1 ( 1487870 738310 ) ( 1488790 738310 )
+    NEW met2 ( 1487870 931940 ) ( 1488330 931940 )
+    NEW met2 ( 1487870 1318180 ) ( 1488330 1318180 )
+    NEW met1 ( 1487870 20910 ) ( 1509950 20910 )
+    NEW met2 ( 1509950 20910 ) ( 1509950 22100 )
+    NEW met3 ( 1509950 22100 ) ( 1512250 22100 )
+    NEW met2 ( 1487870 351220 ) ( 1488330 351220 )
+    NEW met2 ( 1487870 351220 ) ( 1487870 351900 )
+    NEW met2 ( 1487870 448460 ) ( 1488330 448460 )
+    NEW met2 ( 1487870 448460 ) ( 1487870 449140 )
+    NEW met2 ( 1487870 931260 ) ( 1488330 931260 )
+    NEW met2 ( 1487870 931260 ) ( 1487870 931940 )
+    NEW met2 ( 1487870 1173340 ) ( 1488330 1173340 )
+    NEW met1 ( 1536170 22950 ) ( 1646110 22950 )
+    NEW li1 ( 1488330 399330 ) ( 1488330 434690 )
+    NEW met2 ( 1488330 386580 ) ( 1488330 399330 )
+    NEW met2 ( 1488330 386580 ) ( 1488790 386580 )
+    NEW met2 ( 1488330 434690 ) ( 1488330 448460 )
+    NEW met2 ( 1488790 351900 ) ( 1488790 386580 )
+    NEW met1 ( 1488330 531250 ) ( 1488790 531250 )
+    NEW met2 ( 1488790 496740 ) ( 1488790 531250 )
+    NEW met2 ( 1488330 496740 ) ( 1488790 496740 )
+    NEW met2 ( 1488330 449140 ) ( 1488330 496740 )
+    NEW met1 ( 1487410 689690 ) ( 1487870 689690 )
+    NEW met2 ( 1487870 689690 ) ( 1487870 738310 )
+    NEW met1 ( 1487410 882810 ) ( 1487870 882810 )
+    NEW met2 ( 1487870 882810 ) ( 1487870 917660 )
+    NEW met2 ( 1487870 917660 ) ( 1488330 917660 )
+    NEW met2 ( 1488330 917660 ) ( 1488330 931260 )
+    NEW met1 ( 1487410 1075930 ) ( 1487410 1076610 )
+    NEW met2 ( 1487410 1076610 ) ( 1487410 1086980 )
+    NEW met2 ( 1487410 1086980 ) ( 1487870 1086980 )
+    NEW met1 ( 1487410 1269050 ) ( 1487410 1269730 )
+    NEW met2 ( 1487410 1269730 ) ( 1487410 1280100 )
+    NEW met2 ( 1487410 1280100 ) ( 1487870 1280100 )
+    NEW met2 ( 1487870 1280100 ) ( 1487870 1318180 )
+    NEW met2 ( 1488330 1365780 ) ( 1488790 1365780 )
+    NEW met2 ( 1488330 1318180 ) ( 1488330 1365780 )
+    NEW met1 ( 1488790 1642370 ) ( 1490630 1642370 )
+    NEW met2 ( 1490630 1642370 ) ( 1490630 1677220 )
+    NEW met2 ( 1490170 1677220 ) ( 1490630 1677220 )
+    NEW met2 ( 1490170 1677220 ) ( 1490170 1700340 0 )
+    NEW met2 ( 1487870 62900 ) ( 1488330 62900 )
+    NEW met2 ( 1487870 20910 ) ( 1487870 62900 )
+    NEW li1 ( 1488330 241570 ) ( 1488330 256190 )
+    NEW met2 ( 1488330 256190 ) ( 1488330 351220 )
+    NEW met2 ( 1487870 579020 ) ( 1488790 579020 )
+    NEW met2 ( 1488790 545020 ) ( 1488790 579020 )
+    NEW met2 ( 1488330 545020 ) ( 1488790 545020 )
+    NEW met2 ( 1488330 531250 ) ( 1488330 545020 )
+    NEW met3 ( 1487410 869380 ) ( 1488790 869380 )
+    NEW met2 ( 1487410 869380 ) ( 1487410 882810 )
+    NEW met2 ( 1488790 738310 ) ( 1488790 869380 )
+    NEW met3 ( 1487410 1062500 ) ( 1488330 1062500 )
+    NEW met2 ( 1487410 1062500 ) ( 1487410 1075930 )
+    NEW met2 ( 1488330 931940 ) ( 1488330 1062500 )
+    NEW met1 ( 1487410 1110950 ) ( 1487870 1110950 )
+    NEW met2 ( 1487410 1110950 ) ( 1487410 1159060 )
+    NEW met3 ( 1487410 1159060 ) ( 1488330 1159060 )
+    NEW met2 ( 1487870 1086980 ) ( 1487870 1110950 )
+    NEW met2 ( 1488330 1159060 ) ( 1488330 1173340 )
+    NEW met1 ( 1486490 1255790 ) ( 1487410 1255790 )
+    NEW met2 ( 1486490 1208020 ) ( 1486490 1255790 )
+    NEW met3 ( 1486490 1208020 ) ( 1487870 1208020 )
+    NEW met2 ( 1487410 1255790 ) ( 1487410 1269050 )
+    NEW met2 ( 1487870 1173340 ) ( 1487870 1208020 )
+    NEW met3 ( 1487870 1490220 ) ( 1488790 1490220 )
+    NEW li1 ( 1487410 621010 ) ( 1487410 645150 )
+    NEW met1 ( 1487410 621010 ) ( 1487870 621010 )
+    NEW met2 ( 1487410 645150 ) ( 1487410 689690 )
+    NEW met2 ( 1487870 579020 ) ( 1487870 621010 )
+    NEW met1 ( 1487870 1510790 ) ( 1487870 1511470 )
+    NEW met1 ( 1487870 1511470 ) ( 1488790 1511470 )
+    NEW met2 ( 1487870 1490220 ) ( 1487870 1510790 )
+    NEW met1 ( 1488790 1587290 ) ( 1488790 1587970 )
+    NEW met2 ( 1488790 1587970 ) ( 1488790 1642370 )
+    NEW li1 ( 1488330 83130 ) ( 1488330 131070 )
+    NEW met1 ( 1488330 131070 ) ( 1488790 131070 )
+    NEW met2 ( 1488330 62900 ) ( 1488330 83130 )
+    NEW met1 ( 1488330 180030 ) ( 1488790 180030 )
+    NEW met2 ( 1488330 180030 ) ( 1488330 241570 )
+    NEW met1 ( 1487870 1441090 ) ( 1488790 1441090 )
+    NEW met2 ( 1487870 1441090 ) ( 1487870 1483420 )
+    NEW met3 ( 1487870 1483420 ) ( 1488790 1483420 )
+    NEW met2 ( 1488790 1365780 ) ( 1488790 1441090 )
+    NEW met2 ( 1488790 1483420 ) ( 1488790 1490220 )
+    NEW met1 ( 1487870 1531870 ) ( 1488790 1531870 )
+    NEW met2 ( 1487870 1531870 ) ( 1487870 1579980 )
+    NEW met3 ( 1487870 1579980 ) ( 1488790 1579980 )
+    NEW met2 ( 1488790 1511470 ) ( 1488790 1531870 )
+    NEW met2 ( 1488790 1579980 ) ( 1488790 1587290 )
+    NEW met3 ( 1487410 131580 ) ( 1488790 131580 )
+    NEW met2 ( 1487410 131580 ) ( 1487410 179180 )
+    NEW met3 ( 1487410 179180 ) ( 1488790 179180 )
+    NEW met2 ( 1488790 131070 ) ( 1488790 131580 )
+    NEW met2 ( 1488790 179180 ) ( 1488790 180030 )
+    NEW met2 ( 1512250 22100 ) via2_FR
+    NEW met1 ( 1512250 23970 ) M1M2_PR
+    NEW li1 ( 1536170 23970 ) L1M1_PR_MR
+    NEW li1 ( 1536170 22950 ) L1M1_PR_MR
+    NEW met1 ( 1646110 22950 ) M1M2_PR
+    NEW met1 ( 1487870 738310 ) M1M2_PR
+    NEW met1 ( 1488790 738310 ) M1M2_PR
+    NEW met1 ( 1487870 20910 ) M1M2_PR
+    NEW met1 ( 1509950 20910 ) M1M2_PR
+    NEW met2 ( 1509950 22100 ) via2_FR
+    NEW li1 ( 1488330 434690 ) L1M1_PR_MR
+    NEW met1 ( 1488330 434690 ) M1M2_PR
+    NEW li1 ( 1488330 399330 ) L1M1_PR_MR
+    NEW met1 ( 1488330 399330 ) M1M2_PR
+    NEW met1 ( 1488330 531250 ) M1M2_PR
+    NEW met1 ( 1488790 531250 ) M1M2_PR
+    NEW met1 ( 1487410 689690 ) M1M2_PR
+    NEW met1 ( 1487870 689690 ) M1M2_PR
+    NEW met1 ( 1487410 882810 ) M1M2_PR
+    NEW met1 ( 1487870 882810 ) M1M2_PR
+    NEW met1 ( 1487410 1075930 ) M1M2_PR
+    NEW met1 ( 1487410 1076610 ) M1M2_PR
+    NEW met1 ( 1487410 1269050 ) M1M2_PR
+    NEW met1 ( 1487410 1269730 ) M1M2_PR
+    NEW met1 ( 1488790 1642370 ) M1M2_PR
+    NEW met1 ( 1490630 1642370 ) M1M2_PR
+    NEW li1 ( 1488330 241570 ) L1M1_PR_MR
+    NEW met1 ( 1488330 241570 ) M1M2_PR
+    NEW li1 ( 1488330 256190 ) L1M1_PR_MR
+    NEW met1 ( 1488330 256190 ) M1M2_PR
+    NEW met2 ( 1487410 869380 ) via2_FR
+    NEW met2 ( 1488790 869380 ) via2_FR
+    NEW met2 ( 1487410 1062500 ) via2_FR
+    NEW met2 ( 1488330 1062500 ) via2_FR
+    NEW met1 ( 1487870 1110950 ) M1M2_PR
+    NEW met1 ( 1487410 1110950 ) M1M2_PR
+    NEW met2 ( 1487410 1159060 ) via2_FR
+    NEW met2 ( 1488330 1159060 ) via2_FR
+    NEW met1 ( 1487410 1255790 ) M1M2_PR
+    NEW met1 ( 1486490 1255790 ) M1M2_PR
+    NEW met2 ( 1486490 1208020 ) via2_FR
+    NEW met2 ( 1487870 1208020 ) via2_FR
+    NEW met2 ( 1487870 1490220 ) via2_FR
+    NEW met2 ( 1488790 1490220 ) via2_FR
+    NEW li1 ( 1487410 645150 ) L1M1_PR_MR
+    NEW met1 ( 1487410 645150 ) M1M2_PR
+    NEW li1 ( 1487410 621010 ) L1M1_PR_MR
+    NEW met1 ( 1487870 621010 ) M1M2_PR
+    NEW met1 ( 1487870 1510790 ) M1M2_PR
+    NEW met1 ( 1488790 1511470 ) M1M2_PR
+    NEW met1 ( 1488790 1587290 ) M1M2_PR
+    NEW met1 ( 1488790 1587970 ) M1M2_PR
+    NEW li1 ( 1488330 83130 ) L1M1_PR_MR
+    NEW met1 ( 1488330 83130 ) M1M2_PR
+    NEW li1 ( 1488330 131070 ) L1M1_PR_MR
+    NEW met1 ( 1488790 131070 ) M1M2_PR
+    NEW met1 ( 1488330 180030 ) M1M2_PR
+    NEW met1 ( 1488790 180030 ) M1M2_PR
+    NEW met1 ( 1488790 1441090 ) M1M2_PR
+    NEW met1 ( 1487870 1441090 ) M1M2_PR
+    NEW met2 ( 1487870 1483420 ) via2_FR
+    NEW met2 ( 1488790 1483420 ) via2_FR
+    NEW met1 ( 1488790 1531870 ) M1M2_PR
+    NEW met1 ( 1487870 1531870 ) M1M2_PR
+    NEW met2 ( 1487870 1579980 ) via2_FR
+    NEW met2 ( 1488790 1579980 ) via2_FR
+    NEW met2 ( 1488790 131580 ) via2_FR
+    NEW met2 ( 1487410 131580 ) via2_FR
+    NEW met2 ( 1487410 179180 ) via2_FR
+    NEW met2 ( 1488790 179180 ) via2_FR
+    NEW met1 ( 1488330 434690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1488330 399330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1488330 241570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1488330 256190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1487410 645150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1488330 83130 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) 
-  + ROUTED met1 ( 1493850 1688270 ) ( 1497070 1688270 )
-    NEW met2 ( 1493850 1688270 ) ( 1493850 1700340 0 )
-    NEW li1 ( 1535710 23290 ) ( 1535710 24990 )
-    NEW met1 ( 1497070 23290 ) ( 1535710 23290 )
-    NEW met2 ( 1497070 23290 ) ( 1497070 1688270 )
-    NEW met1 ( 1535710 24990 ) ( 1673710 24990 )
-    NEW met2 ( 1673710 2380 0 ) ( 1673710 24990 )
-    NEW met1 ( 1497070 1688270 ) M1M2_PR
-    NEW met1 ( 1493850 1688270 ) M1M2_PR
-    NEW li1 ( 1535710 23290 ) L1M1_PR_MR
-    NEW li1 ( 1535710 24990 ) L1M1_PR_MR
-    NEW met1 ( 1497070 23290 ) M1M2_PR
-    NEW met1 ( 1673710 24990 ) M1M2_PR
+  + ROUTED li1 ( 1534790 23290 ) ( 1534790 24310 )
+    NEW li1 ( 1534790 24310 ) ( 1536170 24310 )
+    NEW li1 ( 1536170 24310 ) ( 1536170 25330 )
+    NEW met1 ( 1632310 24990 ) ( 1632310 25330 )
+    NEW met1 ( 1496150 23290 ) ( 1534790 23290 )
+    NEW met1 ( 1493850 1684190 ) ( 1496150 1684190 )
+    NEW met2 ( 1493850 1684190 ) ( 1493850 1700340 0 )
+    NEW met2 ( 1496150 23290 ) ( 1496150 1684190 )
+    NEW met1 ( 1536170 25330 ) ( 1632310 25330 )
+    NEW met1 ( 1632310 24990 ) ( 1663590 24990 )
+    NEW met2 ( 1663590 2380 0 ) ( 1663590 24990 )
+    NEW li1 ( 1534790 23290 ) L1M1_PR_MR
+    NEW li1 ( 1536170 25330 ) L1M1_PR_MR
+    NEW met1 ( 1496150 23290 ) M1M2_PR
+    NEW met1 ( 1496150 1684190 ) M1M2_PR
+    NEW met1 ( 1493850 1684190 ) M1M2_PR
+    NEW met1 ( 1663590 24990 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) 
-  + ROUTED met1 ( 1497530 1688270 ) ( 1503970 1688270 )
-    NEW met2 ( 1497530 1688270 ) ( 1497530 1700340 0 )
-    NEW met2 ( 1511330 20230 ) ( 1511330 25670 )
-    NEW met1 ( 1503970 25670 ) ( 1511330 25670 )
-    NEW met2 ( 1503970 25670 ) ( 1503970 1688270 )
-    NEW li1 ( 1560090 20230 ) ( 1560090 23630 )
-    NEW met1 ( 1511330 20230 ) ( 1560090 20230 )
-    NEW met1 ( 1560090 23630 ) ( 1691650 23630 )
-    NEW met2 ( 1691650 2380 0 ) ( 1691650 23630 )
-    NEW met1 ( 1503970 1688270 ) M1M2_PR
-    NEW met1 ( 1497530 1688270 ) M1M2_PR
-    NEW met1 ( 1511330 25670 ) M1M2_PR
-    NEW met1 ( 1511330 20230 ) M1M2_PR
-    NEW met1 ( 1503970 25670 ) M1M2_PR
-    NEW li1 ( 1560090 20230 ) L1M1_PR_MR
-    NEW li1 ( 1560090 23630 ) L1M1_PR_MR
-    NEW met1 ( 1691650 23630 ) M1M2_PR
+  + ROUTED li1 ( 1511330 24990 ) ( 1511330 28390 )
+    NEW met1 ( 1503050 24990 ) ( 1511330 24990 )
+    NEW met1 ( 1497530 1684870 ) ( 1503050 1684870 )
+    NEW met2 ( 1497530 1684870 ) ( 1497530 1700340 0 )
+    NEW li1 ( 1582630 27030 ) ( 1582630 28390 )
+    NEW li1 ( 1582630 27030 ) ( 1584470 27030 )
+    NEW li1 ( 1584470 23970 ) ( 1584470 27030 )
+    NEW li1 ( 1584470 23970 ) ( 1587230 23970 )
+    NEW met1 ( 1511330 28390 ) ( 1582630 28390 )
+    NEW met1 ( 1587230 23970 ) ( 1681530 23970 )
+    NEW met2 ( 1681530 2380 0 ) ( 1681530 23970 )
+    NEW met2 ( 1503050 24990 ) ( 1503050 1684870 )
+    NEW li1 ( 1511330 24990 ) L1M1_PR_MR
+    NEW li1 ( 1511330 28390 ) L1M1_PR_MR
+    NEW met1 ( 1503050 24990 ) M1M2_PR
+    NEW met1 ( 1503050 1684870 ) M1M2_PR
+    NEW met1 ( 1497530 1684870 ) M1M2_PR
+    NEW li1 ( 1582630 28390 ) L1M1_PR_MR
+    NEW li1 ( 1587230 23970 ) L1M1_PR_MR
+    NEW met1 ( 1681530 23970 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) 
-  + ROUTED met2 ( 728410 2380 0 ) ( 728410 37570 )
-    NEW met1 ( 728410 37570 ) ( 1299270 37570 )
-    NEW met2 ( 1299270 37570 ) ( 1299270 1700340 0 )
-    NEW met1 ( 728410 37570 ) M1M2_PR
-    NEW met1 ( 1299270 37570 ) M1M2_PR
+  + ROUTED li1 ( 1228430 37230 ) ( 1228430 37570 )
+    NEW li1 ( 1228430 37230 ) ( 1229350 37230 )
+    NEW met2 ( 723810 2380 0 ) ( 723810 37570 )
+    NEW met1 ( 723810 37570 ) ( 1228430 37570 )
+    NEW met2 ( 1297890 1677900 ) ( 1298810 1677900 )
+    NEW met2 ( 1298810 1677900 ) ( 1298810 1700340 )
+    NEW met2 ( 1298810 1700340 ) ( 1299270 1700340 0 )
+    NEW met2 ( 1260170 37230 ) ( 1260170 43180 )
+    NEW met3 ( 1260170 43180 ) ( 1297890 43180 )
+    NEW met1 ( 1229350 37230 ) ( 1260170 37230 )
+    NEW met2 ( 1297890 43180 ) ( 1297890 1677900 )
+    NEW li1 ( 1228430 37570 ) L1M1_PR_MR
+    NEW li1 ( 1229350 37230 ) L1M1_PR_MR
+    NEW met1 ( 723810 37570 ) M1M2_PR
+    NEW met1 ( 1260170 37230 ) M1M2_PR
+    NEW met2 ( 1260170 43180 ) via2_FR
+    NEW met2 ( 1297890 43180 ) via2_FR
 + USE SIGNAL ;
 - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) 
-  + ROUTED met1 ( 1501210 1688610 ) ( 1503510 1688610 )
-    NEW met2 ( 1501210 1688610 ) ( 1501210 1700340 0 )
-    NEW li1 ( 1511330 23970 ) ( 1511330 25670 )
-    NEW li1 ( 1511330 25670 ) ( 1511790 25670 )
-    NEW met1 ( 1511790 25670 ) ( 1534790 25670 )
-    NEW li1 ( 1534790 25670 ) ( 1536170 25670 )
-    NEW li1 ( 1536170 23290 ) ( 1536170 25670 )
-    NEW met1 ( 1536170 23290 ) ( 1558710 23290 )
-    NEW li1 ( 1558710 23290 ) ( 1559170 23290 )
-    NEW li1 ( 1559170 23290 ) ( 1559170 26690 )
-    NEW met2 ( 1709590 2380 0 ) ( 1709590 25670 )
-    NEW met1 ( 1503510 23970 ) ( 1511330 23970 )
-    NEW met2 ( 1503510 23970 ) ( 1503510 1688610 )
-    NEW met1 ( 1583550 26350 ) ( 1583550 26690 )
-    NEW met1 ( 1559170 26690 ) ( 1583550 26690 )
-    NEW li1 ( 1659910 25670 ) ( 1659910 26350 )
-    NEW met1 ( 1583550 26350 ) ( 1659910 26350 )
-    NEW met1 ( 1659910 25670 ) ( 1709590 25670 )
-    NEW met1 ( 1503510 1688610 ) M1M2_PR
-    NEW met1 ( 1501210 1688610 ) M1M2_PR
-    NEW li1 ( 1511330 23970 ) L1M1_PR_MR
-    NEW li1 ( 1511790 25670 ) L1M1_PR_MR
-    NEW li1 ( 1534790 25670 ) L1M1_PR_MR
-    NEW li1 ( 1536170 23290 ) L1M1_PR_MR
-    NEW li1 ( 1558710 23290 ) L1M1_PR_MR
-    NEW li1 ( 1559170 26690 ) L1M1_PR_MR
-    NEW met1 ( 1709590 25670 ) M1M2_PR
-    NEW met1 ( 1503510 23970 ) M1M2_PR
-    NEW li1 ( 1659910 26350 ) L1M1_PR_MR
-    NEW li1 ( 1659910 25670 ) L1M1_PR_MR
+  + ROUTED met2 ( 1511330 21420 ) ( 1511330 22270 )
+    NEW met2 ( 1511330 21420 ) ( 1512710 21420 )
+    NEW met2 ( 1512710 21420 ) ( 1512710 25670 )
+    NEW met1 ( 1512710 25670 ) ( 1534790 25670 )
+    NEW met2 ( 1534790 24140 ) ( 1534790 25670 )
+    NEW met2 ( 1534790 24140 ) ( 1536630 24140 )
+    NEW met2 ( 1536630 23970 ) ( 1536630 24140 )
+    NEW met1 ( 1502590 22270 ) ( 1511330 22270 )
+    NEW met1 ( 1501210 1684190 ) ( 1502590 1684190 )
+    NEW met2 ( 1501210 1684190 ) ( 1501210 1700340 0 )
+    NEW li1 ( 1584010 23970 ) ( 1584010 26690 )
+    NEW met1 ( 1536630 23970 ) ( 1584010 23970 )
+    NEW li1 ( 1677850 25330 ) ( 1677850 26690 )
+    NEW met1 ( 1677850 25330 ) ( 1699470 25330 )
+    NEW met1 ( 1584010 26690 ) ( 1677850 26690 )
+    NEW met2 ( 1699470 2380 0 ) ( 1699470 25330 )
+    NEW met2 ( 1502590 22270 ) ( 1502590 1684190 )
+    NEW met1 ( 1511330 22270 ) M1M2_PR
+    NEW met1 ( 1512710 25670 ) M1M2_PR
+    NEW met1 ( 1534790 25670 ) M1M2_PR
+    NEW met1 ( 1536630 23970 ) M1M2_PR
+    NEW met1 ( 1502590 22270 ) M1M2_PR
+    NEW met1 ( 1502590 1684190 ) M1M2_PR
+    NEW met1 ( 1501210 1684190 ) M1M2_PR
+    NEW li1 ( 1584010 23970 ) L1M1_PR_MR
+    NEW li1 ( 1584010 26690 ) L1M1_PR_MR
+    NEW li1 ( 1677850 26690 ) L1M1_PR_MR
+    NEW li1 ( 1677850 25330 ) L1M1_PR_MR
+    NEW met1 ( 1699470 25330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) 
-  + ROUTED met1 ( 1504890 1687930 ) ( 1510870 1687930 )
-    NEW met2 ( 1504890 1687930 ) ( 1504890 1700340 0 )
-    NEW li1 ( 1558250 25330 ) ( 1558250 28390 )
-    NEW met2 ( 1727530 2380 0 ) ( 1727530 26010 )
-    NEW met1 ( 1510870 25330 ) ( 1558250 25330 )
-    NEW met2 ( 1510870 25330 ) ( 1510870 1687930 )
-    NEW li1 ( 1560090 26010 ) ( 1560090 28390 )
-    NEW met1 ( 1558250 28390 ) ( 1560090 28390 )
-    NEW met1 ( 1560090 26010 ) ( 1727530 26010 )
-    NEW met1 ( 1510870 1687930 ) M1M2_PR
-    NEW met1 ( 1504890 1687930 ) M1M2_PR
-    NEW li1 ( 1558250 25330 ) L1M1_PR_MR
-    NEW li1 ( 1558250 28390 ) L1M1_PR_MR
-    NEW met1 ( 1727530 26010 ) M1M2_PR
-    NEW met1 ( 1510870 25330 ) M1M2_PR
-    NEW li1 ( 1560090 28390 ) L1M1_PR_MR
-    NEW li1 ( 1560090 26010 ) L1M1_PR_MR
+  + ROUTED li1 ( 1535250 25670 ) ( 1535250 26690 )
+    NEW met2 ( 1716950 2380 0 ) ( 1716950 26690 )
+    NEW met1 ( 1509950 26690 ) ( 1535250 26690 )
+    NEW met1 ( 1504890 1684870 ) ( 1509950 1684870 )
+    NEW met2 ( 1504890 1684870 ) ( 1504890 1700340 0 )
+    NEW met2 ( 1509950 26690 ) ( 1509950 1684870 )
+    NEW li1 ( 1678310 25670 ) ( 1678310 26690 )
+    NEW met1 ( 1535250 25670 ) ( 1678310 25670 )
+    NEW met1 ( 1678310 26690 ) ( 1716950 26690 )
+    NEW li1 ( 1535250 26690 ) L1M1_PR_MR
+    NEW li1 ( 1535250 25670 ) L1M1_PR_MR
+    NEW met1 ( 1716950 26690 ) M1M2_PR
+    NEW met1 ( 1509950 26690 ) M1M2_PR
+    NEW met1 ( 1509950 1684870 ) M1M2_PR
+    NEW met1 ( 1504890 1684870 ) M1M2_PR
+    NEW li1 ( 1678310 25670 ) L1M1_PR_MR
+    NEW li1 ( 1678310 26690 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) 
-  + ROUTED met1 ( 1508570 1688610 ) ( 1509950 1688610 )
-    NEW met2 ( 1508570 1688610 ) ( 1508570 1700340 0 )
-    NEW met2 ( 1608850 31620 ) ( 1608850 34510 )
-    NEW met2 ( 1745470 2380 0 ) ( 1745470 31620 )
-    NEW met2 ( 1509950 31110 ) ( 1509950 1688610 )
-    NEW li1 ( 1606550 31110 ) ( 1606550 34510 )
-    NEW met1 ( 1509950 31110 ) ( 1606550 31110 )
-    NEW met1 ( 1606550 34510 ) ( 1608850 34510 )
-    NEW met3 ( 1608850 31620 ) ( 1745470 31620 )
-    NEW met1 ( 1509950 1688610 ) M1M2_PR
-    NEW met1 ( 1508570 1688610 ) M1M2_PR
-    NEW met1 ( 1608850 34510 ) M1M2_PR
-    NEW met2 ( 1608850 31620 ) via2_FR
-    NEW met2 ( 1745470 31620 ) via2_FR
-    NEW met1 ( 1509950 31110 ) M1M2_PR
-    NEW li1 ( 1606550 31110 ) L1M1_PR_MR
-    NEW li1 ( 1606550 34510 ) L1M1_PR_MR
+  + ROUTED met2 ( 1734890 2380 0 ) ( 1734890 24140 )
+    NEW met1 ( 1508570 1684190 ) ( 1510410 1684190 )
+    NEW met2 ( 1508570 1684190 ) ( 1508570 1700340 0 )
+    NEW met2 ( 1510410 24140 ) ( 1510410 1684190 )
+    NEW met3 ( 1510410 24140 ) ( 1734890 24140 )
+    NEW met2 ( 1734890 24140 ) via2_FR
+    NEW met2 ( 1510410 24140 ) via2_FR
+    NEW met1 ( 1510410 1684190 ) M1M2_PR
+    NEW met1 ( 1508570 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) 
-  + ROUTED met1 ( 1512250 1688610 ) ( 1517310 1688610 )
-    NEW met2 ( 1512250 1688610 ) ( 1512250 1700340 0 )
-    NEW met2 ( 1517310 44710 ) ( 1517310 1688610 )
-    NEW met2 ( 1752830 44540 ) ( 1752830 44710 )
-    NEW met2 ( 1752830 44540 ) ( 1753290 44540 )
-    NEW met2 ( 1753290 41650 ) ( 1753290 44540 )
-    NEW met1 ( 1753290 41650 ) ( 1762950 41650 )
-    NEW met1 ( 1517310 44710 ) ( 1752830 44710 )
-    NEW met2 ( 1762950 2380 0 ) ( 1762950 41650 )
-    NEW met1 ( 1517310 1688610 ) M1M2_PR
-    NEW met1 ( 1512250 1688610 ) M1M2_PR
-    NEW met1 ( 1517310 44710 ) M1M2_PR
-    NEW met1 ( 1752830 44710 ) M1M2_PR
-    NEW met1 ( 1753290 41650 ) M1M2_PR
-    NEW met1 ( 1762950 41650 ) M1M2_PR
+  + ROUTED met1 ( 1512250 1685890 ) ( 1517310 1685890 )
+    NEW met2 ( 1512250 1685890 ) ( 1512250 1700340 0 )
+    NEW met2 ( 1517310 45390 ) ( 1517310 1685890 )
+    NEW met2 ( 1737190 46580 ) ( 1737190 46750 )
+    NEW met3 ( 1737190 46580 ) ( 1751910 46580 )
+    NEW met2 ( 1751910 44540 ) ( 1751910 46580 )
+    NEW met2 ( 1751910 44540 ) ( 1752370 44540 )
+    NEW met2 ( 1752370 2380 0 ) ( 1752370 44540 )
+    NEW li1 ( 1680150 45390 ) ( 1680150 46750 )
+    NEW met1 ( 1680150 46750 ) ( 1737190 46750 )
+    NEW li1 ( 1569750 45390 ) ( 1569750 46750 )
+    NEW met1 ( 1569750 46750 ) ( 1593670 46750 )
+    NEW li1 ( 1593670 45390 ) ( 1593670 46750 )
+    NEW met1 ( 1517310 45390 ) ( 1569750 45390 )
+    NEW met1 ( 1593670 45390 ) ( 1680150 45390 )
+    NEW met1 ( 1517310 1685890 ) M1M2_PR
+    NEW met1 ( 1512250 1685890 ) M1M2_PR
+    NEW met1 ( 1517310 45390 ) M1M2_PR
+    NEW met1 ( 1737190 46750 ) M1M2_PR
+    NEW met2 ( 1737190 46580 ) via2_FR
+    NEW met2 ( 1751910 46580 ) via2_FR
+    NEW li1 ( 1680150 45390 ) L1M1_PR_MR
+    NEW li1 ( 1680150 46750 ) L1M1_PR_MR
+    NEW li1 ( 1569750 45390 ) L1M1_PR_MR
+    NEW li1 ( 1569750 46750 ) L1M1_PR_MR
+    NEW li1 ( 1593670 46750 ) L1M1_PR_MR
+    NEW li1 ( 1593670 45390 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) 
-  + ROUTED met2 ( 1515930 1700340 0 ) ( 1516390 1700340 )
+  + ROUTED met2 ( 1770310 2380 0 ) ( 1770310 45730 )
+    NEW met2 ( 1515930 1700340 0 ) ( 1516390 1700340 )
     NEW met2 ( 1516390 45050 ) ( 1516390 1700340 )
-    NEW met2 ( 1753750 45050 ) ( 1753750 45220 )
-    NEW met3 ( 1753750 45220 ) ( 1780890 45220 )
-    NEW met1 ( 1516390 45050 ) ( 1753750 45050 )
-    NEW met2 ( 1780890 2380 0 ) ( 1780890 45220 )
+    NEW li1 ( 1726150 45050 ) ( 1726150 45730 )
+    NEW met1 ( 1726150 45730 ) ( 1770310 45730 )
+    NEW met1 ( 1516390 45050 ) ( 1726150 45050 )
+    NEW met1 ( 1770310 45730 ) M1M2_PR
     NEW met1 ( 1516390 45050 ) M1M2_PR
-    NEW met1 ( 1753750 45050 ) M1M2_PR
-    NEW met2 ( 1753750 45220 ) via2_FR
-    NEW met2 ( 1780890 45220 ) via2_FR
+    NEW li1 ( 1726150 45050 ) L1M1_PR_MR
+    NEW li1 ( 1726150 45730 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) 
-  + ROUTED met1 ( 1519610 1688270 ) ( 1524210 1688270 )
-    NEW met2 ( 1519610 1688270 ) ( 1519610 1700340 0 )
-    NEW met2 ( 1752830 41650 ) ( 1752830 41820 )
-    NEW met3 ( 1752830 41820 ) ( 1798830 41820 )
-    NEW met1 ( 1524210 41650 ) ( 1752830 41650 )
-    NEW met2 ( 1798830 2380 0 ) ( 1798830 41820 )
-    NEW met2 ( 1524210 41650 ) ( 1524210 1688270 )
-    NEW met1 ( 1524210 1688270 ) M1M2_PR
-    NEW met1 ( 1519610 1688270 ) M1M2_PR
-    NEW met1 ( 1524210 41650 ) M1M2_PR
-    NEW met1 ( 1752830 41650 ) M1M2_PR
-    NEW met2 ( 1752830 41820 ) via2_FR
-    NEW met2 ( 1798830 41820 ) via2_FR
+  + ROUTED met1 ( 1519610 1685890 ) ( 1523290 1685890 )
+    NEW met2 ( 1519610 1685890 ) ( 1519610 1700340 0 )
+    NEW met2 ( 1773990 43860 ) ( 1773990 44710 )
+    NEW met3 ( 1773990 43860 ) ( 1787790 43860 )
+    NEW met2 ( 1787790 2380 0 ) ( 1787790 43860 )
+    NEW met2 ( 1523290 44710 ) ( 1523290 1685890 )
+    NEW met1 ( 1523290 44710 ) ( 1773990 44710 )
+    NEW met1 ( 1523290 1685890 ) M1M2_PR
+    NEW met1 ( 1519610 1685890 ) M1M2_PR
+    NEW met1 ( 1773990 44710 ) M1M2_PR
+    NEW met2 ( 1773990 43860 ) via2_FR
+    NEW met2 ( 1787790 43860 ) via2_FR
+    NEW met1 ( 1523290 44710 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) 
-  + ROUTED met2 ( 1522370 1676540 ) ( 1522830 1676540 )
-    NEW met1 ( 1522370 1689630 ) ( 1523290 1689630 )
-    NEW met2 ( 1523290 1689630 ) ( 1523290 1700340 0 )
-    NEW met2 ( 1522370 1676540 ) ( 1522370 1689630 )
-    NEW met1 ( 1522830 545190 ) ( 1523750 545190 )
-    NEW met1 ( 1522830 738310 ) ( 1523750 738310 )
-    NEW met1 ( 1522830 931770 ) ( 1523750 931770 )
-    NEW met2 ( 1522830 1318180 ) ( 1523290 1318180 )
-    NEW met2 ( 1522830 1414740 ) ( 1523290 1414740 )
-    NEW met2 ( 1522830 1511300 ) ( 1523290 1511300 )
-    NEW met2 ( 1522830 1607860 ) ( 1523290 1607860 )
-    NEW met2 ( 1522830 1607860 ) ( 1522830 1676540 )
-    NEW met2 ( 1523290 207060 ) ( 1523750 207060 )
-    NEW met2 ( 1522830 931260 ) ( 1523290 931260 )
-    NEW met2 ( 1522830 931260 ) ( 1522830 931770 )
-    NEW met2 ( 1522830 980220 ) ( 1523750 980220 )
-    NEW met2 ( 1523750 931770 ) ( 1523750 980220 )
-    NEW met2 ( 1522830 1414060 ) ( 1523290 1414060 )
-    NEW met2 ( 1522830 1414060 ) ( 1522830 1414740 )
-    NEW met2 ( 1523290 1318180 ) ( 1523290 1414060 )
-    NEW met2 ( 1522830 1510620 ) ( 1523290 1510620 )
-    NEW met2 ( 1522830 1510620 ) ( 1522830 1511300 )
-    NEW met2 ( 1523290 1414740 ) ( 1523290 1510620 )
-    NEW met2 ( 1523290 1511300 ) ( 1523290 1607860 )
-    NEW met2 ( 1816770 2380 0 ) ( 1816770 42330 )
-    NEW li1 ( 1775370 41990 ) ( 1775370 42330 )
-    NEW li1 ( 1775370 42330 ) ( 1776290 42330 )
-    NEW met1 ( 1522830 41990 ) ( 1775370 41990 )
-    NEW met1 ( 1776290 42330 ) ( 1816770 42330 )
-    NEW li1 ( 1523290 399330 ) ( 1523290 434690 )
-    NEW met2 ( 1523290 386580 ) ( 1523290 399330 )
-    NEW met2 ( 1523290 386580 ) ( 1523750 386580 )
-    NEW li1 ( 1523290 592450 ) ( 1523290 627810 )
-    NEW met2 ( 1523290 579700 ) ( 1523290 592450 )
-    NEW met2 ( 1523290 579700 ) ( 1523750 579700 )
-    NEW met2 ( 1523750 545190 ) ( 1523750 579700 )
-    NEW li1 ( 1523290 786590 ) ( 1523290 820930 )
-    NEW met2 ( 1523290 772820 ) ( 1523290 786590 )
-    NEW met2 ( 1523290 772820 ) ( 1523750 772820 )
-    NEW met2 ( 1523750 738310 ) ( 1523750 772820 )
-    NEW met1 ( 1521910 893690 ) ( 1523290 893690 )
-    NEW met2 ( 1523290 893690 ) ( 1523290 931260 )
-    NEW met1 ( 1522830 48450 ) ( 1523290 48450 )
-    NEW met2 ( 1522830 41990 ) ( 1522830 48450 )
-    NEW met2 ( 1523290 145180 ) ( 1523750 145180 )
-    NEW met2 ( 1523750 145180 ) ( 1523750 207060 )
-    NEW met2 ( 1523290 265540 ) ( 1523750 265540 )
-    NEW met2 ( 1523290 207060 ) ( 1523290 265540 )
-    NEW met2 ( 1523750 265540 ) ( 1523750 386580 )
-    NEW met3 ( 1521910 869380 ) ( 1523290 869380 )
-    NEW met2 ( 1521910 869380 ) ( 1521910 893690 )
-    NEW met2 ( 1523290 820930 ) ( 1523290 869380 )
-    NEW met2 ( 1522370 1038700 ) ( 1523290 1038700 )
-    NEW met2 ( 1523290 1027820 ) ( 1523290 1038700 )
-    NEW met2 ( 1522830 1027820 ) ( 1523290 1027820 )
-    NEW met2 ( 1522830 980220 ) ( 1522830 1027820 )
-    NEW li1 ( 1523290 90270 ) ( 1523290 137870 )
-    NEW met2 ( 1523290 48450 ) ( 1523290 90270 )
-    NEW met2 ( 1523290 137870 ) ( 1523290 145180 )
-    NEW li1 ( 1522830 496570 ) ( 1522830 524110 )
-    NEW met1 ( 1522830 496570 ) ( 1523290 496570 )
-    NEW met2 ( 1522830 524110 ) ( 1522830 545190 )
-    NEW met2 ( 1523290 434690 ) ( 1523290 496570 )
-    NEW met1 ( 1522830 689690 ) ( 1522830 690030 )
-    NEW met1 ( 1522830 689690 ) ( 1523290 689690 )
-    NEW met2 ( 1522830 690030 ) ( 1522830 738310 )
-    NEW met2 ( 1523290 627810 ) ( 1523290 689690 )
-    NEW li1 ( 1522830 1248990 ) ( 1522830 1296930 )
-    NEW met1 ( 1522830 1248990 ) ( 1523290 1248990 )
-    NEW met2 ( 1522830 1296930 ) ( 1522830 1318180 )
-    NEW met1 ( 1522370 1124210 ) ( 1522370 1124890 )
-    NEW met2 ( 1522370 1124890 ) ( 1522370 1152260 )
-    NEW met3 ( 1522370 1152260 ) ( 1523290 1152260 )
-    NEW met2 ( 1522370 1038700 ) ( 1522370 1124210 )
-    NEW met2 ( 1523290 1152260 ) ( 1523290 1248990 )
-    NEW met1 ( 1522370 1689630 ) M1M2_PR
-    NEW met1 ( 1523290 1689630 ) M1M2_PR
-    NEW met1 ( 1522830 545190 ) M1M2_PR
-    NEW met1 ( 1523750 545190 ) M1M2_PR
-    NEW met1 ( 1522830 738310 ) M1M2_PR
-    NEW met1 ( 1523750 738310 ) M1M2_PR
-    NEW met1 ( 1522830 931770 ) M1M2_PR
-    NEW met1 ( 1523750 931770 ) M1M2_PR
-    NEW met1 ( 1522830 41990 ) M1M2_PR
-    NEW met1 ( 1816770 42330 ) M1M2_PR
-    NEW li1 ( 1775370 41990 ) L1M1_PR_MR
-    NEW li1 ( 1776290 42330 ) L1M1_PR_MR
-    NEW li1 ( 1523290 434690 ) L1M1_PR_MR
-    NEW met1 ( 1523290 434690 ) M1M2_PR
-    NEW li1 ( 1523290 399330 ) L1M1_PR_MR
-    NEW met1 ( 1523290 399330 ) M1M2_PR
-    NEW li1 ( 1523290 627810 ) L1M1_PR_MR
-    NEW met1 ( 1523290 627810 ) M1M2_PR
-    NEW li1 ( 1523290 592450 ) L1M1_PR_MR
-    NEW met1 ( 1523290 592450 ) M1M2_PR
-    NEW li1 ( 1523290 820930 ) L1M1_PR_MR
-    NEW met1 ( 1523290 820930 ) M1M2_PR
-    NEW li1 ( 1523290 786590 ) L1M1_PR_MR
-    NEW met1 ( 1523290 786590 ) M1M2_PR
-    NEW met1 ( 1521910 893690 ) M1M2_PR
-    NEW met1 ( 1523290 893690 ) M1M2_PR
-    NEW met1 ( 1522830 48450 ) M1M2_PR
-    NEW met1 ( 1523290 48450 ) M1M2_PR
-    NEW met2 ( 1521910 869380 ) via2_FR
-    NEW met2 ( 1523290 869380 ) via2_FR
-    NEW li1 ( 1523290 90270 ) L1M1_PR_MR
-    NEW met1 ( 1523290 90270 ) M1M2_PR
-    NEW li1 ( 1523290 137870 ) L1M1_PR_MR
-    NEW met1 ( 1523290 137870 ) M1M2_PR
-    NEW li1 ( 1522830 524110 ) L1M1_PR_MR
-    NEW met1 ( 1522830 524110 ) M1M2_PR
-    NEW li1 ( 1522830 496570 ) L1M1_PR_MR
-    NEW met1 ( 1523290 496570 ) M1M2_PR
-    NEW met1 ( 1522830 690030 ) M1M2_PR
-    NEW met1 ( 1523290 689690 ) M1M2_PR
-    NEW li1 ( 1522830 1296930 ) L1M1_PR_MR
-    NEW met1 ( 1522830 1296930 ) M1M2_PR
-    NEW li1 ( 1522830 1248990 ) L1M1_PR_MR
-    NEW met1 ( 1523290 1248990 ) M1M2_PR
-    NEW met1 ( 1522370 1124210 ) M1M2_PR
-    NEW met1 ( 1522370 1124890 ) M1M2_PR
-    NEW met2 ( 1522370 1152260 ) via2_FR
-    NEW met2 ( 1523290 1152260 ) via2_FR
-    NEW met1 ( 1523290 434690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 399330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 627810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 592450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 820930 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 786590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 90270 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1523290 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1522830 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1522830 1296930 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1523290 1700340 0 ) ( 1523750 1700340 )
+    NEW met2 ( 1775830 41650 ) ( 1775830 41820 )
+    NEW met2 ( 1523750 41650 ) ( 1523750 1700340 )
+    NEW met3 ( 1775830 41820 ) ( 1805730 41820 )
+    NEW met2 ( 1805730 2380 0 ) ( 1805730 41820 )
+    NEW met1 ( 1523750 41650 ) ( 1775830 41650 )
+    NEW met1 ( 1775830 41650 ) M1M2_PR
+    NEW met2 ( 1775830 41820 ) via2_FR
+    NEW met1 ( 1523750 41650 ) M1M2_PR
+    NEW met2 ( 1805730 41820 ) via2_FR
 + USE SIGNAL ;
 - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) 
-  + ROUTED met1 ( 1526970 1688270 ) ( 1531110 1688270 )
-    NEW met2 ( 1526970 1688270 ) ( 1526970 1700340 0 )
-    NEW met2 ( 1531110 42330 ) ( 1531110 1688270 )
-    NEW met2 ( 1803430 41650 ) ( 1803430 41820 )
-    NEW met3 ( 1803430 41820 ) ( 1817230 41820 )
-    NEW met2 ( 1817230 41820 ) ( 1817230 42330 )
-    NEW met1 ( 1817230 42330 ) ( 1834710 42330 )
-    NEW met2 ( 1834710 2380 0 ) ( 1834710 42330 )
-    NEW met1 ( 1775830 41650 ) ( 1775830 42330 )
-    NEW met1 ( 1531110 42330 ) ( 1775830 42330 )
-    NEW met1 ( 1775830 41650 ) ( 1803430 41650 )
-    NEW met1 ( 1531110 1688270 ) M1M2_PR
-    NEW met1 ( 1526970 1688270 ) M1M2_PR
-    NEW met1 ( 1531110 42330 ) M1M2_PR
-    NEW met1 ( 1803430 41650 ) M1M2_PR
-    NEW met2 ( 1803430 41820 ) via2_FR
-    NEW met2 ( 1817230 41820 ) via2_FR
-    NEW met1 ( 1817230 42330 ) M1M2_PR
-    NEW met1 ( 1834710 42330 ) M1M2_PR
+  + ROUTED met1 ( 1526970 1688610 ) ( 1530650 1688610 )
+    NEW met2 ( 1526970 1688610 ) ( 1526970 1700340 0 )
+    NEW met2 ( 1530650 41990 ) ( 1530650 1688610 )
+    NEW met1 ( 1801590 41990 ) ( 1801590 42330 )
+    NEW met1 ( 1801590 42330 ) ( 1823210 42330 )
+    NEW met2 ( 1823210 2380 0 ) ( 1823210 42330 )
+    NEW met1 ( 1530650 41990 ) ( 1801590 41990 )
+    NEW met1 ( 1530650 1688610 ) M1M2_PR
+    NEW met1 ( 1526970 1688610 ) M1M2_PR
+    NEW met1 ( 1530650 41990 ) M1M2_PR
+    NEW met1 ( 1823210 42330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) 
-  + ROUTED met1 ( 1529730 1688610 ) ( 1530650 1688610 )
-    NEW met2 ( 1530650 1688610 ) ( 1530650 1700340 0 )
-    NEW met2 ( 1529730 42670 ) ( 1529730 1688610 )
-    NEW met2 ( 1851730 24820 ) ( 1851730 42670 )
-    NEW met2 ( 1851730 24820 ) ( 1852190 24820 )
-    NEW met1 ( 1529730 42670 ) ( 1851730 42670 )
-    NEW met2 ( 1852190 2380 0 ) ( 1852190 24820 )
-    NEW met1 ( 1529730 1688610 ) M1M2_PR
-    NEW met1 ( 1530650 1688610 ) M1M2_PR
-    NEW met1 ( 1529730 42670 ) M1M2_PR
-    NEW met1 ( 1851730 42670 ) M1M2_PR
+  + ROUTED met2 ( 1530650 1700340 0 ) ( 1531110 1700340 )
+    NEW met2 ( 1531110 42330 ) ( 1531110 1700340 )
+    NEW li1 ( 1801130 41990 ) ( 1801130 42330 )
+    NEW li1 ( 1801130 41990 ) ( 1806190 41990 )
+    NEW met1 ( 1806190 41990 ) ( 1823670 41990 )
+    NEW met1 ( 1823670 41990 ) ( 1823670 42330 )
+    NEW met1 ( 1823670 42330 ) ( 1841150 42330 )
+    NEW met2 ( 1841150 2380 0 ) ( 1841150 42330 )
+    NEW met1 ( 1531110 42330 ) ( 1801130 42330 )
+    NEW met1 ( 1531110 42330 ) M1M2_PR
+    NEW li1 ( 1801130 42330 ) L1M1_PR_MR
+    NEW li1 ( 1806190 41990 ) L1M1_PR_MR
+    NEW met1 ( 1841150 42330 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) 
-  + ROUTED met1 ( 1534330 1688610 ) ( 1537550 1688610 )
-    NEW met2 ( 1534330 1688610 ) ( 1534330 1700340 0 )
-    NEW met2 ( 1537550 43010 ) ( 1537550 1688610 )
-    NEW met2 ( 1870590 41140 ) ( 1870590 43010 )
-    NEW met2 ( 1870130 41140 ) ( 1870590 41140 )
-    NEW met1 ( 1537550 43010 ) ( 1870590 43010 )
-    NEW met2 ( 1870130 2380 0 ) ( 1870130 41140 )
-    NEW met1 ( 1537550 1688610 ) M1M2_PR
-    NEW met1 ( 1534330 1688610 ) M1M2_PR
-    NEW met1 ( 1537550 43010 ) M1M2_PR
-    NEW met1 ( 1870590 43010 ) M1M2_PR
+  + ROUTED met2 ( 1535250 1688610 ) ( 1536630 1688610 )
+    NEW met2 ( 1535250 1688610 ) ( 1535250 1700340 )
+    NEW met2 ( 1534330 1700340 0 ) ( 1535250 1700340 )
+    NEW met2 ( 1858630 2380 0 ) ( 1858630 42670 )
+    NEW met1 ( 1536630 42670 ) ( 1858630 42670 )
+    NEW met2 ( 1536630 42670 ) ( 1536630 1688610 )
+    NEW met1 ( 1858630 42670 ) M1M2_PR
+    NEW met1 ( 1536630 42670 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) 
-  + ROUTED met2 ( 746350 2380 0 ) ( 746350 37230 )
-    NEW met1 ( 746350 37230 ) ( 1297890 37230 )
-    NEW met1 ( 1297890 1678750 ) ( 1302030 1678750 )
-    NEW met2 ( 1302030 1678750 ) ( 1302030 1700340 )
+  + ROUTED met2 ( 741750 2380 0 ) ( 741750 37230 )
+    NEW met1 ( 1228890 37230 ) ( 1228890 37570 )
+    NEW met1 ( 1228890 37570 ) ( 1244070 37570 )
+    NEW li1 ( 1244070 37570 ) ( 1244070 41650 )
+    NEW li1 ( 1244070 41650 ) ( 1244990 41650 )
+    NEW li1 ( 1244990 41310 ) ( 1244990 41650 )
+    NEW met1 ( 741750 37230 ) ( 1228890 37230 )
+    NEW li1 ( 1269830 37570 ) ( 1269830 41310 )
+    NEW li1 ( 1269830 37570 ) ( 1271210 37570 )
+    NEW met1 ( 1271210 37570 ) ( 1299730 37570 )
+    NEW met1 ( 1244990 41310 ) ( 1269830 41310 )
+    NEW met1 ( 1299730 1677730 ) ( 1302030 1677730 )
+    NEW met2 ( 1302030 1677730 ) ( 1302030 1700340 )
     NEW met2 ( 1302030 1700340 ) ( 1302950 1700340 0 )
-    NEW met2 ( 1297890 37230 ) ( 1297890 1678750 )
-    NEW met1 ( 746350 37230 ) M1M2_PR
-    NEW met1 ( 1297890 37230 ) M1M2_PR
-    NEW met1 ( 1297890 1678750 ) M1M2_PR
-    NEW met1 ( 1302030 1678750 ) M1M2_PR
+    NEW met2 ( 1299730 37570 ) ( 1299730 1677730 )
+    NEW met1 ( 741750 37230 ) M1M2_PR
+    NEW li1 ( 1244070 37570 ) L1M1_PR_MR
+    NEW li1 ( 1244990 41310 ) L1M1_PR_MR
+    NEW li1 ( 1269830 41310 ) L1M1_PR_MR
+    NEW li1 ( 1271210 37570 ) L1M1_PR_MR
+    NEW met1 ( 1299730 37570 ) M1M2_PR
+    NEW met1 ( 1299730 1677730 ) M1M2_PR
+    NEW met1 ( 1302030 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) 
-  + ROUTED met2 ( 1538010 44030 ) ( 1538010 1700340 0 )
-    NEW met1 ( 1538010 44030 ) ( 1888070 44030 )
-    NEW met2 ( 1888070 2380 0 ) ( 1888070 44030 )
-    NEW met1 ( 1538010 44030 ) M1M2_PR
-    NEW met1 ( 1888070 44030 ) M1M2_PR
+  + ROUTED met1 ( 1537090 1687930 ) ( 1538010 1687930 )
+    NEW met2 ( 1538010 1687930 ) ( 1538010 1700340 0 )
+    NEW met2 ( 1876570 2380 0 ) ( 1876570 43010 )
+    NEW met1 ( 1537090 43010 ) ( 1876570 43010 )
+    NEW met2 ( 1537090 43010 ) ( 1537090 1687930 )
+    NEW met1 ( 1537090 1687930 ) M1M2_PR
+    NEW met1 ( 1538010 1687930 ) M1M2_PR
+    NEW met1 ( 1876570 43010 ) M1M2_PR
+    NEW met1 ( 1537090 43010 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) 
-  + ROUTED met2 ( 1542610 1688780 ) ( 1543990 1688780 )
-    NEW met2 ( 1542610 1688780 ) ( 1542610 1700340 )
-    NEW met2 ( 1541690 1700340 0 ) ( 1542610 1700340 )
-    NEW met2 ( 1543990 44370 ) ( 1543990 1688780 )
-    NEW met2 ( 1906010 2380 0 ) ( 1906010 44370 )
-    NEW met1 ( 1543990 44370 ) ( 1906010 44370 )
-    NEW met1 ( 1543990 44370 ) M1M2_PR
-    NEW met1 ( 1906010 44370 ) M1M2_PR
+  + ROUTED met2 ( 1542150 1687420 ) ( 1543070 1687420 )
+    NEW met2 ( 1542150 1687420 ) ( 1542150 1700340 )
+    NEW met2 ( 1541690 1700340 0 ) ( 1542150 1700340 )
+    NEW met2 ( 1894510 2380 0 ) ( 1894510 44030 )
+    NEW met2 ( 1543070 44030 ) ( 1543070 1687420 )
+    NEW met1 ( 1543070 44030 ) ( 1894510 44030 )
+    NEW met1 ( 1894510 44030 ) M1M2_PR
+    NEW met1 ( 1543070 44030 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) 
-  + ROUTED met2 ( 1542150 1676540 ) ( 1542610 1676540 )
-    NEW met2 ( 1542610 1675860 ) ( 1542610 1676540 )
-    NEW met2 ( 1542610 1675860 ) ( 1543530 1675860 )
-    NEW met1 ( 1542150 1690650 ) ( 1545370 1690650 )
-    NEW met2 ( 1545370 1690650 ) ( 1545370 1700340 0 )
-    NEW met2 ( 1542150 1676540 ) ( 1542150 1690650 )
-    NEW met2 ( 1542610 110500 ) ( 1543530 110500 )
-    NEW met2 ( 1543070 980220 ) ( 1543530 980220 )
-    NEW met2 ( 1543070 1124380 ) ( 1543530 1124380 )
-    NEW met2 ( 1923490 2380 0 ) ( 1923490 48110 )
-    NEW met1 ( 1543530 48110 ) ( 1923490 48110 )
-    NEW met2 ( 1542610 400180 ) ( 1543070 400180 )
-    NEW met1 ( 1542610 820930 ) ( 1543070 820930 )
-    NEW met2 ( 1543070 785740 ) ( 1543070 820930 )
-    NEW met2 ( 1543070 785740 ) ( 1543530 785740 )
-    NEW met1 ( 1542610 869550 ) ( 1543070 869550 )
-    NEW met2 ( 1543070 1207340 ) ( 1543530 1207340 )
-    NEW met2 ( 1543530 1207170 ) ( 1543530 1207340 )
-    NEW li1 ( 1543530 1171810 ) ( 1543530 1207170 )
-    NEW met2 ( 1543530 1124380 ) ( 1543530 1171810 )
-    NEW li1 ( 1543530 1352690 ) ( 1543530 1400290 )
-    NEW li1 ( 1543530 1449250 ) ( 1543530 1497190 )
-    NEW met2 ( 1543530 1400290 ) ( 1543530 1449250 )
-    NEW li1 ( 1543530 1545810 ) ( 1543530 1559070 )
-    NEW met2 ( 1543530 1497190 ) ( 1543530 1545810 )
-    NEW met2 ( 1543530 1559070 ) ( 1543530 1675860 )
-    NEW met2 ( 1543530 48110 ) ( 1543530 110500 )
-    NEW met1 ( 1542610 145010 ) ( 1543530 145010 )
-    NEW met2 ( 1542610 110500 ) ( 1542610 145010 )
-    NEW met2 ( 1542150 241740 ) ( 1542610 241740 )
-    NEW met2 ( 1542610 351220 ) ( 1543070 351220 )
-    NEW met2 ( 1542610 351220 ) ( 1542610 400180 )
-    NEW met3 ( 1542150 434860 ) ( 1543070 434860 )
-    NEW met2 ( 1543070 400180 ) ( 1543070 434860 )
-    NEW met2 ( 1542610 642260 ) ( 1543070 642260 )
-    NEW met2 ( 1542610 627810 ) ( 1542610 642260 )
-    NEW met2 ( 1542150 834700 ) ( 1542610 834700 )
-    NEW met2 ( 1542150 834700 ) ( 1542150 845580 )
-    NEW met2 ( 1542150 845580 ) ( 1543070 845580 )
-    NEW met2 ( 1542610 820930 ) ( 1542610 834700 )
-    NEW met2 ( 1543070 845580 ) ( 1543070 869550 )
-    NEW li1 ( 1542610 917830 ) ( 1542610 931770 )
-    NEW met1 ( 1542610 931770 ) ( 1543070 931770 )
-    NEW met2 ( 1543070 931770 ) ( 1543070 965940 )
-    NEW met2 ( 1543070 965940 ) ( 1543530 965940 )
-    NEW met2 ( 1542610 869550 ) ( 1542610 917830 )
-    NEW met2 ( 1543530 965940 ) ( 1543530 980220 )
-    NEW li1 ( 1543070 1027650 ) ( 1543070 1028670 )
-    NEW met2 ( 1543070 980220 ) ( 1543070 1027650 )
-    NEW met2 ( 1543070 1028670 ) ( 1543070 1124380 )
-    NEW met1 ( 1542610 234430 ) ( 1543530 234430 )
-    NEW met2 ( 1542610 234430 ) ( 1542610 241740 )
-    NEW met2 ( 1543530 145010 ) ( 1543530 234430 )
-    NEW li1 ( 1542150 283390 ) ( 1542150 330990 )
-    NEW met1 ( 1542150 330990 ) ( 1543070 330990 )
-    NEW met2 ( 1542150 241740 ) ( 1542150 283390 )
-    NEW met2 ( 1543070 330990 ) ( 1543070 351220 )
-    NEW met1 ( 1542610 596870 ) ( 1543530 596870 )
-    NEW li1 ( 1542610 596870 ) ( 1542610 627810 )
-    NEW met1 ( 1543070 1269390 ) ( 1543070 1269730 )
-    NEW met1 ( 1542610 1269730 ) ( 1543070 1269730 )
-    NEW met2 ( 1542610 1269730 ) ( 1542610 1297100 )
-    NEW met2 ( 1542610 1297100 ) ( 1543530 1297100 )
-    NEW met2 ( 1543070 1207340 ) ( 1543070 1269390 )
-    NEW met2 ( 1543530 1297100 ) ( 1543530 1352690 )
-    NEW met1 ( 1543070 531250 ) ( 1543070 531590 )
-    NEW met1 ( 1543070 531590 ) ( 1543530 531590 )
-    NEW met2 ( 1543530 531590 ) ( 1543530 596870 )
-    NEW li1 ( 1542150 469370 ) ( 1542150 517310 )
-    NEW met1 ( 1542150 517310 ) ( 1543070 517310 )
-    NEW met2 ( 1542150 434860 ) ( 1542150 469370 )
-    NEW met2 ( 1543070 517310 ) ( 1543070 531250 )
-    NEW met2 ( 1543070 710940 ) ( 1543530 710940 )
-    NEW met3 ( 1543530 710940 ) ( 1544220 710940 )
-    NEW met4 ( 1544220 710940 ) ( 1544220 758540 )
-    NEW met3 ( 1543530 758540 ) ( 1544220 758540 )
-    NEW met2 ( 1543530 758540 ) ( 1543530 785740 )
-    NEW li1 ( 1543070 673370 ) ( 1543070 703630 )
-    NEW met2 ( 1543070 642260 ) ( 1543070 673370 )
-    NEW met2 ( 1543070 703630 ) ( 1543070 710940 )
-    NEW met1 ( 1542150 1690650 ) M1M2_PR
-    NEW met1 ( 1545370 1690650 ) M1M2_PR
-    NEW met1 ( 1543530 48110 ) M1M2_PR
-    NEW met1 ( 1923490 48110 ) M1M2_PR
-    NEW li1 ( 1542610 627810 ) L1M1_PR_MR
-    NEW met1 ( 1542610 627810 ) M1M2_PR
-    NEW met1 ( 1542610 820930 ) M1M2_PR
-    NEW met1 ( 1543070 820930 ) M1M2_PR
-    NEW met1 ( 1543070 869550 ) M1M2_PR
-    NEW met1 ( 1542610 869550 ) M1M2_PR
-    NEW li1 ( 1543530 1207170 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1207170 ) M1M2_PR
-    NEW li1 ( 1543530 1171810 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1171810 ) M1M2_PR
-    NEW li1 ( 1543530 1352690 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1352690 ) M1M2_PR
-    NEW li1 ( 1543530 1400290 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1400290 ) M1M2_PR
-    NEW li1 ( 1543530 1449250 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1449250 ) M1M2_PR
-    NEW li1 ( 1543530 1497190 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1497190 ) M1M2_PR
-    NEW li1 ( 1543530 1545810 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1545810 ) M1M2_PR
-    NEW li1 ( 1543530 1559070 ) L1M1_PR_MR
-    NEW met1 ( 1543530 1559070 ) M1M2_PR
-    NEW met1 ( 1542610 145010 ) M1M2_PR
-    NEW met1 ( 1543530 145010 ) M1M2_PR
-    NEW met2 ( 1543070 434860 ) via2_FR
-    NEW met2 ( 1542150 434860 ) via2_FR
-    NEW li1 ( 1542610 917830 ) L1M1_PR_MR
-    NEW met1 ( 1542610 917830 ) M1M2_PR
-    NEW li1 ( 1542610 931770 ) L1M1_PR_MR
-    NEW met1 ( 1543070 931770 ) M1M2_PR
-    NEW li1 ( 1543070 1027650 ) L1M1_PR_MR
-    NEW met1 ( 1543070 1027650 ) M1M2_PR
-    NEW li1 ( 1543070 1028670 ) L1M1_PR_MR
-    NEW met1 ( 1543070 1028670 ) M1M2_PR
-    NEW met1 ( 1542610 234430 ) M1M2_PR
-    NEW met1 ( 1543530 234430 ) M1M2_PR
-    NEW li1 ( 1542150 283390 ) L1M1_PR_MR
-    NEW met1 ( 1542150 283390 ) M1M2_PR
-    NEW li1 ( 1542150 330990 ) L1M1_PR_MR
-    NEW met1 ( 1543070 330990 ) M1M2_PR
-    NEW li1 ( 1542610 596870 ) L1M1_PR_MR
-    NEW met1 ( 1543530 596870 ) M1M2_PR
-    NEW met1 ( 1543070 1269390 ) M1M2_PR
-    NEW met1 ( 1542610 1269730 ) M1M2_PR
-    NEW met1 ( 1543070 531250 ) M1M2_PR
-    NEW met1 ( 1543530 531590 ) M1M2_PR
-    NEW li1 ( 1542150 469370 ) L1M1_PR_MR
-    NEW met1 ( 1542150 469370 ) M1M2_PR
-    NEW li1 ( 1542150 517310 ) L1M1_PR_MR
-    NEW met1 ( 1543070 517310 ) M1M2_PR
-    NEW met2 ( 1543530 710940 ) via2_FR
-    NEW met3 ( 1544220 710940 ) M3M4_PR_M
-    NEW met3 ( 1544220 758540 ) M3M4_PR_M
-    NEW met2 ( 1543530 758540 ) via2_FR
-    NEW li1 ( 1543070 673370 ) L1M1_PR_MR
-    NEW met1 ( 1543070 673370 ) M1M2_PR
-    NEW li1 ( 1543070 703630 ) L1M1_PR_MR
-    NEW met1 ( 1543070 703630 ) M1M2_PR
-    NEW met1 ( 1542610 627810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1207170 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1171810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1352690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1400290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1449250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1497190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1545810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543530 1559070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1542610 917830 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543070 1027650 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543070 1028670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1542150 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1542150 469370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543070 673370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1543070 703630 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1543530 1688610 ) ( 1545370 1688610 )
+    NEW met2 ( 1545370 1688610 ) ( 1545370 1700340 0 )
+    NEW met2 ( 1911990 2380 0 ) ( 1911990 44370 )
+    NEW met2 ( 1543530 44370 ) ( 1543530 1688610 )
+    NEW met1 ( 1543530 44370 ) ( 1911990 44370 )
+    NEW met1 ( 1543530 1688610 ) M1M2_PR
+    NEW met1 ( 1545370 1688610 ) M1M2_PR
+    NEW met1 ( 1911990 44370 ) M1M2_PR
+    NEW met1 ( 1543530 44370 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) 
-  + ROUTED met1 ( 1549050 1688270 ) ( 1550890 1688270 )
-    NEW met2 ( 1549050 1688270 ) ( 1549050 1700340 0 )
-    NEW met2 ( 1550890 47770 ) ( 1550890 1688270 )
-    NEW met2 ( 1941430 2380 0 ) ( 1941430 47770 )
-    NEW met1 ( 1550890 47770 ) ( 1941430 47770 )
-    NEW met1 ( 1550890 1688270 ) M1M2_PR
-    NEW met1 ( 1549050 1688270 ) M1M2_PR
-    NEW met1 ( 1550890 47770 ) M1M2_PR
-    NEW met1 ( 1941430 47770 ) M1M2_PR
+  + ROUTED met2 ( 1549510 1681300 ) ( 1550890 1681300 )
+    NEW met2 ( 1549510 1681300 ) ( 1549510 1700340 )
+    NEW met2 ( 1549050 1700340 0 ) ( 1549510 1700340 )
+    NEW met2 ( 1929930 2380 0 ) ( 1929930 48110 )
+    NEW met2 ( 1550890 48110 ) ( 1550890 1681300 )
+    NEW met1 ( 1550890 48110 ) ( 1929930 48110 )
+    NEW met1 ( 1929930 48110 ) M1M2_PR
+    NEW met1 ( 1550890 48110 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) 
   + ROUTED met1 ( 1552730 1687930 ) ( 1557790 1687930 )
     NEW met2 ( 1552730 1687930 ) ( 1552730 1700340 0 )
-    NEW met2 ( 1557790 47430 ) ( 1557790 1687930 )
-    NEW met1 ( 1557790 47430 ) ( 1959370 47430 )
-    NEW met2 ( 1959370 2380 0 ) ( 1959370 47430 )
+    NEW met2 ( 1947410 2380 0 ) ( 1947410 47770 )
+    NEW met2 ( 1557790 47770 ) ( 1557790 1687930 )
+    NEW met1 ( 1557790 47770 ) ( 1947410 47770 )
     NEW met1 ( 1557790 1687930 ) M1M2_PR
     NEW met1 ( 1552730 1687930 ) M1M2_PR
-    NEW met1 ( 1557790 47430 ) M1M2_PR
-    NEW met1 ( 1959370 47430 ) M1M2_PR
+    NEW met1 ( 1947410 47770 ) M1M2_PR
+    NEW met1 ( 1557790 47770 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) 
-  + ROUTED met2 ( 1555950 1676540 ) ( 1556410 1676540 )
-    NEW met2 ( 1556410 1675860 ) ( 1556410 1676540 )
-    NEW met2 ( 1556410 1675860 ) ( 1557330 1675860 )
-    NEW met2 ( 1555950 1700340 ) ( 1556410 1700340 0 )
-    NEW met2 ( 1555950 1676540 ) ( 1555950 1700340 )
-    NEW met2 ( 1977310 2380 0 ) ( 1977310 2890 )
-    NEW met1 ( 1973630 2890 ) ( 1977310 2890 )
-    NEW met2 ( 1557330 1597830 ) ( 1557330 1675860 )
-    NEW met1 ( 1557330 1597830 ) ( 1973630 1597830 )
-    NEW met2 ( 1973630 2890 ) ( 1973630 1597830 )
-    NEW met1 ( 1977310 2890 ) M1M2_PR
-    NEW met1 ( 1973630 2890 ) M1M2_PR
-    NEW met1 ( 1557330 1597830 ) M1M2_PR
-    NEW met1 ( 1973630 1597830 ) M1M2_PR
+  + ROUTED met2 ( 1556410 1700340 0 ) ( 1557330 1700340 )
+    NEW met2 ( 1965350 2380 0 ) ( 1965350 47430 )
+    NEW met2 ( 1557330 47430 ) ( 1557330 1700340 )
+    NEW met1 ( 1557330 47430 ) ( 1965350 47430 )
+    NEW met1 ( 1965350 47430 ) M1M2_PR
+    NEW met1 ( 1557330 47430 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) 
-  + ROUTED met2 ( 1995250 2380 0 ) ( 1995250 3060 )
-    NEW met2 ( 1994330 3060 ) ( 1995250 3060 )
-    NEW met2 ( 1994330 3060 ) ( 1994330 1617890 )
-    NEW met1 ( 1564230 1617890 ) ( 1994330 1617890 )
-    NEW met1 ( 1560090 1684190 ) ( 1564230 1684190 )
-    NEW met2 ( 1560090 1684190 ) ( 1560090 1700340 0 )
-    NEW met2 ( 1564230 1617890 ) ( 1564230 1684190 )
-    NEW met1 ( 1994330 1617890 ) M1M2_PR
-    NEW met1 ( 1564230 1617890 ) M1M2_PR
-    NEW met1 ( 1564230 1684190 ) M1M2_PR
-    NEW met1 ( 1560090 1684190 ) M1M2_PR
+  + ROUTED met2 ( 1982830 2380 0 ) ( 1982830 47090 )
+    NEW met1 ( 1561010 1677390 ) ( 1564690 1677390 )
+    NEW met2 ( 1561010 1677390 ) ( 1561010 1700340 )
+    NEW met2 ( 1560090 1700340 0 ) ( 1561010 1700340 )
+    NEW met2 ( 1564690 47090 ) ( 1564690 1677390 )
+    NEW met1 ( 1564690 47090 ) ( 1982830 47090 )
+    NEW met1 ( 1982830 47090 ) M1M2_PR
+    NEW met1 ( 1564690 47090 ) M1M2_PR
+    NEW met1 ( 1564690 1677390 ) M1M2_PR
+    NEW met1 ( 1561010 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) 
-  + ROUTED met2 ( 2012730 2380 0 ) ( 2012730 2890 )
-    NEW met1 ( 2008130 2890 ) ( 2012730 2890 )
-    NEW met2 ( 2008130 2890 ) ( 2008130 1563150 )
-    NEW met2 ( 1563770 1700340 0 ) ( 1564690 1700340 )
-    NEW met2 ( 1564690 1563150 ) ( 1564690 1700340 )
-    NEW met1 ( 1564690 1563150 ) ( 2008130 1563150 )
-    NEW met1 ( 2012730 2890 ) M1M2_PR
-    NEW met1 ( 2008130 2890 ) M1M2_PR
-    NEW met1 ( 2008130 1563150 ) M1M2_PR
-    NEW met1 ( 1564690 1563150 ) M1M2_PR
+  + ROUTED met2 ( 2000770 2380 0 ) ( 2000770 16830 )
+    NEW met1 ( 1994330 16830 ) ( 2000770 16830 )
+    NEW met2 ( 1994330 16830 ) ( 1994330 1646450 )
+    NEW met1 ( 1562850 1646450 ) ( 1994330 1646450 )
+    NEW met2 ( 1562850 1700340 ) ( 1563770 1700340 0 )
+    NEW met2 ( 1562850 1646450 ) ( 1562850 1700340 )
+    NEW met1 ( 2000770 16830 ) M1M2_PR
+    NEW met1 ( 1994330 16830 ) M1M2_PR
+    NEW met1 ( 1994330 1646450 ) M1M2_PR
+    NEW met1 ( 1562850 1646450 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) 
-  + ROUTED met2 ( 2030670 2380 0 ) ( 2030670 2890 )
-    NEW met1 ( 2028830 2890 ) ( 2030670 2890 )
-    NEW met2 ( 2028830 2890 ) ( 2028830 1590690 )
-    NEW met1 ( 1567450 1684530 ) ( 1571590 1684530 )
-    NEW met2 ( 1567450 1684530 ) ( 1567450 1700340 0 )
-    NEW met2 ( 1571590 1590690 ) ( 1571590 1684530 )
-    NEW met1 ( 1571590 1590690 ) ( 2028830 1590690 )
-    NEW met1 ( 2030670 2890 ) M1M2_PR
-    NEW met1 ( 2028830 2890 ) M1M2_PR
-    NEW met1 ( 2028830 1590690 ) M1M2_PR
-    NEW met1 ( 1571590 1590690 ) M1M2_PR
-    NEW met1 ( 1571590 1684530 ) M1M2_PR
-    NEW met1 ( 1567450 1684530 ) M1M2_PR
+  + ROUTED met2 ( 2018250 2380 0 ) ( 2018250 3060 )
+    NEW met2 ( 2017790 3060 ) ( 2018250 3060 )
+    NEW met2 ( 2017790 3060 ) ( 2017790 26180 )
+    NEW met2 ( 2015030 26180 ) ( 2017790 26180 )
+    NEW met2 ( 2015030 26180 ) ( 2015030 1632510 )
+    NEW met1 ( 1571590 1632510 ) ( 2015030 1632510 )
+    NEW met1 ( 1567450 1684190 ) ( 1571590 1684190 )
+    NEW met2 ( 1567450 1684190 ) ( 1567450 1700340 0 )
+    NEW met2 ( 1571590 1632510 ) ( 1571590 1684190 )
+    NEW met1 ( 2015030 1632510 ) M1M2_PR
+    NEW met1 ( 1571590 1632510 ) M1M2_PR
+    NEW met1 ( 1571590 1684190 ) M1M2_PR
+    NEW met1 ( 1567450 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) 
-  + ROUTED met1 ( 1572050 1431910 ) ( 2042630 1431910 )
+  + ROUTED met2 ( 2036190 2380 0 ) ( 2036190 1397570 )
     NEW met2 ( 1571130 1700340 0 ) ( 1572050 1700340 )
-    NEW met2 ( 1572050 1431910 ) ( 1572050 1700340 )
-    NEW met1 ( 2042630 55930 ) ( 2048610 55930 )
-    NEW met2 ( 2042630 55930 ) ( 2042630 1431910 )
-    NEW met2 ( 2048610 2380 0 ) ( 2048610 55930 )
-    NEW met1 ( 2042630 1431910 ) M1M2_PR
-    NEW met1 ( 1572050 1431910 ) M1M2_PR
-    NEW met1 ( 2042630 55930 ) M1M2_PR
-    NEW met1 ( 2048610 55930 ) M1M2_PR
+    NEW met2 ( 1572050 1397570 ) ( 1572050 1700340 )
+    NEW met1 ( 1572050 1397570 ) ( 2036190 1397570 )
+    NEW met1 ( 2036190 1397570 ) M1M2_PR
+    NEW met1 ( 1572050 1397570 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) 
-  + ROUTED met2 ( 763830 2380 0 ) ( 763830 36890 )
-    NEW met1 ( 763830 36890 ) ( 1306630 36890 )
-    NEW met2 ( 1306630 36890 ) ( 1306630 1700340 0 )
-    NEW met1 ( 763830 36890 ) M1M2_PR
-    NEW met1 ( 1306630 36890 ) M1M2_PR
+  + ROUTED met2 ( 759230 2380 0 ) ( 759230 36890 )
+    NEW met2 ( 1306630 36550 ) ( 1306630 1700340 0 )
+    NEW met2 ( 1268910 36890 ) ( 1268910 37060 )
+    NEW met3 ( 1268910 37060 ) ( 1271210 37060 )
+    NEW met2 ( 1271210 36550 ) ( 1271210 37060 )
+    NEW met1 ( 759230 36890 ) ( 1268910 36890 )
+    NEW met1 ( 1271210 36550 ) ( 1306630 36550 )
+    NEW met1 ( 759230 36890 ) M1M2_PR
+    NEW met1 ( 1306630 36550 ) M1M2_PR
+    NEW met1 ( 1268910 36890 ) M1M2_PR
+    NEW met2 ( 1268910 37060 ) via2_FR
+    NEW met2 ( 1271210 37060 ) via2_FR
+    NEW met1 ( 1271210 36550 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) 
-  + ROUTED met1 ( 1574810 1684190 ) ( 1578030 1684190 )
+  + ROUTED met2 ( 2054130 2380 0 ) ( 2054130 2890 )
+    NEW met1 ( 2049530 2890 ) ( 2054130 2890 )
+    NEW met1 ( 1574810 1684190 ) ( 1578030 1684190 )
     NEW met2 ( 1574810 1684190 ) ( 1574810 1700340 0 )
-    NEW met2 ( 1578030 1576750 ) ( 1578030 1684190 )
-    NEW met1 ( 1578030 1576750 ) ( 2063330 1576750 )
-    NEW met2 ( 2063330 72420 ) ( 2063790 72420 )
-    NEW met2 ( 2063790 48620 ) ( 2063790 72420 )
-    NEW met3 ( 2063790 48620 ) ( 2066550 48620 )
-    NEW met2 ( 2063330 72420 ) ( 2063330 1576750 )
-    NEW met2 ( 2066550 2380 0 ) ( 2066550 48620 )
-    NEW met1 ( 1578030 1576750 ) M1M2_PR
+    NEW met2 ( 1578030 1597830 ) ( 1578030 1684190 )
+    NEW met1 ( 1578030 1597830 ) ( 2049530 1597830 )
+    NEW met2 ( 2049530 2890 ) ( 2049530 1597830 )
+    NEW met1 ( 2054130 2890 ) M1M2_PR
+    NEW met1 ( 2049530 2890 ) M1M2_PR
+    NEW met1 ( 1578030 1597830 ) M1M2_PR
     NEW met1 ( 1578030 1684190 ) M1M2_PR
     NEW met1 ( 1574810 1684190 ) M1M2_PR
-    NEW met1 ( 2063330 1576750 ) M1M2_PR
-    NEW met2 ( 2063790 48620 ) via2_FR
-    NEW met2 ( 2066550 48620 ) via2_FR
+    NEW met1 ( 2049530 1597830 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) 
-  + ROUTED met1 ( 1578490 1418310 ) ( 2084490 1418310 )
-    NEW met2 ( 1578490 1418310 ) ( 1578490 1700340 0 )
-    NEW met2 ( 2084490 2380 0 ) ( 2084490 1418310 )
-    NEW met1 ( 2084490 1418310 ) M1M2_PR
-    NEW met1 ( 1578490 1418310 ) M1M2_PR
+  + ROUTED met2 ( 2071610 2380 0 ) ( 2071610 3060 )
+    NEW met2 ( 2070230 3060 ) ( 2071610 3060 )
+    NEW met2 ( 1578490 1590690 ) ( 1578490 1700340 0 )
+    NEW met1 ( 1578490 1590690 ) ( 2070230 1590690 )
+    NEW met2 ( 2070230 3060 ) ( 2070230 1590690 )
+    NEW met1 ( 1578490 1590690 ) M1M2_PR
+    NEW met1 ( 2070230 1590690 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) 
-  + ROUTED met2 ( 1584010 1677900 ) ( 1584930 1677900 )
-    NEW met2 ( 1584010 1677900 ) ( 1584010 1684190 )
-    NEW met1 ( 1582170 1684190 ) ( 1584010 1684190 )
-    NEW met2 ( 1582170 1684190 ) ( 1582170 1700340 0 )
-    NEW met2 ( 1584930 1583550 ) ( 1584930 1677900 )
-    NEW met1 ( 1584930 1583550 ) ( 2097830 1583550 )
-    NEW met2 ( 2101970 2380 0 ) ( 2101970 2890 )
-    NEW li1 ( 2101970 2890 ) ( 2101970 14110 )
-    NEW met1 ( 2097830 14110 ) ( 2101970 14110 )
-    NEW met2 ( 2097830 14110 ) ( 2097830 1583550 )
-    NEW met1 ( 2097830 1583550 ) M1M2_PR
-    NEW met1 ( 1584930 1583550 ) M1M2_PR
-    NEW met1 ( 1584010 1684190 ) M1M2_PR
-    NEW met1 ( 1582170 1684190 ) M1M2_PR
-    NEW li1 ( 2101970 2890 ) L1M1_PR_MR
-    NEW met1 ( 2101970 2890 ) M1M2_PR
-    NEW li1 ( 2101970 14110 ) L1M1_PR_MR
-    NEW met1 ( 2097830 14110 ) M1M2_PR
-    NEW met1 ( 2101970 2890 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2089550 2380 0 ) ( 2089550 2890 )
+    NEW met1 ( 2084030 2890 ) ( 2089550 2890 )
+    NEW met1 ( 1582170 1684530 ) ( 1585390 1684530 )
+    NEW met2 ( 1582170 1684530 ) ( 1582170 1700340 0 )
+    NEW met2 ( 1585390 1576750 ) ( 1585390 1684530 )
+    NEW met1 ( 1585390 1576750 ) ( 2084030 1576750 )
+    NEW met2 ( 2084030 2890 ) ( 2084030 1576750 )
+    NEW met1 ( 2089550 2890 ) M1M2_PR
+    NEW met1 ( 2084030 2890 ) M1M2_PR
+    NEW met1 ( 1585390 1576750 ) M1M2_PR
+    NEW met1 ( 1585390 1684530 ) M1M2_PR
+    NEW met1 ( 1582170 1684530 ) M1M2_PR
+    NEW met1 ( 2084030 1576750 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) 
-  + ROUTED met2 ( 2119910 2380 0 ) ( 2119910 2890 )
-    NEW met1 ( 2118530 2890 ) ( 2119910 2890 )
-    NEW met2 ( 2118530 2890 ) ( 2118530 52700 )
-    NEW met2 ( 1585390 1700340 ) ( 1585850 1700340 0 )
-    NEW met2 ( 1585390 52530 ) ( 1585390 1700340 )
-    NEW met2 ( 2042630 52530 ) ( 2042630 52700 )
-    NEW met1 ( 1585390 52530 ) ( 2042630 52530 )
-    NEW met3 ( 2042630 52700 ) ( 2118530 52700 )
-    NEW met1 ( 2119910 2890 ) M1M2_PR
-    NEW met1 ( 2118530 2890 ) M1M2_PR
-    NEW met2 ( 2118530 52700 ) via2_FR
-    NEW met1 ( 1585390 52530 ) M1M2_PR
-    NEW met1 ( 2042630 52530 ) M1M2_PR
-    NEW met2 ( 2042630 52700 ) via2_FR
+  + ROUTED met2 ( 2107030 2380 0 ) ( 2107030 2890 )
+    NEW met1 ( 2104730 2890 ) ( 2107030 2890 )
+    NEW met2 ( 2104730 2890 ) ( 2104730 1383630 )
+    NEW met2 ( 1585850 1383630 ) ( 1585850 1700340 0 )
+    NEW met1 ( 1585850 1383630 ) ( 2104730 1383630 )
+    NEW met1 ( 2107030 2890 ) M1M2_PR
+    NEW met1 ( 2104730 2890 ) M1M2_PR
+    NEW met1 ( 2104730 1383630 ) M1M2_PR
+    NEW met1 ( 1585850 1383630 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) 
-  + ROUTED met2 ( 2137850 2380 0 ) ( 2137850 2890 )
-    NEW met1 ( 2132330 2890 ) ( 2137850 2890 )
-    NEW li1 ( 2090930 52530 ) ( 2090930 52870 )
-    NEW li1 ( 2090930 52530 ) ( 2091390 52530 )
-    NEW li1 ( 2091390 47770 ) ( 2091390 52530 )
-    NEW met1 ( 2091390 47770 ) ( 2132330 47770 )
-    NEW met2 ( 2132330 2890 ) ( 2132330 47770 )
-    NEW met1 ( 1589530 1685210 ) ( 1591830 1685210 )
-    NEW met2 ( 1589530 1685210 ) ( 1589530 1700340 0 )
-    NEW met1 ( 1591830 52870 ) ( 2090930 52870 )
-    NEW met2 ( 1591830 52870 ) ( 1591830 1685210 )
-    NEW met1 ( 2137850 2890 ) M1M2_PR
-    NEW met1 ( 2132330 2890 ) M1M2_PR
-    NEW li1 ( 2090930 52870 ) L1M1_PR_MR
-    NEW li1 ( 2091390 47770 ) L1M1_PR_MR
-    NEW met1 ( 2132330 47770 ) M1M2_PR
-    NEW met1 ( 1591830 52870 ) M1M2_PR
-    NEW met1 ( 1591830 1685210 ) M1M2_PR
-    NEW met1 ( 1589530 1685210 ) M1M2_PR
+  + ROUTED met1 ( 2118530 34170 ) ( 2124970 34170 )
+    NEW met2 ( 2124970 2380 0 ) ( 2124970 34170 )
+    NEW met2 ( 2118530 34170 ) ( 2118530 1617890 )
+    NEW met1 ( 1591830 1617890 ) ( 2118530 1617890 )
+    NEW met2 ( 1591370 1677900 ) ( 1591830 1677900 )
+    NEW met2 ( 1591370 1677900 ) ( 1591370 1684190 )
+    NEW met1 ( 1589530 1684190 ) ( 1591370 1684190 )
+    NEW met2 ( 1589530 1684190 ) ( 1589530 1700340 0 )
+    NEW met2 ( 1591830 1617890 ) ( 1591830 1677900 )
+    NEW met1 ( 2118530 1617890 ) M1M2_PR
+    NEW met1 ( 2118530 34170 ) M1M2_PR
+    NEW met1 ( 2124970 34170 ) M1M2_PR
+    NEW met1 ( 1591830 1617890 ) M1M2_PR
+    NEW met1 ( 1591370 1684190 ) M1M2_PR
+    NEW met1 ( 1589530 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) 
-  + ROUTED met2 ( 2155790 2380 0 ) ( 2155790 2890 )
-    NEW met1 ( 2153030 2890 ) ( 2155790 2890 )
-    NEW li1 ( 2090930 48110 ) ( 2090930 52190 )
-    NEW met2 ( 1590910 62220 ) ( 1591370 62220 )
-    NEW met2 ( 1590910 158780 ) ( 1591370 158780 )
-    NEW met2 ( 1590910 255340 ) ( 1591370 255340 )
-    NEW met2 ( 1590910 351900 ) ( 1591370 351900 )
-    NEW met2 ( 1590910 449140 ) ( 1591370 449140 )
-    NEW met2 ( 1590910 545700 ) ( 1591370 545700 )
-    NEW met2 ( 1590910 642260 ) ( 1591370 642260 )
-    NEW met2 ( 1590910 738820 ) ( 1591370 738820 )
-    NEW met2 ( 1590910 835380 ) ( 1591370 835380 )
-    NEW met2 ( 1590910 931940 ) ( 1591370 931940 )
-    NEW met2 ( 1590910 1028500 ) ( 1591370 1028500 )
-    NEW met2 ( 1590910 1125060 ) ( 1591370 1125060 )
-    NEW met2 ( 1590910 1221620 ) ( 1591370 1221620 )
-    NEW met2 ( 1590910 1318180 ) ( 1591370 1318180 )
-    NEW met2 ( 1590910 1414740 ) ( 1591370 1414740 )
-    NEW met2 ( 1590910 52190 ) ( 1590910 62220 )
-    NEW met2 ( 1590910 158100 ) ( 1591370 158100 )
-    NEW met2 ( 1590910 158100 ) ( 1590910 158780 )
-    NEW met2 ( 1591370 62220 ) ( 1591370 158100 )
-    NEW met2 ( 1590910 254660 ) ( 1591370 254660 )
-    NEW met2 ( 1590910 254660 ) ( 1590910 255340 )
-    NEW met2 ( 1591370 158780 ) ( 1591370 254660 )
-    NEW met2 ( 1590910 351220 ) ( 1591370 351220 )
-    NEW met2 ( 1590910 351220 ) ( 1590910 351900 )
-    NEW met2 ( 1591370 255340 ) ( 1591370 351220 )
-    NEW met2 ( 1590910 448460 ) ( 1591370 448460 )
-    NEW met2 ( 1590910 448460 ) ( 1590910 449140 )
-    NEW met2 ( 1591370 351900 ) ( 1591370 448460 )
-    NEW met2 ( 1590910 545020 ) ( 1591370 545020 )
-    NEW met2 ( 1590910 545020 ) ( 1590910 545700 )
-    NEW met2 ( 1591370 449140 ) ( 1591370 545020 )
-    NEW met2 ( 1590910 641580 ) ( 1591370 641580 )
-    NEW met2 ( 1590910 641580 ) ( 1590910 642260 )
-    NEW met2 ( 1591370 545700 ) ( 1591370 641580 )
-    NEW met2 ( 1590910 738140 ) ( 1591370 738140 )
-    NEW met2 ( 1590910 738140 ) ( 1590910 738820 )
-    NEW met2 ( 1591370 642260 ) ( 1591370 738140 )
-    NEW met2 ( 1590910 834700 ) ( 1591370 834700 )
-    NEW met2 ( 1590910 834700 ) ( 1590910 835380 )
-    NEW met2 ( 1591370 738820 ) ( 1591370 834700 )
-    NEW met2 ( 1590910 931260 ) ( 1591370 931260 )
-    NEW met2 ( 1590910 931260 ) ( 1590910 931940 )
-    NEW met2 ( 1591370 835380 ) ( 1591370 931260 )
-    NEW met2 ( 1590910 1027820 ) ( 1591370 1027820 )
-    NEW met2 ( 1590910 1027820 ) ( 1590910 1028500 )
-    NEW met2 ( 1591370 931940 ) ( 1591370 1027820 )
-    NEW met2 ( 1590910 1124380 ) ( 1591370 1124380 )
-    NEW met2 ( 1590910 1124380 ) ( 1590910 1125060 )
-    NEW met2 ( 1591370 1028500 ) ( 1591370 1124380 )
-    NEW met2 ( 1590910 1220940 ) ( 1591370 1220940 )
-    NEW met2 ( 1590910 1220940 ) ( 1590910 1221620 )
-    NEW met2 ( 1591370 1125060 ) ( 1591370 1220940 )
-    NEW met2 ( 1590910 1317500 ) ( 1591370 1317500 )
-    NEW met2 ( 1590910 1317500 ) ( 1590910 1318180 )
-    NEW met2 ( 1591370 1221620 ) ( 1591370 1317500 )
-    NEW met2 ( 1590910 1414060 ) ( 1591370 1414060 )
-    NEW met2 ( 1590910 1414060 ) ( 1590910 1414740 )
-    NEW met2 ( 1591370 1318180 ) ( 1591370 1414060 )
-    NEW met1 ( 1590910 52190 ) ( 2090930 52190 )
-    NEW met1 ( 2090930 48110 ) ( 2153030 48110 )
-    NEW met2 ( 2153030 2890 ) ( 2153030 48110 )
-    NEW met1 ( 1591370 1559070 ) ( 1591370 1559750 )
-    NEW met2 ( 1591370 1414740 ) ( 1591370 1559070 )
-    NEW met3 ( 1590910 1678580 ) ( 1593210 1678580 )
-    NEW met2 ( 1593210 1678580 ) ( 1593210 1700340 0 )
-    NEW li1 ( 1590910 1607010 ) ( 1590910 1642030 )
-    NEW met2 ( 1590910 1594260 ) ( 1590910 1607010 )
-    NEW met2 ( 1590910 1594260 ) ( 1591370 1594260 )
-    NEW met2 ( 1590910 1642030 ) ( 1590910 1678580 )
-    NEW met2 ( 1591370 1559750 ) ( 1591370 1594260 )
-    NEW met1 ( 2155790 2890 ) M1M2_PR
-    NEW met1 ( 2153030 2890 ) M1M2_PR
-    NEW li1 ( 2090930 52190 ) L1M1_PR_MR
+  + ROUTED met2 ( 2142450 2380 0 ) ( 2142450 2890 )
+    NEW met1 ( 2139230 2890 ) ( 2142450 2890 )
+    NEW met2 ( 2139230 2890 ) ( 2139230 48110 )
+    NEW li1 ( 2090930 48110 ) ( 2090930 52870 )
+    NEW met1 ( 2090930 48110 ) ( 2139230 48110 )
+    NEW met2 ( 1592290 1700340 ) ( 1593210 1700340 0 )
+    NEW met2 ( 1592290 52870 ) ( 1592290 1700340 )
+    NEW met1 ( 1592290 52870 ) ( 2090930 52870 )
+    NEW met1 ( 2142450 2890 ) M1M2_PR
+    NEW met1 ( 2139230 2890 ) M1M2_PR
+    NEW met1 ( 2139230 48110 ) M1M2_PR
+    NEW li1 ( 2090930 52870 ) L1M1_PR_MR
     NEW li1 ( 2090930 48110 ) L1M1_PR_MR
-    NEW met1 ( 1590910 52190 ) M1M2_PR
-    NEW met1 ( 2153030 48110 ) M1M2_PR
-    NEW met1 ( 1591370 1559070 ) M1M2_PR
-    NEW met1 ( 1591370 1559750 ) M1M2_PR
-    NEW met2 ( 1590910 1678580 ) via2_FR
-    NEW met2 ( 1593210 1678580 ) via2_FR
-    NEW li1 ( 1590910 1642030 ) L1M1_PR_MR
-    NEW met1 ( 1590910 1642030 ) M1M2_PR
-    NEW li1 ( 1590910 1607010 ) L1M1_PR_MR
-    NEW met1 ( 1590910 1607010 ) M1M2_PR
-    NEW met1 ( 1590910 1642030 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1590910 1607010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1592290 52870 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) 
-  + ROUTED li1 ( 2139230 47430 ) ( 2139230 48450 )
-    NEW met1 ( 2139230 47430 ) ( 2153950 47430 )
-    NEW met1 ( 2153950 47430 ) ( 2153950 48450 )
-    NEW met1 ( 2153950 48450 ) ( 2173270 48450 )
-    NEW met2 ( 2173270 2380 0 ) ( 2173270 48450 )
-    NEW met1 ( 1597350 120870 ) ( 1598270 120870 )
-    NEW met2 ( 1598270 48450 ) ( 1598270 120870 )
-    NEW met1 ( 1597810 820590 ) ( 1598270 820590 )
-    NEW met1 ( 1597810 725050 ) ( 1598270 725050 )
-    NEW met2 ( 1597350 844900 ) ( 1597810 844900 )
-    NEW met2 ( 1597810 820590 ) ( 1597810 844900 )
-    NEW met3 ( 1597580 1208020 ) ( 1597810 1208020 )
-    NEW met1 ( 1598270 48450 ) ( 2139230 48450 )
-    NEW li1 ( 1597350 186490 ) ( 1597350 234430 )
-    NEW met1 ( 1597350 234430 ) ( 1597810 234430 )
-    NEW met2 ( 1597350 120870 ) ( 1597350 186490 )
-    NEW li1 ( 1598270 283390 ) ( 1598270 330990 )
-    NEW li1 ( 1597350 379610 ) ( 1597350 427550 )
-    NEW met1 ( 1597350 379610 ) ( 1598270 379610 )
-    NEW met2 ( 1598270 330990 ) ( 1598270 379610 )
-    NEW met1 ( 1597350 572730 ) ( 1598270 572730 )
-    NEW li1 ( 1597350 669630 ) ( 1597350 717570 )
-    NEW met1 ( 1597350 717570 ) ( 1598270 717570 )
-    NEW met2 ( 1597350 572730 ) ( 1597350 669630 )
-    NEW met2 ( 1598270 717570 ) ( 1598270 725050 )
-    NEW met3 ( 1596890 766020 ) ( 1597810 766020 )
-    NEW met2 ( 1596890 766020 ) ( 1596890 789990 )
-    NEW met1 ( 1596890 789990 ) ( 1598270 789990 )
-    NEW met2 ( 1597810 725050 ) ( 1597810 766020 )
-    NEW met2 ( 1598270 789990 ) ( 1598270 820590 )
-    NEW met3 ( 1596430 862580 ) ( 1597350 862580 )
-    NEW met2 ( 1596430 862580 ) ( 1596430 886210 )
-    NEW met1 ( 1596430 886210 ) ( 1597810 886210 )
-    NEW met2 ( 1597350 844900 ) ( 1597350 862580 )
-    NEW met3 ( 1597580 1080180 ) ( 1597810 1080180 )
-    NEW met2 ( 1597350 1176740 ) ( 1597810 1176740 )
-    NEW met2 ( 1597350 1171810 ) ( 1597350 1176740 )
-    NEW met1 ( 1597350 1171810 ) ( 1598270 1171810 )
-    NEW met2 ( 1597810 1176740 ) ( 1597810 1208020 )
-    NEW li1 ( 1597350 1462170 ) ( 1597350 1490050 )
-    NEW met1 ( 1597350 1462170 ) ( 1598270 1462170 )
-    NEW met1 ( 1597350 1539010 ) ( 1598270 1539010 )
-    NEW met1 ( 1596890 235110 ) ( 1597810 235110 )
-    NEW met2 ( 1596890 235110 ) ( 1596890 282540 )
-    NEW met3 ( 1596890 282540 ) ( 1598270 282540 )
-    NEW met2 ( 1597810 234430 ) ( 1597810 235110 )
-    NEW met2 ( 1598270 282540 ) ( 1598270 283390 )
-    NEW li1 ( 1598270 524450 ) ( 1598270 545190 )
-    NEW met2 ( 1598270 545190 ) ( 1598270 572730 )
-    NEW met2 ( 1597350 931260 ) ( 1597810 931260 )
-    NEW met2 ( 1597350 931260 ) ( 1597350 934660 )
-    NEW met2 ( 1597350 934660 ) ( 1598270 934660 )
-    NEW met2 ( 1597810 886210 ) ( 1597810 931260 )
-    NEW met3 ( 1597580 1031220 ) ( 1597810 1031220 )
-    NEW met4 ( 1597580 1031220 ) ( 1597580 1080180 )
-    NEW li1 ( 1597810 1104830 ) ( 1597810 1124890 )
-    NEW met2 ( 1597810 1124890 ) ( 1597810 1152260 )
-    NEW met2 ( 1597810 1152260 ) ( 1598270 1152260 )
-    NEW met2 ( 1597810 1080180 ) ( 1597810 1104830 )
-    NEW met2 ( 1598270 1152260 ) ( 1598270 1171810 )
-    NEW met3 ( 1597580 1394340 ) ( 1597810 1394340 )
-    NEW met4 ( 1597580 1394340 ) ( 1597580 1414740 )
-    NEW met3 ( 1597580 1414740 ) ( 1598270 1414740 )
-    NEW met2 ( 1598270 1414740 ) ( 1598270 1462170 )
-    NEW met2 ( 1597350 1490050 ) ( 1597350 1539010 )
-    NEW met1 ( 1597350 1587290 ) ( 1598270 1587290 )
-    NEW met2 ( 1598270 1539010 ) ( 1598270 1587290 )
-    NEW met2 ( 1597350 1684190 ) ( 1597810 1684190 )
-    NEW met1 ( 1595970 1684190 ) ( 1597810 1684190 )
-    NEW met2 ( 1595970 1684190 ) ( 1595970 1701020 )
-    NEW met2 ( 1595970 1701020 ) ( 1596890 1701020 0 )
-    NEW li1 ( 1597350 469370 ) ( 1597350 517310 )
-    NEW met1 ( 1597350 517310 ) ( 1598270 517310 )
-    NEW met2 ( 1597350 427550 ) ( 1597350 469370 )
-    NEW met2 ( 1598270 517310 ) ( 1598270 524450 )
-    NEW li1 ( 1597810 979710 ) ( 1597810 1000450 )
-    NEW met2 ( 1597810 952340 ) ( 1597810 979710 )
-    NEW met2 ( 1597810 952340 ) ( 1598270 952340 )
-    NEW met2 ( 1597810 1000450 ) ( 1597810 1031220 )
-    NEW met2 ( 1598270 934660 ) ( 1598270 952340 )
-    NEW met3 ( 1597580 1255620 ) ( 1597810 1255620 )
-    NEW met4 ( 1597580 1208020 ) ( 1597580 1255620 )
-    NEW met2 ( 1597350 1366460 ) ( 1597810 1366460 )
-    NEW met2 ( 1597350 1363740 ) ( 1597350 1366460 )
-    NEW met2 ( 1597350 1363740 ) ( 1598270 1363740 )
-    NEW met2 ( 1597810 1366460 ) ( 1597810 1394340 )
-    NEW li1 ( 1597350 1587290 ) ( 1597350 1676370 )
-    NEW met2 ( 1597350 1676370 ) ( 1597350 1684190 )
-    NEW li1 ( 1597810 1290470 ) ( 1597810 1318010 )
-    NEW met2 ( 1597810 1318010 ) ( 1597810 1338580 )
-    NEW met2 ( 1597810 1338580 ) ( 1598270 1338580 )
-    NEW met2 ( 1597810 1255620 ) ( 1597810 1290470 )
-    NEW met2 ( 1598270 1338580 ) ( 1598270 1363740 )
-    NEW met1 ( 1598270 48450 ) M1M2_PR
-    NEW li1 ( 2139230 48450 ) L1M1_PR_MR
-    NEW li1 ( 2139230 47430 ) L1M1_PR_MR
-    NEW met1 ( 2173270 48450 ) M1M2_PR
-    NEW met1 ( 1597350 120870 ) M1M2_PR
-    NEW met1 ( 1598270 120870 ) M1M2_PR
-    NEW met1 ( 1597810 820590 ) M1M2_PR
-    NEW met1 ( 1598270 820590 ) M1M2_PR
-    NEW met1 ( 1598270 725050 ) M1M2_PR
-    NEW met1 ( 1597810 725050 ) M1M2_PR
-    NEW met2 ( 1597810 1208020 ) via2_FR
-    NEW met3 ( 1597580 1208020 ) M3M4_PR_M
-    NEW li1 ( 1597350 186490 ) L1M1_PR_MR
-    NEW met1 ( 1597350 186490 ) M1M2_PR
-    NEW li1 ( 1597350 234430 ) L1M1_PR_MR
-    NEW met1 ( 1597810 234430 ) M1M2_PR
-    NEW li1 ( 1598270 283390 ) L1M1_PR_MR
-    NEW met1 ( 1598270 283390 ) M1M2_PR
-    NEW li1 ( 1598270 330990 ) L1M1_PR_MR
-    NEW met1 ( 1598270 330990 ) M1M2_PR
-    NEW li1 ( 1597350 427550 ) L1M1_PR_MR
-    NEW met1 ( 1597350 427550 ) M1M2_PR
-    NEW li1 ( 1597350 379610 ) L1M1_PR_MR
-    NEW met1 ( 1598270 379610 ) M1M2_PR
-    NEW met1 ( 1597350 572730 ) M1M2_PR
-    NEW met1 ( 1598270 572730 ) M1M2_PR
-    NEW li1 ( 1597350 669630 ) L1M1_PR_MR
-    NEW met1 ( 1597350 669630 ) M1M2_PR
-    NEW li1 ( 1597350 717570 ) L1M1_PR_MR
-    NEW met1 ( 1598270 717570 ) M1M2_PR
-    NEW met2 ( 1597810 766020 ) via2_FR
-    NEW met2 ( 1596890 766020 ) via2_FR
-    NEW met1 ( 1596890 789990 ) M1M2_PR
-    NEW met1 ( 1598270 789990 ) M1M2_PR
-    NEW met2 ( 1597350 862580 ) via2_FR
-    NEW met2 ( 1596430 862580 ) via2_FR
-    NEW met1 ( 1596430 886210 ) M1M2_PR
-    NEW met1 ( 1597810 886210 ) M1M2_PR
-    NEW met3 ( 1597580 1080180 ) M3M4_PR_M
-    NEW met2 ( 1597810 1080180 ) via2_FR
-    NEW met1 ( 1597350 1171810 ) M1M2_PR
-    NEW met1 ( 1598270 1171810 ) M1M2_PR
-    NEW li1 ( 1597350 1490050 ) L1M1_PR_MR
-    NEW met1 ( 1597350 1490050 ) M1M2_PR
-    NEW li1 ( 1597350 1462170 ) L1M1_PR_MR
-    NEW met1 ( 1598270 1462170 ) M1M2_PR
-    NEW met1 ( 1597350 1539010 ) M1M2_PR
-    NEW met1 ( 1598270 1539010 ) M1M2_PR
-    NEW met1 ( 1597810 235110 ) M1M2_PR
-    NEW met1 ( 1596890 235110 ) M1M2_PR
-    NEW met2 ( 1596890 282540 ) via2_FR
-    NEW met2 ( 1598270 282540 ) via2_FR
-    NEW li1 ( 1598270 524450 ) L1M1_PR_MR
-    NEW met1 ( 1598270 524450 ) M1M2_PR
-    NEW li1 ( 1598270 545190 ) L1M1_PR_MR
-    NEW met1 ( 1598270 545190 ) M1M2_PR
-    NEW met3 ( 1597580 1031220 ) M3M4_PR_M
-    NEW met2 ( 1597810 1031220 ) via2_FR
-    NEW li1 ( 1597810 1104830 ) L1M1_PR_MR
-    NEW met1 ( 1597810 1104830 ) M1M2_PR
-    NEW li1 ( 1597810 1124890 ) L1M1_PR_MR
-    NEW met1 ( 1597810 1124890 ) M1M2_PR
-    NEW met2 ( 1597810 1394340 ) via2_FR
-    NEW met3 ( 1597580 1394340 ) M3M4_PR_M
-    NEW met3 ( 1597580 1414740 ) M3M4_PR_M
-    NEW met2 ( 1598270 1414740 ) via2_FR
-    NEW li1 ( 1597350 1587290 ) L1M1_PR_MR
-    NEW met1 ( 1598270 1587290 ) M1M2_PR
-    NEW met1 ( 1597810 1684190 ) M1M2_PR
-    NEW met1 ( 1595970 1684190 ) M1M2_PR
-    NEW li1 ( 1597350 469370 ) L1M1_PR_MR
-    NEW met1 ( 1597350 469370 ) M1M2_PR
-    NEW li1 ( 1597350 517310 ) L1M1_PR_MR
-    NEW met1 ( 1598270 517310 ) M1M2_PR
-    NEW li1 ( 1597810 1000450 ) L1M1_PR_MR
-    NEW met1 ( 1597810 1000450 ) M1M2_PR
-    NEW li1 ( 1597810 979710 ) L1M1_PR_MR
-    NEW met1 ( 1597810 979710 ) M1M2_PR
-    NEW met3 ( 1597580 1255620 ) M3M4_PR_M
-    NEW met2 ( 1597810 1255620 ) via2_FR
-    NEW li1 ( 1597350 1676370 ) L1M1_PR_MR
-    NEW met1 ( 1597350 1676370 ) M1M2_PR
-    NEW li1 ( 1597810 1290470 ) L1M1_PR_MR
-    NEW met1 ( 1597810 1290470 ) M1M2_PR
-    NEW li1 ( 1597810 1318010 ) L1M1_PR_MR
-    NEW met1 ( 1597810 1318010 ) M1M2_PR
-    NEW met3 ( 1597810 1208020 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1597350 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1598270 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1598270 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597350 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597350 669630 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1597580 1080180 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1597350 1490050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1598270 524450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1598270 545190 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1597580 1031220 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1597810 1104830 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597810 1124890 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1597810 1394340 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1597350 469370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597810 1000450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597810 979710 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1597580 1255620 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1597350 1676370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597810 1290470 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1597810 1318010 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2159010 52020 ) ( 2159010 52530 )
+    NEW met3 ( 2159010 52020 ) ( 2160390 52020 )
+    NEW met2 ( 2160390 2380 0 ) ( 2160390 52020 )
+    NEW met2 ( 1597810 1662260 ) ( 1599190 1662260 )
+    NEW met2 ( 1597810 1662260 ) ( 1597810 1700340 )
+    NEW met2 ( 1596890 1700340 0 ) ( 1597810 1700340 )
+    NEW met1 ( 1599190 52530 ) ( 2159010 52530 )
+    NEW met3 ( 1599190 1256300 ) ( 1599420 1256300 )
+    NEW met4 ( 1599420 1256300 ) ( 1599420 1257660 )
+    NEW met3 ( 1599190 1257660 ) ( 1599420 1257660 )
+    NEW met2 ( 1599190 1257660 ) ( 1599190 1662260 )
+    NEW met2 ( 1599190 52530 ) ( 1599190 1256300 )
+    NEW met1 ( 2159010 52530 ) M1M2_PR
+    NEW met2 ( 2159010 52020 ) via2_FR
+    NEW met2 ( 2160390 52020 ) via2_FR
+    NEW met1 ( 1599190 52530 ) M1M2_PR
+    NEW met2 ( 1599190 1256300 ) via2_FR
+    NEW met3 ( 1599420 1256300 ) M3M4_PR_M
+    NEW met3 ( 1599420 1257660 ) M3M4_PR_M
+    NEW met2 ( 1599190 1257660 ) via2_FR
+    NEW met3 ( 1599190 1256300 ) RECT ( -390 -150 0 150 )
+    NEW met3 ( 1599420 1257660 ) RECT ( 0 -150 390 150 )
 + USE SIGNAL ;
 - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) 
-  + ROUTED met2 ( 2191210 2380 0 ) ( 2191210 2890 )
-    NEW met2 ( 2190750 2890 ) ( 2191210 2890 )
-    NEW met2 ( 2190750 2890 ) ( 2190750 3060 )
-    NEW met2 ( 2187530 3060 ) ( 2190750 3060 )
-    NEW met2 ( 2187530 3060 ) ( 2187530 49470 )
-    NEW met1 ( 1598730 1684190 ) ( 1600570 1684190 )
-    NEW met2 ( 1600570 1684190 ) ( 1600570 1700340 0 )
-    NEW met1 ( 1598730 49470 ) ( 2187530 49470 )
-    NEW met2 ( 1598730 49470 ) ( 1598730 1684190 )
-    NEW met1 ( 2187530 49470 ) M1M2_PR
-    NEW met1 ( 1598730 49470 ) M1M2_PR
-    NEW met1 ( 1598730 1684190 ) M1M2_PR
-    NEW met1 ( 1600570 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2177870 2380 0 ) ( 2177870 2890 )
+    NEW met1 ( 2173730 2890 ) ( 2177870 2890 )
+    NEW li1 ( 2159930 48110 ) ( 2159930 52190 )
+    NEW met1 ( 2159930 48110 ) ( 2173730 48110 )
+    NEW met2 ( 2173730 2890 ) ( 2173730 48110 )
+    NEW met2 ( 1598270 1365780 ) ( 1598730 1365780 )
+    NEW met1 ( 1598730 1661410 ) ( 1600570 1661410 )
+    NEW met2 ( 1600570 1661410 ) ( 1600570 1700340 0 )
+    NEW met1 ( 1598730 52190 ) ( 2159930 52190 )
+    NEW li1 ( 1598730 206210 ) ( 1598730 241230 )
+    NEW met2 ( 1598730 52190 ) ( 1598730 206210 )
+    NEW met1 ( 1597810 303110 ) ( 1597810 303790 )
+    NEW met1 ( 1597810 303110 ) ( 1598270 303110 )
+    NEW li1 ( 1597350 785570 ) ( 1597350 796450 )
+    NEW met1 ( 1597350 785570 ) ( 1597810 785570 )
+    NEW met2 ( 1598270 1014220 ) ( 1598730 1014220 )
+    NEW met2 ( 1598730 1014050 ) ( 1598730 1014220 )
+    NEW li1 ( 1598730 978690 ) ( 1598730 1014050 )
+    NEW li1 ( 1597810 1063010 ) ( 1597810 1110270 )
+    NEW met1 ( 1597810 1110270 ) ( 1598270 1110270 )
+    NEW met2 ( 1598270 1207340 ) ( 1598730 1207340 )
+    NEW li1 ( 1598270 1279930 ) ( 1598270 1304070 )
+    NEW met1 ( 1597350 1497190 ) ( 1598270 1497190 )
+    NEW li1 ( 1598730 1546150 ) ( 1598730 1593410 )
+    NEW li1 ( 1598270 255170 ) ( 1598270 289510 )
+    NEW met2 ( 1598270 241740 ) ( 1598270 255170 )
+    NEW met2 ( 1598270 241740 ) ( 1598730 241740 )
+    NEW met2 ( 1598270 289510 ) ( 1598270 303110 )
+    NEW met2 ( 1598730 241230 ) ( 1598730 241740 )
+    NEW met2 ( 1597810 350540 ) ( 1598730 350540 )
+    NEW met2 ( 1597810 303790 ) ( 1597810 350540 )
+    NEW li1 ( 1598270 531590 ) ( 1598270 578850 )
+    NEW met1 ( 1598270 578850 ) ( 1598730 578850 )
+    NEW met2 ( 1598730 578850 ) ( 1598730 638350 )
+    NEW li1 ( 1597810 736610 ) ( 1597810 748510 )
+    NEW met1 ( 1597810 736610 ) ( 1598730 736610 )
+    NEW met2 ( 1597810 748510 ) ( 1597810 785570 )
+    NEW li1 ( 1597350 834530 ) ( 1597350 835890 )
+    NEW met2 ( 1597350 796450 ) ( 1597350 834530 )
+    NEW met2 ( 1597810 1038700 ) ( 1598730 1038700 )
+    NEW met2 ( 1598730 1027820 ) ( 1598730 1038700 )
+    NEW met2 ( 1598270 1027820 ) ( 1598730 1027820 )
+    NEW met2 ( 1597810 1038700 ) ( 1597810 1063010 )
+    NEW met2 ( 1598270 1014220 ) ( 1598270 1027820 )
+    NEW met1 ( 1598270 1124550 ) ( 1598270 1124890 )
+    NEW met1 ( 1598270 1124890 ) ( 1598730 1124890 )
+    NEW met2 ( 1598270 1110270 ) ( 1598270 1124550 )
+    NEW met2 ( 1597810 1220940 ) ( 1598270 1220940 )
+    NEW met2 ( 1597810 1220940 ) ( 1597810 1221620 )
+    NEW met2 ( 1597810 1221620 ) ( 1598270 1221620 )
+    NEW met2 ( 1598270 1207340 ) ( 1598270 1220940 )
+    NEW met2 ( 1598270 1221620 ) ( 1598270 1279930 )
+    NEW met1 ( 1598270 1317670 ) ( 1598270 1318010 )
+    NEW met1 ( 1598270 1318010 ) ( 1598730 1318010 )
+    NEW met2 ( 1598270 1304070 ) ( 1598270 1317670 )
+    NEW met2 ( 1598730 1318010 ) ( 1598730 1365780 )
+    NEW met1 ( 1597350 1413890 ) ( 1597810 1413890 )
+    NEW met2 ( 1597810 1401140 ) ( 1597810 1413890 )
+    NEW met2 ( 1597810 1401140 ) ( 1598270 1401140 )
+    NEW met2 ( 1598270 1365780 ) ( 1598270 1401140 )
+    NEW met1 ( 1598270 1510790 ) ( 1598270 1511470 )
+    NEW met1 ( 1598270 1511470 ) ( 1598730 1511470 )
+    NEW met2 ( 1598270 1497190 ) ( 1598270 1510790 )
+    NEW met2 ( 1598730 1511470 ) ( 1598730 1546150 )
+    NEW li1 ( 1598730 1594430 ) ( 1598730 1642030 )
+    NEW met2 ( 1598730 1593410 ) ( 1598730 1594430 )
+    NEW met2 ( 1598730 1642030 ) ( 1598730 1661410 )
+    NEW met2 ( 1598270 427380 ) ( 1598730 427380 )
+    NEW met2 ( 1598730 350540 ) ( 1598730 427380 )
+    NEW met2 ( 1598270 500140 ) ( 1598730 500140 )
+    NEW met2 ( 1598270 500140 ) ( 1598270 531590 )
+    NEW li1 ( 1598730 638350 ) ( 1598730 717570 )
+    NEW met2 ( 1598730 717570 ) ( 1598730 736610 )
+    NEW li1 ( 1597350 862750 ) ( 1597350 910690 )
+    NEW met1 ( 1597350 910690 ) ( 1598730 910690 )
+    NEW met2 ( 1597350 835890 ) ( 1597350 862750 )
+    NEW met2 ( 1598730 910690 ) ( 1598730 978690 )
+    NEW met1 ( 1597810 1158210 ) ( 1598730 1158210 )
+    NEW met2 ( 1597810 1158210 ) ( 1597810 1200540 )
+    NEW met3 ( 1597810 1200540 ) ( 1598730 1200540 )
+    NEW met2 ( 1598730 1124890 ) ( 1598730 1158210 )
+    NEW met2 ( 1598730 1200540 ) ( 1598730 1207340 )
+    NEW li1 ( 1597350 1413890 ) ( 1597350 1490050 )
+    NEW met2 ( 1597350 1490050 ) ( 1597350 1497190 )
+    NEW li1 ( 1598270 427890 ) ( 1598270 449650 )
+    NEW met2 ( 1598270 449650 ) ( 1598270 475660 )
+    NEW met2 ( 1598270 475660 ) ( 1598730 475660 )
+    NEW met2 ( 1598270 427380 ) ( 1598270 427890 )
+    NEW met2 ( 1598730 475660 ) ( 1598730 500140 )
+    NEW met1 ( 2177870 2890 ) M1M2_PR
+    NEW met1 ( 2173730 2890 ) M1M2_PR
+    NEW li1 ( 2159930 52190 ) L1M1_PR_MR
+    NEW li1 ( 2159930 48110 ) L1M1_PR_MR
+    NEW met1 ( 2173730 48110 ) M1M2_PR
+    NEW met1 ( 1598730 52190 ) M1M2_PR
+    NEW met1 ( 1598730 1661410 ) M1M2_PR
+    NEW met1 ( 1600570 1661410 ) M1M2_PR
+    NEW li1 ( 1598730 206210 ) L1M1_PR_MR
+    NEW met1 ( 1598730 206210 ) M1M2_PR
+    NEW li1 ( 1598730 241230 ) L1M1_PR_MR
+    NEW met1 ( 1598730 241230 ) M1M2_PR
+    NEW met1 ( 1597810 303790 ) M1M2_PR
+    NEW met1 ( 1598270 303110 ) M1M2_PR
+    NEW li1 ( 1597350 796450 ) L1M1_PR_MR
+    NEW met1 ( 1597350 796450 ) M1M2_PR
+    NEW li1 ( 1597350 785570 ) L1M1_PR_MR
+    NEW met1 ( 1597810 785570 ) M1M2_PR
+    NEW li1 ( 1598730 1014050 ) L1M1_PR_MR
+    NEW met1 ( 1598730 1014050 ) M1M2_PR
+    NEW li1 ( 1598730 978690 ) L1M1_PR_MR
+    NEW met1 ( 1598730 978690 ) M1M2_PR
+    NEW li1 ( 1597810 1063010 ) L1M1_PR_MR
+    NEW met1 ( 1597810 1063010 ) M1M2_PR
+    NEW li1 ( 1597810 1110270 ) L1M1_PR_MR
+    NEW met1 ( 1598270 1110270 ) M1M2_PR
+    NEW li1 ( 1598270 1304070 ) L1M1_PR_MR
+    NEW met1 ( 1598270 1304070 ) M1M2_PR
+    NEW li1 ( 1598270 1279930 ) L1M1_PR_MR
+    NEW met1 ( 1598270 1279930 ) M1M2_PR
+    NEW met1 ( 1597350 1497190 ) M1M2_PR
+    NEW met1 ( 1598270 1497190 ) M1M2_PR
+    NEW li1 ( 1598730 1546150 ) L1M1_PR_MR
+    NEW met1 ( 1598730 1546150 ) M1M2_PR
+    NEW li1 ( 1598730 1593410 ) L1M1_PR_MR
+    NEW met1 ( 1598730 1593410 ) M1M2_PR
+    NEW li1 ( 1598270 289510 ) L1M1_PR_MR
+    NEW met1 ( 1598270 289510 ) M1M2_PR
+    NEW li1 ( 1598270 255170 ) L1M1_PR_MR
+    NEW met1 ( 1598270 255170 ) M1M2_PR
+    NEW li1 ( 1598270 531590 ) L1M1_PR_MR
+    NEW met1 ( 1598270 531590 ) M1M2_PR
+    NEW li1 ( 1598270 578850 ) L1M1_PR_MR
+    NEW met1 ( 1598730 578850 ) M1M2_PR
+    NEW li1 ( 1598730 638350 ) L1M1_PR_MR
+    NEW met1 ( 1598730 638350 ) M1M2_PR
+    NEW li1 ( 1597810 748510 ) L1M1_PR_MR
+    NEW met1 ( 1597810 748510 ) M1M2_PR
+    NEW li1 ( 1597810 736610 ) L1M1_PR_MR
+    NEW met1 ( 1598730 736610 ) M1M2_PR
+    NEW li1 ( 1597350 834530 ) L1M1_PR_MR
+    NEW met1 ( 1597350 834530 ) M1M2_PR
+    NEW li1 ( 1597350 835890 ) L1M1_PR_MR
+    NEW met1 ( 1597350 835890 ) M1M2_PR
+    NEW met1 ( 1598270 1124550 ) M1M2_PR
+    NEW met1 ( 1598730 1124890 ) M1M2_PR
+    NEW met1 ( 1598270 1317670 ) M1M2_PR
+    NEW met1 ( 1598730 1318010 ) M1M2_PR
+    NEW li1 ( 1597350 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1597810 1413890 ) M1M2_PR
+    NEW met1 ( 1598270 1510790 ) M1M2_PR
+    NEW met1 ( 1598730 1511470 ) M1M2_PR
+    NEW li1 ( 1598730 1594430 ) L1M1_PR_MR
+    NEW met1 ( 1598730 1594430 ) M1M2_PR
+    NEW li1 ( 1598730 1642030 ) L1M1_PR_MR
+    NEW met1 ( 1598730 1642030 ) M1M2_PR
+    NEW li1 ( 1598730 717570 ) L1M1_PR_MR
+    NEW met1 ( 1598730 717570 ) M1M2_PR
+    NEW li1 ( 1597350 862750 ) L1M1_PR_MR
+    NEW met1 ( 1597350 862750 ) M1M2_PR
+    NEW li1 ( 1597350 910690 ) L1M1_PR_MR
+    NEW met1 ( 1598730 910690 ) M1M2_PR
+    NEW met1 ( 1598730 1158210 ) M1M2_PR
+    NEW met1 ( 1597810 1158210 ) M1M2_PR
+    NEW met2 ( 1597810 1200540 ) via2_FR
+    NEW met2 ( 1598730 1200540 ) via2_FR
+    NEW li1 ( 1597350 1490050 ) L1M1_PR_MR
+    NEW met1 ( 1597350 1490050 ) M1M2_PR
+    NEW li1 ( 1598270 427890 ) L1M1_PR_MR
+    NEW met1 ( 1598270 427890 ) M1M2_PR
+    NEW li1 ( 1598270 449650 ) L1M1_PR_MR
+    NEW met1 ( 1598270 449650 ) M1M2_PR
+    NEW met1 ( 1598730 206210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 241230 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597350 796450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 1014050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 978690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597810 1063010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 1304070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 1279930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 1546150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 1593410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 289510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 255170 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 531590 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 638350 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597810 748510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597350 834530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597350 835890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 1594430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 1642030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598730 717570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597350 862750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1597350 1490050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 427890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1598270 449650 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) 
-  + ROUTED met2 ( 2209150 2380 0 ) ( 2209150 2890 )
-    NEW met1 ( 2208230 2890 ) ( 2209150 2890 )
-    NEW met2 ( 2208230 2890 ) ( 2208230 49810 )
-    NEW met1 ( 1604250 1684530 ) ( 1606550 1684530 )
-    NEW met2 ( 1604250 1684530 ) ( 1604250 1700340 0 )
-    NEW met2 ( 1606550 49810 ) ( 1606550 1684530 )
-    NEW met1 ( 1606550 49810 ) ( 2208230 49810 )
-    NEW met1 ( 2209150 2890 ) M1M2_PR
-    NEW met1 ( 2208230 2890 ) M1M2_PR
-    NEW met1 ( 2208230 49810 ) M1M2_PR
-    NEW met1 ( 1606550 49810 ) M1M2_PR
-    NEW met1 ( 1606550 1684530 ) M1M2_PR
-    NEW met1 ( 1604250 1684530 ) M1M2_PR
+  + ROUTED met2 ( 2195810 2380 0 ) ( 2195810 2890 )
+    NEW met2 ( 2195350 2890 ) ( 2195810 2890 )
+    NEW met2 ( 2195350 2890 ) ( 2195350 3060 )
+    NEW met2 ( 2194430 3060 ) ( 2195350 3060 )
+    NEW met2 ( 2194430 3060 ) ( 2194430 48450 )
+    NEW met1 ( 1604250 1684190 ) ( 1606550 1684190 )
+    NEW met2 ( 1604250 1684190 ) ( 1604250 1700340 0 )
+    NEW met2 ( 1606550 48450 ) ( 1606550 1684190 )
+    NEW met1 ( 1606550 48450 ) ( 2194430 48450 )
+    NEW met1 ( 2194430 48450 ) M1M2_PR
+    NEW met1 ( 1606550 48450 ) M1M2_PR
+    NEW met1 ( 1606550 1684190 ) M1M2_PR
+    NEW met1 ( 1604250 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) 
-  + ROUTED met2 ( 2227090 2380 0 ) ( 2227090 2890 )
-    NEW met1 ( 2222030 2890 ) ( 2227090 2890 )
-    NEW met1 ( 1612990 53550 ) ( 1639670 53550 )
-    NEW met2 ( 1639670 49980 ) ( 1639670 53550 )
-    NEW met3 ( 1639670 49980 ) ( 1655770 49980 )
-    NEW met2 ( 1655770 49980 ) ( 1655770 50150 )
-    NEW met1 ( 1608390 1677390 ) ( 1612990 1677390 )
-    NEW met2 ( 1608390 1677390 ) ( 1608390 1700340 )
-    NEW met2 ( 1607930 1700340 0 ) ( 1608390 1700340 )
-    NEW met2 ( 1612990 53550 ) ( 1612990 1677390 )
-    NEW met2 ( 2222030 2890 ) ( 2222030 50150 )
-    NEW met1 ( 1655770 50150 ) ( 2222030 50150 )
-    NEW met1 ( 2227090 2890 ) M1M2_PR
-    NEW met1 ( 2222030 2890 ) M1M2_PR
-    NEW met1 ( 1612990 53550 ) M1M2_PR
-    NEW met1 ( 1639670 53550 ) M1M2_PR
-    NEW met2 ( 1639670 49980 ) via2_FR
-    NEW met2 ( 1655770 49980 ) via2_FR
-    NEW met1 ( 1655770 50150 ) M1M2_PR
-    NEW met1 ( 1612990 1677390 ) M1M2_PR
-    NEW met1 ( 1608390 1677390 ) M1M2_PR
-    NEW met1 ( 2222030 50150 ) M1M2_PR
+  + ROUTED met2 ( 2213290 2380 0 ) ( 2213290 2890 )
+    NEW met1 ( 2208690 2890 ) ( 2213290 2890 )
+    NEW met2 ( 2208690 2890 ) ( 2208690 25500 )
+    NEW met2 ( 2208230 25500 ) ( 2208690 25500 )
+    NEW met2 ( 2208230 25500 ) ( 2208230 49470 )
+    NEW met1 ( 1613450 53550 ) ( 1646570 53550 )
+    NEW met2 ( 1646570 51340 ) ( 1646570 53550 )
+    NEW met3 ( 1646570 51340 ) ( 1655770 51340 )
+    NEW met2 ( 1655770 49470 ) ( 1655770 51340 )
+    NEW met1 ( 1607930 1684530 ) ( 1613450 1684530 )
+    NEW met2 ( 1607930 1684530 ) ( 1607930 1700340 0 )
+    NEW met2 ( 1613450 53550 ) ( 1613450 1684530 )
+    NEW met1 ( 1655770 49470 ) ( 2208230 49470 )
+    NEW met1 ( 2213290 2890 ) M1M2_PR
+    NEW met1 ( 2208690 2890 ) M1M2_PR
+    NEW met1 ( 2208230 49470 ) M1M2_PR
+    NEW met1 ( 1613450 53550 ) M1M2_PR
+    NEW met1 ( 1646570 53550 ) M1M2_PR
+    NEW met2 ( 1646570 51340 ) via2_FR
+    NEW met2 ( 1655770 51340 ) via2_FR
+    NEW met1 ( 1655770 49470 ) M1M2_PR
+    NEW met1 ( 1613450 1684530 ) M1M2_PR
+    NEW met1 ( 1607930 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) 
-  + ROUTED met2 ( 781770 2380 0 ) ( 781770 36550 )
-    NEW met1 ( 781770 36550 ) ( 1306170 36550 )
+  + ROUTED met2 ( 777170 2380 0 ) ( 777170 36550 )
     NEW met1 ( 1306170 1677730 ) ( 1309390 1677730 )
     NEW met2 ( 1309390 1677730 ) ( 1309390 1700340 )
     NEW met2 ( 1309390 1700340 ) ( 1310310 1700340 0 )
-    NEW met2 ( 1306170 36550 ) ( 1306170 1677730 )
-    NEW met1 ( 781770 36550 ) M1M2_PR
-    NEW met1 ( 1306170 36550 ) M1M2_PR
+    NEW met2 ( 1306170 36210 ) ( 1306170 1677730 )
+    NEW met1 ( 1269830 36210 ) ( 1269830 36550 )
+    NEW met1 ( 777170 36550 ) ( 1269830 36550 )
+    NEW met1 ( 1269830 36210 ) ( 1306170 36210 )
+    NEW met1 ( 777170 36550 ) M1M2_PR
+    NEW met1 ( 1306170 36210 ) M1M2_PR
     NEW met1 ( 1306170 1677730 ) M1M2_PR
     NEW met1 ( 1309390 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) 
-  + ROUTED met2 ( 2245030 2380 0 ) ( 2245030 2890 )
-    NEW met1 ( 2242730 2890 ) ( 2245030 2890 )
-    NEW met1 ( 1613450 54910 ) ( 1638290 54910 )
-    NEW met1 ( 1638290 54910 ) ( 1638290 55250 )
-    NEW met1 ( 1611610 1684530 ) ( 1613450 1684530 )
-    NEW met2 ( 1611610 1684530 ) ( 1611610 1700340 0 )
-    NEW met2 ( 1613450 54910 ) ( 1613450 1684530 )
-    NEW met2 ( 2242730 2890 ) ( 2242730 50490 )
-    NEW met2 ( 1654390 50490 ) ( 1654390 55250 )
-    NEW met1 ( 1638290 55250 ) ( 1654390 55250 )
-    NEW met1 ( 1654390 50490 ) ( 2242730 50490 )
-    NEW met1 ( 2245030 2890 ) M1M2_PR
-    NEW met1 ( 2242730 2890 ) M1M2_PR
-    NEW met1 ( 1613450 54910 ) M1M2_PR
-    NEW met1 ( 1613450 1684530 ) M1M2_PR
-    NEW met1 ( 1611610 1684530 ) M1M2_PR
-    NEW met1 ( 2242730 50490 ) M1M2_PR
-    NEW met1 ( 1654390 55250 ) M1M2_PR
-    NEW met1 ( 1654390 50490 ) M1M2_PR
+  + ROUTED met2 ( 2231230 2380 0 ) ( 2231230 2890 )
+    NEW met1 ( 2228930 2890 ) ( 2231230 2890 )
+    NEW met2 ( 2228930 2890 ) ( 2228930 49810 )
+    NEW met1 ( 1611610 1684190 ) ( 1613910 1684190 )
+    NEW met2 ( 1611610 1684190 ) ( 1611610 1700340 0 )
+    NEW met2 ( 1613910 49470 ) ( 1613910 1684190 )
+    NEW li1 ( 1654850 49470 ) ( 1656230 49470 )
+    NEW li1 ( 1656230 49470 ) ( 1656230 49810 )
+    NEW met1 ( 1613910 49470 ) ( 1654850 49470 )
+    NEW met1 ( 1656230 49810 ) ( 2228930 49810 )
+    NEW met1 ( 2231230 2890 ) M1M2_PR
+    NEW met1 ( 2228930 2890 ) M1M2_PR
+    NEW met1 ( 2228930 49810 ) M1M2_PR
+    NEW met1 ( 1613910 49470 ) M1M2_PR
+    NEW met1 ( 1613910 1684190 ) M1M2_PR
+    NEW met1 ( 1611610 1684190 ) M1M2_PR
+    NEW li1 ( 1654850 49470 ) L1M1_PR_MR
+    NEW li1 ( 1656230 49810 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) 
-  + ROUTED met2 ( 2262510 2380 0 ) ( 2262510 2890 )
-    NEW met1 ( 2256990 2890 ) ( 2262510 2890 )
-    NEW met1 ( 1619890 53210 ) ( 1647030 53210 )
-    NEW met2 ( 1647030 52700 ) ( 1647030 53210 )
-    NEW met3 ( 1647030 52700 ) ( 1655770 52700 )
-    NEW met2 ( 1655770 50830 ) ( 1655770 52700 )
-    NEW met1 ( 1615290 1684530 ) ( 1619890 1684530 )
-    NEW met2 ( 1615290 1684530 ) ( 1615290 1700340 0 )
-    NEW met2 ( 1619890 53210 ) ( 1619890 1684530 )
-    NEW met1 ( 1655770 50830 ) ( 2256990 50830 )
-    NEW met2 ( 2256990 2890 ) ( 2256990 50830 )
-    NEW met1 ( 2262510 2890 ) M1M2_PR
-    NEW met1 ( 2256990 2890 ) M1M2_PR
-    NEW met1 ( 1619890 53210 ) M1M2_PR
-    NEW met1 ( 1647030 53210 ) M1M2_PR
-    NEW met2 ( 1647030 52700 ) via2_FR
-    NEW met2 ( 1655770 52700 ) via2_FR
-    NEW met1 ( 1655770 50830 ) M1M2_PR
-    NEW met1 ( 1619890 1684530 ) M1M2_PR
-    NEW met1 ( 1615290 1684530 ) M1M2_PR
-    NEW met1 ( 2256990 50830 ) M1M2_PR
+  + ROUTED met2 ( 2249170 2380 0 ) ( 2249170 17510 )
+    NEW met1 ( 2243190 17510 ) ( 2249170 17510 )
+    NEW met2 ( 2243190 17510 ) ( 2243190 50150 )
+    NEW met2 ( 1620810 1676540 ) ( 1621270 1676540 )
+    NEW met2 ( 1621270 1676540 ) ( 1621270 1684190 )
+    NEW met1 ( 1615290 1684190 ) ( 1621270 1684190 )
+    NEW met2 ( 1615290 1684190 ) ( 1615290 1700340 0 )
+    NEW met2 ( 1620810 49810 ) ( 1620810 1676540 )
+    NEW li1 ( 1654390 49810 ) ( 1655770 49810 )
+    NEW li1 ( 1655770 49810 ) ( 1655770 50150 )
+    NEW met1 ( 1620810 49810 ) ( 1654390 49810 )
+    NEW met1 ( 1655770 50150 ) ( 2243190 50150 )
+    NEW met1 ( 2249170 17510 ) M1M2_PR
+    NEW met1 ( 2243190 17510 ) M1M2_PR
+    NEW met1 ( 2243190 50150 ) M1M2_PR
+    NEW met1 ( 1620810 49810 ) M1M2_PR
+    NEW met1 ( 1621270 1684190 ) M1M2_PR
+    NEW met1 ( 1615290 1684190 ) M1M2_PR
+    NEW li1 ( 1654390 49810 ) L1M1_PR_MR
+    NEW li1 ( 1655770 50150 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) 
-  + ROUTED met2 ( 2280450 2380 0 ) ( 2280450 2890 )
-    NEW met2 ( 2279990 2890 ) ( 2280450 2890 )
-    NEW met2 ( 2279990 2890 ) ( 2279990 3060 )
-    NEW met2 ( 2277230 3060 ) ( 2279990 3060 )
-    NEW met1 ( 1620350 50150 ) ( 1653470 50150 )
-    NEW li1 ( 1653470 50150 ) ( 1654850 50150 )
-    NEW li1 ( 1654850 50150 ) ( 1654850 50490 )
-    NEW li1 ( 1654850 50490 ) ( 1655310 50490 )
-    NEW li1 ( 1655310 50490 ) ( 1655310 50830 )
-    NEW met1 ( 1655310 50830 ) ( 1655310 51170 )
-    NEW met2 ( 1619430 1685210 ) ( 1620350 1685210 )
-    NEW met2 ( 1619430 1685210 ) ( 1619430 1700340 )
+  + ROUTED met2 ( 2266650 2380 0 ) ( 2266650 2890 )
+    NEW met1 ( 2263430 2890 ) ( 2266650 2890 )
+    NEW met2 ( 2263430 2890 ) ( 2263430 50490 )
+    NEW met2 ( 1619430 1671780 ) ( 1620350 1671780 )
+    NEW met2 ( 1619430 1671780 ) ( 1619430 1700340 )
     NEW met2 ( 1618970 1700340 0 ) ( 1619430 1700340 )
-    NEW met2 ( 1620350 50150 ) ( 1620350 1685210 )
-    NEW met1 ( 1655310 51170 ) ( 2277230 51170 )
-    NEW met2 ( 2277230 3060 ) ( 2277230 51170 )
+    NEW met2 ( 1620350 50150 ) ( 1620350 1671780 )
+    NEW li1 ( 1654850 50150 ) ( 1654850 51170 )
+    NEW li1 ( 1654850 51170 ) ( 1656230 51170 )
+    NEW li1 ( 1656230 50490 ) ( 1656230 51170 )
+    NEW met1 ( 1620350 50150 ) ( 1654850 50150 )
+    NEW met1 ( 1656230 50490 ) ( 2263430 50490 )
+    NEW met1 ( 2266650 2890 ) M1M2_PR
+    NEW met1 ( 2263430 2890 ) M1M2_PR
+    NEW met1 ( 2263430 50490 ) M1M2_PR
     NEW met1 ( 1620350 50150 ) M1M2_PR
-    NEW li1 ( 1653470 50150 ) L1M1_PR_MR
-    NEW li1 ( 1655310 50830 ) L1M1_PR_MR
-    NEW met1 ( 2277230 51170 ) M1M2_PR
+    NEW li1 ( 1654850 50150 ) L1M1_PR_MR
+    NEW li1 ( 1656230 50490 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) 
-  + ROUTED met2 ( 1626330 1677220 ) ( 1626790 1677220 )
-    NEW met2 ( 1626330 1677220 ) ( 1626330 1684190 )
+  + ROUTED met2 ( 2284590 2380 0 ) ( 2284590 50830 )
+    NEW met2 ( 1626330 1663620 ) ( 1626790 1663620 )
+    NEW met2 ( 1626330 1663620 ) ( 1626330 1684190 )
     NEW met1 ( 1622650 1684190 ) ( 1626330 1684190 )
     NEW met2 ( 1622650 1684190 ) ( 1622650 1700340 0 )
-    NEW met2 ( 1626790 50830 ) ( 1626790 1677220 )
-    NEW met2 ( 2298390 2380 0 ) ( 2298390 54910 )
-    NEW li1 ( 1654850 50830 ) ( 1654850 56610 )
-    NEW met1 ( 1654850 56610 ) ( 1666350 56610 )
-    NEW li1 ( 1666350 54910 ) ( 1666350 56610 )
-    NEW met1 ( 1626790 50830 ) ( 1654850 50830 )
-    NEW met1 ( 1666350 54910 ) ( 2298390 54910 )
-    NEW met1 ( 1626790 50830 ) M1M2_PR
+    NEW met2 ( 1626790 50490 ) ( 1626790 1663620 )
+    NEW li1 ( 1654390 50490 ) ( 1654390 51510 )
+    NEW li1 ( 1654390 51510 ) ( 1656690 51510 )
+    NEW li1 ( 1656690 50830 ) ( 1656690 51510 )
+    NEW met1 ( 1626790 50490 ) ( 1654390 50490 )
+    NEW met1 ( 1656690 50830 ) ( 2284590 50830 )
+    NEW met1 ( 2284590 50830 ) M1M2_PR
+    NEW met1 ( 1626790 50490 ) M1M2_PR
     NEW met1 ( 1626330 1684190 ) M1M2_PR
     NEW met1 ( 1622650 1684190 ) M1M2_PR
-    NEW met1 ( 2298390 54910 ) M1M2_PR
-    NEW li1 ( 1654850 50830 ) L1M1_PR_MR
-    NEW li1 ( 1654850 56610 ) L1M1_PR_MR
-    NEW li1 ( 1666350 56610 ) L1M1_PR_MR
-    NEW li1 ( 1666350 54910 ) L1M1_PR_MR
+    NEW li1 ( 1654390 50490 ) L1M1_PR_MR
+    NEW li1 ( 1656690 50830 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) 
-  + ROUTED met2 ( 2316330 2380 0 ) ( 2316330 2890 )
-    NEW met1 ( 2311730 2890 ) ( 2316330 2890 )
-    NEW met2 ( 1626790 1677900 ) ( 1627250 1677900 )
-    NEW met2 ( 1626790 1677900 ) ( 1626790 1700340 )
+  + ROUTED met2 ( 2302070 2380 0 ) ( 2302070 2890 )
+    NEW met1 ( 2297930 2890 ) ( 2302070 2890 )
+    NEW met2 ( 2297930 2890 ) ( 2297930 51170 )
+    NEW met1 ( 1627250 50830 ) ( 1655310 50830 )
+    NEW met1 ( 1655310 50830 ) ( 1655310 51170 )
+    NEW met2 ( 1626790 1664300 ) ( 1627250 1664300 )
+    NEW met2 ( 1626790 1664300 ) ( 1626790 1700340 )
     NEW met2 ( 1626330 1700340 0 ) ( 1626790 1700340 )
-    NEW met2 ( 1627250 50490 ) ( 1627250 1677900 )
-    NEW met2 ( 2311730 2890 ) ( 2311730 53890 )
-    NEW met1 ( 1653930 50150 ) ( 1653930 50490 )
-    NEW met1 ( 1653930 50150 ) ( 1655310 50150 )
-    NEW li1 ( 1655310 50150 ) ( 1655770 50150 )
-    NEW li1 ( 1655770 50150 ) ( 1655770 53890 )
-    NEW met1 ( 1627250 50490 ) ( 1653930 50490 )
-    NEW met1 ( 1655770 53890 ) ( 2311730 53890 )
-    NEW met1 ( 2316330 2890 ) M1M2_PR
-    NEW met1 ( 2311730 2890 ) M1M2_PR
-    NEW met1 ( 1627250 50490 ) M1M2_PR
-    NEW met1 ( 2311730 53890 ) M1M2_PR
-    NEW li1 ( 1655310 50150 ) L1M1_PR_MR
-    NEW li1 ( 1655770 53890 ) L1M1_PR_MR
+    NEW met2 ( 1627250 50830 ) ( 1627250 1664300 )
+    NEW met1 ( 1655310 51170 ) ( 2297930 51170 )
+    NEW met1 ( 2302070 2890 ) M1M2_PR
+    NEW met1 ( 2297930 2890 ) M1M2_PR
+    NEW met1 ( 2297930 51170 ) M1M2_PR
+    NEW met1 ( 1627250 50830 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) 
-  + ROUTED met2 ( 2334270 2380 0 ) ( 2334270 2890 )
-    NEW met1 ( 2332430 2890 ) ( 2334270 2890 )
-    NEW met1 ( 1633690 53890 ) ( 1655310 53890 )
-    NEW li1 ( 1655310 53890 ) ( 1655310 56270 )
-    NEW met1 ( 1630010 1684870 ) ( 1633690 1684870 )
-    NEW met2 ( 1630010 1684870 ) ( 1630010 1700340 0 )
-    NEW met2 ( 1633690 53890 ) ( 1633690 1684870 )
-    NEW li1 ( 1704070 53550 ) ( 1704070 56270 )
-    NEW met1 ( 1655310 56270 ) ( 1704070 56270 )
-    NEW met1 ( 1704070 53550 ) ( 2332430 53550 )
-    NEW met2 ( 2332430 2890 ) ( 2332430 53550 )
-    NEW met1 ( 2334270 2890 ) M1M2_PR
-    NEW met1 ( 2332430 2890 ) M1M2_PR
-    NEW met1 ( 1633690 53890 ) M1M2_PR
-    NEW li1 ( 1655310 53890 ) L1M1_PR_MR
-    NEW li1 ( 1655310 56270 ) L1M1_PR_MR
-    NEW met1 ( 1633690 1684870 ) M1M2_PR
-    NEW met1 ( 1630010 1684870 ) M1M2_PR
-    NEW li1 ( 1704070 56270 ) L1M1_PR_MR
-    NEW li1 ( 1704070 53550 ) L1M1_PR_MR
-    NEW met1 ( 2332430 53550 ) M1M2_PR
+  + ROUTED met2 ( 2320010 2380 0 ) ( 2320010 3060 )
+    NEW met2 ( 2318630 3060 ) ( 2320010 3060 )
+    NEW met2 ( 2318630 3060 ) ( 2318630 54910 )
+    NEW met1 ( 1634150 53210 ) ( 1655310 53210 )
+    NEW li1 ( 1655310 53210 ) ( 1655310 55590 )
+    NEW met1 ( 1630010 1684190 ) ( 1634150 1684190 )
+    NEW met2 ( 1630010 1684190 ) ( 1630010 1700340 0 )
+    NEW li1 ( 1656690 54910 ) ( 1656690 55590 )
+    NEW met1 ( 1655310 55590 ) ( 1656690 55590 )
+    NEW met1 ( 1656690 54910 ) ( 2318630 54910 )
+    NEW met2 ( 1634150 53210 ) ( 1634150 1684190 )
+    NEW met1 ( 2318630 54910 ) M1M2_PR
+    NEW met1 ( 1634150 53210 ) M1M2_PR
+    NEW li1 ( 1655310 53210 ) L1M1_PR_MR
+    NEW li1 ( 1655310 55590 ) L1M1_PR_MR
+    NEW met1 ( 1634150 1684190 ) M1M2_PR
+    NEW met1 ( 1630010 1684190 ) M1M2_PR
+    NEW li1 ( 1656690 55590 ) L1M1_PR_MR
+    NEW li1 ( 1656690 54910 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) 
-  + ROUTED met2 ( 2351750 2380 0 ) ( 2351750 2890 )
-    NEW met1 ( 2346230 2890 ) ( 2351750 2890 )
-    NEW met1 ( 1633230 51170 ) ( 1653930 51170 )
-    NEW li1 ( 1653930 51170 ) ( 1653930 55930 )
-    NEW met2 ( 1633230 1700340 ) ( 1633690 1700340 0 )
-    NEW met2 ( 1633230 51170 ) ( 1633230 1700340 )
-    NEW li1 ( 1702690 55590 ) ( 1702690 55930 )
-    NEW li1 ( 1702690 55590 ) ( 1703610 55590 )
-    NEW li1 ( 1703610 53210 ) ( 1703610 55590 )
-    NEW met1 ( 1653930 55930 ) ( 1702690 55930 )
-    NEW met1 ( 1703610 53210 ) ( 2346230 53210 )
-    NEW met2 ( 2346230 2890 ) ( 2346230 53210 )
-    NEW met1 ( 2351750 2890 ) M1M2_PR
-    NEW met1 ( 2346230 2890 ) M1M2_PR
-    NEW met1 ( 1633230 51170 ) M1M2_PR
-    NEW li1 ( 1653930 51170 ) L1M1_PR_MR
-    NEW li1 ( 1653930 55930 ) L1M1_PR_MR
-    NEW li1 ( 1702690 55930 ) L1M1_PR_MR
-    NEW li1 ( 1703610 53210 ) L1M1_PR_MR
-    NEW met1 ( 2346230 53210 ) M1M2_PR
+  + ROUTED met2 ( 2337490 2380 0 ) ( 2337490 2890 )
+    NEW met1 ( 2332430 2890 ) ( 2337490 2890 )
+    NEW met2 ( 2332430 2890 ) ( 2332430 53890 )
+    NEW met2 ( 1631850 1632340 ) ( 1633690 1632340 )
+    NEW met1 ( 1633690 53890 ) ( 1653930 53890 )
+    NEW li1 ( 1653930 53890 ) ( 1653930 57630 )
+    NEW met2 ( 1631850 1677900 ) ( 1632770 1677900 )
+    NEW met2 ( 1632770 1677900 ) ( 1632770 1700340 )
+    NEW met2 ( 1632770 1700340 ) ( 1633690 1700340 0 )
+    NEW met2 ( 1631850 1632340 ) ( 1631850 1677900 )
+    NEW li1 ( 1704070 53890 ) ( 1704070 57630 )
+    NEW met1 ( 1653930 57630 ) ( 1704070 57630 )
+    NEW met1 ( 1704070 53890 ) ( 2332430 53890 )
+    NEW met2 ( 1633690 53890 ) ( 1633690 1632340 )
+    NEW met1 ( 2337490 2890 ) M1M2_PR
+    NEW met1 ( 2332430 2890 ) M1M2_PR
+    NEW met1 ( 2332430 53890 ) M1M2_PR
+    NEW met1 ( 1633690 53890 ) M1M2_PR
+    NEW li1 ( 1653930 53890 ) L1M1_PR_MR
+    NEW li1 ( 1653930 57630 ) L1M1_PR_MR
+    NEW li1 ( 1704070 57630 ) L1M1_PR_MR
+    NEW li1 ( 1704070 53890 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) 
-  + ROUTED met1 ( 1637370 1684190 ) ( 1640130 1684190 )
-    NEW met2 ( 1637370 1684190 ) ( 1637370 1700340 0 )
-    NEW met1 ( 2114850 52530 ) ( 2114850 52870 )
-    NEW met1 ( 1656690 53210 ) ( 1656690 53550 )
-    NEW met1 ( 1656690 53210 ) ( 1703150 53210 )
-    NEW li1 ( 1703150 53210 ) ( 1703150 55250 )
-    NEW met1 ( 1640130 53550 ) ( 1656690 53550 )
-    NEW li1 ( 2090470 52530 ) ( 2090470 55250 )
-    NEW met1 ( 1703150 55250 ) ( 2090470 55250 )
-    NEW met1 ( 2090470 52530 ) ( 2114850 52530 )
-    NEW met1 ( 2114850 52870 ) ( 2369690 52870 )
-    NEW met2 ( 2369690 2380 0 ) ( 2369690 52870 )
-    NEW met3 ( 1639900 474980 ) ( 1640130 474980 )
-    NEW met3 ( 1639900 474980 ) ( 1639900 475660 )
-    NEW met3 ( 1639900 475660 ) ( 1640130 475660 )
-    NEW met2 ( 1640130 53550 ) ( 1640130 474980 )
-    NEW met2 ( 1640130 475660 ) ( 1640130 1684190 )
-    NEW met1 ( 1640130 53550 ) M1M2_PR
-    NEW met1 ( 1640130 1684190 ) M1M2_PR
-    NEW met1 ( 1637370 1684190 ) M1M2_PR
-    NEW li1 ( 1703150 53210 ) L1M1_PR_MR
-    NEW li1 ( 1703150 55250 ) L1M1_PR_MR
-    NEW li1 ( 2090470 55250 ) L1M1_PR_MR
-    NEW li1 ( 2090470 52530 ) L1M1_PR_MR
-    NEW met1 ( 2369690 52870 ) M1M2_PR
-    NEW met2 ( 1640130 474980 ) via2_FR
-    NEW met2 ( 1640130 475660 ) via2_FR
+  + ROUTED met2 ( 2355430 2380 0 ) ( 2355430 2890 )
+    NEW met1 ( 2353130 2890 ) ( 2355430 2890 )
+    NEW met2 ( 2353130 2890 ) ( 2353130 53550 )
+    NEW met1 ( 1639670 54910 ) ( 1654390 54910 )
+    NEW met1 ( 1654390 54910 ) ( 1654390 55250 )
+    NEW met2 ( 1637830 1677900 ) ( 1639670 1677900 )
+    NEW met2 ( 1637830 1677900 ) ( 1637830 1700340 )
+    NEW met2 ( 1637370 1700340 0 ) ( 1637830 1700340 )
+    NEW met2 ( 1639670 54910 ) ( 1639670 1677900 )
+    NEW met1 ( 1657150 55250 ) ( 1657150 55590 )
+    NEW met1 ( 1657150 55590 ) ( 1658070 55590 )
+    NEW li1 ( 1658070 53550 ) ( 1658070 55590 )
+    NEW met1 ( 1654390 55250 ) ( 1657150 55250 )
+    NEW met1 ( 1658070 53550 ) ( 2353130 53550 )
+    NEW met1 ( 2355430 2890 ) M1M2_PR
+    NEW met1 ( 2353130 2890 ) M1M2_PR
+    NEW met1 ( 2353130 53550 ) M1M2_PR
+    NEW met1 ( 1639670 54910 ) M1M2_PR
+    NEW li1 ( 1658070 55590 ) L1M1_PR_MR
+    NEW li1 ( 1658070 53550 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) 
-  + ROUTED li1 ( 2091390 52870 ) ( 2091390 55590 )
-    NEW met1 ( 2091390 52870 ) ( 2114390 52870 )
-    NEW li1 ( 2114390 52530 ) ( 2114390 52870 )
-    NEW li1 ( 2114390 52530 ) ( 2115310 52530 )
-    NEW met2 ( 2387630 2380 0 ) ( 2387630 52530 )
-    NEW li1 ( 2043090 52530 ) ( 2043090 55590 )
-    NEW met1 ( 2043090 52530 ) ( 2090010 52530 )
-    NEW li1 ( 2090010 52530 ) ( 2090010 55590 )
-    NEW met1 ( 2090010 55590 ) ( 2091390 55590 )
-    NEW met1 ( 2115310 52530 ) ( 2387630 52530 )
-    NEW met1 ( 1655310 54910 ) ( 1655310 55590 )
-    NEW met1 ( 1655310 55590 ) ( 1657150 55590 )
-    NEW li1 ( 1657150 53550 ) ( 1657150 55590 )
-    NEW met3 ( 1638980 290020 ) ( 1639670 290020 )
-    NEW met3 ( 1638980 290020 ) ( 1638980 290700 )
-    NEW met3 ( 1638980 290700 ) ( 1639670 290700 )
-    NEW met3 ( 1638750 386580 ) ( 1639670 386580 )
-    NEW met3 ( 1638750 579700 ) ( 1639670 579700 )
-    NEW met2 ( 1639210 820420 ) ( 1639670 820420 )
-    NEW met1 ( 1638750 1678750 ) ( 1641050 1678750 )
-    NEW met2 ( 1641050 1678750 ) ( 1641050 1700340 0 )
-    NEW met2 ( 1638750 96220 ) ( 1639670 96220 )
-    NEW met2 ( 1639670 54910 ) ( 1639670 96220 )
-    NEW met1 ( 1639670 54910 ) ( 1655310 54910 )
-    NEW met2 ( 1639670 290700 ) ( 1639670 386580 )
-    NEW met2 ( 1638750 651100 ) ( 1639670 651100 )
-    NEW met2 ( 1638750 579700 ) ( 1638750 651100 )
-    NEW met1 ( 1639210 725050 ) ( 1639670 725050 )
-    NEW met2 ( 1639210 725050 ) ( 1639210 738650 )
-    NEW met2 ( 1639210 738650 ) ( 1639670 738650 )
-    NEW met2 ( 1639670 738650 ) ( 1639670 820420 )
-    NEW met2 ( 1639210 821100 ) ( 1639670 821100 )
-    NEW met2 ( 1639210 820420 ) ( 1639210 821100 )
-    NEW met1 ( 1638290 1642030 ) ( 1638750 1642030 )
-    NEW met2 ( 1638750 1642030 ) ( 1638750 1678750 )
-    NEW li1 ( 1702230 53550 ) ( 1702230 56610 )
-    NEW met1 ( 1702230 56610 ) ( 1713270 56610 )
-    NEW met1 ( 1713270 55590 ) ( 1713270 56610 )
-    NEW met1 ( 1657150 53550 ) ( 1702230 53550 )
-    NEW met1 ( 1713270 55590 ) ( 2043090 55590 )
-    NEW li1 ( 1638750 186490 ) ( 1638750 234430 )
-    NEW met1 ( 1638750 234430 ) ( 1639670 234430 )
-    NEW met2 ( 1638750 96220 ) ( 1638750 186490 )
-    NEW met2 ( 1639670 234430 ) ( 1639670 290020 )
-    NEW li1 ( 1639670 669630 ) ( 1639670 717570 )
-    NEW met2 ( 1639670 651100 ) ( 1639670 669630 )
-    NEW met2 ( 1639670 717570 ) ( 1639670 725050 )
-    NEW li1 ( 1639210 882810 ) ( 1639210 910690 )
-    NEW met1 ( 1639210 882810 ) ( 1639670 882810 )
-    NEW met2 ( 1639670 821100 ) ( 1639670 882810 )
-    NEW met2 ( 1639210 1055700 ) ( 1639210 1055870 )
-    NEW met2 ( 1639210 1055700 ) ( 1639670 1055700 )
-    NEW met3 ( 1638750 1442620 ) ( 1639670 1442620 )
-    NEW met2 ( 1638750 1586780 ) ( 1639670 1586780 )
-    NEW met2 ( 1638750 1442620 ) ( 1638750 1586780 )
-    NEW met2 ( 1638750 435540 ) ( 1639670 435540 )
-    NEW met2 ( 1638750 386580 ) ( 1638750 435540 )
-    NEW li1 ( 1639670 524450 ) ( 1639670 545190 )
-    NEW met2 ( 1639670 435540 ) ( 1639670 524450 )
-    NEW met2 ( 1639670 545190 ) ( 1639670 579700 )
-    NEW met2 ( 1638750 931260 ) ( 1639210 931260 )
-    NEW met2 ( 1638750 931260 ) ( 1638750 934660 )
-    NEW met2 ( 1638750 934660 ) ( 1639670 934660 )
-    NEW met2 ( 1639210 910690 ) ( 1639210 931260 )
-    NEW met2 ( 1639210 1441940 ) ( 1639670 1441940 )
-    NEW met2 ( 1639670 1441940 ) ( 1639670 1442620 )
-    NEW li1 ( 1638290 1587290 ) ( 1638290 1635230 )
-    NEW met1 ( 1638290 1587290 ) ( 1639670 1587290 )
-    NEW met2 ( 1638290 1635230 ) ( 1638290 1642030 )
-    NEW met2 ( 1639670 1586780 ) ( 1639670 1587290 )
-    NEW li1 ( 1639670 978690 ) ( 1639670 1000110 )
-    NEW met2 ( 1639670 934660 ) ( 1639670 978690 )
-    NEW met2 ( 1639210 1386860 ) ( 1639670 1386860 )
-    NEW li1 ( 1639670 1024590 ) ( 1639670 1048730 )
-    NEW met2 ( 1639670 1000110 ) ( 1639670 1024590 )
-    NEW met2 ( 1639670 1048730 ) ( 1639670 1055700 )
-    NEW met1 ( 1639210 1317670 ) ( 1639210 1318350 )
-    NEW met1 ( 1639210 1318350 ) ( 1639670 1318350 )
-    NEW met2 ( 1639210 1386860 ) ( 1639210 1441940 )
-    NEW li1 ( 1639210 1269390 ) ( 1639210 1283330 )
-    NEW met2 ( 1639210 1235220 ) ( 1639210 1269390 )
-    NEW met2 ( 1639210 1235220 ) ( 1639670 1235220 )
-    NEW met2 ( 1639210 1283330 ) ( 1639210 1317670 )
-    NEW met3 ( 1638750 1331780 ) ( 1639670 1331780 )
-    NEW met2 ( 1638750 1331780 ) ( 1638750 1379890 )
-    NEW met1 ( 1638750 1379890 ) ( 1639670 1379890 )
-    NEW met2 ( 1639670 1318350 ) ( 1639670 1331780 )
-    NEW met2 ( 1639670 1379890 ) ( 1639670 1386860 )
-    NEW met1 ( 1639210 1217370 ) ( 1639670 1217370 )
-    NEW met2 ( 1639670 1217370 ) ( 1639670 1235220 )
-    NEW li1 ( 1639210 1055870 ) ( 1639210 1179630 )
-    NEW met2 ( 1639210 1179630 ) ( 1639210 1217370 )
-    NEW li1 ( 2091390 55590 ) L1M1_PR_MR
-    NEW li1 ( 2091390 52870 ) L1M1_PR_MR
-    NEW li1 ( 2114390 52870 ) L1M1_PR_MR
-    NEW li1 ( 2115310 52530 ) L1M1_PR_MR
-    NEW met1 ( 2387630 52530 ) M1M2_PR
-    NEW li1 ( 2043090 55590 ) L1M1_PR_MR
-    NEW li1 ( 2043090 52530 ) L1M1_PR_MR
-    NEW li1 ( 2090010 52530 ) L1M1_PR_MR
-    NEW li1 ( 2090010 55590 ) L1M1_PR_MR
-    NEW li1 ( 1657150 55590 ) L1M1_PR_MR
-    NEW li1 ( 1657150 53550 ) L1M1_PR_MR
-    NEW met2 ( 1639670 290020 ) via2_FR
-    NEW met2 ( 1639670 290700 ) via2_FR
-    NEW met2 ( 1638750 386580 ) via2_FR
-    NEW met2 ( 1639670 386580 ) via2_FR
-    NEW met2 ( 1638750 579700 ) via2_FR
-    NEW met2 ( 1639670 579700 ) via2_FR
-    NEW met1 ( 1638750 1678750 ) M1M2_PR
-    NEW met1 ( 1641050 1678750 ) M1M2_PR
-    NEW met1 ( 1639670 54910 ) M1M2_PR
-    NEW met1 ( 1639670 725050 ) M1M2_PR
-    NEW met1 ( 1639210 725050 ) M1M2_PR
-    NEW met1 ( 1638750 1642030 ) M1M2_PR
-    NEW met1 ( 1638290 1642030 ) M1M2_PR
-    NEW li1 ( 1702230 53550 ) L1M1_PR_MR
-    NEW li1 ( 1702230 56610 ) L1M1_PR_MR
-    NEW li1 ( 1638750 186490 ) L1M1_PR_MR
-    NEW met1 ( 1638750 186490 ) M1M2_PR
-    NEW li1 ( 1638750 234430 ) L1M1_PR_MR
-    NEW met1 ( 1639670 234430 ) M1M2_PR
-    NEW li1 ( 1639670 669630 ) L1M1_PR_MR
-    NEW met1 ( 1639670 669630 ) M1M2_PR
-    NEW li1 ( 1639670 717570 ) L1M1_PR_MR
-    NEW met1 ( 1639670 717570 ) M1M2_PR
-    NEW li1 ( 1639210 910690 ) L1M1_PR_MR
-    NEW met1 ( 1639210 910690 ) M1M2_PR
-    NEW li1 ( 1639210 882810 ) L1M1_PR_MR
-    NEW met1 ( 1639670 882810 ) M1M2_PR
-    NEW li1 ( 1639210 1055870 ) L1M1_PR_MR
-    NEW met1 ( 1639210 1055870 ) M1M2_PR
-    NEW met2 ( 1638750 1442620 ) via2_FR
-    NEW met2 ( 1639670 1442620 ) via2_FR
-    NEW li1 ( 1639670 524450 ) L1M1_PR_MR
-    NEW met1 ( 1639670 524450 ) M1M2_PR
-    NEW li1 ( 1639670 545190 ) L1M1_PR_MR
-    NEW met1 ( 1639670 545190 ) M1M2_PR
-    NEW li1 ( 1638290 1635230 ) L1M1_PR_MR
-    NEW met1 ( 1638290 1635230 ) M1M2_PR
-    NEW li1 ( 1638290 1587290 ) L1M1_PR_MR
-    NEW met1 ( 1639670 1587290 ) M1M2_PR
-    NEW li1 ( 1639670 1000110 ) L1M1_PR_MR
-    NEW met1 ( 1639670 1000110 ) M1M2_PR
-    NEW li1 ( 1639670 978690 ) L1M1_PR_MR
-    NEW met1 ( 1639670 978690 ) M1M2_PR
-    NEW li1 ( 1639670 1024590 ) L1M1_PR_MR
-    NEW met1 ( 1639670 1024590 ) M1M2_PR
-    NEW li1 ( 1639670 1048730 ) L1M1_PR_MR
-    NEW met1 ( 1639670 1048730 ) M1M2_PR
-    NEW met1 ( 1639210 1317670 ) M1M2_PR
-    NEW met1 ( 1639670 1318350 ) M1M2_PR
-    NEW li1 ( 1639210 1283330 ) L1M1_PR_MR
-    NEW met1 ( 1639210 1283330 ) M1M2_PR
-    NEW li1 ( 1639210 1269390 ) L1M1_PR_MR
-    NEW met1 ( 1639210 1269390 ) M1M2_PR
-    NEW met2 ( 1639670 1331780 ) via2_FR
-    NEW met2 ( 1638750 1331780 ) via2_FR
-    NEW met1 ( 1638750 1379890 ) M1M2_PR
-    NEW met1 ( 1639670 1379890 ) M1M2_PR
-    NEW met1 ( 1639210 1217370 ) M1M2_PR
-    NEW met1 ( 1639670 1217370 ) M1M2_PR
-    NEW li1 ( 1639210 1179630 ) L1M1_PR_MR
-    NEW met1 ( 1639210 1179630 ) M1M2_PR
-    NEW met1 ( 1638750 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 717570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639210 910690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639210 1055870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 524450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 545190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1638290 1635230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 1000110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 978690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 1024590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639670 1048730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639210 1283330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639210 1269390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1639210 1179630 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1640130 51170 ) ( 1654850 51170 )
+    NEW met2 ( 1654850 51170 ) ( 1654850 52700 )
+    NEW met2 ( 1654850 52700 ) ( 1655770 52700 )
+    NEW met2 ( 1655770 52700 ) ( 1655770 53210 )
+    NEW met2 ( 1640130 1700340 ) ( 1641050 1700340 0 )
+    NEW met2 ( 1640130 51170 ) ( 1640130 1700340 )
+    NEW met1 ( 1655770 53210 ) ( 2366930 53210 )
+    NEW met2 ( 2372910 2380 0 ) ( 2372910 41650 )
+    NEW met1 ( 2366930 41650 ) ( 2372910 41650 )
+    NEW met2 ( 2366930 41650 ) ( 2366930 53210 )
+    NEW met1 ( 2366930 53210 ) M1M2_PR
+    NEW met1 ( 1640130 51170 ) M1M2_PR
+    NEW met1 ( 1654850 51170 ) M1M2_PR
+    NEW met1 ( 1655770 53210 ) M1M2_PR
+    NEW met1 ( 2372910 41650 ) M1M2_PR
+    NEW met1 ( 2366930 41650 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) 
-  + ROUTED met1 ( 1644730 1684190 ) ( 1647490 1684190 )
+  + ROUTED met2 ( 2390850 2380 0 ) ( 2390850 2890 )
+    NEW met1 ( 2387630 2890 ) ( 2390850 2890 )
+    NEW met2 ( 2387630 2890 ) ( 2387630 52870 )
+    NEW met1 ( 1644730 1684190 ) ( 1647490 1684190 )
     NEW met2 ( 1644730 1684190 ) ( 1644730 1700340 0 )
-    NEW met2 ( 1647490 53210 ) ( 1647490 1684190 )
-    NEW met2 ( 1704990 52020 ) ( 1704990 55930 )
-    NEW met2 ( 2091390 52020 ) ( 2091390 52190 )
-    NEW met2 ( 2405570 2380 0 ) ( 2405570 52190 )
-    NEW li1 ( 1656230 53210 ) ( 1656230 55250 )
-    NEW met1 ( 1656230 55250 ) ( 1657610 55250 )
-    NEW met1 ( 1657610 55250 ) ( 1657610 55590 )
-    NEW met1 ( 1657610 55590 ) ( 1703150 55590 )
-    NEW met1 ( 1703150 55590 ) ( 1703150 55930 )
-    NEW met1 ( 1647490 53210 ) ( 1656230 53210 )
-    NEW met1 ( 1703150 55930 ) ( 1704990 55930 )
-    NEW met3 ( 1704990 52020 ) ( 2091390 52020 )
-    NEW met1 ( 2091390 52190 ) ( 2405570 52190 )
-    NEW met1 ( 1647490 53210 ) M1M2_PR
+    NEW met2 ( 1647490 53550 ) ( 1647490 1684190 )
+    NEW li1 ( 2137850 52530 ) ( 2137850 55250 )
+    NEW li1 ( 2137850 52530 ) ( 2138770 52530 )
+    NEW li1 ( 2138770 52530 ) ( 2138770 52870 )
+    NEW met1 ( 2138770 52870 ) ( 2387630 52870 )
+    NEW li1 ( 1657610 53550 ) ( 1657610 55250 )
+    NEW met1 ( 1647490 53550 ) ( 1657610 53550 )
+    NEW li1 ( 1835630 55250 ) ( 1836550 55250 )
+    NEW met1 ( 1657610 55250 ) ( 1835630 55250 )
+    NEW li1 ( 1932230 55250 ) ( 1933150 55250 )
+    NEW li1 ( 2028830 55250 ) ( 2029750 55250 )
+    NEW met1 ( 2029750 55250 ) ( 2137850 55250 )
+    NEW li1 ( 1883930 55250 ) ( 1885310 55250 )
+    NEW met1 ( 1836550 55250 ) ( 1883930 55250 )
+    NEW met1 ( 1885310 55250 ) ( 1932230 55250 )
+    NEW li1 ( 1980530 55250 ) ( 1981910 55250 )
+    NEW met1 ( 1933150 55250 ) ( 1980530 55250 )
+    NEW met1 ( 1981910 55250 ) ( 2028830 55250 )
+    NEW met1 ( 2390850 2890 ) M1M2_PR
+    NEW met1 ( 2387630 2890 ) M1M2_PR
+    NEW met1 ( 2387630 52870 ) M1M2_PR
+    NEW met1 ( 1647490 53550 ) M1M2_PR
     NEW met1 ( 1647490 1684190 ) M1M2_PR
     NEW met1 ( 1644730 1684190 ) M1M2_PR
-    NEW met1 ( 1704990 55930 ) M1M2_PR
-    NEW met2 ( 1704990 52020 ) via2_FR
-    NEW met2 ( 2091390 52020 ) via2_FR
-    NEW met1 ( 2091390 52190 ) M1M2_PR
-    NEW met1 ( 2405570 52190 ) M1M2_PR
-    NEW li1 ( 1656230 53210 ) L1M1_PR_MR
-    NEW li1 ( 1656230 55250 ) L1M1_PR_MR
+    NEW li1 ( 2137850 55250 ) L1M1_PR_MR
+    NEW li1 ( 2138770 52870 ) L1M1_PR_MR
+    NEW li1 ( 1657610 53550 ) L1M1_PR_MR
+    NEW li1 ( 1657610 55250 ) L1M1_PR_MR
+    NEW li1 ( 1835630 55250 ) L1M1_PR_MR
+    NEW li1 ( 1836550 55250 ) L1M1_PR_MR
+    NEW li1 ( 1932230 55250 ) L1M1_PR_MR
+    NEW li1 ( 1933150 55250 ) L1M1_PR_MR
+    NEW li1 ( 2028830 55250 ) L1M1_PR_MR
+    NEW li1 ( 2029750 55250 ) L1M1_PR_MR
+    NEW li1 ( 1883930 55250 ) L1M1_PR_MR
+    NEW li1 ( 1885310 55250 ) L1M1_PR_MR
+    NEW li1 ( 1980530 55250 ) L1M1_PR_MR
+    NEW li1 ( 1981910 55250 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) 
-  + ROUTED met2 ( 799710 2380 0 ) ( 799710 36210 )
-    NEW met1 ( 799710 36210 ) ( 1313990 36210 )
-    NEW met2 ( 1313990 36210 ) ( 1313990 1700340 0 )
-    NEW met1 ( 799710 36210 ) M1M2_PR
-    NEW met1 ( 1313990 36210 ) M1M2_PR
+  + ROUTED met2 ( 794650 2380 0 ) ( 794650 36210 )
+    NEW met2 ( 1313990 41310 ) ( 1313990 1700340 0 )
+    NEW met2 ( 1263850 36210 ) ( 1263850 36380 )
+    NEW met3 ( 1263850 36380 ) ( 1280410 36380 )
+    NEW met2 ( 1280410 36380 ) ( 1280410 41310 )
+    NEW met1 ( 794650 36210 ) ( 1263850 36210 )
+    NEW met1 ( 1280410 41310 ) ( 1313990 41310 )
+    NEW met1 ( 794650 36210 ) M1M2_PR
+    NEW met1 ( 1313990 41310 ) M1M2_PR
+    NEW met1 ( 1263850 36210 ) M1M2_PR
+    NEW met2 ( 1263850 36380 ) via2_FR
+    NEW met2 ( 1280410 36380 ) via2_FR
+    NEW met1 ( 1280410 41310 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) 
-  + ROUTED met2 ( 645150 2380 0 ) ( 645150 41310 )
-    NEW met1 ( 645150 41310 ) ( 1278110 41310 )
+  + ROUTED met2 ( 1268910 40290 ) ( 1268910 40460 )
+    NEW met2 ( 641010 2380 0 ) ( 641010 40290 )
+    NEW met1 ( 641010 40290 ) ( 1268910 40290 )
+    NEW met2 ( 1270290 40460 ) ( 1270290 41310 )
+    NEW met1 ( 1270290 41310 ) ( 1278110 41310 )
+    NEW met3 ( 1268910 40460 ) ( 1270290 40460 )
     NEW met2 ( 1278110 1677900 ) ( 1281330 1677900 )
     NEW met2 ( 1281330 1677900 ) ( 1281330 1700340 )
     NEW met2 ( 1281330 1700340 ) ( 1282250 1700340 0 )
     NEW met2 ( 1278110 41310 ) ( 1278110 1677900 )
-    NEW met1 ( 645150 41310 ) M1M2_PR
+    NEW met1 ( 1268910 40290 ) M1M2_PR
+    NEW met2 ( 1268910 40460 ) via2_FR
+    NEW met1 ( 641010 40290 ) M1M2_PR
+    NEW met2 ( 1270290 40460 ) via2_FR
+    NEW met1 ( 1270290 41310 ) M1M2_PR
     NEW met1 ( 1278110 41310 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) 
-  + ROUTED met2 ( 1653930 56780 ) ( 1654850 56780 )
-    NEW met2 ( 1653930 51340 ) ( 1653930 56780 )
-    NEW met1 ( 1649330 1684530 ) ( 1654850 1684530 )
+  + ROUTED met2 ( 2414310 2380 0 ) ( 2414310 17510 )
+    NEW met1 ( 2408330 17510 ) ( 2414310 17510 )
+    NEW li1 ( 2159470 52530 ) ( 2159470 55590 )
+    NEW met2 ( 2408330 17510 ) ( 2408330 52530 )
+    NEW met1 ( 2159470 52530 ) ( 2408330 52530 )
+    NEW met1 ( 1649330 1684530 ) ( 1654390 1684530 )
     NEW met2 ( 1649330 1684530 ) ( 1649330 1700340 0 )
-    NEW met2 ( 2429950 35020 ) ( 2429950 51340 )
-    NEW met2 ( 2429030 35020 ) ( 2429950 35020 )
-    NEW met3 ( 1653930 51340 ) ( 2429950 51340 )
-    NEW met2 ( 2429030 2380 0 ) ( 2429030 35020 )
-    NEW met2 ( 1654850 56780 ) ( 1654850 1684530 )
-    NEW met2 ( 1653930 51340 ) via2_FR
-    NEW met1 ( 1654850 1684530 ) M1M2_PR
+    NEW li1 ( 2091390 52870 ) ( 2091390 55590 )
+    NEW met1 ( 2091390 52870 ) ( 2138310 52870 )
+    NEW li1 ( 2138310 52870 ) ( 2138310 55590 )
+    NEW met1 ( 2138310 55590 ) ( 2159470 55590 )
+    NEW met1 ( 1654390 55930 ) ( 1658530 55930 )
+    NEW met1 ( 1658530 55590 ) ( 1658530 55930 )
+    NEW met2 ( 1654390 55930 ) ( 1654390 1684530 )
+    NEW met2 ( 1739030 55420 ) ( 1739030 55590 )
+    NEW met3 ( 1739030 55420 ) ( 1739950 55420 )
+    NEW met2 ( 1739950 55420 ) ( 1739950 55590 )
+    NEW met1 ( 1835630 55590 ) ( 1835630 55930 )
+    NEW li1 ( 1835630 55930 ) ( 1835630 56610 )
+    NEW met1 ( 1835630 56610 ) ( 1883470 56610 )
+    NEW li1 ( 1883470 55590 ) ( 1883470 56610 )
+    NEW met1 ( 1932230 55590 ) ( 1932230 55930 )
+    NEW li1 ( 1932230 55930 ) ( 1932230 56610 )
+    NEW met1 ( 1932230 56610 ) ( 1980070 56610 )
+    NEW li1 ( 1980070 55590 ) ( 1980070 56610 )
+    NEW met1 ( 2028830 55590 ) ( 2028830 55930 )
+    NEW li1 ( 2028830 55930 ) ( 2028830 56610 )
+    NEW met1 ( 2028830 56610 ) ( 2076670 56610 )
+    NEW li1 ( 2076670 55590 ) ( 2076670 56610 )
+    NEW met1 ( 2076670 55590 ) ( 2091390 55590 )
+    NEW met1 ( 1704070 55590 ) ( 1704070 55930 )
+    NEW met1 ( 1704070 55930 ) ( 1738570 55930 )
+    NEW met1 ( 1738570 55590 ) ( 1738570 55930 )
+    NEW met1 ( 1658530 55590 ) ( 1704070 55590 )
+    NEW met1 ( 1738570 55590 ) ( 1739030 55590 )
+    NEW li1 ( 1787330 55590 ) ( 1787330 56270 )
+    NEW met1 ( 1787330 56270 ) ( 1835170 56270 )
+    NEW li1 ( 1835170 55590 ) ( 1835170 56270 )
+    NEW met1 ( 1739950 55590 ) ( 1787330 55590 )
+    NEW met1 ( 1835170 55590 ) ( 1835630 55590 )
+    NEW met1 ( 1884390 55250 ) ( 1884390 55590 )
+    NEW met2 ( 1884390 55250 ) ( 1884390 56610 )
+    NEW met1 ( 1884390 56610 ) ( 1931770 56610 )
+    NEW li1 ( 1931770 55590 ) ( 1931770 56610 )
+    NEW met1 ( 1883470 55590 ) ( 1884390 55590 )
+    NEW met1 ( 1931770 55590 ) ( 1932230 55590 )
+    NEW met1 ( 1980990 55250 ) ( 1980990 55590 )
+    NEW met2 ( 1980990 55250 ) ( 1980990 56610 )
+    NEW met1 ( 1980990 56610 ) ( 2028370 56610 )
+    NEW li1 ( 2028370 55590 ) ( 2028370 56610 )
+    NEW met1 ( 1980070 55590 ) ( 1980990 55590 )
+    NEW met1 ( 2028370 55590 ) ( 2028830 55590 )
+    NEW met1 ( 2414310 17510 ) M1M2_PR
+    NEW met1 ( 2408330 17510 ) M1M2_PR
+    NEW li1 ( 2159470 55590 ) L1M1_PR_MR
+    NEW li1 ( 2159470 52530 ) L1M1_PR_MR
+    NEW met1 ( 2408330 52530 ) M1M2_PR
+    NEW met1 ( 1654390 1684530 ) M1M2_PR
     NEW met1 ( 1649330 1684530 ) M1M2_PR
-    NEW met2 ( 2429950 51340 ) via2_FR
+    NEW li1 ( 2091390 55590 ) L1M1_PR_MR
+    NEW li1 ( 2091390 52870 ) L1M1_PR_MR
+    NEW li1 ( 2138310 52870 ) L1M1_PR_MR
+    NEW li1 ( 2138310 55590 ) L1M1_PR_MR
+    NEW met1 ( 1654390 55930 ) M1M2_PR
+    NEW met1 ( 1739030 55590 ) M1M2_PR
+    NEW met2 ( 1739030 55420 ) via2_FR
+    NEW met2 ( 1739950 55420 ) via2_FR
+    NEW met1 ( 1739950 55590 ) M1M2_PR
+    NEW li1 ( 1835630 55930 ) L1M1_PR_MR
+    NEW li1 ( 1835630 56610 ) L1M1_PR_MR
+    NEW li1 ( 1883470 56610 ) L1M1_PR_MR
+    NEW li1 ( 1883470 55590 ) L1M1_PR_MR
+    NEW li1 ( 1932230 55930 ) L1M1_PR_MR
+    NEW li1 ( 1932230 56610 ) L1M1_PR_MR
+    NEW li1 ( 1980070 56610 ) L1M1_PR_MR
+    NEW li1 ( 1980070 55590 ) L1M1_PR_MR
+    NEW li1 ( 2028830 55930 ) L1M1_PR_MR
+    NEW li1 ( 2028830 56610 ) L1M1_PR_MR
+    NEW li1 ( 2076670 56610 ) L1M1_PR_MR
+    NEW li1 ( 2076670 55590 ) L1M1_PR_MR
+    NEW li1 ( 1787330 55590 ) L1M1_PR_MR
+    NEW li1 ( 1787330 56270 ) L1M1_PR_MR
+    NEW li1 ( 1835170 56270 ) L1M1_PR_MR
+    NEW li1 ( 1835170 55590 ) L1M1_PR_MR
+    NEW met1 ( 1884390 55250 ) M1M2_PR
+    NEW met1 ( 1884390 56610 ) M1M2_PR
+    NEW li1 ( 1931770 56610 ) L1M1_PR_MR
+    NEW li1 ( 1931770 55590 ) L1M1_PR_MR
+    NEW met1 ( 1980990 55250 ) M1M2_PR
+    NEW met1 ( 1980990 56610 ) M1M2_PR
+    NEW li1 ( 2028370 56610 ) L1M1_PR_MR
+    NEW li1 ( 2028370 55590 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) 
-  + ROUTED met1 ( 1653010 1684190 ) ( 1654390 1684190 )
+  + ROUTED met2 ( 2432250 2380 0 ) ( 2432250 16660 )
+    NEW met2 ( 2429030 16660 ) ( 2432250 16660 )
+    NEW met2 ( 2429030 16660 ) ( 2429030 52190 )
+    NEW li1 ( 2160390 52190 ) ( 2160390 55250 )
+    NEW met1 ( 2160390 52190 ) ( 2429030 52190 )
+    NEW met1 ( 1653010 1684190 ) ( 1654850 1684190 )
     NEW met2 ( 1653010 1684190 ) ( 1653010 1700340 0 )
-    NEW met1 ( 1654390 58310 ) ( 2446970 58310 )
-    NEW met2 ( 2446970 2380 0 ) ( 2446970 58310 )
-    NEW met2 ( 1654390 58310 ) ( 1654390 1684190 )
-    NEW met1 ( 1654390 58310 ) M1M2_PR
-    NEW met1 ( 1654390 1684190 ) M1M2_PR
+    NEW met2 ( 1704990 52020 ) ( 1704990 56270 )
+    NEW met2 ( 2138770 52020 ) ( 2138770 55250 )
+    NEW met3 ( 1704990 52020 ) ( 2138770 52020 )
+    NEW met1 ( 2138770 55250 ) ( 2160390 55250 )
+    NEW met2 ( 1656230 54910 ) ( 1656690 54910 )
+    NEW met2 ( 1656690 53890 ) ( 1656690 54910 )
+    NEW met1 ( 1656690 53890 ) ( 1703610 53890 )
+    NEW li1 ( 1703610 53890 ) ( 1703610 56270 )
+    NEW met1 ( 1654850 54910 ) ( 1656230 54910 )
+    NEW met1 ( 1703610 56270 ) ( 1704990 56270 )
+    NEW met2 ( 1654850 54910 ) ( 1654850 1684190 )
+    NEW met1 ( 2429030 52190 ) M1M2_PR
+    NEW li1 ( 2160390 55250 ) L1M1_PR_MR
+    NEW li1 ( 2160390 52190 ) L1M1_PR_MR
+    NEW met1 ( 1654850 54910 ) M1M2_PR
+    NEW met1 ( 1654850 1684190 ) M1M2_PR
     NEW met1 ( 1653010 1684190 ) M1M2_PR
-    NEW met1 ( 2446970 58310 ) M1M2_PR
+    NEW met1 ( 1704990 56270 ) M1M2_PR
+    NEW met2 ( 1704990 52020 ) via2_FR
+    NEW met2 ( 2138770 52020 ) via2_FR
+    NEW met1 ( 2138770 55250 ) M1M2_PR
+    NEW met1 ( 1656230 54910 ) M1M2_PR
+    NEW met1 ( 1656690 53890 ) M1M2_PR
+    NEW li1 ( 1703610 53890 ) L1M1_PR_MR
+    NEW li1 ( 1703610 56270 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) 
-  + ROUTED met2 ( 2464910 2380 0 ) ( 2464910 2890 )
-    NEW met2 ( 2464450 2890 ) ( 2464910 2890 )
-    NEW met2 ( 2464450 2890 ) ( 2464450 3060 )
-    NEW met2 ( 2463530 3060 ) ( 2464450 3060 )
-    NEW met1 ( 1656690 1684870 ) ( 1662210 1684870 )
-    NEW met2 ( 1656690 1684870 ) ( 1656690 1700340 0 )
-    NEW met2 ( 1662210 62050 ) ( 1662210 1684870 )
-    NEW met1 ( 1662210 62050 ) ( 2463530 62050 )
-    NEW met2 ( 2463530 3060 ) ( 2463530 62050 )
-    NEW met1 ( 1662210 62050 ) M1M2_PR
-    NEW met1 ( 1662210 1684870 ) M1M2_PR
-    NEW met1 ( 1656690 1684870 ) M1M2_PR
-    NEW met1 ( 2463530 62050 ) M1M2_PR
+  + ROUTED met2 ( 2449730 2380 0 ) ( 2449730 7140 )
+    NEW met2 ( 2449730 7140 ) ( 2450190 7140 )
+    NEW met2 ( 2450190 7140 ) ( 2450190 51340 )
+    NEW met1 ( 1656230 1631490 ) ( 1662210 1631490 )
+    NEW met3 ( 1662210 51340 ) ( 2450190 51340 )
+    NEW met2 ( 1662210 51340 ) ( 1662210 1631490 )
+    NEW met2 ( 1656230 1700340 ) ( 1656690 1700340 0 )
+    NEW met2 ( 1656230 1631490 ) ( 1656230 1700340 )
+    NEW met2 ( 2450190 51340 ) via2_FR
+    NEW met1 ( 1656230 1631490 ) M1M2_PR
+    NEW met1 ( 1662210 1631490 ) M1M2_PR
+    NEW met2 ( 1662210 51340 ) via2_FR
 + USE SIGNAL ;
 - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) 
-  + ROUTED met2 ( 2482850 2380 0 ) ( 2482850 2890 )
-    NEW met1 ( 2477330 2890 ) ( 2482850 2890 )
-    NEW met2 ( 2477330 2890 ) ( 2477330 61710 )
+  + ROUTED met2 ( 2467670 2380 0 ) ( 2467670 2890 )
+    NEW met1 ( 2463530 2890 ) ( 2467670 2890 )
+    NEW met2 ( 2463530 2890 ) ( 2463530 58990 )
+    NEW met1 ( 1661750 58990 ) ( 2463530 58990 )
     NEW met1 ( 1660370 1684190 ) ( 1661750 1684190 )
     NEW met2 ( 1660370 1684190 ) ( 1660370 1700340 0 )
-    NEW met2 ( 1661750 61710 ) ( 1661750 1684190 )
-    NEW met1 ( 1661750 61710 ) ( 2477330 61710 )
-    NEW met1 ( 2482850 2890 ) M1M2_PR
-    NEW met1 ( 2477330 2890 ) M1M2_PR
-    NEW met1 ( 2477330 61710 ) M1M2_PR
-    NEW met1 ( 1661750 61710 ) M1M2_PR
+    NEW met2 ( 1661750 58990 ) ( 1661750 1684190 )
+    NEW met1 ( 2467670 2890 ) M1M2_PR
+    NEW met1 ( 2463530 2890 ) M1M2_PR
+    NEW met1 ( 2463530 58990 ) M1M2_PR
+    NEW met1 ( 1661750 58990 ) M1M2_PR
     NEW met1 ( 1661750 1684190 ) M1M2_PR
     NEW met1 ( 1660370 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) 
-  + ROUTED met2 ( 2500790 2380 0 ) ( 2500790 2890 )
-    NEW met1 ( 2498030 2890 ) ( 2500790 2890 )
-    NEW met2 ( 2498030 2890 ) ( 2498030 61370 )
-    NEW met1 ( 1664050 1684530 ) ( 1668650 1684530 )
+  + ROUTED met2 ( 2485610 2380 0 ) ( 2485610 3060 )
+    NEW met2 ( 2484230 3060 ) ( 2485610 3060 )
+    NEW met2 ( 2484230 3060 ) ( 2484230 1355750 )
+    NEW met1 ( 1668190 1355750 ) ( 2484230 1355750 )
+    NEW met1 ( 1664050 1684530 ) ( 1668190 1684530 )
     NEW met2 ( 1664050 1684530 ) ( 1664050 1700340 0 )
-    NEW met2 ( 1668650 61370 ) ( 1668650 1684530 )
-    NEW met1 ( 1668650 61370 ) ( 2498030 61370 )
-    NEW met1 ( 2500790 2890 ) M1M2_PR
-    NEW met1 ( 2498030 2890 ) M1M2_PR
-    NEW met1 ( 2498030 61370 ) M1M2_PR
-    NEW met1 ( 1668650 61370 ) M1M2_PR
-    NEW met1 ( 1668650 1684530 ) M1M2_PR
+    NEW met2 ( 1668190 1355750 ) ( 1668190 1684530 )
+    NEW met1 ( 2484230 1355750 ) M1M2_PR
+    NEW met1 ( 1668190 1355750 ) M1M2_PR
+    NEW met1 ( 1668190 1684530 ) M1M2_PR
     NEW met1 ( 1664050 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) 
-  + ROUTED met2 ( 2518270 2380 0 ) ( 2518270 61030 )
-    NEW met2 ( 1667730 1700340 0 ) ( 1668190 1700340 )
-    NEW met2 ( 1668190 61030 ) ( 1668190 1700340 )
-    NEW met1 ( 1668190 61030 ) ( 2518270 61030 )
-    NEW met1 ( 2518270 61030 ) M1M2_PR
-    NEW met1 ( 1668190 61030 ) M1M2_PR
+  + ROUTED met2 ( 2503090 2380 0 ) ( 2503090 17340 )
+    NEW met2 ( 2498030 17340 ) ( 2503090 17340 )
+    NEW met2 ( 2498030 17340 ) ( 2498030 58650 )
+    NEW met1 ( 1668650 58650 ) ( 2498030 58650 )
+    NEW met2 ( 1667730 1700340 0 ) ( 1668650 1700340 )
+    NEW met2 ( 1668650 58650 ) ( 1668650 1700340 )
+    NEW met1 ( 2498030 58650 ) M1M2_PR
+    NEW met1 ( 1668650 58650 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) 
-  + ROUTED met2 ( 2536210 2380 0 ) ( 2536210 2890 )
-    NEW met1 ( 2532530 2890 ) ( 2536210 2890 )
-    NEW met1 ( 1671410 1684190 ) ( 1674630 1684190 )
-    NEW met2 ( 1671410 1684190 ) ( 1671410 1700340 0 )
-    NEW met2 ( 1674630 1397230 ) ( 1674630 1684190 )
-    NEW met1 ( 1674630 1397230 ) ( 2532530 1397230 )
-    NEW met2 ( 2532530 2890 ) ( 2532530 1397230 )
-    NEW met1 ( 2536210 2890 ) M1M2_PR
-    NEW met1 ( 2532530 2890 ) M1M2_PR
-    NEW met1 ( 2532530 1397230 ) M1M2_PR
-    NEW met1 ( 1674630 1397230 ) M1M2_PR
-    NEW met1 ( 1674630 1684190 ) M1M2_PR
-    NEW met1 ( 1671410 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2521030 2380 0 ) ( 2521030 17340 )
+    NEW met2 ( 2518730 17340 ) ( 2521030 17340 )
+    NEW met2 ( 2518730 17340 ) ( 2518730 1535270 )
+    NEW met1 ( 1674630 1535270 ) ( 2518730 1535270 )
+    NEW met1 ( 1671410 1684530 ) ( 1674630 1684530 )
+    NEW met2 ( 1671410 1684530 ) ( 1671410 1700340 0 )
+    NEW met2 ( 1674630 1535270 ) ( 1674630 1684530 )
+    NEW met1 ( 2518730 1535270 ) M1M2_PR
+    NEW met1 ( 1674630 1535270 ) M1M2_PR
+    NEW met1 ( 1674630 1684530 ) M1M2_PR
+    NEW met1 ( 1671410 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) 
-  + ROUTED met2 ( 1675090 60690 ) ( 1675090 1700340 0 )
-    NEW met1 ( 1675090 60690 ) ( 2554150 60690 )
-    NEW met2 ( 2554150 2380 0 ) ( 2554150 60690 )
-    NEW met1 ( 1675090 60690 ) M1M2_PR
-    NEW met1 ( 2554150 60690 ) M1M2_PR
+  + ROUTED met2 ( 2538510 2380 0 ) ( 2538510 17510 )
+    NEW met1 ( 2532530 17510 ) ( 2538510 17510 )
+    NEW met1 ( 1675090 1341810 ) ( 2532530 1341810 )
+    NEW met2 ( 1675090 1341810 ) ( 1675090 1700340 0 )
+    NEW met2 ( 2532530 17510 ) ( 2532530 1341810 )
+    NEW met1 ( 2538510 17510 ) M1M2_PR
+    NEW met1 ( 2532530 17510 ) M1M2_PR
+    NEW met1 ( 2532530 1341810 ) M1M2_PR
+    NEW met1 ( 1675090 1341810 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) 
-  + ROUTED met1 ( 1678770 1685210 ) ( 1681530 1685210 )
-    NEW met2 ( 1678770 1685210 ) ( 1678770 1700340 0 )
-    NEW met2 ( 1681530 1383290 ) ( 1681530 1685210 )
-    NEW met1 ( 1681530 1383290 ) ( 2567030 1383290 )
-    NEW met1 ( 2567030 62050 ) ( 2572090 62050 )
-    NEW met2 ( 2567030 62050 ) ( 2567030 1383290 )
-    NEW met2 ( 2572090 2380 0 ) ( 2572090 62050 )
-    NEW met1 ( 2567030 1383290 ) M1M2_PR
-    NEW met1 ( 1681530 1383290 ) M1M2_PR
-    NEW met1 ( 1681530 1685210 ) M1M2_PR
-    NEW met1 ( 1678770 1685210 ) M1M2_PR
-    NEW met1 ( 2567030 62050 ) M1M2_PR
-    NEW met1 ( 2572090 62050 ) M1M2_PR
+  + ROUTED met2 ( 2556450 2380 0 ) ( 2556450 17340 )
+    NEW met2 ( 2553230 17340 ) ( 2556450 17340 )
+    NEW met1 ( 1681530 1521330 ) ( 2553230 1521330 )
+    NEW met2 ( 1679230 1676540 ) ( 1681530 1676540 )
+    NEW met2 ( 1679230 1676540 ) ( 1679230 1700340 )
+    NEW met2 ( 1678770 1700340 0 ) ( 1679230 1700340 )
+    NEW met2 ( 1681530 1521330 ) ( 1681530 1676540 )
+    NEW met2 ( 2553230 17340 ) ( 2553230 1521330 )
+    NEW met1 ( 2553230 1521330 ) M1M2_PR
+    NEW met1 ( 1681530 1521330 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) 
-  + ROUTED met2 ( 2589570 2380 0 ) ( 2589570 60350 )
-    NEW met2 ( 1681990 1700340 ) ( 1682450 1700340 0 )
-    NEW met2 ( 1681990 60350 ) ( 1681990 1700340 )
-    NEW met1 ( 1681990 60350 ) ( 2589570 60350 )
-    NEW met1 ( 2589570 60350 ) M1M2_PR
-    NEW met1 ( 1681990 60350 ) M1M2_PR
+  + ROUTED met2 ( 2573930 2380 0 ) ( 2573930 1328210 )
+    NEW met1 ( 1676930 1631490 ) ( 1681990 1631490 )
+    NEW met1 ( 1681990 1328210 ) ( 2573930 1328210 )
+    NEW met2 ( 1681990 1328210 ) ( 1681990 1631490 )
+    NEW met1 ( 1676930 1684870 ) ( 1682450 1684870 )
+    NEW met2 ( 1682450 1684870 ) ( 1682450 1700340 0 )
+    NEW met2 ( 1676930 1631490 ) ( 1676930 1684870 )
+    NEW met1 ( 2573930 1328210 ) M1M2_PR
+    NEW met1 ( 1681990 1328210 ) M1M2_PR
+    NEW met1 ( 1676930 1631490 ) M1M2_PR
+    NEW met1 ( 1681990 1631490 ) M1M2_PR
+    NEW met1 ( 1676930 1684870 ) M1M2_PR
+    NEW met1 ( 1682450 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) 
-  + ROUTED met2 ( 1319050 35870 ) ( 1319050 1700340 0 )
-    NEW met2 ( 823630 2380 0 ) ( 823630 35870 )
-    NEW met1 ( 823630 35870 ) ( 1319050 35870 )
+  + ROUTED met2 ( 818570 2380 0 ) ( 818570 35870 )
+    NEW met1 ( 1318590 820930 ) ( 1319510 820930 )
+    NEW met2 ( 1319510 795940 ) ( 1319510 820930 )
+    NEW met2 ( 1319050 795940 ) ( 1319510 795940 )
+    NEW met1 ( 1318590 966110 ) ( 1319050 966110 )
+    NEW met3 ( 1318590 1207340 ) ( 1318820 1207340 )
+    NEW met4 ( 1318820 1171980 ) ( 1318820 1207340 )
+    NEW met3 ( 1318820 1171980 ) ( 1319510 1171980 )
+    NEW met1 ( 1319050 1352690 ) ( 1319510 1352690 )
+    NEW met1 ( 1319050 1545810 ) ( 1319510 1545810 )
+    NEW met1 ( 1319050 1690310 ) ( 1319970 1690310 )
+    NEW li1 ( 1318590 834530 ) ( 1318590 835890 )
+    NEW met1 ( 1318590 835890 ) ( 1319050 835890 )
+    NEW met2 ( 1318590 820930 ) ( 1318590 834530 )
+    NEW met1 ( 1318590 1124210 ) ( 1318590 1124890 )
+    NEW met2 ( 1318590 1124890 ) ( 1318590 1159060 )
+    NEW met3 ( 1318590 1159060 ) ( 1319510 1159060 )
+    NEW met2 ( 1319510 1159060 ) ( 1319510 1171980 )
+    NEW met2 ( 1318590 1207340 ) ( 1318590 1220770 )
+    NEW met2 ( 1319510 1352690 ) ( 1319510 1424770 )
+    NEW met2 ( 1319510 1618060 ) ( 1319970 1618060 )
+    NEW met2 ( 1319510 1545810 ) ( 1319510 1618060 )
+    NEW met2 ( 1319050 1690310 ) ( 1319050 1700340 0 )
+    NEW met2 ( 1319050 35870 ) ( 1319050 41310 )
+    NEW met2 ( 1318590 41310 ) ( 1319050 41310 )
+    NEW met1 ( 818570 35870 ) ( 1319050 35870 )
+    NEW met1 ( 1318590 137870 ) ( 1319510 137870 )
+    NEW met2 ( 1319510 90100 ) ( 1319510 137870 )
+    NEW met2 ( 1319050 90100 ) ( 1319510 90100 )
+    NEW met1 ( 1318590 882810 ) ( 1318590 883490 )
+    NEW met1 ( 1318590 882810 ) ( 1319050 882810 )
+    NEW met2 ( 1319050 835890 ) ( 1319050 882810 )
+    NEW met1 ( 1318590 1076270 ) ( 1318590 1076610 )
+    NEW met1 ( 1318590 1076610 ) ( 1319050 1076610 )
+    NEW met2 ( 1319050 1076610 ) ( 1319050 1103980 )
+    NEW met2 ( 1318590 1103980 ) ( 1319050 1103980 )
+    NEW met2 ( 1318590 966110 ) ( 1318590 1076270 )
+    NEW met2 ( 1318590 1103980 ) ( 1318590 1124210 )
+    NEW li1 ( 1318590 1220770 ) ( 1318590 1260210 )
+    NEW met1 ( 1319050 1466590 ) ( 1319510 1466590 )
+    NEW li1 ( 1319510 1424770 ) ( 1319510 1466590 )
+    NEW li1 ( 1319970 1642030 ) ( 1319970 1683170 )
+    NEW met2 ( 1319970 1618060 ) ( 1319970 1642030 )
+    NEW met2 ( 1319970 1683170 ) ( 1319970 1690310 )
+    NEW met2 ( 1318590 47940 ) ( 1319510 47940 )
+    NEW met2 ( 1319510 47940 ) ( 1319510 48620 )
+    NEW met2 ( 1319050 48620 ) ( 1319510 48620 )
+    NEW met2 ( 1318590 41310 ) ( 1318590 47940 )
+    NEW met2 ( 1319050 48620 ) ( 1319050 90100 )
+    NEW met2 ( 1318590 162180 ) ( 1319510 162180 )
+    NEW met2 ( 1318590 137870 ) ( 1318590 162180 )
+    NEW met1 ( 1318590 931430 ) ( 1318590 932110 )
+    NEW met1 ( 1318590 932110 ) ( 1319050 932110 )
+    NEW met2 ( 1318590 883490 ) ( 1318590 931430 )
+    NEW met2 ( 1319050 932110 ) ( 1319050 966110 )
+    NEW li1 ( 1318590 1297950 ) ( 1318590 1318010 )
+    NEW met2 ( 1318590 1318010 ) ( 1318590 1345380 )
+    NEW met2 ( 1318590 1345380 ) ( 1319050 1345380 )
+    NEW met2 ( 1318590 1260210 ) ( 1318590 1297950 )
+    NEW met2 ( 1319050 1345380 ) ( 1319050 1352690 )
+    NEW li1 ( 1319050 1510450 ) ( 1319050 1538670 )
+    NEW met2 ( 1319050 1466590 ) ( 1319050 1510450 )
+    NEW met2 ( 1319050 1538670 ) ( 1319050 1545810 )
+    NEW li1 ( 1319510 185810 ) ( 1319510 227630 )
+    NEW met2 ( 1319510 162180 ) ( 1319510 185810 )
+    NEW met1 ( 1318590 303110 ) ( 1318590 303790 )
+    NEW met1 ( 1318590 303110 ) ( 1319050 303110 )
+    NEW met1 ( 1318590 517310 ) ( 1319970 517310 )
+    NEW met2 ( 1318590 517310 ) ( 1318590 524450 )
+    NEW met1 ( 1318590 593470 ) ( 1319050 593470 )
+    NEW met2 ( 1319050 593470 ) ( 1319050 613700 )
+    NEW met2 ( 1318590 613700 ) ( 1319050 613700 )
+    NEW li1 ( 1318590 524450 ) ( 1318590 593470 )
+    NEW met1 ( 1318590 275910 ) ( 1319050 275910 )
+    NEW met2 ( 1318590 228140 ) ( 1318590 275910 )
+    NEW met3 ( 1318590 228140 ) ( 1319510 228140 )
+    NEW met2 ( 1319050 275910 ) ( 1319050 303110 )
+    NEW met2 ( 1319510 227630 ) ( 1319510 228140 )
+    NEW met1 ( 1318590 351730 ) ( 1318590 352410 )
+    NEW met1 ( 1318590 352410 ) ( 1319050 352410 )
+    NEW met2 ( 1318590 303790 ) ( 1318590 351730 )
+    NEW met2 ( 1318590 642260 ) ( 1319050 642260 )
+    NEW met2 ( 1318590 613700 ) ( 1318590 642260 )
+    NEW met3 ( 1318820 710940 ) ( 1319050 710940 )
+    NEW met4 ( 1318820 710940 ) ( 1318820 717740 )
+    NEW met3 ( 1318820 717740 ) ( 1319050 717740 )
+    NEW met2 ( 1319050 717740 ) ( 1319050 795940 )
+    NEW met1 ( 1318130 413950 ) ( 1319510 413950 )
+    NEW met2 ( 1319510 400180 ) ( 1319510 413950 )
+    NEW met2 ( 1319050 400180 ) ( 1319510 400180 )
+    NEW met2 ( 1319050 352410 ) ( 1319050 400180 )
+    NEW li1 ( 1319050 656030 ) ( 1319050 703630 )
+    NEW met2 ( 1319050 642260 ) ( 1319050 656030 )
+    NEW met2 ( 1319050 703630 ) ( 1319050 710940 )
+    NEW met1 ( 1318130 420750 ) ( 1319970 420750 )
+    NEW met2 ( 1318130 413950 ) ( 1318130 420750 )
+    NEW met2 ( 1319970 420750 ) ( 1319970 517310 )
+    NEW met1 ( 818570 35870 ) M1M2_PR
+    NEW met1 ( 1318590 820930 ) M1M2_PR
+    NEW met1 ( 1319510 820930 ) M1M2_PR
+    NEW met1 ( 1318590 966110 ) M1M2_PR
+    NEW met1 ( 1319050 966110 ) M1M2_PR
+    NEW met2 ( 1318590 1207340 ) via2_FR
+    NEW met3 ( 1318820 1207340 ) M3M4_PR_M
+    NEW met3 ( 1318820 1171980 ) M3M4_PR_M
+    NEW met2 ( 1319510 1171980 ) via2_FR
+    NEW met1 ( 1319510 1352690 ) M1M2_PR
+    NEW met1 ( 1319050 1352690 ) M1M2_PR
+    NEW met1 ( 1319510 1545810 ) M1M2_PR
+    NEW met1 ( 1319050 1545810 ) M1M2_PR
+    NEW met1 ( 1319970 1690310 ) M1M2_PR
+    NEW met1 ( 1319050 1690310 ) M1M2_PR
+    NEW li1 ( 1318590 834530 ) L1M1_PR_MR
+    NEW met1 ( 1318590 834530 ) M1M2_PR
+    NEW li1 ( 1318590 835890 ) L1M1_PR_MR
+    NEW met1 ( 1319050 835890 ) M1M2_PR
+    NEW met1 ( 1318590 1124210 ) M1M2_PR
+    NEW met1 ( 1318590 1124890 ) M1M2_PR
+    NEW met2 ( 1318590 1159060 ) via2_FR
+    NEW met2 ( 1319510 1159060 ) via2_FR
+    NEW li1 ( 1318590 1220770 ) L1M1_PR_MR
+    NEW met1 ( 1318590 1220770 ) M1M2_PR
+    NEW li1 ( 1319510 1424770 ) L1M1_PR_MR
+    NEW met1 ( 1319510 1424770 ) M1M2_PR
     NEW met1 ( 1319050 35870 ) M1M2_PR
-    NEW met1 ( 823630 35870 ) M1M2_PR
+    NEW met1 ( 1318590 137870 ) M1M2_PR
+    NEW met1 ( 1319510 137870 ) M1M2_PR
+    NEW met1 ( 1318590 883490 ) M1M2_PR
+    NEW met1 ( 1319050 882810 ) M1M2_PR
+    NEW met1 ( 1318590 1076270 ) M1M2_PR
+    NEW met1 ( 1319050 1076610 ) M1M2_PR
+    NEW li1 ( 1318590 1260210 ) L1M1_PR_MR
+    NEW met1 ( 1318590 1260210 ) M1M2_PR
+    NEW met1 ( 1319050 1466590 ) M1M2_PR
+    NEW li1 ( 1319510 1466590 ) L1M1_PR_MR
+    NEW li1 ( 1319970 1642030 ) L1M1_PR_MR
+    NEW met1 ( 1319970 1642030 ) M1M2_PR
+    NEW li1 ( 1319970 1683170 ) L1M1_PR_MR
+    NEW met1 ( 1319970 1683170 ) M1M2_PR
+    NEW li1 ( 1318590 524450 ) L1M1_PR_MR
+    NEW met1 ( 1318590 524450 ) M1M2_PR
+    NEW met1 ( 1318590 931430 ) M1M2_PR
+    NEW met1 ( 1319050 932110 ) M1M2_PR
+    NEW li1 ( 1318590 1297950 ) L1M1_PR_MR
+    NEW met1 ( 1318590 1297950 ) M1M2_PR
+    NEW li1 ( 1318590 1318010 ) L1M1_PR_MR
+    NEW met1 ( 1318590 1318010 ) M1M2_PR
+    NEW li1 ( 1319050 1510450 ) L1M1_PR_MR
+    NEW met1 ( 1319050 1510450 ) M1M2_PR
+    NEW li1 ( 1319050 1538670 ) L1M1_PR_MR
+    NEW met1 ( 1319050 1538670 ) M1M2_PR
+    NEW li1 ( 1319510 185810 ) L1M1_PR_MR
+    NEW met1 ( 1319510 185810 ) M1M2_PR
+    NEW li1 ( 1319510 227630 ) L1M1_PR_MR
+    NEW met1 ( 1319510 227630 ) M1M2_PR
+    NEW met1 ( 1318590 303790 ) M1M2_PR
+    NEW met1 ( 1319050 303110 ) M1M2_PR
+    NEW met1 ( 1318590 517310 ) M1M2_PR
+    NEW met1 ( 1319970 517310 ) M1M2_PR
+    NEW li1 ( 1318590 593470 ) L1M1_PR_MR
+    NEW met1 ( 1319050 593470 ) M1M2_PR
+    NEW met1 ( 1319050 275910 ) M1M2_PR
+    NEW met1 ( 1318590 275910 ) M1M2_PR
+    NEW met2 ( 1318590 228140 ) via2_FR
+    NEW met2 ( 1319510 228140 ) via2_FR
+    NEW met1 ( 1318590 351730 ) M1M2_PR
+    NEW met1 ( 1319050 352410 ) M1M2_PR
+    NEW met2 ( 1319050 710940 ) via2_FR
+    NEW met3 ( 1318820 710940 ) M3M4_PR_M
+    NEW met3 ( 1318820 717740 ) M3M4_PR_M
+    NEW met2 ( 1319050 717740 ) via2_FR
+    NEW met1 ( 1318130 413950 ) M1M2_PR
+    NEW met1 ( 1319510 413950 ) M1M2_PR
+    NEW li1 ( 1319050 656030 ) L1M1_PR_MR
+    NEW met1 ( 1319050 656030 ) M1M2_PR
+    NEW li1 ( 1319050 703630 ) L1M1_PR_MR
+    NEW met1 ( 1319050 703630 ) M1M2_PR
+    NEW met1 ( 1318130 420750 ) M1M2_PR
+    NEW met1 ( 1319970 420750 ) M1M2_PR
+    NEW met3 ( 1318820 1207340 ) RECT ( 0 -150 390 150 )
+    NEW met1 ( 1318590 834530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1318590 1220770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319510 1424770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1318590 1260210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319970 1642030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319970 1683170 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1318590 524450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1318590 1297950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1318590 1318010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319050 1510450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319050 1538670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319510 185810 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319510 227630 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1319050 710940 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1318820 717740 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 1319050 656030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1319050 703630 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) 
-  + ROUTED met1 ( 2601530 37570 ) ( 2607510 37570 )
-    NEW met2 ( 2601530 37570 ) ( 2601530 1659710 )
-    NEW met2 ( 2607510 2380 0 ) ( 2607510 37570 )
+  + ROUTED met2 ( 2591870 2380 0 ) ( 2591870 17340 )
+    NEW met2 ( 2587730 17340 ) ( 2591870 17340 )
+    NEW met2 ( 2587730 17340 ) ( 2587730 1659710 )
     NEW met2 ( 1686130 1659710 ) ( 1686130 1700340 0 )
-    NEW met1 ( 1686130 1659710 ) ( 2601530 1659710 )
-    NEW met1 ( 2601530 1659710 ) M1M2_PR
-    NEW met1 ( 2601530 37570 ) M1M2_PR
-    NEW met1 ( 2607510 37570 ) M1M2_PR
+    NEW met1 ( 1686130 1659710 ) ( 2587730 1659710 )
+    NEW met1 ( 2587730 1659710 ) M1M2_PR
     NEW met1 ( 1686130 1659710 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) 
-  + ROUTED met1 ( 1688430 1684190 ) ( 1689810 1684190 )
+  + ROUTED met2 ( 2609350 2380 0 ) ( 2609350 2890 )
+    NEW met1 ( 2608430 2890 ) ( 2609350 2890 )
+    NEW met2 ( 2608430 2890 ) ( 2608430 1507390 )
+    NEW met1 ( 1688430 1507390 ) ( 2608430 1507390 )
+    NEW met1 ( 1688430 1684190 ) ( 1689810 1684190 )
     NEW met2 ( 1689810 1684190 ) ( 1689810 1700340 0 )
-    NEW met2 ( 1688430 59330 ) ( 1688430 1684190 )
-    NEW met1 ( 1688430 59330 ) ( 2625450 59330 )
-    NEW met2 ( 2625450 2380 0 ) ( 2625450 59330 )
-    NEW met1 ( 1688430 59330 ) M1M2_PR
+    NEW met2 ( 1688430 1507390 ) ( 1688430 1684190 )
+    NEW met1 ( 2609350 2890 ) M1M2_PR
+    NEW met1 ( 2608430 2890 ) M1M2_PR
+    NEW met1 ( 2608430 1507390 ) M1M2_PR
+    NEW met1 ( 1688430 1507390 ) M1M2_PR
     NEW met1 ( 1688430 1684190 ) M1M2_PR
     NEW met1 ( 1689810 1684190 ) M1M2_PR
-    NEW met1 ( 2625450 59330 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) 
-  + ROUTED met1 ( 1693490 1684190 ) ( 1695330 1684190 )
-    NEW met2 ( 1693490 1684190 ) ( 1693490 1700340 0 )
-    NEW met2 ( 1695330 1535270 ) ( 1695330 1684190 )
-    NEW met2 ( 2643390 2380 0 ) ( 2643390 2890 )
-    NEW met1 ( 2643390 2890 ) ( 2643850 2890 )
-    NEW met2 ( 2643850 2890 ) ( 2643850 47940 )
-    NEW met2 ( 2643390 47940 ) ( 2643850 47940 )
-    NEW met1 ( 2642930 48450 ) ( 2643390 48450 )
-    NEW met2 ( 2643390 47940 ) ( 2643390 48450 )
-    NEW met3 ( 2642700 821100 ) ( 2642930 821100 )
-    NEW met3 ( 2642700 821100 ) ( 2642700 822460 )
-    NEW met3 ( 2642700 822460 ) ( 2642930 822460 )
-    NEW met1 ( 1695330 1535270 ) ( 2642930 1535270 )
-    NEW li1 ( 2642930 48450 ) ( 2642930 137870 )
-    NEW li1 ( 2642930 186490 ) ( 2642930 234430 )
-    NEW met2 ( 2642930 137870 ) ( 2642930 186490 )
-    NEW li1 ( 2642930 283390 ) ( 2642930 330990 )
-    NEW met2 ( 2642930 234430 ) ( 2642930 283390 )
-    NEW li1 ( 2642930 379610 ) ( 2642930 427550 )
-    NEW met2 ( 2642930 330990 ) ( 2642930 379610 )
-    NEW li1 ( 2642930 476510 ) ( 2642930 524110 )
-    NEW met2 ( 2642930 427550 ) ( 2642930 476510 )
-    NEW li1 ( 2642930 572730 ) ( 2642930 620670 )
-    NEW met2 ( 2642930 524110 ) ( 2642930 572730 )
-    NEW li1 ( 2642930 669630 ) ( 2642930 717570 )
-    NEW met2 ( 2642930 620670 ) ( 2642930 669630 )
-    NEW li1 ( 2642930 766190 ) ( 2642930 814130 )
-    NEW met2 ( 2642930 717570 ) ( 2642930 766190 )
-    NEW met2 ( 2642930 814130 ) ( 2642930 821100 )
-    NEW met3 ( 2642010 862580 ) ( 2642930 862580 )
-    NEW met2 ( 2642010 862580 ) ( 2642010 910690 )
-    NEW met1 ( 2642010 910690 ) ( 2642930 910690 )
-    NEW met2 ( 2642930 822460 ) ( 2642930 862580 )
-    NEW met3 ( 2642010 959140 ) ( 2642930 959140 )
-    NEW met2 ( 2642010 959140 ) ( 2642010 1007250 )
-    NEW met1 ( 2642010 1007250 ) ( 2642930 1007250 )
-    NEW met2 ( 2642930 910690 ) ( 2642930 959140 )
-    NEW met1 ( 2642010 1055870 ) ( 2642930 1055870 )
-    NEW met2 ( 2642010 1055870 ) ( 2642010 1103980 )
-    NEW met3 ( 2642010 1103980 ) ( 2642930 1103980 )
-    NEW met2 ( 2642930 1007250 ) ( 2642930 1055870 )
-    NEW met1 ( 2642010 1152430 ) ( 2642930 1152430 )
-    NEW met2 ( 2642010 1152430 ) ( 2642010 1200540 )
-    NEW met3 ( 2642010 1200540 ) ( 2642930 1200540 )
-    NEW met2 ( 2642930 1103980 ) ( 2642930 1152430 )
-    NEW met1 ( 2642010 1248990 ) ( 2642930 1248990 )
-    NEW met2 ( 2642010 1248990 ) ( 2642010 1297100 )
-    NEW met3 ( 2642010 1297100 ) ( 2642930 1297100 )
-    NEW met2 ( 2642930 1200540 ) ( 2642930 1248990 )
-    NEW met1 ( 2642010 1345550 ) ( 2642930 1345550 )
-    NEW met2 ( 2642010 1345550 ) ( 2642010 1393660 )
-    NEW met3 ( 2642010 1393660 ) ( 2642930 1393660 )
-    NEW met2 ( 2642930 1297100 ) ( 2642930 1345550 )
-    NEW met1 ( 2642010 1442110 ) ( 2642930 1442110 )
-    NEW met2 ( 2642010 1442110 ) ( 2642010 1490220 )
-    NEW met3 ( 2642010 1490220 ) ( 2642930 1490220 )
-    NEW met2 ( 2642930 1393660 ) ( 2642930 1442110 )
-    NEW met2 ( 2642930 1490220 ) ( 2642930 1535270 )
-    NEW met1 ( 1695330 1535270 ) M1M2_PR
-    NEW met1 ( 1695330 1684190 ) M1M2_PR
-    NEW met1 ( 1693490 1684190 ) M1M2_PR
-    NEW met1 ( 2643390 2890 ) M1M2_PR
-    NEW met1 ( 2643850 2890 ) M1M2_PR
-    NEW li1 ( 2642930 48450 ) L1M1_PR_MR
-    NEW met1 ( 2643390 48450 ) M1M2_PR
-    NEW met2 ( 2642930 821100 ) via2_FR
-    NEW met2 ( 2642930 822460 ) via2_FR
-    NEW met1 ( 2642930 1535270 ) M1M2_PR
-    NEW li1 ( 2642930 137870 ) L1M1_PR_MR
-    NEW met1 ( 2642930 137870 ) M1M2_PR
-    NEW li1 ( 2642930 186490 ) L1M1_PR_MR
-    NEW met1 ( 2642930 186490 ) M1M2_PR
-    NEW li1 ( 2642930 234430 ) L1M1_PR_MR
-    NEW met1 ( 2642930 234430 ) M1M2_PR
-    NEW li1 ( 2642930 283390 ) L1M1_PR_MR
-    NEW met1 ( 2642930 283390 ) M1M2_PR
-    NEW li1 ( 2642930 330990 ) L1M1_PR_MR
-    NEW met1 ( 2642930 330990 ) M1M2_PR
-    NEW li1 ( 2642930 379610 ) L1M1_PR_MR
-    NEW met1 ( 2642930 379610 ) M1M2_PR
-    NEW li1 ( 2642930 427550 ) L1M1_PR_MR
-    NEW met1 ( 2642930 427550 ) M1M2_PR
-    NEW li1 ( 2642930 476510 ) L1M1_PR_MR
-    NEW met1 ( 2642930 476510 ) M1M2_PR
-    NEW li1 ( 2642930 524110 ) L1M1_PR_MR
-    NEW met1 ( 2642930 524110 ) M1M2_PR
-    NEW li1 ( 2642930 572730 ) L1M1_PR_MR
-    NEW met1 ( 2642930 572730 ) M1M2_PR
-    NEW li1 ( 2642930 620670 ) L1M1_PR_MR
-    NEW met1 ( 2642930 620670 ) M1M2_PR
-    NEW li1 ( 2642930 669630 ) L1M1_PR_MR
-    NEW met1 ( 2642930 669630 ) M1M2_PR
-    NEW li1 ( 2642930 717570 ) L1M1_PR_MR
-    NEW met1 ( 2642930 717570 ) M1M2_PR
-    NEW li1 ( 2642930 766190 ) L1M1_PR_MR
-    NEW met1 ( 2642930 766190 ) M1M2_PR
-    NEW li1 ( 2642930 814130 ) L1M1_PR_MR
-    NEW met1 ( 2642930 814130 ) M1M2_PR
-    NEW met2 ( 2642930 862580 ) via2_FR
-    NEW met2 ( 2642010 862580 ) via2_FR
-    NEW met1 ( 2642010 910690 ) M1M2_PR
-    NEW met1 ( 2642930 910690 ) M1M2_PR
-    NEW met2 ( 2642930 959140 ) via2_FR
-    NEW met2 ( 2642010 959140 ) via2_FR
-    NEW met1 ( 2642010 1007250 ) M1M2_PR
-    NEW met1 ( 2642930 1007250 ) M1M2_PR
-    NEW met1 ( 2642930 1055870 ) M1M2_PR
-    NEW met1 ( 2642010 1055870 ) M1M2_PR
-    NEW met2 ( 2642010 1103980 ) via2_FR
-    NEW met2 ( 2642930 1103980 ) via2_FR
-    NEW met1 ( 2642930 1152430 ) M1M2_PR
-    NEW met1 ( 2642010 1152430 ) M1M2_PR
-    NEW met2 ( 2642010 1200540 ) via2_FR
-    NEW met2 ( 2642930 1200540 ) via2_FR
-    NEW met1 ( 2642930 1248990 ) M1M2_PR
-    NEW met1 ( 2642010 1248990 ) M1M2_PR
-    NEW met2 ( 2642010 1297100 ) via2_FR
-    NEW met2 ( 2642930 1297100 ) via2_FR
-    NEW met1 ( 2642930 1345550 ) M1M2_PR
-    NEW met1 ( 2642010 1345550 ) M1M2_PR
-    NEW met2 ( 2642010 1393660 ) via2_FR
-    NEW met2 ( 2642930 1393660 ) via2_FR
-    NEW met1 ( 2642930 1442110 ) M1M2_PR
-    NEW met1 ( 2642010 1442110 ) M1M2_PR
-    NEW met2 ( 2642010 1490220 ) via2_FR
-    NEW met2 ( 2642930 1490220 ) via2_FR
-    NEW met1 ( 2642930 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 717570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2642930 814130 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2627290 2380 0 ) ( 2627290 2890 )
+    NEW met1 ( 2622230 2890 ) ( 2627290 2890 )
+    NEW met1 ( 1693030 1624690 ) ( 2622230 1624690 )
+    NEW met2 ( 1693030 1700340 ) ( 1693490 1700340 0 )
+    NEW met2 ( 1693030 1624690 ) ( 1693030 1700340 )
+    NEW met2 ( 2622230 2890 ) ( 2622230 1624690 )
+    NEW met1 ( 2627290 2890 ) M1M2_PR
+    NEW met1 ( 2622230 2890 ) M1M2_PR
+    NEW met1 ( 2622230 1624690 ) M1M2_PR
+    NEW met1 ( 1693030 1624690 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) 
-  + ROUTED met2 ( 1695790 1677900 ) ( 1696250 1677900 )
-    NEW met2 ( 1696250 1677900 ) ( 1696250 1700340 )
+  + ROUTED met2 ( 2645230 2380 0 ) ( 2645230 17340 )
+    NEW met2 ( 2642930 17340 ) ( 2645230 17340 )
+    NEW met2 ( 1694870 1677220 ) ( 1696250 1677220 )
+    NEW met2 ( 1696250 1677220 ) ( 1696250 1700340 )
     NEW met2 ( 1696250 1700340 ) ( 1697170 1700340 0 )
-    NEW met2 ( 1695790 58990 ) ( 1695790 1677900 )
-    NEW met1 ( 1695790 58990 ) ( 2661330 58990 )
-    NEW met2 ( 2661330 2380 0 ) ( 2661330 58990 )
-    NEW met1 ( 1695790 58990 ) M1M2_PR
-    NEW met1 ( 2661330 58990 ) M1M2_PR
+    NEW met2 ( 2642930 17340 ) ( 2642930 1500590 )
+    NEW li1 ( 1695330 1500590 ) ( 1695330 1545470 )
+    NEW met1 ( 1695330 1500590 ) ( 2642930 1500590 )
+    NEW li1 ( 1694870 1594430 ) ( 1694870 1642030 )
+    NEW met1 ( 1694870 1594430 ) ( 1695330 1594430 )
+    NEW met2 ( 1694870 1642030 ) ( 1694870 1677220 )
+    NEW met2 ( 1695330 1545470 ) ( 1695330 1594430 )
+    NEW met1 ( 2642930 1500590 ) M1M2_PR
+    NEW li1 ( 1695330 1545470 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1545470 ) M1M2_PR
+    NEW li1 ( 1695330 1500590 ) L1M1_PR_MR
+    NEW li1 ( 1694870 1642030 ) L1M1_PR_MR
+    NEW met1 ( 1694870 1642030 ) M1M2_PR
+    NEW li1 ( 1694870 1594430 ) L1M1_PR_MR
+    NEW met1 ( 1695330 1594430 ) M1M2_PR
+    NEW met1 ( 1695330 1545470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1694870 1642030 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) 
-  + ROUTED met1 ( 1700850 1684530 ) ( 1703150 1684530 )
-    NEW met2 ( 1700850 1684530 ) ( 1700850 1700340 0 )
-    NEW met3 ( 1703150 1449420 ) ( 1703380 1449420 )
-    NEW met4 ( 1703380 1449420 ) ( 1703380 1450780 )
-    NEW met3 ( 1703150 1450780 ) ( 1703380 1450780 )
-    NEW met2 ( 1703150 1450780 ) ( 1703150 1684530 )
-    NEW li1 ( 1703150 1413890 ) ( 1703150 1414910 )
-    NEW met2 ( 1703150 1355750 ) ( 1703150 1413890 )
-    NEW met2 ( 1703150 1414910 ) ( 1703150 1449420 )
-    NEW met1 ( 2677430 48450 ) ( 2678810 48450 )
-    NEW met2 ( 2678810 2380 0 ) ( 2678810 48450 )
-    NEW met3 ( 2677430 821100 ) ( 2677660 821100 )
-    NEW met3 ( 2677660 821100 ) ( 2677660 822460 )
-    NEW met3 ( 2677430 822460 ) ( 2677660 822460 )
-    NEW li1 ( 2677430 48450 ) ( 2677430 137870 )
-    NEW li1 ( 2677430 186490 ) ( 2677430 234430 )
-    NEW met2 ( 2677430 137870 ) ( 2677430 186490 )
-    NEW li1 ( 2677430 283390 ) ( 2677430 330990 )
-    NEW met2 ( 2677430 234430 ) ( 2677430 283390 )
-    NEW li1 ( 2677430 379610 ) ( 2677430 427550 )
-    NEW met2 ( 2677430 330990 ) ( 2677430 379610 )
-    NEW li1 ( 2677430 476510 ) ( 2677430 524110 )
-    NEW met2 ( 2677430 427550 ) ( 2677430 476510 )
-    NEW li1 ( 2677430 572730 ) ( 2677430 620670 )
-    NEW met2 ( 2677430 524110 ) ( 2677430 572730 )
-    NEW li1 ( 2677430 669630 ) ( 2677430 717570 )
-    NEW met2 ( 2677430 620670 ) ( 2677430 669630 )
-    NEW li1 ( 2677430 766190 ) ( 2677430 814130 )
-    NEW met2 ( 2677430 717570 ) ( 2677430 766190 )
-    NEW met2 ( 2677430 814130 ) ( 2677430 821100 )
-    NEW met3 ( 2677430 862580 ) ( 2678350 862580 )
-    NEW met2 ( 2678350 862580 ) ( 2678350 910690 )
-    NEW met1 ( 2677430 910690 ) ( 2678350 910690 )
-    NEW met2 ( 2677430 822460 ) ( 2677430 862580 )
-    NEW met3 ( 2677430 959140 ) ( 2678350 959140 )
-    NEW met2 ( 2678350 959140 ) ( 2678350 1007250 )
-    NEW met1 ( 2677430 1007250 ) ( 2678350 1007250 )
-    NEW met2 ( 2677430 910690 ) ( 2677430 959140 )
-    NEW met1 ( 2677430 1055870 ) ( 2678350 1055870 )
-    NEW met2 ( 2678350 1055870 ) ( 2678350 1103980 )
-    NEW met3 ( 2677430 1103980 ) ( 2678350 1103980 )
-    NEW met2 ( 2677430 1007250 ) ( 2677430 1055870 )
-    NEW met1 ( 2677430 1152430 ) ( 2678350 1152430 )
-    NEW met2 ( 2678350 1152430 ) ( 2678350 1200540 )
-    NEW met3 ( 2677430 1200540 ) ( 2678350 1200540 )
-    NEW met2 ( 2677430 1103980 ) ( 2677430 1152430 )
-    NEW met1 ( 2677430 1248990 ) ( 2678350 1248990 )
-    NEW met2 ( 2678350 1248990 ) ( 2678350 1297100 )
-    NEW met3 ( 2677430 1297100 ) ( 2678350 1297100 )
-    NEW met2 ( 2677430 1200540 ) ( 2677430 1248990 )
-    NEW li1 ( 2677430 1345550 ) ( 2677430 1355750 )
-    NEW met1 ( 1703150 1355750 ) ( 2677430 1355750 )
-    NEW met2 ( 2677430 1297100 ) ( 2677430 1345550 )
-    NEW met1 ( 1703150 1684530 ) M1M2_PR
-    NEW met1 ( 1700850 1684530 ) M1M2_PR
-    NEW met1 ( 1703150 1355750 ) M1M2_PR
-    NEW met2 ( 1703150 1449420 ) via2_FR
-    NEW met3 ( 1703380 1449420 ) M3M4_PR_M
-    NEW met3 ( 1703380 1450780 ) M3M4_PR_M
-    NEW met2 ( 1703150 1450780 ) via2_FR
-    NEW li1 ( 1703150 1413890 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1413890 ) M1M2_PR
-    NEW li1 ( 1703150 1414910 ) L1M1_PR_MR
-    NEW met1 ( 1703150 1414910 ) M1M2_PR
-    NEW li1 ( 2677430 48450 ) L1M1_PR_MR
-    NEW met1 ( 2678810 48450 ) M1M2_PR
-    NEW met2 ( 2677430 821100 ) via2_FR
-    NEW met2 ( 2677430 822460 ) via2_FR
-    NEW li1 ( 2677430 137870 ) L1M1_PR_MR
-    NEW met1 ( 2677430 137870 ) M1M2_PR
-    NEW li1 ( 2677430 186490 ) L1M1_PR_MR
-    NEW met1 ( 2677430 186490 ) M1M2_PR
-    NEW li1 ( 2677430 234430 ) L1M1_PR_MR
-    NEW met1 ( 2677430 234430 ) M1M2_PR
-    NEW li1 ( 2677430 283390 ) L1M1_PR_MR
-    NEW met1 ( 2677430 283390 ) M1M2_PR
-    NEW li1 ( 2677430 330990 ) L1M1_PR_MR
-    NEW met1 ( 2677430 330990 ) M1M2_PR
-    NEW li1 ( 2677430 379610 ) L1M1_PR_MR
-    NEW met1 ( 2677430 379610 ) M1M2_PR
-    NEW li1 ( 2677430 427550 ) L1M1_PR_MR
-    NEW met1 ( 2677430 427550 ) M1M2_PR
-    NEW li1 ( 2677430 476510 ) L1M1_PR_MR
-    NEW met1 ( 2677430 476510 ) M1M2_PR
-    NEW li1 ( 2677430 524110 ) L1M1_PR_MR
-    NEW met1 ( 2677430 524110 ) M1M2_PR
-    NEW li1 ( 2677430 572730 ) L1M1_PR_MR
-    NEW met1 ( 2677430 572730 ) M1M2_PR
-    NEW li1 ( 2677430 620670 ) L1M1_PR_MR
-    NEW met1 ( 2677430 620670 ) M1M2_PR
-    NEW li1 ( 2677430 669630 ) L1M1_PR_MR
-    NEW met1 ( 2677430 669630 ) M1M2_PR
-    NEW li1 ( 2677430 717570 ) L1M1_PR_MR
-    NEW met1 ( 2677430 717570 ) M1M2_PR
-    NEW li1 ( 2677430 766190 ) L1M1_PR_MR
-    NEW met1 ( 2677430 766190 ) M1M2_PR
-    NEW li1 ( 2677430 814130 ) L1M1_PR_MR
-    NEW met1 ( 2677430 814130 ) M1M2_PR
-    NEW met2 ( 2677430 862580 ) via2_FR
-    NEW met2 ( 2678350 862580 ) via2_FR
-    NEW met1 ( 2678350 910690 ) M1M2_PR
-    NEW met1 ( 2677430 910690 ) M1M2_PR
-    NEW met2 ( 2677430 959140 ) via2_FR
-    NEW met2 ( 2678350 959140 ) via2_FR
-    NEW met1 ( 2678350 1007250 ) M1M2_PR
-    NEW met1 ( 2677430 1007250 ) M1M2_PR
-    NEW met1 ( 2677430 1055870 ) M1M2_PR
-    NEW met1 ( 2678350 1055870 ) M1M2_PR
-    NEW met2 ( 2678350 1103980 ) via2_FR
-    NEW met2 ( 2677430 1103980 ) via2_FR
-    NEW met1 ( 2677430 1152430 ) M1M2_PR
-    NEW met1 ( 2678350 1152430 ) M1M2_PR
-    NEW met2 ( 2678350 1200540 ) via2_FR
-    NEW met2 ( 2677430 1200540 ) via2_FR
-    NEW met1 ( 2677430 1248990 ) M1M2_PR
-    NEW met1 ( 2678350 1248990 ) M1M2_PR
-    NEW met2 ( 2678350 1297100 ) via2_FR
-    NEW met2 ( 2677430 1297100 ) via2_FR
-    NEW li1 ( 2677430 1355750 ) L1M1_PR_MR
-    NEW li1 ( 2677430 1345550 ) L1M1_PR_MR
-    NEW met1 ( 2677430 1345550 ) M1M2_PR
-    NEW met3 ( 1703150 1449420 ) RECT ( -390 -150 0 150 )
-    NEW met3 ( 1703380 1450780 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1703150 1413890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1703150 1414910 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 717570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2677430 1345550 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2662710 2380 0 ) ( 2662710 17340 )
+    NEW met2 ( 2657190 17340 ) ( 2662710 17340 )
+    NEW met1 ( 1703150 1307470 ) ( 2657190 1307470 )
+    NEW met1 ( 1697630 1684190 ) ( 1700850 1684190 )
+    NEW met2 ( 1700850 1684190 ) ( 1700850 1700340 0 )
+    NEW met2 ( 2657190 17340 ) ( 2657190 1307470 )
+    NEW met1 ( 1702690 1401310 ) ( 1703150 1401310 )
+    NEW met1 ( 1702690 1401310 ) ( 1702690 1401990 )
+    NEW met1 ( 1702690 1401990 ) ( 1703150 1401990 )
+    NEW met1 ( 1697630 1594430 ) ( 1703150 1594430 )
+    NEW met2 ( 1697630 1594430 ) ( 1697630 1684190 )
+    NEW met2 ( 1703150 1401990 ) ( 1703150 1594430 )
+    NEW met2 ( 1703150 1307470 ) ( 1703150 1401310 )
+    NEW met1 ( 2657190 1307470 ) M1M2_PR
+    NEW met1 ( 1703150 1307470 ) M1M2_PR
+    NEW met1 ( 1697630 1684190 ) M1M2_PR
+    NEW met1 ( 1700850 1684190 ) M1M2_PR
+    NEW met1 ( 1703150 1401310 ) M1M2_PR
+    NEW met1 ( 1703150 1401990 ) M1M2_PR
+    NEW met1 ( 1697630 1594430 ) M1M2_PR
+    NEW met1 ( 1703150 1594430 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) 
-  + ROUTED met1 ( 1704530 1685550 ) ( 1710050 1685550 )
-    NEW met2 ( 1704530 1685550 ) ( 1704530 1700340 0 )
-    NEW met2 ( 1710050 58650 ) ( 1710050 1685550 )
-    NEW met2 ( 2696750 2380 0 ) ( 2696750 58650 )
-    NEW met1 ( 1710050 58650 ) ( 2696750 58650 )
-    NEW met1 ( 1710050 1685550 ) M1M2_PR
-    NEW met1 ( 1704530 1685550 ) M1M2_PR
-    NEW met1 ( 1710050 58650 ) M1M2_PR
-    NEW met1 ( 2696750 58650 ) M1M2_PR
+  + ROUTED met2 ( 2680650 2380 0 ) ( 2680650 17340 )
+    NEW met2 ( 2677430 17340 ) ( 2680650 17340 )
+    NEW met1 ( 1709590 1314270 ) ( 2677430 1314270 )
+    NEW met1 ( 1704530 1631490 ) ( 1709590 1631490 )
+    NEW met2 ( 1709590 1314270 ) ( 1709590 1631490 )
+    NEW met2 ( 1704530 1631490 ) ( 1704530 1700340 0 )
+    NEW met2 ( 2677430 17340 ) ( 2677430 1314270 )
+    NEW met1 ( 1709590 1314270 ) M1M2_PR
+    NEW met1 ( 2677430 1314270 ) M1M2_PR
+    NEW met1 ( 1704530 1631490 ) M1M2_PR
+    NEW met1 ( 1709590 1631490 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) 
-  + ROUTED met1 ( 1708670 1531870 ) ( 1709590 1531870 )
-    NEW met1 ( 1707750 1652570 ) ( 1709590 1652570 )
-    NEW met1 ( 1708670 1483590 ) ( 1709590 1483590 )
-    NEW met2 ( 1709590 1483590 ) ( 1709590 1531870 )
-    NEW met2 ( 1707750 1700340 ) ( 1708210 1700340 0 )
-    NEW met2 ( 1707750 1652570 ) ( 1707750 1700340 )
-    NEW met2 ( 1708670 1414740 ) ( 1709590 1414740 )
-    NEW met2 ( 1708670 1414740 ) ( 1708670 1483590 )
-    NEW met2 ( 1709590 1341810 ) ( 1709590 1414740 )
-    NEW met1 ( 1708670 1583890 ) ( 1709590 1583890 )
-    NEW met2 ( 1708670 1531870 ) ( 1708670 1583890 )
-    NEW met2 ( 1709590 1583890 ) ( 1709590 1652570 )
-    NEW met1 ( 2711930 62050 ) ( 2714690 62050 )
-    NEW met2 ( 2711930 62050 ) ( 2711930 1341810 )
-    NEW met2 ( 2714690 2380 0 ) ( 2714690 62050 )
-    NEW met1 ( 1709590 1341810 ) ( 2711930 1341810 )
-    NEW met1 ( 2711930 1341810 ) M1M2_PR
-    NEW met1 ( 1709590 1531870 ) M1M2_PR
-    NEW met1 ( 1708670 1531870 ) M1M2_PR
-    NEW met1 ( 1707750 1652570 ) M1M2_PR
-    NEW met1 ( 1709590 1652570 ) M1M2_PR
-    NEW met1 ( 1708670 1483590 ) M1M2_PR
-    NEW met1 ( 1709590 1483590 ) M1M2_PR
-    NEW met1 ( 1709590 1341810 ) M1M2_PR
-    NEW met1 ( 1708670 1583890 ) M1M2_PR
-    NEW met1 ( 1709590 1583890 ) M1M2_PR
-    NEW met1 ( 2711930 62050 ) M1M2_PR
-    NEW met1 ( 2714690 62050 ) M1M2_PR
+  + ROUTED met1 ( 1710050 1293530 ) ( 2698130 1293530 )
+    NEW met2 ( 1709590 1677220 ) ( 1710050 1677220 )
+    NEW met2 ( 1709590 1677220 ) ( 1709590 1684530 )
+    NEW met2 ( 1709130 1684530 ) ( 1709590 1684530 )
+    NEW met2 ( 1709130 1684530 ) ( 1709130 1700340 )
+    NEW met2 ( 1708210 1700340 0 ) ( 1709130 1700340 )
+    NEW met2 ( 1710050 1293530 ) ( 1710050 1677220 )
+    NEW met2 ( 2698130 2380 0 ) ( 2698130 1293530 )
+    NEW met1 ( 2698130 1293530 ) M1M2_PR
+    NEW met1 ( 1710050 1293530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) 
-  + ROUTED met1 ( 1711890 1685890 ) ( 1716490 1685890 )
-    NEW met2 ( 1711890 1685890 ) ( 1711890 1700340 0 )
-    NEW met2 ( 1716490 1521330 ) ( 1716490 1685890 )
-    NEW met1 ( 1716490 1521330 ) ( 2732630 1521330 )
-    NEW met2 ( 2732630 2380 0 ) ( 2732630 1521330 )
-    NEW met1 ( 1716490 1521330 ) M1M2_PR
-    NEW met1 ( 1716490 1685890 ) M1M2_PR
-    NEW met1 ( 1711890 1685890 ) M1M2_PR
-    NEW met1 ( 2732630 1521330 ) M1M2_PR
+  + ROUTED met2 ( 2716070 2380 0 ) ( 2716070 17340 )
+    NEW met2 ( 2711930 17340 ) ( 2716070 17340 )
+    NEW met1 ( 1716490 1486990 ) ( 2711930 1486990 )
+    NEW met1 ( 1711890 1677390 ) ( 1716490 1677390 )
+    NEW met2 ( 1711890 1677390 ) ( 1711890 1700340 0 )
+    NEW met2 ( 1716490 1486990 ) ( 1716490 1677390 )
+    NEW met2 ( 2711930 17340 ) ( 2711930 1486990 )
+    NEW met1 ( 2711930 1486990 ) M1M2_PR
+    NEW met1 ( 1716490 1486990 ) M1M2_PR
+    NEW met1 ( 1716490 1677390 ) M1M2_PR
+    NEW met1 ( 1711890 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) 
-  + ROUTED met2 ( 1716490 1688780 ) ( 1716950 1688780 )
-    NEW met2 ( 1716490 1688780 ) ( 1716490 1700340 )
+  + ROUTED met2 ( 2733550 2380 0 ) ( 2733550 17340 )
+    NEW met2 ( 2732630 17340 ) ( 2733550 17340 )
+    NEW met1 ( 1716950 1279930 ) ( 2732630 1279930 )
+    NEW met2 ( 1716490 1677900 ) ( 1716950 1677900 )
+    NEW met2 ( 1716490 1677900 ) ( 1716490 1700340 )
     NEW met2 ( 1715570 1700340 0 ) ( 1716490 1700340 )
-    NEW met2 ( 1716950 1328210 ) ( 1716950 1688780 )
-    NEW met1 ( 1716950 1328210 ) ( 2746430 1328210 )
-    NEW met1 ( 2746430 62050 ) ( 2750570 62050 )
-    NEW met2 ( 2750570 2380 0 ) ( 2750570 62050 )
-    NEW met2 ( 2746430 62050 ) ( 2746430 1328210 )
-    NEW met1 ( 1716950 1328210 ) M1M2_PR
-    NEW met1 ( 2746430 1328210 ) M1M2_PR
-    NEW met1 ( 2746430 62050 ) M1M2_PR
-    NEW met1 ( 2750570 62050 ) M1M2_PR
+    NEW met2 ( 1716950 1279930 ) ( 1716950 1677900 )
+    NEW met2 ( 2732630 17340 ) ( 2732630 1279930 )
+    NEW met1 ( 1716950 1279930 ) M1M2_PR
+    NEW met1 ( 2732630 1279930 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) 
-  + ROUTED met1 ( 1719250 1685550 ) ( 1722930 1685550 )
-    NEW met2 ( 1719250 1685550 ) ( 1719250 1700340 0 )
-    NEW met2 ( 1722930 1624690 ) ( 1722930 1685550 )
-    NEW met1 ( 1722930 1624690 ) ( 2767130 1624690 )
-    NEW met1 ( 2766670 241230 ) ( 2767130 241230 )
-    NEW li1 ( 2767130 676770 ) ( 2767130 724370 )
-    NEW li1 ( 2767130 773330 ) ( 2767130 820930 )
-    NEW met2 ( 2767130 724370 ) ( 2767130 773330 )
-    NEW met3 ( 2766900 870060 ) ( 2767130 870060 )
-    NEW met4 ( 2766900 870060 ) ( 2766900 917660 )
-    NEW met3 ( 2766900 917660 ) ( 2767130 917660 )
-    NEW met1 ( 2766210 966110 ) ( 2767130 966110 )
-    NEW met2 ( 2766210 966110 ) ( 2766210 1014220 )
-    NEW met3 ( 2766210 1014220 ) ( 2767130 1014220 )
-    NEW met1 ( 2766210 1062670 ) ( 2767130 1062670 )
-    NEW met2 ( 2766210 1062670 ) ( 2766210 1110780 )
-    NEW met3 ( 2766210 1110780 ) ( 2767130 1110780 )
-    NEW met2 ( 2767130 1014220 ) ( 2767130 1062670 )
-    NEW met2 ( 2766670 1256300 ) ( 2767130 1256300 )
-    NEW met2 ( 2766670 1256300 ) ( 2766670 1303730 )
-    NEW met1 ( 2766670 1303730 ) ( 2767130 1303730 )
-    NEW met1 ( 2767130 1303730 ) ( 2767130 1304070 )
-    NEW met2 ( 2766670 1352860 ) ( 2767130 1352860 )
-    NEW met2 ( 2766670 1352860 ) ( 2766670 1353540 )
-    NEW met2 ( 2766670 1353540 ) ( 2767130 1353540 )
-    NEW met2 ( 2766670 1449420 ) ( 2767130 1449420 )
-    NEW met2 ( 2766670 1449420 ) ( 2766670 1497190 )
-    NEW met1 ( 2766670 1497190 ) ( 2767130 1497190 )
-    NEW met2 ( 2766670 1545980 ) ( 2767130 1545980 )
-    NEW met2 ( 2766670 1545980 ) ( 2766670 1546660 )
-    NEW met2 ( 2766670 1546660 ) ( 2767130 1546660 )
-    NEW met2 ( 2767130 1546660 ) ( 2767130 1624690 )
-    NEW met1 ( 2766670 145010 ) ( 2767130 145010 )
-    NEW met3 ( 2767130 241740 ) ( 2768050 241740 )
-    NEW met2 ( 2767130 241230 ) ( 2767130 241740 )
-    NEW met1 ( 2767130 338130 ) ( 2767590 338130 )
-    NEW met2 ( 2767590 338130 ) ( 2767590 385900 )
-    NEW met2 ( 2767130 385900 ) ( 2767590 385900 )
-    NEW met3 ( 2766900 434860 ) ( 2767130 434860 )
-    NEW met3 ( 2766900 434860 ) ( 2766900 435540 )
-    NEW met3 ( 2766210 435540 ) ( 2766900 435540 )
-    NEW met2 ( 2766210 435540 ) ( 2766210 482970 )
-    NEW met1 ( 2766210 482970 ) ( 2767130 482970 )
-    NEW met2 ( 2767130 385900 ) ( 2767130 434860 )
-    NEW met2 ( 2767130 482970 ) ( 2767130 483650 )
-    NEW met3 ( 2766210 627980 ) ( 2767130 627980 )
-    NEW met2 ( 2766210 627980 ) ( 2766210 676090 )
-    NEW met1 ( 2766210 676090 ) ( 2767130 676090 )
-    NEW met2 ( 2767130 676090 ) ( 2767130 676770 )
-    NEW met2 ( 2766210 821100 ) ( 2767130 821100 )
-    NEW met2 ( 2766210 821100 ) ( 2766210 869380 )
-    NEW met3 ( 2766210 869380 ) ( 2767130 869380 )
-    NEW met2 ( 2767130 820930 ) ( 2767130 821100 )
-    NEW met2 ( 2767130 869380 ) ( 2767130 870060 )
-    NEW met1 ( 2766210 917830 ) ( 2767130 917830 )
-    NEW met2 ( 2766210 917830 ) ( 2766210 965940 )
-    NEW met3 ( 2766210 965940 ) ( 2767130 965940 )
-    NEW met2 ( 2767130 917660 ) ( 2767130 917830 )
-    NEW met2 ( 2767130 965940 ) ( 2767130 966110 )
-    NEW met1 ( 2766210 1110950 ) ( 2767130 1110950 )
-    NEW met2 ( 2766210 1110950 ) ( 2766210 1159060 )
-    NEW met3 ( 2766210 1159060 ) ( 2767130 1159060 )
-    NEW met2 ( 2767130 1110780 ) ( 2767130 1110950 )
-    NEW li1 ( 2767130 1208190 ) ( 2767130 1255790 )
-    NEW met2 ( 2767130 1159060 ) ( 2767130 1208190 )
-    NEW met2 ( 2767130 1255790 ) ( 2767130 1256300 )
-    NEW li1 ( 2767130 1304750 ) ( 2767130 1352350 )
-    NEW met2 ( 2767130 1304070 ) ( 2767130 1304750 )
-    NEW met2 ( 2767130 1352350 ) ( 2767130 1352860 )
-    NEW li1 ( 2767130 1401310 ) ( 2767130 1448570 )
-    NEW met2 ( 2767130 1353540 ) ( 2767130 1401310 )
-    NEW met2 ( 2767130 1448570 ) ( 2767130 1449420 )
-    NEW met2 ( 2767130 1497190 ) ( 2767130 1545980 )
-    NEW met2 ( 2767130 114580 ) ( 2768050 114580 )
-    NEW met2 ( 2767130 114580 ) ( 2767130 145010 )
-    NEW met2 ( 2768050 2380 0 ) ( 2768050 114580 )
-    NEW li1 ( 2766670 191930 ) ( 2766670 234430 )
-    NEW met2 ( 2766670 145010 ) ( 2766670 191930 )
-    NEW met2 ( 2766670 234430 ) ( 2766670 241230 )
-    NEW met1 ( 2767130 289510 ) ( 2767130 290190 )
-    NEW met1 ( 2767130 289510 ) ( 2767590 289510 )
-    NEW met2 ( 2767590 283220 ) ( 2767590 289510 )
-    NEW met2 ( 2767590 283220 ) ( 2768050 283220 )
-    NEW met2 ( 2767130 290190 ) ( 2767130 338130 )
-    NEW met2 ( 2768050 241740 ) ( 2768050 283220 )
-    NEW met1 ( 2765750 548590 ) ( 2767130 548590 )
-    NEW li1 ( 2767130 483650 ) ( 2767130 548590 )
-    NEW met3 ( 2764830 566100 ) ( 2765750 566100 )
-    NEW met2 ( 2764830 566100 ) ( 2764830 613870 )
-    NEW met1 ( 2764830 613870 ) ( 2767130 613870 )
-    NEW met2 ( 2765750 548590 ) ( 2765750 566100 )
-    NEW met2 ( 2767130 613870 ) ( 2767130 627980 )
-    NEW met1 ( 1722930 1624690 ) M1M2_PR
-    NEW met1 ( 1722930 1685550 ) M1M2_PR
-    NEW met1 ( 1719250 1685550 ) M1M2_PR
-    NEW met1 ( 2767130 1624690 ) M1M2_PR
-    NEW met1 ( 2766670 241230 ) M1M2_PR
-    NEW met1 ( 2767130 241230 ) M1M2_PR
-    NEW li1 ( 2767130 483650 ) L1M1_PR_MR
-    NEW met1 ( 2767130 483650 ) M1M2_PR
-    NEW li1 ( 2767130 676770 ) L1M1_PR_MR
-    NEW met1 ( 2767130 676770 ) M1M2_PR
-    NEW li1 ( 2767130 724370 ) L1M1_PR_MR
-    NEW met1 ( 2767130 724370 ) M1M2_PR
-    NEW li1 ( 2767130 773330 ) L1M1_PR_MR
-    NEW met1 ( 2767130 773330 ) M1M2_PR
-    NEW li1 ( 2767130 820930 ) L1M1_PR_MR
-    NEW met1 ( 2767130 820930 ) M1M2_PR
-    NEW met2 ( 2767130 870060 ) via2_FR
-    NEW met3 ( 2766900 870060 ) M3M4_PR_M
-    NEW met3 ( 2766900 917660 ) M3M4_PR_M
-    NEW met2 ( 2767130 917660 ) via2_FR
-    NEW met1 ( 2767130 966110 ) M1M2_PR
-    NEW met1 ( 2766210 966110 ) M1M2_PR
-    NEW met2 ( 2766210 1014220 ) via2_FR
-    NEW met2 ( 2767130 1014220 ) via2_FR
-    NEW met1 ( 2767130 1062670 ) M1M2_PR
-    NEW met1 ( 2766210 1062670 ) M1M2_PR
-    NEW met2 ( 2766210 1110780 ) via2_FR
-    NEW met2 ( 2767130 1110780 ) via2_FR
-    NEW met1 ( 2766670 1303730 ) M1M2_PR
-    NEW met1 ( 2767130 1304070 ) M1M2_PR
-    NEW met1 ( 2766670 1497190 ) M1M2_PR
-    NEW met1 ( 2767130 1497190 ) M1M2_PR
-    NEW met1 ( 2766670 145010 ) M1M2_PR
-    NEW met1 ( 2767130 145010 ) M1M2_PR
-    NEW met2 ( 2767130 241740 ) via2_FR
-    NEW met2 ( 2768050 241740 ) via2_FR
-    NEW met1 ( 2767130 338130 ) M1M2_PR
-    NEW met1 ( 2767590 338130 ) M1M2_PR
-    NEW met2 ( 2767130 434860 ) via2_FR
-    NEW met2 ( 2766210 435540 ) via2_FR
-    NEW met1 ( 2766210 482970 ) M1M2_PR
-    NEW met1 ( 2767130 482970 ) M1M2_PR
-    NEW met2 ( 2767130 627980 ) via2_FR
-    NEW met2 ( 2766210 627980 ) via2_FR
-    NEW met1 ( 2766210 676090 ) M1M2_PR
-    NEW met1 ( 2767130 676090 ) M1M2_PR
-    NEW met2 ( 2766210 869380 ) via2_FR
-    NEW met2 ( 2767130 869380 ) via2_FR
-    NEW met1 ( 2767130 917830 ) M1M2_PR
-    NEW met1 ( 2766210 917830 ) M1M2_PR
-    NEW met2 ( 2766210 965940 ) via2_FR
-    NEW met2 ( 2767130 965940 ) via2_FR
-    NEW met1 ( 2767130 1110950 ) M1M2_PR
-    NEW met1 ( 2766210 1110950 ) M1M2_PR
-    NEW met2 ( 2766210 1159060 ) via2_FR
-    NEW met2 ( 2767130 1159060 ) via2_FR
-    NEW li1 ( 2767130 1208190 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1208190 ) M1M2_PR
-    NEW li1 ( 2767130 1255790 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1255790 ) M1M2_PR
-    NEW li1 ( 2767130 1304750 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1304750 ) M1M2_PR
-    NEW li1 ( 2767130 1352350 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1352350 ) M1M2_PR
-    NEW li1 ( 2767130 1401310 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1401310 ) M1M2_PR
-    NEW li1 ( 2767130 1448570 ) L1M1_PR_MR
-    NEW met1 ( 2767130 1448570 ) M1M2_PR
-    NEW li1 ( 2766670 191930 ) L1M1_PR_MR
-    NEW met1 ( 2766670 191930 ) M1M2_PR
-    NEW li1 ( 2766670 234430 ) L1M1_PR_MR
-    NEW met1 ( 2766670 234430 ) M1M2_PR
-    NEW met1 ( 2767130 290190 ) M1M2_PR
-    NEW met1 ( 2767590 289510 ) M1M2_PR
-    NEW met1 ( 2765750 548590 ) M1M2_PR
-    NEW li1 ( 2767130 548590 ) L1M1_PR_MR
-    NEW met2 ( 2765750 566100 ) via2_FR
-    NEW met2 ( 2764830 566100 ) via2_FR
-    NEW met1 ( 2764830 613870 ) M1M2_PR
-    NEW met1 ( 2767130 613870 ) M1M2_PR
-    NEW met1 ( 2767130 483650 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 676770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 724370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 773330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 820930 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 2767130 870060 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 2766900 917660 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 2767130 1208190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 1255790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 1304750 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 1352350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 1401310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2767130 1448570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2766670 191930 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2766670 234430 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2751490 2380 0 ) ( 2751490 17340 )
+    NEW met2 ( 2746430 17340 ) ( 2751490 17340 )
+    NEW met2 ( 1722010 1656140 ) ( 1722930 1656140 )
+    NEW met2 ( 1722010 1656140 ) ( 1722010 1684870 )
+    NEW met1 ( 1719250 1684870 ) ( 1722010 1684870 )
+    NEW met2 ( 1719250 1684870 ) ( 1719250 1700340 0 )
+    NEW met2 ( 1722930 1604290 ) ( 1722930 1656140 )
+    NEW met1 ( 1722930 1604290 ) ( 2746430 1604290 )
+    NEW met2 ( 2746430 17340 ) ( 2746430 1604290 )
+    NEW met1 ( 2746430 1604290 ) M1M2_PR
+    NEW met1 ( 1722930 1604290 ) M1M2_PR
+    NEW met1 ( 1722010 1684870 ) M1M2_PR
+    NEW met1 ( 1719250 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) 
-  + ROUTED met2 ( 841110 2380 0 ) ( 841110 34850 )
-    NEW met1 ( 1318590 1678750 ) ( 1321810 1678750 )
-    NEW met2 ( 1321810 1678750 ) ( 1321810 1700340 )
-    NEW met2 ( 1321810 1700340 ) ( 1322730 1700340 0 )
-    NEW met2 ( 1318590 34850 ) ( 1318590 1678750 )
-    NEW met1 ( 841110 34850 ) ( 1318590 34850 )
-    NEW met1 ( 841110 34850 ) M1M2_PR
-    NEW met1 ( 1318590 34850 ) M1M2_PR
-    NEW met1 ( 1318590 1678750 ) M1M2_PR
-    NEW met1 ( 1321810 1678750 ) M1M2_PR
+  + ROUTED met3 ( 1319970 62220 ) ( 1320890 62220 )
+    NEW met2 ( 1321350 302940 ) ( 1321810 302940 )
+    NEW met2 ( 1321350 882980 ) ( 1321810 882980 )
+    NEW met2 ( 1321350 1076100 ) ( 1321810 1076100 )
+    NEW met1 ( 836050 34510 ) ( 848930 34510 )
+    NEW met1 ( 848930 34510 ) ( 848930 34850 )
+    NEW met2 ( 836050 2380 0 ) ( 836050 34510 )
+    NEW met2 ( 1319970 34850 ) ( 1319970 62220 )
+    NEW met2 ( 1321350 303620 ) ( 1321810 303620 )
+    NEW met2 ( 1321350 302940 ) ( 1321350 303620 )
+    NEW met2 ( 1321350 883660 ) ( 1321810 883660 )
+    NEW met2 ( 1321350 882980 ) ( 1321350 883660 )
+    NEW met2 ( 1321350 1076780 ) ( 1321810 1076780 )
+    NEW met2 ( 1321350 1076100 ) ( 1321350 1076780 )
+    NEW met2 ( 1322270 1700340 ) ( 1322730 1700340 0 )
+    NEW met1 ( 848930 34850 ) ( 1319970 34850 )
+    NEW met3 ( 1321810 772820 ) ( 1322730 772820 )
+    NEW met2 ( 1322730 772820 ) ( 1322730 786420 )
+    NEW met2 ( 1321810 786420 ) ( 1322730 786420 )
+    NEW met2 ( 1321810 786420 ) ( 1321810 882980 )
+    NEW li1 ( 1321810 1256130 ) ( 1321810 1304070 )
+    NEW met2 ( 1321810 1076780 ) ( 1321810 1256130 )
+    NEW met1 ( 1321350 1545810 ) ( 1321810 1545810 )
+    NEW met1 ( 1321350 1642370 ) ( 1322270 1642370 )
+    NEW met2 ( 1321350 1545810 ) ( 1321350 1642370 )
+    NEW met2 ( 1322270 1642370 ) ( 1322270 1700340 )
+    NEW li1 ( 1321810 1027650 ) ( 1321810 1028670 )
+    NEW met2 ( 1321810 883660 ) ( 1321810 1027650 )
+    NEW met2 ( 1321810 1028670 ) ( 1321810 1076100 )
+    NEW li1 ( 1321810 1413890 ) ( 1321810 1414910 )
+    NEW met2 ( 1321810 1304070 ) ( 1321810 1413890 )
+    NEW li1 ( 1321350 199410 ) ( 1321350 234430 )
+    NEW met2 ( 1321810 687820 ) ( 1322270 687820 )
+    NEW met2 ( 1322270 687820 ) ( 1322270 689180 )
+    NEW met2 ( 1321810 689180 ) ( 1322270 689180 )
+    NEW met2 ( 1321810 689180 ) ( 1321810 772820 )
+    NEW met1 ( 1321810 1462510 ) ( 1321810 1462850 )
+    NEW met1 ( 1321350 1462850 ) ( 1321810 1462850 )
+    NEW met2 ( 1321350 1462850 ) ( 1321350 1463020 )
+    NEW met2 ( 1321350 1463020 ) ( 1321810 1463020 )
+    NEW met2 ( 1321810 1414910 ) ( 1321810 1462510 )
+    NEW met2 ( 1321810 1463020 ) ( 1321810 1545810 )
+    NEW met1 ( 1320890 138210 ) ( 1321810 138210 )
+    NEW met2 ( 1321810 138210 ) ( 1321810 138380 )
+    NEW met2 ( 1321350 138380 ) ( 1321810 138380 )
+    NEW met2 ( 1320890 62220 ) ( 1320890 138210 )
+    NEW met2 ( 1321350 138380 ) ( 1321350 199410 )
+    NEW met2 ( 1321350 234940 ) ( 1321810 234940 )
+    NEW met2 ( 1321350 234430 ) ( 1321350 234940 )
+    NEW met2 ( 1321810 234940 ) ( 1321810 302940 )
+    NEW met1 ( 1321350 524450 ) ( 1322270 524450 )
+    NEW li1 ( 1321810 572390 ) ( 1321810 613870 )
+    NEW met2 ( 1321810 566100 ) ( 1321810 572390 )
+    NEW met2 ( 1321810 566100 ) ( 1322270 566100 )
+    NEW met2 ( 1321810 613870 ) ( 1321810 687820 )
+    NEW met2 ( 1322270 524450 ) ( 1322270 566100 )
+    NEW li1 ( 1321350 421090 ) ( 1321350 469030 )
+    NEW met1 ( 1321350 421090 ) ( 1321810 421090 )
+    NEW met2 ( 1321350 469030 ) ( 1321350 524450 )
+    NEW li1 ( 1321810 389810 ) ( 1321810 413950 )
+    NEW met2 ( 1321810 303620 ) ( 1321810 389810 )
+    NEW met2 ( 1321810 413950 ) ( 1321810 421090 )
+    NEW met2 ( 1319970 62220 ) via2_FR
+    NEW met2 ( 1320890 62220 ) via2_FR
+    NEW met1 ( 836050 34510 ) M1M2_PR
+    NEW met1 ( 1319970 34850 ) M1M2_PR
+    NEW met2 ( 1321810 772820 ) via2_FR
+    NEW met2 ( 1322730 772820 ) via2_FR
+    NEW li1 ( 1321810 1256130 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1256130 ) M1M2_PR
+    NEW li1 ( 1321810 1304070 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1304070 ) M1M2_PR
+    NEW met1 ( 1321350 1545810 ) M1M2_PR
+    NEW met1 ( 1321810 1545810 ) M1M2_PR
+    NEW met1 ( 1321350 1642370 ) M1M2_PR
+    NEW met1 ( 1322270 1642370 ) M1M2_PR
+    NEW li1 ( 1321810 1027650 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1027650 ) M1M2_PR
+    NEW li1 ( 1321810 1028670 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1028670 ) M1M2_PR
+    NEW li1 ( 1321810 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1413890 ) M1M2_PR
+    NEW li1 ( 1321810 1414910 ) L1M1_PR_MR
+    NEW met1 ( 1321810 1414910 ) M1M2_PR
+    NEW li1 ( 1321350 199410 ) L1M1_PR_MR
+    NEW met1 ( 1321350 199410 ) M1M2_PR
+    NEW li1 ( 1321350 234430 ) L1M1_PR_MR
+    NEW met1 ( 1321350 234430 ) M1M2_PR
+    NEW met1 ( 1321810 1462510 ) M1M2_PR
+    NEW met1 ( 1321350 1462850 ) M1M2_PR
+    NEW met1 ( 1320890 138210 ) M1M2_PR
+    NEW met1 ( 1321810 138210 ) M1M2_PR
+    NEW met1 ( 1321350 524450 ) M1M2_PR
+    NEW met1 ( 1322270 524450 ) M1M2_PR
+    NEW li1 ( 1321810 613870 ) L1M1_PR_MR
+    NEW met1 ( 1321810 613870 ) M1M2_PR
+    NEW li1 ( 1321810 572390 ) L1M1_PR_MR
+    NEW met1 ( 1321810 572390 ) M1M2_PR
+    NEW li1 ( 1321350 469030 ) L1M1_PR_MR
+    NEW met1 ( 1321350 469030 ) M1M2_PR
+    NEW li1 ( 1321350 421090 ) L1M1_PR_MR
+    NEW met1 ( 1321810 421090 ) M1M2_PR
+    NEW li1 ( 1321810 389810 ) L1M1_PR_MR
+    NEW met1 ( 1321810 389810 ) M1M2_PR
+    NEW li1 ( 1321810 413950 ) L1M1_PR_MR
+    NEW met1 ( 1321810 413950 ) M1M2_PR
+    NEW met1 ( 1321810 1256130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 1304070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 1027650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 1028670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 1413890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 1414910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321350 199410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321350 234430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 613870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 572390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321350 469030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 389810 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1321810 413950 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) 
-  + ROUTED met2 ( 1722930 1700340 0 ) ( 1723850 1700340 )
-    NEW met2 ( 1723850 1314270 ) ( 1723850 1700340 )
-    NEW met1 ( 2780930 62050 ) ( 2785990 62050 )
-    NEW met2 ( 2780930 62050 ) ( 2780930 1314270 )
-    NEW met2 ( 2785990 2380 0 ) ( 2785990 62050 )
-    NEW met1 ( 1723850 1314270 ) ( 2780930 1314270 )
-    NEW met1 ( 1723850 1314270 ) M1M2_PR
-    NEW met1 ( 2780930 1314270 ) M1M2_PR
-    NEW met1 ( 2780930 62050 ) M1M2_PR
-    NEW met1 ( 2785990 62050 ) M1M2_PR
+  + ROUTED met2 ( 2768970 2380 0 ) ( 2768970 17340 )
+    NEW met2 ( 2767130 17340 ) ( 2768970 17340 )
+    NEW met2 ( 1722930 1700340 0 ) ( 1723850 1700340 )
+    NEW met2 ( 1723850 1397230 ) ( 1723850 1700340 )
+    NEW met2 ( 2767130 17340 ) ( 2767130 1397230 )
+    NEW met1 ( 1723850 1397230 ) ( 2767130 1397230 )
+    NEW met1 ( 2767130 1397230 ) M1M2_PR
+    NEW met1 ( 1723850 1397230 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) 
-  + ROUTED met1 ( 1726610 1684870 ) ( 1729370 1684870 )
-    NEW met2 ( 1726610 1684870 ) ( 1726610 1700340 0 )
-    NEW met2 ( 1729370 1597150 ) ( 1729370 1684870 )
-    NEW li1 ( 2801630 48450 ) ( 2801630 96390 )
-    NEW met1 ( 2801630 48450 ) ( 2803930 48450 )
-    NEW met2 ( 2803930 2380 0 ) ( 2803930 48450 )
-    NEW met3 ( 2801630 242420 ) ( 2801860 242420 )
-    NEW met3 ( 2801860 242420 ) ( 2801860 243100 )
-    NEW met3 ( 2801630 243100 ) ( 2801860 243100 )
-    NEW met2 ( 2801630 96390 ) ( 2801630 242420 )
-    NEW met3 ( 2801630 435540 ) ( 2801860 435540 )
-    NEW met3 ( 2801860 435540 ) ( 2801860 436220 )
-    NEW met3 ( 2801630 436220 ) ( 2801860 436220 )
-    NEW met2 ( 2801630 243100 ) ( 2801630 435540 )
-    NEW met2 ( 2801630 821100 ) ( 2802090 821100 )
-    NEW met2 ( 2802090 821100 ) ( 2802090 822460 )
-    NEW met2 ( 2801630 822460 ) ( 2802090 822460 )
-    NEW met2 ( 2801630 436220 ) ( 2801630 821100 )
-    NEW met1 ( 1729370 1597150 ) ( 2801630 1597150 )
-    NEW met2 ( 2801630 822460 ) ( 2801630 1597150 )
-    NEW met1 ( 1729370 1684870 ) M1M2_PR
-    NEW met1 ( 1726610 1684870 ) M1M2_PR
-    NEW met1 ( 1729370 1597150 ) M1M2_PR
-    NEW li1 ( 2801630 96390 ) L1M1_PR_MR
-    NEW met1 ( 2801630 96390 ) M1M2_PR
-    NEW li1 ( 2801630 48450 ) L1M1_PR_MR
-    NEW met1 ( 2803930 48450 ) M1M2_PR
-    NEW met2 ( 2801630 242420 ) via2_FR
-    NEW met2 ( 2801630 243100 ) via2_FR
-    NEW met2 ( 2801630 435540 ) via2_FR
-    NEW met2 ( 2801630 436220 ) via2_FR
-    NEW met1 ( 2801630 1597150 ) M1M2_PR
-    NEW met1 ( 2801630 96390 ) RECT ( 0 -70 355 70 )
+  + ROUTED met2 ( 2786910 2380 0 ) ( 2786910 17510 )
+    NEW met1 ( 2777250 17510 ) ( 2786910 17510 )
+    NEW met1 ( 1726610 1684190 ) ( 1729830 1684190 )
+    NEW met2 ( 1726610 1684190 ) ( 1726610 1700340 0 )
+    NEW met2 ( 1729830 1569950 ) ( 1729830 1684190 )
+    NEW met2 ( 2777250 17510 ) ( 2777250 1569950 )
+    NEW met1 ( 1729830 1569950 ) ( 2777250 1569950 )
+    NEW met1 ( 2786910 17510 ) M1M2_PR
+    NEW met1 ( 2777250 17510 ) M1M2_PR
+    NEW met1 ( 2777250 1569950 ) M1M2_PR
+    NEW met1 ( 1729830 1569950 ) M1M2_PR
+    NEW met1 ( 1729830 1684190 ) M1M2_PR
+    NEW met1 ( 1726610 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) 
-  + ROUTED met2 ( 1729830 1700340 ) ( 1730290 1700340 0 )
-    NEW met2 ( 1729830 1507390 ) ( 1729830 1700340 )
-    NEW met1 ( 1729830 1507390 ) ( 2815430 1507390 )
-    NEW met1 ( 2815430 37570 ) ( 2821870 37570 )
-    NEW met2 ( 2815430 37570 ) ( 2815430 1507390 )
-    NEW met2 ( 2821870 2380 0 ) ( 2821870 37570 )
-    NEW met1 ( 1729830 1507390 ) M1M2_PR
-    NEW met1 ( 2815430 1507390 ) M1M2_PR
-    NEW met1 ( 2815430 37570 ) M1M2_PR
-    NEW met1 ( 2821870 37570 ) M1M2_PR
+  + ROUTED met2 ( 2804390 2380 0 ) ( 2804390 2890 )
+    NEW met1 ( 2801630 2890 ) ( 2804390 2890 )
+    NEW met1 ( 1730290 1473050 ) ( 2801630 1473050 )
+    NEW met2 ( 1730290 1473050 ) ( 1730290 1700340 0 )
+    NEW met1 ( 2801630 1015070 ) ( 2801630 1016090 )
+    NEW met2 ( 2801630 2890 ) ( 2801630 1015070 )
+    NEW met2 ( 2801630 1016090 ) ( 2801630 1473050 )
+    NEW met1 ( 1730290 1473050 ) M1M2_PR
+    NEW met1 ( 2804390 2890 ) M1M2_PR
+    NEW met1 ( 2801630 2890 ) M1M2_PR
+    NEW met1 ( 2801630 1473050 ) M1M2_PR
+    NEW met1 ( 2801630 1015070 ) M1M2_PR
+    NEW met1 ( 2801630 1016090 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) 
-  + ROUTED met1 ( 1733970 1685210 ) ( 1736730 1685210 )
-    NEW met2 ( 1733970 1685210 ) ( 1733970 1700340 0 )
-    NEW met2 ( 1736730 1500590 ) ( 1736730 1685210 )
-    NEW met1 ( 1736730 1500590 ) ( 2836130 1500590 )
-    NEW met1 ( 2836130 62050 ) ( 2839350 62050 )
-    NEW met2 ( 2836130 62050 ) ( 2836130 1500590 )
-    NEW met2 ( 2839350 2380 0 ) ( 2839350 62050 )
-    NEW met1 ( 1736730 1500590 ) M1M2_PR
-    NEW met1 ( 1736730 1685210 ) M1M2_PR
-    NEW met1 ( 1733970 1685210 ) M1M2_PR
-    NEW met1 ( 2836130 1500590 ) M1M2_PR
-    NEW met1 ( 2836130 62050 ) M1M2_PR
-    NEW met1 ( 2839350 62050 ) M1M2_PR
+  + ROUTED met1 ( 1733970 1684870 ) ( 1736730 1684870 )
+    NEW met2 ( 1733970 1684870 ) ( 1733970 1700340 0 )
+    NEW met2 ( 1736730 1597150 ) ( 1736730 1684870 )
+    NEW met1 ( 1736730 1597150 ) ( 2822330 1597150 )
+    NEW met2 ( 2822330 2380 0 ) ( 2822330 1597150 )
+    NEW met1 ( 2822330 1597150 ) M1M2_PR
+    NEW met1 ( 1736730 1597150 ) M1M2_PR
+    NEW met1 ( 1736730 1684870 ) M1M2_PR
+    NEW met1 ( 1733970 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) 
-  + ROUTED met2 ( 1737190 1700340 ) ( 1737650 1700340 0 )
-    NEW met2 ( 1737190 1431570 ) ( 1737190 1700340 )
-    NEW met1 ( 2856830 48450 ) ( 2857290 48450 )
-    NEW met2 ( 2857290 2380 0 ) ( 2857290 48450 )
-    NEW met3 ( 2856830 821100 ) ( 2857060 821100 )
-    NEW met3 ( 2857060 821100 ) ( 2857060 822460 )
-    NEW met3 ( 2856830 822460 ) ( 2857060 822460 )
-    NEW met1 ( 1737190 1431570 ) ( 2856830 1431570 )
-    NEW li1 ( 2856830 48450 ) ( 2856830 137870 )
-    NEW li1 ( 2856830 186490 ) ( 2856830 234430 )
-    NEW met2 ( 2856830 137870 ) ( 2856830 186490 )
-    NEW li1 ( 2856830 283390 ) ( 2856830 330990 )
-    NEW met2 ( 2856830 234430 ) ( 2856830 283390 )
-    NEW li1 ( 2856830 379610 ) ( 2856830 427550 )
-    NEW met2 ( 2856830 330990 ) ( 2856830 379610 )
-    NEW li1 ( 2856830 476510 ) ( 2856830 524110 )
-    NEW met2 ( 2856830 427550 ) ( 2856830 476510 )
-    NEW li1 ( 2856830 572730 ) ( 2856830 620670 )
-    NEW met2 ( 2856830 524110 ) ( 2856830 572730 )
-    NEW li1 ( 2856830 669630 ) ( 2856830 717570 )
-    NEW met2 ( 2856830 620670 ) ( 2856830 669630 )
-    NEW li1 ( 2856830 766190 ) ( 2856830 814130 )
-    NEW met2 ( 2856830 717570 ) ( 2856830 766190 )
-    NEW met2 ( 2856830 814130 ) ( 2856830 821100 )
-    NEW met3 ( 2856830 862580 ) ( 2857750 862580 )
-    NEW met2 ( 2857750 862580 ) ( 2857750 910690 )
-    NEW met1 ( 2856830 910690 ) ( 2857750 910690 )
-    NEW met2 ( 2856830 822460 ) ( 2856830 862580 )
-    NEW met3 ( 2856830 959140 ) ( 2857750 959140 )
-    NEW met2 ( 2857750 959140 ) ( 2857750 1007250 )
-    NEW met1 ( 2856830 1007250 ) ( 2857750 1007250 )
-    NEW met2 ( 2856830 910690 ) ( 2856830 959140 )
-    NEW met1 ( 2856830 1055870 ) ( 2857750 1055870 )
-    NEW met2 ( 2857750 1055870 ) ( 2857750 1103980 )
-    NEW met3 ( 2856830 1103980 ) ( 2857750 1103980 )
-    NEW met2 ( 2856830 1007250 ) ( 2856830 1055870 )
-    NEW met1 ( 2856830 1152430 ) ( 2857750 1152430 )
-    NEW met2 ( 2857750 1152430 ) ( 2857750 1200540 )
-    NEW met3 ( 2856830 1200540 ) ( 2857750 1200540 )
-    NEW met2 ( 2856830 1103980 ) ( 2856830 1152430 )
-    NEW met1 ( 2856830 1248990 ) ( 2857750 1248990 )
-    NEW met2 ( 2857750 1248990 ) ( 2857750 1297100 )
-    NEW met3 ( 2856830 1297100 ) ( 2857750 1297100 )
-    NEW met2 ( 2856830 1200540 ) ( 2856830 1248990 )
-    NEW met1 ( 2856830 1345550 ) ( 2857750 1345550 )
-    NEW met2 ( 2857750 1345550 ) ( 2857750 1393660 )
-    NEW met3 ( 2856830 1393660 ) ( 2857750 1393660 )
-    NEW met2 ( 2856830 1297100 ) ( 2856830 1345550 )
-    NEW met2 ( 2856830 1393660 ) ( 2856830 1431570 )
-    NEW met1 ( 1737190 1431570 ) M1M2_PR
-    NEW li1 ( 2856830 48450 ) L1M1_PR_MR
-    NEW met1 ( 2857290 48450 ) M1M2_PR
-    NEW met2 ( 2856830 821100 ) via2_FR
-    NEW met2 ( 2856830 822460 ) via2_FR
-    NEW met1 ( 2856830 1431570 ) M1M2_PR
-    NEW li1 ( 2856830 137870 ) L1M1_PR_MR
-    NEW met1 ( 2856830 137870 ) M1M2_PR
-    NEW li1 ( 2856830 186490 ) L1M1_PR_MR
-    NEW met1 ( 2856830 186490 ) M1M2_PR
-    NEW li1 ( 2856830 234430 ) L1M1_PR_MR
-    NEW met1 ( 2856830 234430 ) M1M2_PR
-    NEW li1 ( 2856830 283390 ) L1M1_PR_MR
-    NEW met1 ( 2856830 283390 ) M1M2_PR
-    NEW li1 ( 2856830 330990 ) L1M1_PR_MR
-    NEW met1 ( 2856830 330990 ) M1M2_PR
-    NEW li1 ( 2856830 379610 ) L1M1_PR_MR
-    NEW met1 ( 2856830 379610 ) M1M2_PR
-    NEW li1 ( 2856830 427550 ) L1M1_PR_MR
-    NEW met1 ( 2856830 427550 ) M1M2_PR
-    NEW li1 ( 2856830 476510 ) L1M1_PR_MR
-    NEW met1 ( 2856830 476510 ) M1M2_PR
-    NEW li1 ( 2856830 524110 ) L1M1_PR_MR
-    NEW met1 ( 2856830 524110 ) M1M2_PR
-    NEW li1 ( 2856830 572730 ) L1M1_PR_MR
-    NEW met1 ( 2856830 572730 ) M1M2_PR
-    NEW li1 ( 2856830 620670 ) L1M1_PR_MR
-    NEW met1 ( 2856830 620670 ) M1M2_PR
-    NEW li1 ( 2856830 669630 ) L1M1_PR_MR
-    NEW met1 ( 2856830 669630 ) M1M2_PR
-    NEW li1 ( 2856830 717570 ) L1M1_PR_MR
-    NEW met1 ( 2856830 717570 ) M1M2_PR
-    NEW li1 ( 2856830 766190 ) L1M1_PR_MR
-    NEW met1 ( 2856830 766190 ) M1M2_PR
-    NEW li1 ( 2856830 814130 ) L1M1_PR_MR
-    NEW met1 ( 2856830 814130 ) M1M2_PR
-    NEW met2 ( 2856830 862580 ) via2_FR
-    NEW met2 ( 2857750 862580 ) via2_FR
-    NEW met1 ( 2857750 910690 ) M1M2_PR
-    NEW met1 ( 2856830 910690 ) M1M2_PR
-    NEW met2 ( 2856830 959140 ) via2_FR
-    NEW met2 ( 2857750 959140 ) via2_FR
-    NEW met1 ( 2857750 1007250 ) M1M2_PR
-    NEW met1 ( 2856830 1007250 ) M1M2_PR
-    NEW met1 ( 2856830 1055870 ) M1M2_PR
-    NEW met1 ( 2857750 1055870 ) M1M2_PR
-    NEW met2 ( 2857750 1103980 ) via2_FR
-    NEW met2 ( 2856830 1103980 ) via2_FR
-    NEW met1 ( 2856830 1152430 ) M1M2_PR
-    NEW met1 ( 2857750 1152430 ) M1M2_PR
-    NEW met2 ( 2857750 1200540 ) via2_FR
-    NEW met2 ( 2856830 1200540 ) via2_FR
-    NEW met1 ( 2856830 1248990 ) M1M2_PR
-    NEW met1 ( 2857750 1248990 ) M1M2_PR
-    NEW met2 ( 2857750 1297100 ) via2_FR
-    NEW met2 ( 2856830 1297100 ) via2_FR
-    NEW met1 ( 2856830 1345550 ) M1M2_PR
-    NEW met1 ( 2857750 1345550 ) M1M2_PR
-    NEW met2 ( 2857750 1393660 ) via2_FR
-    NEW met2 ( 2856830 1393660 ) via2_FR
-    NEW met1 ( 2856830 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 717570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 2856830 814130 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2840270 2380 0 ) ( 2840270 2890 )
+    NEW met1 ( 2836130 2890 ) ( 2840270 2890 )
+    NEW met2 ( 1737190 1700340 ) ( 1737650 1700340 0 )
+    NEW met2 ( 1737190 1383290 ) ( 1737190 1700340 )
+    NEW met1 ( 1737190 1383290 ) ( 2836130 1383290 )
+    NEW met2 ( 2836130 2890 ) ( 2836130 1383290 )
+    NEW met1 ( 2840270 2890 ) M1M2_PR
+    NEW met1 ( 2836130 2890 ) M1M2_PR
+    NEW met1 ( 2836130 1383290 ) M1M2_PR
+    NEW met1 ( 1737190 1383290 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) 
-  + ROUTED met1 ( 1741330 1685550 ) ( 1756510 1685550 )
-    NEW met2 ( 1741330 1685550 ) ( 1741330 1700340 0 )
-    NEW met1 ( 2870630 62050 ) ( 2875230 62050 )
-    NEW met2 ( 2870630 62050 ) ( 2870630 1307470 )
-    NEW met2 ( 2875230 2380 0 ) ( 2875230 62050 )
-    NEW met2 ( 1756510 1307470 ) ( 1756510 1685550 )
-    NEW met1 ( 1756510 1307470 ) ( 2870630 1307470 )
-    NEW met1 ( 1756510 1685550 ) M1M2_PR
-    NEW met1 ( 1741330 1685550 ) M1M2_PR
-    NEW met1 ( 2870630 1307470 ) M1M2_PR
-    NEW met1 ( 2870630 62050 ) M1M2_PR
-    NEW met1 ( 2875230 62050 ) M1M2_PR
-    NEW met1 ( 1756510 1307470 ) M1M2_PR
+  + ROUTED met2 ( 2857750 2380 0 ) ( 2857750 2890 )
+    NEW met1 ( 2856830 2890 ) ( 2857750 2890 )
+    NEW met2 ( 1741330 1646110 ) ( 1741330 1700340 0 )
+    NEW met1 ( 1741330 1646110 ) ( 2856830 1646110 )
+    NEW met2 ( 2856830 2890 ) ( 2856830 1646110 )
+    NEW met1 ( 2857750 2890 ) M1M2_PR
+    NEW met1 ( 2856830 2890 ) M1M2_PR
+    NEW met1 ( 2856830 1646110 ) M1M2_PR
+    NEW met1 ( 1741330 1646110 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) 
-  + ROUTED met1 ( 1744090 1685890 ) ( 1745010 1685890 )
-    NEW met2 ( 1745010 1685890 ) ( 1745010 1700340 0 )
-    NEW met2 ( 1744090 1417970 ) ( 1744090 1685890 )
-    NEW met1 ( 2887650 20570 ) ( 2893170 20570 )
-    NEW met2 ( 2887650 20570 ) ( 2887650 1417970 )
-    NEW met2 ( 2893170 2380 0 ) ( 2893170 20570 )
-    NEW met1 ( 1744090 1417970 ) ( 2887650 1417970 )
-    NEW met1 ( 1744090 1685890 ) M1M2_PR
-    NEW met1 ( 1745010 1685890 ) M1M2_PR
-    NEW met1 ( 2887650 1417970 ) M1M2_PR
-    NEW met1 ( 1744090 1417970 ) M1M2_PR
-    NEW met1 ( 2887650 20570 ) M1M2_PR
-    NEW met1 ( 2893170 20570 ) M1M2_PR
+  + ROUTED met2 ( 2875690 2380 0 ) ( 2875690 2890 )
+    NEW met1 ( 2870630 2890 ) ( 2875690 2890 )
+    NEW met1 ( 1744090 1265990 ) ( 2870630 1265990 )
+    NEW met1 ( 1744090 1684190 ) ( 1745010 1684190 )
+    NEW met2 ( 1745010 1684190 ) ( 1745010 1700340 0 )
+    NEW met2 ( 1744090 1265990 ) ( 1744090 1684190 )
+    NEW met2 ( 2870630 2890 ) ( 2870630 1265990 )
+    NEW met1 ( 1744090 1265990 ) M1M2_PR
+    NEW met1 ( 2875690 2890 ) M1M2_PR
+    NEW met1 ( 2870630 2890 ) M1M2_PR
+    NEW met1 ( 2870630 1265990 ) M1M2_PR
+    NEW met1 ( 1744090 1684190 ) M1M2_PR
+    NEW met1 ( 1745010 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) 
-  + ROUTED met2 ( 1748690 1700340 0 ) ( 1751910 1700340 )
-    NEW met2 ( 1751910 1265990 ) ( 1751910 1700340 )
-    NEW met1 ( 2905130 37570 ) ( 2911110 37570 )
-    NEW met2 ( 2905130 37570 ) ( 2905130 1265990 )
-    NEW met2 ( 2911110 2380 0 ) ( 2911110 37570 )
-    NEW met1 ( 1751910 1265990 ) ( 2905130 1265990 )
-    NEW met1 ( 1751910 1265990 ) M1M2_PR
-    NEW met1 ( 2905130 1265990 ) M1M2_PR
-    NEW met1 ( 2905130 37570 ) M1M2_PR
-    NEW met1 ( 2911110 37570 ) M1M2_PR
+  + ROUTED met2 ( 2893170 2380 0 ) ( 2893170 17170 )
+    NEW met1 ( 2397750 17170 ) ( 2893170 17170 )
+    NEW met2 ( 1748690 1700340 0 ) ( 1751450 1700340 )
+    NEW met2 ( 1751450 1563150 ) ( 1751450 1700340 )
+    NEW met2 ( 2397750 17170 ) ( 2397750 1563150 )
+    NEW met1 ( 1751450 1563150 ) ( 2397750 1563150 )
+    NEW met1 ( 2893170 17170 ) M1M2_PR
+    NEW met1 ( 2397750 17170 ) M1M2_PR
+    NEW met1 ( 1751450 1563150 ) M1M2_PR
+    NEW met1 ( 2397750 1563150 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) 
-  + ROUTED met2 ( 859050 2380 0 ) ( 859050 34510 )
+  + ROUTED met2 ( 853990 2380 0 ) ( 853990 34510 )
     NEW met2 ( 1326410 34510 ) ( 1326410 1700340 0 )
-    NEW met1 ( 859050 34510 ) ( 1326410 34510 )
-    NEW met1 ( 859050 34510 ) M1M2_PR
+    NEW met1 ( 853990 34510 ) ( 1326410 34510 )
+    NEW met1 ( 853990 34510 ) M1M2_PR
     NEW met1 ( 1326410 34510 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) 
-  + ROUTED met2 ( 876990 2380 0 ) ( 876990 29410 )
-    NEW li1 ( 972670 38590 ) ( 972670 41650 )
+  + ROUTED met2 ( 871470 2380 0 ) ( 871470 29410 )
+    NEW li1 ( 978650 42330 ) ( 978650 42670 )
+    NEW li1 ( 978650 42670 ) ( 979570 42670 )
+    NEW li1 ( 979570 38590 ) ( 979570 42670 )
     NEW met1 ( 1325950 1678750 ) ( 1329170 1678750 )
     NEW met2 ( 1329170 1678750 ) ( 1329170 1700340 )
     NEW met2 ( 1329170 1700340 ) ( 1330090 1700340 0 )
     NEW met2 ( 1325950 38590 ) ( 1325950 1678750 )
-    NEW li1 ( 883430 29410 ) ( 883430 41650 )
-    NEW met1 ( 876990 29410 ) ( 883430 29410 )
-    NEW met1 ( 883430 41650 ) ( 972670 41650 )
-    NEW met1 ( 972670 38590 ) ( 1325950 38590 )
-    NEW met1 ( 876990 29410 ) M1M2_PR
-    NEW li1 ( 972670 41650 ) L1M1_PR_MR
-    NEW li1 ( 972670 38590 ) L1M1_PR_MR
+    NEW li1 ( 883430 29410 ) ( 883430 42330 )
+    NEW met1 ( 871470 29410 ) ( 883430 29410 )
+    NEW met1 ( 883430 42330 ) ( 978650 42330 )
+    NEW met1 ( 979570 38590 ) ( 1325950 38590 )
+    NEW met1 ( 871470 29410 ) M1M2_PR
+    NEW li1 ( 978650 42330 ) L1M1_PR_MR
+    NEW li1 ( 979570 38590 ) L1M1_PR_MR
     NEW met1 ( 1325950 38590 ) M1M2_PR
     NEW met1 ( 1325950 1678750 ) M1M2_PR
     NEW met1 ( 1329170 1678750 ) M1M2_PR
     NEW li1 ( 883430 29410 ) L1M1_PR_MR
-    NEW li1 ( 883430 41650 ) L1M1_PR_MR
+    NEW li1 ( 883430 42330 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) 
   + ROUTED met2 ( 1332850 1700340 ) ( 1333770 1700340 0 )
-    NEW met2 ( 1332850 38930 ) ( 1332850 1700340 )
-    NEW met1 ( 894930 29070 ) ( 931270 29070 )
-    NEW li1 ( 931270 29070 ) ( 931270 38930 )
-    NEW met2 ( 894930 2380 0 ) ( 894930 29070 )
-    NEW met1 ( 931270 38930 ) ( 1332850 38930 )
-    NEW met1 ( 1332850 38930 ) M1M2_PR
-    NEW met1 ( 894930 29070 ) M1M2_PR
-    NEW li1 ( 931270 29070 ) L1M1_PR_MR
-    NEW li1 ( 931270 38930 ) L1M1_PR_MR
+    NEW met2 ( 1332850 39270 ) ( 1332850 1700340 )
+    NEW met3 ( 889410 39100 ) ( 931270 39100 )
+    NEW met2 ( 931270 39100 ) ( 931270 39270 )
+    NEW met2 ( 889410 2380 0 ) ( 889410 39100 )
+    NEW li1 ( 1184270 39270 ) ( 1185650 39270 )
+    NEW met1 ( 931270 39270 ) ( 1184270 39270 )
+    NEW met1 ( 1185650 39270 ) ( 1332850 39270 )
+    NEW met1 ( 1332850 39270 ) M1M2_PR
+    NEW met2 ( 889410 39100 ) via2_FR
+    NEW met2 ( 931270 39100 ) via2_FR
+    NEW met1 ( 931270 39270 ) M1M2_PR
+    NEW li1 ( 1184270 39270 ) L1M1_PR_MR
+    NEW li1 ( 1185650 39270 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) 
-  + ROUTED met2 ( 912870 2380 0 ) ( 912870 2890 )
-    NEW met1 ( 912870 2890 ) ( 917470 2890 )
-    NEW met1 ( 1333310 1677730 ) ( 1336530 1677730 )
-    NEW met2 ( 1336530 1677730 ) ( 1336530 1700340 )
+  + ROUTED met2 ( 907350 2380 0 ) ( 907350 2890 )
+    NEW met1 ( 907350 2890 ) ( 910570 2890 )
+    NEW met1 ( 1333310 1662770 ) ( 1336530 1662770 )
+    NEW met2 ( 1336530 1662770 ) ( 1336530 1700340 )
     NEW met2 ( 1336530 1700340 ) ( 1337450 1700340 0 )
-    NEW met2 ( 1333310 1487330 ) ( 1333310 1677730 )
-    NEW met2 ( 917470 2890 ) ( 917470 1487330 )
-    NEW met1 ( 917470 1487330 ) ( 1333310 1487330 )
-    NEW met1 ( 912870 2890 ) M1M2_PR
-    NEW met1 ( 917470 2890 ) M1M2_PR
-    NEW met1 ( 917470 1487330 ) M1M2_PR
+    NEW met2 ( 1333310 1487330 ) ( 1333310 1662770 )
+    NEW met2 ( 910570 2890 ) ( 910570 1487330 )
+    NEW met1 ( 910570 1487330 ) ( 1333310 1487330 )
+    NEW met1 ( 907350 2890 ) M1M2_PR
+    NEW met1 ( 910570 2890 ) M1M2_PR
+    NEW met1 ( 910570 1487330 ) M1M2_PR
     NEW met1 ( 1333310 1487330 ) M1M2_PR
-    NEW met1 ( 1333310 1677730 ) M1M2_PR
-    NEW met1 ( 1336530 1677730 ) M1M2_PR
+    NEW met1 ( 1333310 1662770 ) M1M2_PR
+    NEW met1 ( 1336530 1662770 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) 
-  + ROUTED met2 ( 930350 2380 0 ) ( 930350 2890 )
-    NEW met2 ( 930350 2890 ) ( 930810 2890 )
-    NEW met2 ( 930810 2890 ) ( 930810 3060 )
-    NEW met2 ( 930810 3060 ) ( 931270 3060 )
-    NEW met1 ( 931270 1632510 ) ( 1338830 1632510 )
-    NEW met2 ( 931270 3060 ) ( 931270 1632510 )
-    NEW met1 ( 1338830 1695410 ) ( 1341130 1695410 )
-    NEW met2 ( 1341130 1695410 ) ( 1341130 1700340 0 )
-    NEW met2 ( 1338830 1632510 ) ( 1338830 1695410 )
-    NEW met1 ( 931270 1632510 ) M1M2_PR
-    NEW met1 ( 1338830 1632510 ) M1M2_PR
-    NEW met1 ( 1338830 1695410 ) M1M2_PR
-    NEW met1 ( 1341130 1695410 ) M1M2_PR
+  + ROUTED met2 ( 1340670 1700340 ) ( 1341130 1700340 0 )
+    NEW met2 ( 1340670 1618910 ) ( 1340670 1700340 )
+    NEW met1 ( 931270 1618910 ) ( 1340670 1618910 )
+    NEW met1 ( 924830 41990 ) ( 931270 41990 )
+    NEW met2 ( 924830 2380 0 ) ( 924830 41990 )
+    NEW met2 ( 931270 41990 ) ( 931270 1618910 )
+    NEW met1 ( 931270 1618910 ) M1M2_PR
+    NEW met1 ( 1340670 1618910 ) M1M2_PR
+    NEW met1 ( 924830 41990 ) M1M2_PR
+    NEW met1 ( 931270 41990 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) 
-  + ROUTED met1 ( 1340210 1677730 ) ( 1343890 1677730 )
+  + ROUTED met2 ( 942770 2380 0 ) ( 942770 2890 )
+    NEW met1 ( 942770 2890 ) ( 945070 2890 )
+    NEW met2 ( 945070 2890 ) ( 945070 1591710 )
+    NEW met1 ( 1340210 1677730 ) ( 1343890 1677730 )
     NEW met2 ( 1343890 1677730 ) ( 1343890 1700340 )
     NEW met2 ( 1343890 1700340 ) ( 1344810 1700340 0 )
-    NEW met2 ( 1340210 1548870 ) ( 1340210 1677730 )
-    NEW met2 ( 948290 2380 0 ) ( 948290 2890 )
-    NEW met1 ( 948290 2890 ) ( 949670 2890 )
-    NEW met2 ( 949670 2890 ) ( 949670 47940 )
-    NEW met2 ( 949670 47940 ) ( 950590 47940 )
-    NEW met3 ( 949670 48620 ) ( 950590 48620 )
-    NEW met2 ( 950590 47940 ) ( 950590 48620 )
-    NEW met3 ( 948750 90100 ) ( 949670 90100 )
-    NEW met2 ( 948750 90100 ) ( 948750 137870 )
-    NEW met1 ( 948750 137870 ) ( 951970 137870 )
-    NEW met2 ( 949670 48620 ) ( 949670 90100 )
-    NEW li1 ( 951970 186490 ) ( 951970 234430 )
-    NEW met2 ( 951970 137870 ) ( 951970 186490 )
-    NEW li1 ( 951970 283390 ) ( 951970 330990 )
-    NEW met2 ( 951970 234430 ) ( 951970 283390 )
-    NEW li1 ( 951970 379610 ) ( 951970 427550 )
-    NEW met2 ( 951970 330990 ) ( 951970 379610 )
-    NEW li1 ( 951970 476510 ) ( 951970 524110 )
-    NEW met2 ( 951970 427550 ) ( 951970 476510 )
-    NEW li1 ( 951970 572730 ) ( 951970 620670 )
-    NEW met2 ( 951970 524110 ) ( 951970 572730 )
-    NEW li1 ( 951970 669630 ) ( 951970 717230 )
-    NEW met2 ( 951970 620670 ) ( 951970 669630 )
-    NEW li1 ( 951970 766190 ) ( 951970 814130 )
-    NEW met2 ( 951970 717230 ) ( 951970 766190 )
-    NEW met3 ( 951050 862580 ) ( 951970 862580 )
-    NEW met2 ( 951050 862580 ) ( 951050 910690 )
-    NEW met1 ( 951050 910690 ) ( 951970 910690 )
-    NEW met2 ( 951970 814130 ) ( 951970 862580 )
-    NEW met3 ( 951050 959140 ) ( 951970 959140 )
-    NEW met2 ( 951050 959140 ) ( 951050 1007250 )
-    NEW met1 ( 951050 1007250 ) ( 951970 1007250 )
-    NEW met2 ( 951970 910690 ) ( 951970 959140 )
-    NEW met3 ( 951050 1055700 ) ( 951970 1055700 )
-    NEW met2 ( 951050 1055700 ) ( 951050 1103980 )
-    NEW met3 ( 951050 1103980 ) ( 951970 1103980 )
-    NEW met2 ( 951970 1007250 ) ( 951970 1055700 )
-    NEW met1 ( 951050 1152430 ) ( 951970 1152430 )
-    NEW met2 ( 951050 1152430 ) ( 951050 1200540 )
-    NEW met3 ( 951050 1200540 ) ( 951970 1200540 )
-    NEW met2 ( 951970 1103980 ) ( 951970 1152430 )
-    NEW met1 ( 951050 1248990 ) ( 951970 1248990 )
-    NEW met2 ( 951050 1248990 ) ( 951050 1297100 )
-    NEW met3 ( 951050 1297100 ) ( 951970 1297100 )
-    NEW met2 ( 951970 1200540 ) ( 951970 1248990 )
-    NEW met1 ( 951050 1345550 ) ( 951970 1345550 )
-    NEW met2 ( 951050 1345550 ) ( 951050 1393660 )
-    NEW met3 ( 951050 1393660 ) ( 951970 1393660 )
-    NEW met2 ( 951970 1297100 ) ( 951970 1345550 )
-    NEW met1 ( 951050 1442110 ) ( 951970 1442110 )
-    NEW met2 ( 951050 1442110 ) ( 951050 1490220 )
-    NEW met3 ( 951050 1490220 ) ( 951970 1490220 )
-    NEW met2 ( 951970 1393660 ) ( 951970 1442110 )
-    NEW li1 ( 951970 1539010 ) ( 951970 1548870 )
-    NEW met2 ( 951970 1490220 ) ( 951970 1539010 )
-    NEW met1 ( 951970 1548870 ) ( 1340210 1548870 )
-    NEW met1 ( 1340210 1548870 ) M1M2_PR
+    NEW met2 ( 1340210 1591710 ) ( 1340210 1677730 )
+    NEW met1 ( 945070 1591710 ) ( 1340210 1591710 )
+    NEW met1 ( 942770 2890 ) M1M2_PR
+    NEW met1 ( 945070 2890 ) M1M2_PR
+    NEW met1 ( 945070 1591710 ) M1M2_PR
+    NEW met1 ( 1340210 1591710 ) M1M2_PR
     NEW met1 ( 1340210 1677730 ) M1M2_PR
     NEW met1 ( 1343890 1677730 ) M1M2_PR
-    NEW met1 ( 948290 2890 ) M1M2_PR
-    NEW met1 ( 949670 2890 ) M1M2_PR
-    NEW met2 ( 950590 48620 ) via2_FR
-    NEW met2 ( 949670 48620 ) via2_FR
-    NEW met2 ( 949670 90100 ) via2_FR
-    NEW met2 ( 948750 90100 ) via2_FR
-    NEW met1 ( 948750 137870 ) M1M2_PR
-    NEW met1 ( 951970 137870 ) M1M2_PR
-    NEW li1 ( 951970 186490 ) L1M1_PR_MR
-    NEW met1 ( 951970 186490 ) M1M2_PR
-    NEW li1 ( 951970 234430 ) L1M1_PR_MR
-    NEW met1 ( 951970 234430 ) M1M2_PR
-    NEW li1 ( 951970 283390 ) L1M1_PR_MR
-    NEW met1 ( 951970 283390 ) M1M2_PR
-    NEW li1 ( 951970 330990 ) L1M1_PR_MR
-    NEW met1 ( 951970 330990 ) M1M2_PR
-    NEW li1 ( 951970 379610 ) L1M1_PR_MR
-    NEW met1 ( 951970 379610 ) M1M2_PR
-    NEW li1 ( 951970 427550 ) L1M1_PR_MR
-    NEW met1 ( 951970 427550 ) M1M2_PR
-    NEW li1 ( 951970 476510 ) L1M1_PR_MR
-    NEW met1 ( 951970 476510 ) M1M2_PR
-    NEW li1 ( 951970 524110 ) L1M1_PR_MR
-    NEW met1 ( 951970 524110 ) M1M2_PR
-    NEW li1 ( 951970 572730 ) L1M1_PR_MR
-    NEW met1 ( 951970 572730 ) M1M2_PR
-    NEW li1 ( 951970 620670 ) L1M1_PR_MR
-    NEW met1 ( 951970 620670 ) M1M2_PR
-    NEW li1 ( 951970 669630 ) L1M1_PR_MR
-    NEW met1 ( 951970 669630 ) M1M2_PR
-    NEW li1 ( 951970 717230 ) L1M1_PR_MR
-    NEW met1 ( 951970 717230 ) M1M2_PR
-    NEW li1 ( 951970 766190 ) L1M1_PR_MR
-    NEW met1 ( 951970 766190 ) M1M2_PR
-    NEW li1 ( 951970 814130 ) L1M1_PR_MR
-    NEW met1 ( 951970 814130 ) M1M2_PR
-    NEW met2 ( 951970 862580 ) via2_FR
-    NEW met2 ( 951050 862580 ) via2_FR
-    NEW met1 ( 951050 910690 ) M1M2_PR
-    NEW met1 ( 951970 910690 ) M1M2_PR
-    NEW met2 ( 951970 959140 ) via2_FR
-    NEW met2 ( 951050 959140 ) via2_FR
-    NEW met1 ( 951050 1007250 ) M1M2_PR
-    NEW met1 ( 951970 1007250 ) M1M2_PR
-    NEW met2 ( 951970 1055700 ) via2_FR
-    NEW met2 ( 951050 1055700 ) via2_FR
-    NEW met2 ( 951050 1103980 ) via2_FR
-    NEW met2 ( 951970 1103980 ) via2_FR
-    NEW met1 ( 951970 1152430 ) M1M2_PR
-    NEW met1 ( 951050 1152430 ) M1M2_PR
-    NEW met2 ( 951050 1200540 ) via2_FR
-    NEW met2 ( 951970 1200540 ) via2_FR
-    NEW met1 ( 951970 1248990 ) M1M2_PR
-    NEW met1 ( 951050 1248990 ) M1M2_PR
-    NEW met2 ( 951050 1297100 ) via2_FR
-    NEW met2 ( 951970 1297100 ) via2_FR
-    NEW met1 ( 951970 1345550 ) M1M2_PR
-    NEW met1 ( 951050 1345550 ) M1M2_PR
-    NEW met2 ( 951050 1393660 ) via2_FR
-    NEW met2 ( 951970 1393660 ) via2_FR
-    NEW met1 ( 951970 1442110 ) M1M2_PR
-    NEW met1 ( 951050 1442110 ) M1M2_PR
-    NEW met2 ( 951050 1490220 ) via2_FR
-    NEW met2 ( 951970 1490220 ) via2_FR
-    NEW li1 ( 951970 1539010 ) L1M1_PR_MR
-    NEW met1 ( 951970 1539010 ) M1M2_PR
-    NEW li1 ( 951970 1548870 ) L1M1_PR_MR
-    NEW met1 ( 951970 186490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 717230 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 951970 1539010 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) 
-  + ROUTED met1 ( 966230 38590 ) ( 972210 38590 )
-    NEW met2 ( 966230 2380 0 ) ( 966230 38590 )
-    NEW met2 ( 972210 38590 ) ( 972210 1617890 )
-    NEW met2 ( 1348490 1617890 ) ( 1348490 1700340 0 )
-    NEW met1 ( 972210 1617890 ) ( 1348490 1617890 )
-    NEW met1 ( 972210 1617890 ) M1M2_PR
-    NEW met1 ( 1348490 1617890 ) M1M2_PR
-    NEW met1 ( 966230 38590 ) M1M2_PR
-    NEW met1 ( 972210 38590 ) M1M2_PR
+  + ROUTED met2 ( 960250 2380 0 ) ( 960250 2890 )
+    NEW met1 ( 960250 2890 ) ( 965770 2890 )
+    NEW met2 ( 965770 2890 ) ( 965770 1604290 )
+    NEW met2 ( 1348030 1700340 ) ( 1348490 1700340 0 )
+    NEW met2 ( 1348030 1604290 ) ( 1348030 1700340 )
+    NEW met1 ( 965770 1604290 ) ( 1348030 1604290 )
+    NEW met1 ( 960250 2890 ) M1M2_PR
+    NEW met1 ( 965770 2890 ) M1M2_PR
+    NEW met1 ( 965770 1604290 ) M1M2_PR
+    NEW met1 ( 1348030 1604290 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) 
-  + ROUTED met2 ( 984170 2380 0 ) ( 984170 2890 )
-    NEW met1 ( 984170 2890 ) ( 986470 2890 )
+  + ROUTED met2 ( 978190 2380 0 ) ( 978190 3060 )
+    NEW met2 ( 978190 3060 ) ( 979570 3060 )
+    NEW met2 ( 979570 3060 ) ( 979570 1597830 )
     NEW met1 ( 1347570 1677730 ) ( 1351250 1677730 )
     NEW met2 ( 1351250 1677730 ) ( 1351250 1700340 )
     NEW met2 ( 1351250 1700340 ) ( 1352170 1700340 0 )
-    NEW met2 ( 1347570 1590690 ) ( 1347570 1677730 )
-    NEW met2 ( 986470 2890 ) ( 986470 1590690 )
-    NEW met1 ( 986470 1590690 ) ( 1347570 1590690 )
-    NEW met1 ( 984170 2890 ) M1M2_PR
-    NEW met1 ( 986470 2890 ) M1M2_PR
-    NEW met1 ( 986470 1590690 ) M1M2_PR
-    NEW met1 ( 1347570 1590690 ) M1M2_PR
+    NEW met2 ( 1347570 1597830 ) ( 1347570 1677730 )
+    NEW met1 ( 979570 1597830 ) ( 1347570 1597830 )
+    NEW met1 ( 979570 1597830 ) M1M2_PR
+    NEW met1 ( 1347570 1597830 ) M1M2_PR
     NEW met1 ( 1347570 1677730 ) M1M2_PR
     NEW met1 ( 1351250 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) 
-  + ROUTED met1 ( 663090 19890 ) ( 668610 19890 )
-    NEW met2 ( 663090 2380 0 ) ( 663090 19890 )
-    NEW met2 ( 668610 19890 ) ( 668610 1597150 )
-    NEW met1 ( 668610 1597150 ) ( 1285470 1597150 )
+  + ROUTED met1 ( 658950 17170 ) ( 662170 17170 )
+    NEW met2 ( 658950 2380 0 ) ( 658950 17170 )
+    NEW met2 ( 662170 17170 ) ( 662170 1473050 )
+    NEW met1 ( 662170 1473050 ) ( 1285470 1473050 )
     NEW met2 ( 1285470 1700340 ) ( 1285930 1700340 0 )
-    NEW met2 ( 1285470 1597150 ) ( 1285470 1700340 )
-    NEW met1 ( 668610 1597150 ) M1M2_PR
-    NEW met1 ( 663090 19890 ) M1M2_PR
-    NEW met1 ( 668610 19890 ) M1M2_PR
-    NEW met1 ( 1285470 1597150 ) M1M2_PR
+    NEW met2 ( 1285470 1473050 ) ( 1285470 1700340 )
+    NEW met1 ( 1285470 1473050 ) M1M2_PR
+    NEW met1 ( 662170 1473050 ) M1M2_PR
+    NEW met1 ( 658950 17170 ) M1M2_PR
+    NEW met1 ( 662170 17170 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) 
-  + ROUTED met2 ( 1002110 2380 0 ) ( 1002110 2890 )
-    NEW met1 ( 1002110 2890 ) ( 1007170 2890 )
-    NEW met2 ( 1007170 2890 ) ( 1007170 1576750 )
-    NEW met1 ( 1007170 1576750 ) ( 1355850 1576750 )
-    NEW met2 ( 1355850 1576750 ) ( 1355850 1700340 0 )
-    NEW met1 ( 1002110 2890 ) M1M2_PR
-    NEW met1 ( 1007170 2890 ) M1M2_PR
-    NEW met1 ( 1007170 1576750 ) M1M2_PR
-    NEW met1 ( 1355850 1576750 ) M1M2_PR
+  + ROUTED met2 ( 995670 2380 0 ) ( 995670 2890 )
+    NEW met1 ( 995670 2890 ) ( 1000270 2890 )
+    NEW met2 ( 1355390 1656140 ) ( 1355850 1656140 )
+    NEW met2 ( 1355850 1656140 ) ( 1355850 1700340 0 )
+    NEW met2 ( 1000270 2890 ) ( 1000270 1577090 )
+    NEW met1 ( 1000270 1577090 ) ( 1355390 1577090 )
+    NEW met2 ( 1355390 1577090 ) ( 1355390 1656140 )
+    NEW met1 ( 995670 2890 ) M1M2_PR
+    NEW met1 ( 1000270 2890 ) M1M2_PR
+    NEW met1 ( 1000270 1577090 ) M1M2_PR
+    NEW met1 ( 1355390 1577090 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) 
-  + ROUTED met2 ( 1019590 2380 0 ) ( 1019590 3060 )
-    NEW met2 ( 1019590 3060 ) ( 1020970 3060 )
-    NEW met2 ( 1359530 1666850 ) ( 1359530 1700340 0 )
-    NEW met2 ( 1020970 3060 ) ( 1020970 1666850 )
-    NEW met1 ( 1020970 1666850 ) ( 1359530 1666850 )
-    NEW met1 ( 1020970 1666850 ) M1M2_PR
-    NEW met1 ( 1359530 1666850 ) M1M2_PR
+  + ROUTED met2 ( 1013610 2380 0 ) ( 1013610 2890 )
+    NEW met2 ( 1013610 2890 ) ( 1014070 2890 )
+    NEW met2 ( 1359530 1653250 ) ( 1359530 1700340 0 )
+    NEW met1 ( 1014070 1653250 ) ( 1359530 1653250 )
+    NEW met2 ( 1014070 2890 ) ( 1014070 1653250 )
+    NEW met1 ( 1014070 1653250 ) M1M2_PR
+    NEW met1 ( 1359530 1653250 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) 
-  + ROUTED met1 ( 1360450 1608030 ) ( 1361370 1608030 )
-    NEW met2 ( 1037530 2380 0 ) ( 1037530 43010 )
-    NEW met2 ( 1360450 1677900 ) ( 1360910 1677900 )
-    NEW met2 ( 1360450 1608030 ) ( 1360450 1677900 )
-    NEW met1 ( 1037530 43010 ) ( 1361370 43010 )
-    NEW met1 ( 1360910 1692350 ) ( 1363210 1692350 )
-    NEW met2 ( 1363210 1692350 ) ( 1363210 1700340 0 )
-    NEW met2 ( 1360910 1677900 ) ( 1360910 1692350 )
-    NEW li1 ( 1361370 1476450 ) ( 1361370 1477470 )
-    NEW met2 ( 1361370 1477470 ) ( 1361370 1608030 )
-    NEW met2 ( 1361370 43010 ) ( 1361370 1476450 )
-    NEW met1 ( 1361370 1608030 ) M1M2_PR
-    NEW met1 ( 1360450 1608030 ) M1M2_PR
-    NEW met1 ( 1037530 43010 ) M1M2_PR
+  + ROUTED met2 ( 1031090 2380 0 ) ( 1031090 43010 )
+    NEW met2 ( 1361370 1678580 ) ( 1362290 1678580 )
+    NEW met2 ( 1362290 1678580 ) ( 1362290 1700340 )
+    NEW met2 ( 1362290 1700340 ) ( 1363210 1700340 0 )
+    NEW met2 ( 1361370 43010 ) ( 1361370 1678580 )
+    NEW met1 ( 1031090 43010 ) ( 1361370 43010 )
+    NEW met1 ( 1031090 43010 ) M1M2_PR
     NEW met1 ( 1361370 43010 ) M1M2_PR
-    NEW met1 ( 1360910 1692350 ) M1M2_PR
-    NEW met1 ( 1363210 1692350 ) M1M2_PR
-    NEW li1 ( 1361370 1476450 ) L1M1_PR_MR
-    NEW met1 ( 1361370 1476450 ) M1M2_PR
-    NEW li1 ( 1361370 1477470 ) L1M1_PR_MR
-    NEW met1 ( 1361370 1477470 ) M1M2_PR
-    NEW met1 ( 1361370 1476450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1361370 1477470 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) 
-  + ROUTED met2 ( 1055470 2380 0 ) ( 1055470 42670 )
-    NEW met1 ( 1055470 42670 ) ( 1367350 42670 )
-    NEW met2 ( 1366890 1700340 0 ) ( 1367350 1700340 )
-    NEW met2 ( 1367350 42670 ) ( 1367350 1700340 )
-    NEW met1 ( 1055470 42670 ) M1M2_PR
-    NEW met1 ( 1367350 42670 ) M1M2_PR
+  + ROUTED met2 ( 1049030 2380 0 ) ( 1049030 42670 )
+    NEW met1 ( 1049030 42670 ) ( 1366890 42670 )
+    NEW met2 ( 1366890 42670 ) ( 1366890 1700340 0 )
+    NEW met1 ( 1049030 42670 ) M1M2_PR
+    NEW met1 ( 1366890 42670 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) 
-  + ROUTED met2 ( 1073410 2380 0 ) ( 1073410 41990 )
-    NEW met1 ( 1090890 41990 ) ( 1090890 42330 )
-    NEW met1 ( 1073410 41990 ) ( 1090890 41990 )
-    NEW met1 ( 1090890 42330 ) ( 1369650 42330 )
+  + ROUTED met2 ( 1066970 2380 0 ) ( 1066970 41990 )
+    NEW met2 ( 1083990 41820 ) ( 1083990 41990 )
+    NEW met3 ( 1083990 41820 ) ( 1123550 41820 )
+    NEW met2 ( 1123550 41820 ) ( 1123550 42330 )
+    NEW met1 ( 1066970 41990 ) ( 1083990 41990 )
+    NEW met1 ( 1123550 42330 ) ( 1367350 42330 )
+    NEW met1 ( 1367350 1677730 ) ( 1369650 1677730 )
+    NEW met2 ( 1369650 1677730 ) ( 1369650 1700340 )
     NEW met2 ( 1369650 1700340 ) ( 1370570 1700340 0 )
-    NEW met2 ( 1369650 42330 ) ( 1369650 1700340 )
-    NEW met1 ( 1073410 41990 ) M1M2_PR
-    NEW met1 ( 1369650 42330 ) M1M2_PR
+    NEW met2 ( 1367350 42330 ) ( 1367350 1677730 )
+    NEW met1 ( 1066970 41990 ) M1M2_PR
+    NEW met1 ( 1083990 41990 ) M1M2_PR
+    NEW met2 ( 1083990 41820 ) via2_FR
+    NEW met2 ( 1123550 41820 ) via2_FR
+    NEW met1 ( 1123550 42330 ) M1M2_PR
+    NEW met1 ( 1367350 42330 ) M1M2_PR
+    NEW met1 ( 1367350 1677730 ) M1M2_PR
+    NEW met1 ( 1369650 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) 
-  + ROUTED met2 ( 1090430 13940 ) ( 1090890 13940 )
-    NEW met2 ( 1090430 13940 ) ( 1090430 41650 )
-    NEW met1 ( 1090430 41650 ) ( 1123550 41650 )
-    NEW li1 ( 1123550 41650 ) ( 1123550 41990 )
-    NEW li1 ( 1123550 41990 ) ( 1124470 41990 )
-    NEW met2 ( 1090890 2380 0 ) ( 1090890 13940 )
+  + ROUTED met1 ( 1084450 41990 ) ( 1119410 41990 )
+    NEW li1 ( 1119410 41990 ) ( 1124470 41990 )
+    NEW met2 ( 1084450 2380 0 ) ( 1084450 41990 )
     NEW met1 ( 1124470 41990 ) ( 1374250 41990 )
     NEW met2 ( 1374250 41990 ) ( 1374250 1700340 0 )
-    NEW met1 ( 1090430 41650 ) M1M2_PR
-    NEW li1 ( 1123550 41650 ) L1M1_PR_MR
+    NEW met1 ( 1084450 41990 ) M1M2_PR
+    NEW li1 ( 1119410 41990 ) L1M1_PR_MR
     NEW li1 ( 1124470 41990 ) L1M1_PR_MR
     NEW met1 ( 1374250 41990 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) 
-  + ROUTED met2 ( 1108830 2380 0 ) ( 1108830 3060 )
-    NEW met2 ( 1108830 3060 ) ( 1110210 3060 )
-    NEW met1 ( 1110210 41990 ) ( 1124010 41990 )
-    NEW met1 ( 1124010 41650 ) ( 1124010 41990 )
-    NEW met2 ( 1110210 3060 ) ( 1110210 41990 )
-    NEW met1 ( 1124010 41650 ) ( 1374710 41650 )
-    NEW met2 ( 1374710 1678580 ) ( 1377010 1678580 )
-    NEW met2 ( 1377010 1678580 ) ( 1377010 1700340 )
+  + ROUTED met2 ( 1102390 2380 0 ) ( 1102390 41650 )
+    NEW met1 ( 1102390 41650 ) ( 1374710 41650 )
+    NEW met1 ( 1374710 1677730 ) ( 1377010 1677730 )
+    NEW met2 ( 1377010 1677730 ) ( 1377010 1700340 )
     NEW met2 ( 1377010 1700340 ) ( 1377470 1700340 0 )
-    NEW met2 ( 1374710 41650 ) ( 1374710 1678580 )
-    NEW met1 ( 1110210 41990 ) M1M2_PR
+    NEW met2 ( 1374710 41650 ) ( 1374710 1677730 )
+    NEW met1 ( 1102390 41650 ) M1M2_PR
     NEW met1 ( 1374710 41650 ) M1M2_PR
+    NEW met1 ( 1374710 1677730 ) M1M2_PR
+    NEW met1 ( 1377010 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) 
-  + ROUTED met3 ( 1126770 43860 ) ( 1172770 43860 )
-    NEW met2 ( 1172770 43860 ) ( 1172770 44710 )
-    NEW met2 ( 1126770 2380 0 ) ( 1126770 43860 )
-    NEW met1 ( 1172770 44710 ) ( 1381150 44710 )
-    NEW met2 ( 1380690 1700340 ) ( 1381150 1700340 0 )
-    NEW met2 ( 1380690 821780 ) ( 1381150 821780 )
-    NEW met2 ( 1380690 821780 ) ( 1380690 847620 )
-    NEW met2 ( 1380690 847620 ) ( 1381150 847620 )
-    NEW met1 ( 1381150 1110950 ) ( 1382070 1110950 )
-    NEW met3 ( 1381150 669460 ) ( 1382070 669460 )
-    NEW met2 ( 1382070 669460 ) ( 1382070 717570 )
-    NEW met1 ( 1381150 717570 ) ( 1382070 717570 )
-    NEW met2 ( 1381150 717570 ) ( 1381150 821780 )
-    NEW li1 ( 1381150 1055870 ) ( 1381150 1103810 )
-    NEW met2 ( 1381150 847620 ) ( 1381150 1055870 )
-    NEW met2 ( 1381150 1103810 ) ( 1381150 1110950 )
-    NEW met1 ( 1381150 1186770 ) ( 1382070 1186770 )
-    NEW met2 ( 1382070 1110950 ) ( 1382070 1186770 )
-    NEW li1 ( 1381150 1428510 ) ( 1381150 1476450 )
-    NEW met1 ( 1381150 1428510 ) ( 1382530 1428510 )
-    NEW met2 ( 1380690 1647300 ) ( 1381150 1647300 )
-    NEW met2 ( 1380690 1647300 ) ( 1380690 1700340 )
-    NEW met2 ( 1381150 44710 ) ( 1381150 669460 )
-    NEW li1 ( 1381150 1399950 ) ( 1381150 1425790 )
-    NEW met1 ( 1381150 1425790 ) ( 1382530 1425790 )
-    NEW met2 ( 1381150 1186770 ) ( 1381150 1399950 )
-    NEW met2 ( 1382530 1425790 ) ( 1382530 1428510 )
-    NEW met3 ( 1381150 1476620 ) ( 1382530 1476620 )
-    NEW met2 ( 1382530 1476620 ) ( 1382530 1524730 )
-    NEW met1 ( 1381150 1524730 ) ( 1382530 1524730 )
-    NEW met2 ( 1381150 1476450 ) ( 1381150 1476620 )
-    NEW met2 ( 1381150 1524730 ) ( 1381150 1647300 )
-    NEW met2 ( 1126770 43860 ) via2_FR
-    NEW met2 ( 1172770 43860 ) via2_FR
-    NEW met1 ( 1172770 44710 ) M1M2_PR
-    NEW met1 ( 1381150 44710 ) M1M2_PR
-    NEW met1 ( 1381150 1110950 ) M1M2_PR
-    NEW met1 ( 1382070 1110950 ) M1M2_PR
-    NEW met2 ( 1381150 669460 ) via2_FR
-    NEW met2 ( 1382070 669460 ) via2_FR
-    NEW met1 ( 1382070 717570 ) M1M2_PR
-    NEW met1 ( 1381150 717570 ) M1M2_PR
-    NEW li1 ( 1381150 1055870 ) L1M1_PR_MR
-    NEW met1 ( 1381150 1055870 ) M1M2_PR
-    NEW li1 ( 1381150 1103810 ) L1M1_PR_MR
-    NEW met1 ( 1381150 1103810 ) M1M2_PR
-    NEW met1 ( 1381150 1186770 ) M1M2_PR
-    NEW met1 ( 1382070 1186770 ) M1M2_PR
-    NEW li1 ( 1381150 1476450 ) L1M1_PR_MR
-    NEW met1 ( 1381150 1476450 ) M1M2_PR
-    NEW li1 ( 1381150 1428510 ) L1M1_PR_MR
-    NEW met1 ( 1382530 1428510 ) M1M2_PR
-    NEW li1 ( 1381150 1399950 ) L1M1_PR_MR
-    NEW met1 ( 1381150 1399950 ) M1M2_PR
-    NEW li1 ( 1381150 1425790 ) L1M1_PR_MR
-    NEW met1 ( 1382530 1425790 ) M1M2_PR
-    NEW met2 ( 1381150 1476620 ) via2_FR
-    NEW met2 ( 1382530 1476620 ) via2_FR
-    NEW met1 ( 1382530 1524730 ) M1M2_PR
-    NEW met1 ( 1381150 1524730 ) M1M2_PR
-    NEW met1 ( 1381150 1055870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1381150 1103810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1381150 1476450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1381150 1399950 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1125390 43860 ) ( 1125390 44710 )
+    NEW met1 ( 1119870 41990 ) ( 1124010 41990 )
+    NEW met2 ( 1124010 41990 ) ( 1124010 43860 )
+    NEW met2 ( 1119870 2380 0 ) ( 1119870 41990 )
+    NEW met3 ( 1124010 43860 ) ( 1125390 43860 )
+    NEW met1 ( 1125390 44710 ) ( 1381610 44710 )
+    NEW met2 ( 1381150 1700340 0 ) ( 1381610 1700340 )
+    NEW met2 ( 1381610 44710 ) ( 1381610 1700340 )
+    NEW met2 ( 1125390 43860 ) via2_FR
+    NEW met1 ( 1125390 44710 ) M1M2_PR
+    NEW met1 ( 1119870 41990 ) M1M2_PR
+    NEW met1 ( 1124010 41990 ) M1M2_PR
+    NEW met2 ( 1124010 43860 ) via2_FR
+    NEW met1 ( 1381610 44710 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) 
-  + ROUTED met3 ( 1144710 44540 ) ( 1172310 44540 )
-    NEW met2 ( 1172310 44540 ) ( 1172310 45050 )
-    NEW met2 ( 1144710 2380 0 ) ( 1144710 44540 )
-    NEW met1 ( 1172310 45050 ) ( 1381610 45050 )
-    NEW met1 ( 1381610 1677730 ) ( 1384370 1677730 )
-    NEW met2 ( 1384370 1677730 ) ( 1384370 1700340 )
+  + ROUTED met3 ( 1137810 43860 ) ( 1172770 43860 )
+    NEW met2 ( 1172770 43860 ) ( 1172770 45050 )
+    NEW met2 ( 1137810 2380 0 ) ( 1137810 43860 )
+    NEW met1 ( 1172770 45050 ) ( 1384370 45050 )
     NEW met2 ( 1384370 1700340 ) ( 1384830 1700340 0 )
-    NEW met3 ( 1381380 821780 ) ( 1381610 821780 )
-    NEW met3 ( 1381380 821780 ) ( 1381380 822460 )
-    NEW met3 ( 1381150 822460 ) ( 1381380 822460 )
-    NEW met2 ( 1381150 822460 ) ( 1381150 846940 )
-    NEW met2 ( 1381150 846940 ) ( 1381610 846940 )
-    NEW met3 ( 1381380 1138660 ) ( 1381610 1138660 )
-    NEW met3 ( 1381380 1138660 ) ( 1381380 1139340 )
-    NEW met3 ( 1381380 1139340 ) ( 1381610 1139340 )
-    NEW met2 ( 1381610 846940 ) ( 1381610 1138660 )
-    NEW met2 ( 1381610 45050 ) ( 1381610 821780 )
-    NEW li1 ( 1381610 1400290 ) ( 1381610 1402330 )
-    NEW met2 ( 1381610 1139340 ) ( 1381610 1400290 )
-    NEW met2 ( 1381610 1402330 ) ( 1381610 1677730 )
-    NEW met2 ( 1144710 44540 ) via2_FR
-    NEW met2 ( 1172310 44540 ) via2_FR
-    NEW met1 ( 1172310 45050 ) M1M2_PR
-    NEW met1 ( 1381610 45050 ) M1M2_PR
-    NEW met1 ( 1381610 1677730 ) M1M2_PR
-    NEW met1 ( 1384370 1677730 ) M1M2_PR
-    NEW met2 ( 1381610 821780 ) via2_FR
-    NEW met2 ( 1381150 822460 ) via2_FR
-    NEW met2 ( 1381610 1138660 ) via2_FR
-    NEW met2 ( 1381610 1139340 ) via2_FR
-    NEW li1 ( 1381610 1400290 ) L1M1_PR_MR
-    NEW met1 ( 1381610 1400290 ) M1M2_PR
-    NEW li1 ( 1381610 1402330 ) L1M1_PR_MR
-    NEW met1 ( 1381610 1402330 ) M1M2_PR
-    NEW met1 ( 1381610 1400290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1381610 1402330 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1384370 45050 ) ( 1384370 1700340 )
+    NEW met2 ( 1137810 43860 ) via2_FR
+    NEW met2 ( 1172770 43860 ) via2_FR
+    NEW met1 ( 1172770 45050 ) M1M2_PR
+    NEW met1 ( 1384370 45050 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) 
-  + ROUTED met1 ( 1162650 44710 ) ( 1171850 44710 )
-    NEW met1 ( 1171850 44710 ) ( 1171850 45390 )
-    NEW met2 ( 1162650 2380 0 ) ( 1162650 44710 )
-    NEW met1 ( 1171850 45390 ) ( 1388510 45390 )
-    NEW met2 ( 1388510 45390 ) ( 1388510 1700340 0 )
-    NEW met1 ( 1162650 44710 ) M1M2_PR
-    NEW met1 ( 1388510 45390 ) M1M2_PR
+  + ROUTED met2 ( 1155290 2380 0 ) ( 1155290 45900 )
+    NEW met2 ( 1388050 1700340 ) ( 1388510 1700340 0 )
+    NEW met2 ( 1388050 45390 ) ( 1388050 1700340 )
+    NEW met2 ( 1183350 45390 ) ( 1183350 45900 )
+    NEW met3 ( 1155290 45900 ) ( 1183350 45900 )
+    NEW li1 ( 1207730 45390 ) ( 1208650 45390 )
+    NEW met2 ( 1208650 45390 ) ( 1208650 45900 )
+    NEW met3 ( 1208650 45900 ) ( 1255110 45900 )
+    NEW met2 ( 1255110 45220 ) ( 1255110 45900 )
+    NEW met2 ( 1255110 45220 ) ( 1255570 45220 )
+    NEW met2 ( 1255570 45220 ) ( 1255570 45390 )
+    NEW met1 ( 1183350 45390 ) ( 1207730 45390 )
+    NEW met1 ( 1255570 45390 ) ( 1388050 45390 )
+    NEW met2 ( 1155290 45900 ) via2_FR
+    NEW met1 ( 1388050 45390 ) M1M2_PR
+    NEW met2 ( 1183350 45900 ) via2_FR
+    NEW met1 ( 1183350 45390 ) M1M2_PR
+    NEW li1 ( 1207730 45390 ) L1M1_PR_MR
+    NEW li1 ( 1208650 45390 ) L1M1_PR_MR
+    NEW met1 ( 1208650 45390 ) M1M2_PR
+    NEW met2 ( 1208650 45900 ) via2_FR
+    NEW met2 ( 1255110 45900 ) via2_FR
+    NEW met1 ( 1255570 45390 ) M1M2_PR
+    NEW met1 ( 1208650 45390 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
 - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) 
-  + ROUTED met2 ( 680570 2380 0 ) ( 680570 45730 )
-    NEW met2 ( 1221530 45730 ) ( 1221530 50490 )
-    NEW met1 ( 680570 45730 ) ( 1221530 45730 )
-    NEW li1 ( 1270290 48110 ) ( 1270290 50490 )
-    NEW met1 ( 1270290 48110 ) ( 1285010 48110 )
-    NEW met1 ( 1221530 50490 ) ( 1270290 50490 )
+  + ROUTED met2 ( 676430 2380 0 ) ( 676430 44540 )
+    NEW met3 ( 676430 44540 ) ( 1285010 44540 )
     NEW met1 ( 1285010 1677730 ) ( 1288690 1677730 )
     NEW met2 ( 1288690 1677730 ) ( 1288690 1700340 )
     NEW met2 ( 1288690 1700340 ) ( 1289610 1700340 0 )
-    NEW met2 ( 1285010 48110 ) ( 1285010 1677730 )
-    NEW met1 ( 680570 45730 ) M1M2_PR
-    NEW met1 ( 1221530 45730 ) M1M2_PR
-    NEW met1 ( 1221530 50490 ) M1M2_PR
-    NEW li1 ( 1270290 50490 ) L1M1_PR_MR
-    NEW li1 ( 1270290 48110 ) L1M1_PR_MR
-    NEW met1 ( 1285010 48110 ) M1M2_PR
+    NEW met2 ( 1285010 44540 ) ( 1285010 1677730 )
+    NEW met2 ( 676430 44540 ) via2_FR
+    NEW met2 ( 1285010 44540 ) via2_FR
     NEW met1 ( 1285010 1677730 ) M1M2_PR
     NEW met1 ( 1288690 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) 
-  + ROUTED met2 ( 1221990 45730 ) ( 1221990 45900 )
-    NEW met1 ( 1221990 45730 ) ( 1268910 45730 )
-    NEW met2 ( 1268910 45730 ) ( 1268910 45900 )
-    NEW met1 ( 1180130 20570 ) ( 1186570 20570 )
-    NEW met2 ( 1186570 20570 ) ( 1186570 45900 )
-    NEW met2 ( 1180130 2380 0 ) ( 1180130 20570 )
-    NEW met3 ( 1186570 45900 ) ( 1221990 45900 )
-    NEW met2 ( 1270290 45730 ) ( 1270290 45900 )
-    NEW met3 ( 1268910 45900 ) ( 1270290 45900 )
-    NEW met1 ( 1270290 45730 ) ( 1388050 45730 )
-    NEW met1 ( 1388050 1677730 ) ( 1391730 1677730 )
-    NEW met2 ( 1391730 1677730 ) ( 1391730 1700340 )
-    NEW met2 ( 1391730 1700340 ) ( 1392190 1700340 0 )
-    NEW met2 ( 1388050 45730 ) ( 1388050 1677730 )
-    NEW met2 ( 1221990 45900 ) via2_FR
-    NEW met1 ( 1221990 45730 ) M1M2_PR
+  + ROUTED met2 ( 1268910 43860 ) ( 1268910 45730 )
+    NEW met2 ( 1391270 1462340 ) ( 1391730 1462340 )
+    NEW met2 ( 1220610 43860 ) ( 1220610 45730 )
+    NEW met3 ( 1220610 43860 ) ( 1268910 43860 )
+    NEW met1 ( 1268910 45730 ) ( 1390810 45730 )
+    NEW met2 ( 1391270 1269900 ) ( 1391730 1269900 )
+    NEW met2 ( 1391270 1463020 ) ( 1391730 1463020 )
+    NEW met2 ( 1391270 1462340 ) ( 1391270 1463020 )
+    NEW met2 ( 1173230 2380 0 ) ( 1173230 2890 )
+    NEW met1 ( 1173230 2890 ) ( 1186570 2890 )
+    NEW li1 ( 1186570 2890 ) ( 1186570 45730 )
+    NEW met1 ( 1186570 45730 ) ( 1220610 45730 )
+    NEW met1 ( 1391270 1159230 ) ( 1392650 1159230 )
+    NEW met2 ( 1390810 45730 ) ( 1390810 48450 )
+    NEW met1 ( 1391270 1124210 ) ( 1391270 1124890 )
+    NEW met1 ( 1391270 1124210 ) ( 1391730 1124210 )
+    NEW met2 ( 1391270 1124890 ) ( 1391270 1159230 )
+    NEW met2 ( 1391270 1232500 ) ( 1392650 1232500 )
+    NEW met2 ( 1391270 1232500 ) ( 1391270 1269900 )
+    NEW met2 ( 1392650 1159230 ) ( 1392650 1232500 )
+    NEW met1 ( 1391270 1352350 ) ( 1391730 1352350 )
+    NEW met2 ( 1391730 1269900 ) ( 1391730 1352350 )
+    NEW met3 ( 1391270 959140 ) ( 1392650 959140 )
+    NEW met2 ( 1392650 959140 ) ( 1392650 993140 )
+    NEW met2 ( 1392190 993140 ) ( 1392650 993140 )
+    NEW met3 ( 1391730 1055700 ) ( 1392650 1055700 )
+    NEW met2 ( 1392650 1055700 ) ( 1392650 1103980 )
+    NEW met3 ( 1391730 1103980 ) ( 1392650 1103980 )
+    NEW met2 ( 1391730 1103980 ) ( 1391730 1124210 )
+    NEW met2 ( 1391730 1635740 ) ( 1392190 1635740 )
+    NEW met2 ( 1392190 1635740 ) ( 1392190 1700340 0 )
+    NEW met1 ( 1391730 331330 ) ( 1392190 331330 )
+    NEW met1 ( 1391730 427890 ) ( 1392190 427890 )
+    NEW met2 ( 1391730 1007420 ) ( 1392190 1007420 )
+    NEW met2 ( 1391730 1007420 ) ( 1391730 1055700 )
+    NEW met2 ( 1392190 993140 ) ( 1392190 1007420 )
+    NEW met2 ( 1391270 1418140 ) ( 1391730 1418140 )
+    NEW met2 ( 1391270 1352350 ) ( 1391270 1418140 )
+    NEW met2 ( 1391730 1418140 ) ( 1391730 1462340 )
+    NEW met2 ( 1391730 1463020 ) ( 1391730 1635740 )
+    NEW met1 ( 1391730 227630 ) ( 1392650 227630 )
+    NEW li1 ( 1392190 373150 ) ( 1392190 420750 )
+    NEW met2 ( 1392190 331330 ) ( 1392190 373150 )
+    NEW met2 ( 1392190 420750 ) ( 1392190 427890 )
+    NEW met3 ( 1391270 662660 ) ( 1391500 662660 )
+    NEW met4 ( 1391500 662660 ) ( 1391500 690540 )
+    NEW met3 ( 1391500 690540 ) ( 1391730 690540 )
+    NEW li1 ( 1391730 759730 ) ( 1391730 787270 )
+    NEW met1 ( 1391730 903890 ) ( 1392190 903890 )
+    NEW met1 ( 1390810 151470 ) ( 1392650 151470 )
+    NEW li1 ( 1390810 48450 ) ( 1390810 151470 )
+    NEW met2 ( 1391730 254660 ) ( 1392190 254660 )
+    NEW met2 ( 1391730 227630 ) ( 1391730 254660 )
+    NEW met2 ( 1391270 638180 ) ( 1391730 638180 )
+    NEW met2 ( 1391270 638180 ) ( 1391270 662660 )
+    NEW li1 ( 1391730 710770 ) ( 1391730 758370 )
+    NEW met2 ( 1391730 690540 ) ( 1391730 710770 )
+    NEW met2 ( 1391730 758370 ) ( 1391730 759730 )
+    NEW met2 ( 1391730 787270 ) ( 1391730 807330 )
+    NEW met1 ( 1391270 951490 ) ( 1392650 951490 )
+    NEW met2 ( 1392650 904060 ) ( 1392650 951490 )
+    NEW met3 ( 1391730 904060 ) ( 1392650 904060 )
+    NEW met2 ( 1391270 951490 ) ( 1391270 959140 )
+    NEW met2 ( 1391730 903890 ) ( 1391730 904060 )
+    NEW met2 ( 1392650 175780 ) ( 1393570 175780 )
+    NEW met2 ( 1393570 175780 ) ( 1393570 220660 )
+    NEW met3 ( 1392650 220660 ) ( 1393570 220660 )
+    NEW met2 ( 1392650 151470 ) ( 1392650 175780 )
+    NEW met2 ( 1392650 220660 ) ( 1392650 227630 )
+    NEW li1 ( 1391730 275570 ) ( 1391730 317390 )
+    NEW met1 ( 1391730 275570 ) ( 1392190 275570 )
+    NEW met2 ( 1391730 317390 ) ( 1391730 331330 )
+    NEW met2 ( 1392190 254660 ) ( 1392190 275570 )
+    NEW li1 ( 1391730 462910 ) ( 1391730 493510 )
+    NEW met1 ( 1391730 493510 ) ( 1392650 493510 )
+    NEW met2 ( 1391730 427890 ) ( 1391730 462910 )
+    NEW met2 ( 1391730 593980 ) ( 1392190 593980 )
+    NEW met2 ( 1392190 565250 ) ( 1392190 593980 )
+    NEW met1 ( 1392190 564570 ) ( 1392190 565250 )
+    NEW met2 ( 1391730 593980 ) ( 1391730 638180 )
+    NEW met1 ( 1391730 896750 ) ( 1392190 896750 )
+    NEW li1 ( 1391730 807330 ) ( 1391730 896750 )
+    NEW met2 ( 1392190 896750 ) ( 1392190 903890 )
+    NEW met1 ( 1392190 558790 ) ( 1392650 558790 )
+    NEW li1 ( 1392650 510850 ) ( 1392650 558790 )
+    NEW met2 ( 1392190 558790 ) ( 1392190 564570 )
+    NEW met2 ( 1392650 493510 ) ( 1392650 510850 )
+    NEW met2 ( 1268910 43860 ) via2_FR
     NEW met1 ( 1268910 45730 ) M1M2_PR
-    NEW met2 ( 1268910 45900 ) via2_FR
-    NEW met1 ( 1180130 20570 ) M1M2_PR
-    NEW met1 ( 1186570 20570 ) M1M2_PR
-    NEW met2 ( 1186570 45900 ) via2_FR
-    NEW met2 ( 1270290 45900 ) via2_FR
-    NEW met1 ( 1270290 45730 ) M1M2_PR
-    NEW met1 ( 1388050 45730 ) M1M2_PR
-    NEW met1 ( 1388050 1677730 ) M1M2_PR
-    NEW met1 ( 1391730 1677730 ) M1M2_PR
+    NEW met1 ( 1220610 45730 ) M1M2_PR
+    NEW met2 ( 1220610 43860 ) via2_FR
+    NEW met1 ( 1390810 45730 ) M1M2_PR
+    NEW met1 ( 1173230 2890 ) M1M2_PR
+    NEW li1 ( 1186570 2890 ) L1M1_PR_MR
+    NEW li1 ( 1186570 45730 ) L1M1_PR_MR
+    NEW met1 ( 1391270 1159230 ) M1M2_PR
+    NEW met1 ( 1392650 1159230 ) M1M2_PR
+    NEW li1 ( 1390810 48450 ) L1M1_PR_MR
+    NEW met1 ( 1390810 48450 ) M1M2_PR
+    NEW met1 ( 1391270 1124890 ) M1M2_PR
+    NEW met1 ( 1391730 1124210 ) M1M2_PR
+    NEW met1 ( 1391270 1352350 ) M1M2_PR
+    NEW met1 ( 1391730 1352350 ) M1M2_PR
+    NEW met2 ( 1391270 959140 ) via2_FR
+    NEW met2 ( 1392650 959140 ) via2_FR
+    NEW met2 ( 1391730 1055700 ) via2_FR
+    NEW met2 ( 1392650 1055700 ) via2_FR
+    NEW met2 ( 1392650 1103980 ) via2_FR
+    NEW met2 ( 1391730 1103980 ) via2_FR
+    NEW met1 ( 1392190 331330 ) M1M2_PR
+    NEW met1 ( 1391730 331330 ) M1M2_PR
+    NEW met1 ( 1391730 427890 ) M1M2_PR
+    NEW met1 ( 1392190 427890 ) M1M2_PR
+    NEW met1 ( 1392650 227630 ) M1M2_PR
+    NEW met1 ( 1391730 227630 ) M1M2_PR
+    NEW li1 ( 1392190 373150 ) L1M1_PR_MR
+    NEW met1 ( 1392190 373150 ) M1M2_PR
+    NEW li1 ( 1392190 420750 ) L1M1_PR_MR
+    NEW met1 ( 1392190 420750 ) M1M2_PR
+    NEW met2 ( 1391270 662660 ) via2_FR
+    NEW met3 ( 1391500 662660 ) M3M4_PR_M
+    NEW met3 ( 1391500 690540 ) M3M4_PR_M
+    NEW met2 ( 1391730 690540 ) via2_FR
+    NEW li1 ( 1391730 759730 ) L1M1_PR_MR
+    NEW met1 ( 1391730 759730 ) M1M2_PR
+    NEW li1 ( 1391730 787270 ) L1M1_PR_MR
+    NEW met1 ( 1391730 787270 ) M1M2_PR
+    NEW met1 ( 1391730 903890 ) M1M2_PR
+    NEW met1 ( 1392190 903890 ) M1M2_PR
+    NEW li1 ( 1390810 151470 ) L1M1_PR_MR
+    NEW met1 ( 1392650 151470 ) M1M2_PR
+    NEW li1 ( 1391730 710770 ) L1M1_PR_MR
+    NEW met1 ( 1391730 710770 ) M1M2_PR
+    NEW li1 ( 1391730 758370 ) L1M1_PR_MR
+    NEW met1 ( 1391730 758370 ) M1M2_PR
+    NEW li1 ( 1391730 807330 ) L1M1_PR_MR
+    NEW met1 ( 1391730 807330 ) M1M2_PR
+    NEW met1 ( 1391270 951490 ) M1M2_PR
+    NEW met1 ( 1392650 951490 ) M1M2_PR
+    NEW met2 ( 1392650 904060 ) via2_FR
+    NEW met2 ( 1391730 904060 ) via2_FR
+    NEW met2 ( 1393570 220660 ) via2_FR
+    NEW met2 ( 1392650 220660 ) via2_FR
+    NEW li1 ( 1391730 317390 ) L1M1_PR_MR
+    NEW met1 ( 1391730 317390 ) M1M2_PR
+    NEW li1 ( 1391730 275570 ) L1M1_PR_MR
+    NEW met1 ( 1392190 275570 ) M1M2_PR
+    NEW li1 ( 1391730 462910 ) L1M1_PR_MR
+    NEW met1 ( 1391730 462910 ) M1M2_PR
+    NEW li1 ( 1391730 493510 ) L1M1_PR_MR
+    NEW met1 ( 1392650 493510 ) M1M2_PR
+    NEW met1 ( 1392190 565250 ) M1M2_PR
+    NEW met1 ( 1392190 564570 ) M1M2_PR
+    NEW li1 ( 1391730 896750 ) L1M1_PR_MR
+    NEW met1 ( 1392190 896750 ) M1M2_PR
+    NEW met1 ( 1392190 558790 ) M1M2_PR
+    NEW li1 ( 1392650 558790 ) L1M1_PR_MR
+    NEW li1 ( 1392650 510850 ) L1M1_PR_MR
+    NEW met1 ( 1392650 510850 ) M1M2_PR
+    NEW met1 ( 1390810 48450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1392190 373150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1392190 420750 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1391500 662660 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1391500 690540 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 1391730 759730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 787270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 710770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 758370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 807330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 317390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1391730 462910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1392650 510850 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) 
-  + ROUTED met2 ( 1221990 46580 ) ( 1221990 46750 )
-    NEW met2 ( 1198070 2380 0 ) ( 1198070 46580 )
-    NEW met3 ( 1198070 46580 ) ( 1221990 46580 )
-    NEW met1 ( 1221990 46750 ) ( 1395870 46750 )
-    NEW met2 ( 1395870 46750 ) ( 1395870 1700340 0 )
-    NEW met2 ( 1221990 46580 ) via2_FR
-    NEW met1 ( 1221990 46750 ) M1M2_PR
-    NEW met2 ( 1198070 46580 ) via2_FR
-    NEW met1 ( 1395870 46750 ) M1M2_PR
+  + ROUTED li1 ( 1268450 45730 ) ( 1268450 46750 )
+    NEW li1 ( 1268450 46750 ) ( 1269370 46750 )
+    NEW met3 ( 1190710 45220 ) ( 1221070 45220 )
+    NEW met2 ( 1221070 45220 ) ( 1221070 45730 )
+    NEW met2 ( 1190710 2380 0 ) ( 1190710 45220 )
+    NEW met1 ( 1221070 45730 ) ( 1268450 45730 )
+    NEW met1 ( 1269370 46750 ) ( 1395410 46750 )
+    NEW met2 ( 1395410 1700340 ) ( 1395870 1700340 0 )
+    NEW met2 ( 1395410 46750 ) ( 1395410 1700340 )
+    NEW li1 ( 1268450 45730 ) L1M1_PR_MR
+    NEW li1 ( 1269370 46750 ) L1M1_PR_MR
+    NEW met2 ( 1190710 45220 ) via2_FR
+    NEW met2 ( 1221070 45220 ) via2_FR
+    NEW met1 ( 1221070 45730 ) M1M2_PR
+    NEW met1 ( 1395410 46750 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) 
-  + ROUTED met1 ( 1233490 17850 ) ( 1233490 18190 )
-    NEW li1 ( 1342050 14110 ) ( 1342050 17850 )
-    NEW met2 ( 1216010 2380 0 ) ( 1216010 18190 )
-    NEW met1 ( 1216010 18190 ) ( 1233490 18190 )
-    NEW met1 ( 1233490 17850 ) ( 1342050 17850 )
-    NEW met1 ( 1342050 14110 ) ( 1394030 14110 )
-    NEW met1 ( 1394030 1677730 ) ( 1399090 1677730 )
-    NEW met2 ( 1399090 1677730 ) ( 1399090 1700340 )
+  + ROUTED met2 ( 1222910 47260 ) ( 1222910 47430 )
+    NEW met1 ( 1208650 20230 ) ( 1214170 20230 )
+    NEW met2 ( 1214170 20230 ) ( 1214170 47260 )
+    NEW met2 ( 1208650 2380 0 ) ( 1208650 20230 )
+    NEW met3 ( 1214170 47260 ) ( 1222910 47260 )
+    NEW met1 ( 1314910 47090 ) ( 1314910 47430 )
+    NEW met1 ( 1222910 47430 ) ( 1314910 47430 )
+    NEW met1 ( 1314910 47090 ) ( 1396330 47090 )
+    NEW met2 ( 1396330 1677900 ) ( 1399090 1677900 )
+    NEW met2 ( 1399090 1677900 ) ( 1399090 1700340 )
     NEW met2 ( 1399090 1700340 ) ( 1399550 1700340 0 )
-    NEW met2 ( 1394030 14110 ) ( 1394030 1677730 )
-    NEW li1 ( 1342050 17850 ) L1M1_PR_MR
-    NEW li1 ( 1342050 14110 ) L1M1_PR_MR
-    NEW met1 ( 1216010 18190 ) M1M2_PR
-    NEW met1 ( 1394030 14110 ) M1M2_PR
-    NEW met1 ( 1394030 1677730 ) M1M2_PR
-    NEW met1 ( 1399090 1677730 ) M1M2_PR
+    NEW met2 ( 1396330 47090 ) ( 1396330 1677900 )
+    NEW met2 ( 1222910 47260 ) via2_FR
+    NEW met1 ( 1222910 47430 ) M1M2_PR
+    NEW met1 ( 1208650 20230 ) M1M2_PR
+    NEW met1 ( 1214170 20230 ) M1M2_PR
+    NEW met2 ( 1214170 47260 ) via2_FR
+    NEW met1 ( 1396330 47090 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) 
-  + ROUTED met2 ( 1233950 2380 0 ) ( 1233950 18190 )
-    NEW met1 ( 1390810 17850 ) ( 1390810 18190 )
-    NEW met1 ( 1390810 17850 ) ( 1402770 17850 )
-    NEW met1 ( 1233950 18190 ) ( 1390810 18190 )
+  + ROUTED met1 ( 1226590 92990 ) ( 1402770 92990 )
     NEW met2 ( 1402770 1700340 ) ( 1403230 1700340 0 )
-    NEW met2 ( 1402770 17850 ) ( 1402770 1700340 )
-    NEW met1 ( 1233950 18190 ) M1M2_PR
-    NEW met1 ( 1402770 17850 ) M1M2_PR
+    NEW met2 ( 1402770 92990 ) ( 1402770 1700340 )
+    NEW met2 ( 1226130 2380 0 ) ( 1226130 2890 )
+    NEW met1 ( 1225670 2890 ) ( 1226130 2890 )
+    NEW met2 ( 1225670 47430 ) ( 1227050 47430 )
+    NEW met2 ( 1227050 47430 ) ( 1227050 62220 )
+    NEW met2 ( 1226590 62220 ) ( 1227050 62220 )
+    NEW met2 ( 1225670 2890 ) ( 1225670 47430 )
+    NEW met2 ( 1226590 62220 ) ( 1226590 92990 )
+    NEW met1 ( 1226590 92990 ) M1M2_PR
+    NEW met1 ( 1402770 92990 ) M1M2_PR
+    NEW met1 ( 1226130 2890 ) M1M2_PR
+    NEW met1 ( 1225670 2890 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) 
-  + ROUTED met1 ( 1251890 15130 ) ( 1255570 15130 )
-    NEW met2 ( 1251890 2380 0 ) ( 1251890 15130 )
-    NEW met2 ( 1255570 15130 ) ( 1255570 1687930 )
-    NEW met2 ( 1406910 1687930 ) ( 1406910 1700340 0 )
-    NEW met1 ( 1255570 1687930 ) ( 1406910 1687930 )
-    NEW met1 ( 1251890 15130 ) M1M2_PR
-    NEW met1 ( 1255570 15130 ) M1M2_PR
-    NEW met1 ( 1255570 1687930 ) M1M2_PR
-    NEW met1 ( 1406910 1687930 ) M1M2_PR
+  + ROUTED met1 ( 1244070 17850 ) ( 1248670 17850 )
+    NEW met2 ( 1244070 2380 0 ) ( 1244070 17850 )
+    NEW met2 ( 1248670 17850 ) ( 1248670 1686910 )
+    NEW met2 ( 1406910 1686910 ) ( 1406910 1700340 0 )
+    NEW met1 ( 1248670 1686910 ) ( 1406910 1686910 )
+    NEW met1 ( 1244070 17850 ) M1M2_PR
+    NEW met1 ( 1248670 17850 ) M1M2_PR
+    NEW met1 ( 1248670 1686910 ) M1M2_PR
+    NEW met1 ( 1406910 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) 
-  + ROUTED met2 ( 1269370 2380 0 ) ( 1269370 1687590 )
-    NEW met2 ( 1410590 1687590 ) ( 1410590 1700340 0 )
-    NEW met1 ( 1269370 1687590 ) ( 1410590 1687590 )
-    NEW met1 ( 1269370 1687590 ) M1M2_PR
-    NEW met1 ( 1410590 1687590 ) M1M2_PR
+  + ROUTED met2 ( 1262010 2380 0 ) ( 1262010 3060 )
+    NEW met2 ( 1262010 3060 ) ( 1262470 3060 )
+    NEW met2 ( 1262470 3060 ) ( 1262470 1687250 )
+    NEW met2 ( 1410590 1687250 ) ( 1410590 1700340 0 )
+    NEW met1 ( 1262470 1687250 ) ( 1410590 1687250 )
+    NEW met1 ( 1262470 1687250 ) M1M2_PR
+    NEW met1 ( 1410590 1687250 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) 
-  + ROUTED met1 ( 1287310 16830 ) ( 1311230 16830 )
-    NEW met1 ( 1311230 16830 ) ( 1311230 17170 )
-    NEW met2 ( 1287310 2380 0 ) ( 1287310 16830 )
-    NEW li1 ( 1376090 15130 ) ( 1376090 17170 )
-    NEW met1 ( 1376090 15130 ) ( 1389890 15130 )
-    NEW li1 ( 1389890 15130 ) ( 1389890 17170 )
-    NEW li1 ( 1389890 17170 ) ( 1390810 17170 )
-    NEW li1 ( 1390810 17170 ) ( 1390810 17510 )
-    NEW met1 ( 1390810 17510 ) ( 1409210 17510 )
-    NEW met1 ( 1311230 17170 ) ( 1376090 17170 )
-    NEW met1 ( 1409210 1658010 ) ( 1413810 1658010 )
-    NEW met2 ( 1413810 1658010 ) ( 1413810 1700340 )
-    NEW met2 ( 1413810 1700340 ) ( 1414270 1700340 0 )
-    NEW met2 ( 1409210 17510 ) ( 1409210 1658010 )
-    NEW met1 ( 1287310 16830 ) M1M2_PR
-    NEW li1 ( 1376090 17170 ) L1M1_PR_MR
-    NEW li1 ( 1376090 15130 ) L1M1_PR_MR
-    NEW li1 ( 1389890 15130 ) L1M1_PR_MR
-    NEW li1 ( 1390810 17510 ) L1M1_PR_MR
-    NEW met1 ( 1409210 17510 ) M1M2_PR
-    NEW met1 ( 1409210 1658010 ) M1M2_PR
-    NEW met1 ( 1413810 1658010 ) M1M2_PR
+  + ROUTED met1 ( 1279490 15470 ) ( 1283170 15470 )
+    NEW met2 ( 1279490 2380 0 ) ( 1279490 15470 )
+    NEW met2 ( 1283170 15470 ) ( 1283170 1687930 )
+    NEW met2 ( 1414270 1687930 ) ( 1414270 1700340 0 )
+    NEW met1 ( 1283170 1687930 ) ( 1414270 1687930 )
+    NEW met1 ( 1279490 15470 ) M1M2_PR
+    NEW met1 ( 1283170 15470 ) M1M2_PR
+    NEW met1 ( 1283170 1687930 ) M1M2_PR
+    NEW met1 ( 1414270 1687930 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) 
-  + ROUTED met1 ( 1305250 17170 ) ( 1310770 17170 )
-    NEW met2 ( 1305250 2380 0 ) ( 1305250 17170 )
-    NEW met1 ( 1310770 1666170 ) ( 1352170 1666170 )
-    NEW li1 ( 1352170 1666170 ) ( 1352170 1689630 )
-    NEW met1 ( 1352170 1689630 ) ( 1352170 1689970 )
-    NEW met2 ( 1310770 17170 ) ( 1310770 1666170 )
-    NEW li1 ( 1400930 1689970 ) ( 1401390 1689970 )
-    NEW li1 ( 1401390 1688610 ) ( 1401390 1689970 )
-    NEW met1 ( 1401390 1688610 ) ( 1417950 1688610 )
-    NEW met1 ( 1352170 1689970 ) ( 1400930 1689970 )
-    NEW met2 ( 1417950 1688610 ) ( 1417950 1700340 0 )
-    NEW met1 ( 1305250 17170 ) M1M2_PR
-    NEW met1 ( 1310770 17170 ) M1M2_PR
-    NEW met1 ( 1310770 1666170 ) M1M2_PR
-    NEW li1 ( 1352170 1666170 ) L1M1_PR_MR
-    NEW li1 ( 1352170 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1400930 1689970 ) L1M1_PR_MR
-    NEW li1 ( 1401390 1688610 ) L1M1_PR_MR
-    NEW met1 ( 1417950 1688610 ) M1M2_PR
+  + ROUTED li1 ( 1342050 1685550 ) ( 1342050 1687590 )
+    NEW met2 ( 1417950 1687590 ) ( 1417950 1700340 0 )
+    NEW met1 ( 1297430 17510 ) ( 1303410 17510 )
+    NEW met2 ( 1297430 2380 0 ) ( 1297430 17510 )
+    NEW met2 ( 1303410 17510 ) ( 1303410 1685550 )
+    NEW met1 ( 1303410 1685550 ) ( 1342050 1685550 )
+    NEW met1 ( 1342050 1687590 ) ( 1417950 1687590 )
+    NEW li1 ( 1342050 1685550 ) L1M1_PR_MR
+    NEW li1 ( 1342050 1687590 ) L1M1_PR_MR
+    NEW met1 ( 1417950 1687590 ) M1M2_PR
+    NEW met1 ( 1297430 17510 ) M1M2_PR
+    NEW met1 ( 1303410 17510 ) M1M2_PR
+    NEW met1 ( 1303410 1685550 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) 
-  + ROUTED met2 ( 1323190 2380 0 ) ( 1323190 17510 )
-    NEW met2 ( 1421630 1700340 0 ) ( 1422550 1700340 )
-    NEW li1 ( 1390350 17510 ) ( 1390350 17850 )
-    NEW li1 ( 1390350 17850 ) ( 1391270 17850 )
-    NEW li1 ( 1391270 17850 ) ( 1391270 18190 )
-    NEW met1 ( 1323190 17510 ) ( 1390350 17510 )
-    NEW met1 ( 1391270 18190 ) ( 1423470 18190 )
-    NEW li1 ( 1423470 96730 ) ( 1423470 144670 )
-    NEW met2 ( 1423470 18190 ) ( 1423470 96730 )
-    NEW met1 ( 1423010 689350 ) ( 1423470 689350 )
-    NEW met2 ( 1423010 1568420 ) ( 1423470 1568420 )
-    NEW met2 ( 1423010 1568420 ) ( 1423010 1592900 )
-    NEW met2 ( 1423010 1592900 ) ( 1423470 1592900 )
-    NEW li1 ( 1422550 1655630 ) ( 1422550 1682150 )
-    NEW met1 ( 1422550 1655630 ) ( 1423470 1655630 )
-    NEW met2 ( 1422550 1682150 ) ( 1422550 1700340 )
-    NEW met3 ( 1423470 821780 ) ( 1423700 821780 )
-    NEW met3 ( 1423700 821780 ) ( 1423700 822460 )
-    NEW met3 ( 1423470 822460 ) ( 1423700 822460 )
-    NEW met2 ( 1423470 1592900 ) ( 1423470 1655630 )
-    NEW li1 ( 1423470 862750 ) ( 1423470 910690 )
-    NEW met2 ( 1423470 822460 ) ( 1423470 862750 )
-    NEW met2 ( 1423470 144670 ) ( 1423470 689350 )
-    NEW li1 ( 1423470 1345550 ) ( 1423470 1399270 )
-    NEW met2 ( 1423470 1399270 ) ( 1423470 1568420 )
-    NEW met1 ( 1423010 783870 ) ( 1423470 783870 )
-    NEW li1 ( 1423010 689350 ) ( 1423010 783870 )
-    NEW met2 ( 1423470 783870 ) ( 1423470 821780 )
-    NEW met2 ( 1423470 910690 ) ( 1423470 1345550 )
-    NEW met1 ( 1323190 17510 ) M1M2_PR
-    NEW met1 ( 1423470 18190 ) M1M2_PR
-    NEW li1 ( 1390350 17510 ) L1M1_PR_MR
-    NEW li1 ( 1391270 18190 ) L1M1_PR_MR
-    NEW li1 ( 1423470 96730 ) L1M1_PR_MR
-    NEW met1 ( 1423470 96730 ) M1M2_PR
-    NEW li1 ( 1423470 144670 ) L1M1_PR_MR
-    NEW met1 ( 1423470 144670 ) M1M2_PR
-    NEW met1 ( 1423470 689350 ) M1M2_PR
-    NEW li1 ( 1423010 689350 ) L1M1_PR_MR
-    NEW li1 ( 1422550 1682150 ) L1M1_PR_MR
-    NEW met1 ( 1422550 1682150 ) M1M2_PR
-    NEW li1 ( 1422550 1655630 ) L1M1_PR_MR
-    NEW met1 ( 1423470 1655630 ) M1M2_PR
-    NEW met2 ( 1423470 821780 ) via2_FR
-    NEW met2 ( 1423470 822460 ) via2_FR
-    NEW li1 ( 1423470 862750 ) L1M1_PR_MR
-    NEW met1 ( 1423470 862750 ) M1M2_PR
-    NEW li1 ( 1423470 910690 ) L1M1_PR_MR
-    NEW met1 ( 1423470 910690 ) M1M2_PR
-    NEW li1 ( 1423470 1345550 ) L1M1_PR_MR
-    NEW met1 ( 1423470 1345550 ) M1M2_PR
-    NEW li1 ( 1423470 1399270 ) L1M1_PR_MR
-    NEW met1 ( 1423470 1399270 ) M1M2_PR
-    NEW li1 ( 1423010 783870 ) L1M1_PR_MR
-    NEW met1 ( 1423470 783870 ) M1M2_PR
-    NEW met1 ( 1423470 96730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423470 144670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1422550 1682150 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423470 862750 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423470 910690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423470 1345550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423470 1399270 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1421630 1700340 0 ) ( 1422090 1700340 )
+    NEW met2 ( 1422090 20570 ) ( 1422090 1700340 )
+    NEW met2 ( 1314910 2380 0 ) ( 1314910 18190 )
+    NEW li1 ( 1389430 18190 ) ( 1389430 20570 )
+    NEW met1 ( 1314910 18190 ) ( 1389430 18190 )
+    NEW met1 ( 1389430 20570 ) ( 1422090 20570 )
+    NEW met1 ( 1422090 20570 ) M1M2_PR
+    NEW met1 ( 1314910 18190 ) M1M2_PR
+    NEW li1 ( 1389430 18190 ) L1M1_PR_MR
+    NEW li1 ( 1389430 20570 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) 
-  + ROUTED met2 ( 1340670 2380 0 ) ( 1340670 20230 )
-    NEW li1 ( 1417490 19550 ) ( 1417490 20230 )
-    NEW li1 ( 1417490 19550 ) ( 1418410 19550 )
-    NEW met1 ( 1418410 19550 ) ( 1423930 19550 )
-    NEW met2 ( 1424850 1700340 ) ( 1425310 1700340 0 )
-    NEW met1 ( 1340670 20230 ) ( 1417490 20230 )
-    NEW met3 ( 1423010 144500 ) ( 1423930 144500 )
-    NEW met2 ( 1423010 97070 ) ( 1423010 144500 )
-    NEW met1 ( 1423010 97070 ) ( 1423930 97070 )
-    NEW met1 ( 1423930 96730 ) ( 1423930 97070 )
-    NEW met1 ( 1423930 96730 ) ( 1424390 96730 )
-    NEW met1 ( 1423930 690370 ) ( 1424390 690370 )
-    NEW li1 ( 1424390 1159570 ) ( 1424390 1183710 )
-    NEW li1 ( 1423930 1256130 ) ( 1423930 1303730 )
-    NEW met1 ( 1423930 1256130 ) ( 1424390 1256130 )
-    NEW met1 ( 1423930 1352690 ) ( 1424390 1352690 )
-    NEW li1 ( 1423930 1545810 ) ( 1423930 1593410 )
-    NEW met1 ( 1423930 1545810 ) ( 1424390 1545810 )
-    NEW li1 ( 1424390 1642370 ) ( 1424390 1657330 )
-    NEW met1 ( 1424390 1657330 ) ( 1424850 1657330 )
-    NEW met2 ( 1424850 1657330 ) ( 1424850 1700340 )
-    NEW met1 ( 1423010 48450 ) ( 1423930 48450 )
-    NEW met2 ( 1423010 48450 ) ( 1423010 96220 )
-    NEW met3 ( 1423010 96220 ) ( 1424390 96220 )
-    NEW met2 ( 1423930 19550 ) ( 1423930 48450 )
-    NEW met2 ( 1424390 96220 ) ( 1424390 96730 )
-    NEW met1 ( 1423010 145010 ) ( 1423930 145010 )
-    NEW met2 ( 1423930 144500 ) ( 1423930 145010 )
-    NEW li1 ( 1424390 1220770 ) ( 1424390 1221790 )
-    NEW met2 ( 1424390 1183710 ) ( 1424390 1220770 )
-    NEW met2 ( 1424390 1221790 ) ( 1424390 1256130 )
-    NEW met1 ( 1423930 1317670 ) ( 1423930 1318350 )
-    NEW met1 ( 1423930 1318350 ) ( 1424390 1318350 )
-    NEW met2 ( 1423930 1303730 ) ( 1423930 1317670 )
-    NEW met2 ( 1424390 1318350 ) ( 1424390 1352690 )
-    NEW met2 ( 1423930 1607860 ) ( 1424390 1607860 )
-    NEW met2 ( 1423930 1593410 ) ( 1423930 1607860 )
-    NEW met2 ( 1424390 1607860 ) ( 1424390 1642370 )
-    NEW met1 ( 1423010 234430 ) ( 1423930 234430 )
-    NEW met2 ( 1423010 145010 ) ( 1423010 234430 )
-    NEW met3 ( 1423930 886380 ) ( 1424620 886380 )
-    NEW met1 ( 1423010 931430 ) ( 1423930 931430 )
-    NEW met2 ( 1423930 886380 ) ( 1423930 931430 )
-    NEW li1 ( 1423930 1352690 ) ( 1423930 1399270 )
-    NEW met1 ( 1423930 1510790 ) ( 1423930 1511470 )
-    NEW met1 ( 1423930 1511470 ) ( 1424390 1511470 )
-    NEW met2 ( 1423930 1399270 ) ( 1423930 1510790 )
-    NEW met2 ( 1424390 1511470 ) ( 1424390 1545810 )
-    NEW li1 ( 1423930 282370 ) ( 1423930 324190 )
-    NEW met2 ( 1423930 234430 ) ( 1423930 282370 )
-    NEW met1 ( 1423930 469370 ) ( 1424390 469370 )
-    NEW met1 ( 1423930 786930 ) ( 1424390 786930 )
-    NEW met2 ( 1424390 786930 ) ( 1424390 806820 )
-    NEW met2 ( 1423930 806820 ) ( 1424390 806820 )
-    NEW li1 ( 1423930 690370 ) ( 1423930 786930 )
-    NEW met2 ( 1423930 421260 ) ( 1424390 421260 )
-    NEW met2 ( 1424390 421260 ) ( 1424390 469370 )
-    NEW met1 ( 1423930 807330 ) ( 1424390 807330 )
-    NEW met2 ( 1424390 807330 ) ( 1424390 820420 )
-    NEW met3 ( 1424390 820420 ) ( 1424620 820420 )
-    NEW met2 ( 1423930 806820 ) ( 1423930 807330 )
-    NEW met4 ( 1424620 820420 ) ( 1424620 886380 )
-    NEW li1 ( 1423930 1097350 ) ( 1423930 1124890 )
-    NEW met1 ( 1423930 1124890 ) ( 1424390 1124890 )
-    NEW met2 ( 1424390 1124890 ) ( 1424390 1159570 )
-    NEW li1 ( 1423930 389810 ) ( 1423930 413950 )
-    NEW met2 ( 1423930 324190 ) ( 1423930 389810 )
-    NEW met2 ( 1423930 413950 ) ( 1423930 421260 )
-    NEW met1 ( 1423930 559130 ) ( 1424390 559130 )
-    NEW met1 ( 1423930 1042270 ) ( 1423930 1042950 )
-    NEW met2 ( 1423930 1042950 ) ( 1423930 1097350 )
-    NEW li1 ( 1423930 510850 ) ( 1423930 511870 )
-    NEW met1 ( 1423930 511870 ) ( 1424390 511870 )
-    NEW met2 ( 1423930 469370 ) ( 1423930 510850 )
-    NEW met2 ( 1424390 511870 ) ( 1424390 559130 )
-    NEW met2 ( 1423930 642260 ) ( 1424390 642260 )
-    NEW met2 ( 1423930 559130 ) ( 1423930 642260 )
-    NEW met2 ( 1424390 642260 ) ( 1424390 690370 )
-    NEW li1 ( 1423010 993650 ) ( 1423010 1041250 )
-    NEW met1 ( 1423010 1041250 ) ( 1423930 1041250 )
-    NEW met2 ( 1423010 931430 ) ( 1423010 993650 )
-    NEW met2 ( 1423930 1041250 ) ( 1423930 1042270 )
-    NEW met1 ( 1340670 20230 ) M1M2_PR
-    NEW li1 ( 1417490 20230 ) L1M1_PR_MR
-    NEW li1 ( 1418410 19550 ) L1M1_PR_MR
-    NEW met1 ( 1423930 19550 ) M1M2_PR
-    NEW met2 ( 1423930 144500 ) via2_FR
-    NEW met2 ( 1423010 144500 ) via2_FR
-    NEW met1 ( 1423010 97070 ) M1M2_PR
-    NEW met1 ( 1424390 96730 ) M1M2_PR
-    NEW li1 ( 1423930 690370 ) L1M1_PR_MR
-    NEW met1 ( 1424390 690370 ) M1M2_PR
-    NEW li1 ( 1424390 1183710 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1183710 ) M1M2_PR
-    NEW li1 ( 1424390 1159570 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1159570 ) M1M2_PR
-    NEW li1 ( 1423930 1303730 ) L1M1_PR_MR
-    NEW met1 ( 1423930 1303730 ) M1M2_PR
-    NEW li1 ( 1423930 1256130 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1256130 ) M1M2_PR
-    NEW li1 ( 1423930 1352690 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1352690 ) M1M2_PR
-    NEW li1 ( 1423930 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1423930 1593410 ) M1M2_PR
-    NEW li1 ( 1423930 1545810 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1545810 ) M1M2_PR
-    NEW li1 ( 1424390 1642370 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1642370 ) M1M2_PR
-    NEW li1 ( 1424390 1657330 ) L1M1_PR_MR
-    NEW met1 ( 1424850 1657330 ) M1M2_PR
-    NEW met1 ( 1423930 48450 ) M1M2_PR
-    NEW met1 ( 1423010 48450 ) M1M2_PR
-    NEW met2 ( 1423010 96220 ) via2_FR
-    NEW met2 ( 1424390 96220 ) via2_FR
-    NEW met1 ( 1423930 145010 ) M1M2_PR
-    NEW met1 ( 1423010 145010 ) M1M2_PR
-    NEW li1 ( 1424390 1220770 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1220770 ) M1M2_PR
-    NEW li1 ( 1424390 1221790 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1221790 ) M1M2_PR
-    NEW met1 ( 1423930 1317670 ) M1M2_PR
-    NEW met1 ( 1424390 1318350 ) M1M2_PR
-    NEW met1 ( 1423010 234430 ) M1M2_PR
-    NEW met1 ( 1423930 234430 ) M1M2_PR
-    NEW met2 ( 1423930 886380 ) via2_FR
-    NEW met3 ( 1424620 886380 ) M3M4_PR_M
-    NEW met1 ( 1423930 931430 ) M1M2_PR
-    NEW met1 ( 1423010 931430 ) M1M2_PR
-    NEW li1 ( 1423930 1399270 ) L1M1_PR_MR
-    NEW met1 ( 1423930 1399270 ) M1M2_PR
-    NEW met1 ( 1423930 1510790 ) M1M2_PR
-    NEW met1 ( 1424390 1511470 ) M1M2_PR
-    NEW li1 ( 1423930 282370 ) L1M1_PR_MR
-    NEW met1 ( 1423930 282370 ) M1M2_PR
-    NEW li1 ( 1423930 324190 ) L1M1_PR_MR
-    NEW met1 ( 1423930 324190 ) M1M2_PR
-    NEW met1 ( 1423930 469370 ) M1M2_PR
-    NEW met1 ( 1424390 469370 ) M1M2_PR
-    NEW li1 ( 1423930 786930 ) L1M1_PR_MR
-    NEW met1 ( 1424390 786930 ) M1M2_PR
-    NEW met1 ( 1423930 807330 ) M1M2_PR
-    NEW met1 ( 1424390 807330 ) M1M2_PR
-    NEW met2 ( 1424390 820420 ) via2_FR
-    NEW met3 ( 1424620 820420 ) M3M4_PR_M
-    NEW li1 ( 1423930 1097350 ) L1M1_PR_MR
-    NEW met1 ( 1423930 1097350 ) M1M2_PR
-    NEW li1 ( 1423930 1124890 ) L1M1_PR_MR
-    NEW met1 ( 1424390 1124890 ) M1M2_PR
-    NEW li1 ( 1423930 389810 ) L1M1_PR_MR
-    NEW met1 ( 1423930 389810 ) M1M2_PR
-    NEW li1 ( 1423930 413950 ) L1M1_PR_MR
-    NEW met1 ( 1423930 413950 ) M1M2_PR
-    NEW met1 ( 1423930 559130 ) M1M2_PR
-    NEW met1 ( 1424390 559130 ) M1M2_PR
-    NEW met1 ( 1423930 1042270 ) M1M2_PR
-    NEW met1 ( 1423930 1042950 ) M1M2_PR
-    NEW li1 ( 1423930 510850 ) L1M1_PR_MR
-    NEW met1 ( 1423930 510850 ) M1M2_PR
-    NEW li1 ( 1423930 511870 ) L1M1_PR_MR
-    NEW met1 ( 1424390 511870 ) M1M2_PR
-    NEW li1 ( 1423010 993650 ) L1M1_PR_MR
-    NEW met1 ( 1423010 993650 ) M1M2_PR
-    NEW li1 ( 1423010 1041250 ) L1M1_PR_MR
-    NEW met1 ( 1423930 1041250 ) M1M2_PR
-    NEW met1 ( 1424390 1183710 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1424390 1159570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 1303730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 1593410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1424390 1642370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1424390 1220770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1424390 1221790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 1399270 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1423930 282370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 324190 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1424390 820420 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1423930 1097350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 389810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 413950 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423930 510850 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1423010 993650 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1332850 2380 0 ) ( 1332850 19890 )
+    NEW met2 ( 1425310 18190 ) ( 1425310 1700340 0 )
+    NEW li1 ( 1389890 18190 ) ( 1389890 19890 )
+    NEW met1 ( 1332850 19890 ) ( 1389890 19890 )
+    NEW met1 ( 1389890 18190 ) ( 1425310 18190 )
+    NEW met1 ( 1332850 19890 ) M1M2_PR
+    NEW met1 ( 1425310 18190 ) M1M2_PR
+    NEW li1 ( 1389890 19890 ) L1M1_PR_MR
+    NEW li1 ( 1389890 18190 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) 
-  + ROUTED li1 ( 1221530 46750 ) ( 1221990 46750 )
-    NEW li1 ( 1221990 46750 ) ( 1221990 47430 )
-    NEW met1 ( 698510 45050 ) ( 738070 45050 )
-    NEW li1 ( 738070 45050 ) ( 738070 46750 )
-    NEW met2 ( 698510 2380 0 ) ( 698510 45050 )
-    NEW met1 ( 738070 46750 ) ( 1221530 46750 )
-    NEW met1 ( 1221990 47430 ) ( 1292830 47430 )
-    NEW li1 ( 1292830 1655970 ) ( 1292830 1656990 )
-    NEW met1 ( 1292830 1656990 ) ( 1293290 1656990 )
-    NEW met2 ( 1292830 47430 ) ( 1292830 1655970 )
-    NEW met2 ( 1293290 1656990 ) ( 1293290 1700340 0 )
-    NEW li1 ( 1221530 46750 ) L1M1_PR_MR
-    NEW li1 ( 1221990 47430 ) L1M1_PR_MR
-    NEW met1 ( 698510 45050 ) M1M2_PR
-    NEW li1 ( 738070 45050 ) L1M1_PR_MR
-    NEW li1 ( 738070 46750 ) L1M1_PR_MR
-    NEW met1 ( 1292830 47430 ) M1M2_PR
-    NEW li1 ( 1292830 1655970 ) L1M1_PR_MR
-    NEW met1 ( 1292830 1655970 ) M1M2_PR
-    NEW li1 ( 1292830 1656990 ) L1M1_PR_MR
-    NEW met1 ( 1293290 1656990 ) M1M2_PR
-    NEW met1 ( 1292830 1655970 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 1268910 46750 ) ( 1268910 47090 )
+    NEW met2 ( 694370 2380 0 ) ( 694370 46750 )
+    NEW met1 ( 694370 46750 ) ( 1268910 46750 )
+    NEW met1 ( 1268910 47090 ) ( 1293290 47090 )
+    NEW met2 ( 1293290 47090 ) ( 1293290 1700340 0 )
+    NEW met1 ( 694370 46750 ) M1M2_PR
+    NEW met1 ( 1293290 47090 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) 
-  + ROUTED met2 ( 1358610 2380 0 ) ( 1358610 16830 )
-    NEW met2 ( 1428990 1700340 0 ) ( 1429450 1700340 )
-    NEW met2 ( 1429450 15130 ) ( 1429450 1700340 )
-    NEW li1 ( 1390350 15130 ) ( 1390350 16830 )
-    NEW met1 ( 1358610 16830 ) ( 1390350 16830 )
-    NEW met1 ( 1390350 15130 ) ( 1429450 15130 )
-    NEW met1 ( 1358610 16830 ) M1M2_PR
-    NEW met1 ( 1429450 15130 ) M1M2_PR
-    NEW li1 ( 1390350 16830 ) L1M1_PR_MR
-    NEW li1 ( 1390350 15130 ) L1M1_PR_MR
+  + ROUTED met2 ( 1350330 2380 0 ) ( 1350330 20570 )
+    NEW met2 ( 1428990 15130 ) ( 1428990 1700340 0 )
+    NEW li1 ( 1388970 15130 ) ( 1388970 20570 )
+    NEW met1 ( 1350330 20570 ) ( 1388970 20570 )
+    NEW met1 ( 1388970 15130 ) ( 1428990 15130 )
+    NEW met1 ( 1350330 20570 ) M1M2_PR
+    NEW met1 ( 1428990 15130 ) M1M2_PR
+    NEW li1 ( 1388970 20570 ) L1M1_PR_MR
+    NEW li1 ( 1388970 15130 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) 
-  + ROUTED met2 ( 1431290 1664980 ) ( 1432210 1664980 )
-    NEW met2 ( 1432210 1664980 ) ( 1432210 1700340 )
+  + ROUTED met1 ( 1429450 1677730 ) ( 1432210 1677730 )
+    NEW met2 ( 1432210 1677730 ) ( 1432210 1700340 )
     NEW met2 ( 1432210 1700340 ) ( 1432670 1700340 0 )
-    NEW met2 ( 1431290 16830 ) ( 1431290 1664980 )
-    NEW met1 ( 1376550 17170 ) ( 1390810 17170 )
-    NEW met1 ( 1390810 16830 ) ( 1390810 17170 )
-    NEW met2 ( 1376550 2380 0 ) ( 1376550 17170 )
-    NEW met1 ( 1390810 16830 ) ( 1431290 16830 )
-    NEW met1 ( 1431290 16830 ) M1M2_PR
-    NEW met1 ( 1376550 17170 ) M1M2_PR
+    NEW met2 ( 1429450 14110 ) ( 1429450 1677730 )
+    NEW met2 ( 1368270 2380 0 ) ( 1368270 14110 )
+    NEW met1 ( 1368270 14110 ) ( 1429450 14110 )
+    NEW met1 ( 1429450 14110 ) M1M2_PR
+    NEW met1 ( 1429450 1677730 ) M1M2_PR
+    NEW met1 ( 1432210 1677730 ) M1M2_PR
+    NEW met1 ( 1368270 14110 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) 
-  + ROUTED met2 ( 1394490 2380 0 ) ( 1394490 9180 )
-    NEW met2 ( 1394490 9180 ) ( 1398170 9180 )
-    NEW met2 ( 1437270 1677900 ) ( 1438190 1677900 )
-    NEW met2 ( 1437270 1677900 ) ( 1437270 1700340 )
-    NEW met2 ( 1436350 1700340 0 ) ( 1437270 1700340 )
-    NEW met2 ( 1438190 17170 ) ( 1438190 1677900 )
-    NEW met2 ( 1398170 9180 ) ( 1398170 17170 )
-    NEW met1 ( 1398170 17170 ) ( 1438190 17170 )
-    NEW met1 ( 1438190 17170 ) M1M2_PR
-    NEW met1 ( 1398170 17170 ) M1M2_PR
+  + ROUTED met2 ( 1436350 1700340 0 ) ( 1436810 1700340 )
+    NEW met2 ( 1385750 2380 0 ) ( 1385750 15470 )
+    NEW met1 ( 1385750 15470 ) ( 1436810 15470 )
+    NEW met2 ( 1436810 15470 ) ( 1436810 1700340 )
+    NEW met1 ( 1385750 15470 ) M1M2_PR
+    NEW met1 ( 1436810 15470 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) 
-  + ROUTED met1 ( 1437270 1660050 ) ( 1439570 1660050 )
-    NEW met2 ( 1439570 1660050 ) ( 1439570 1700340 )
+  + ROUTED met1 ( 1435890 1677730 ) ( 1439570 1677730 )
+    NEW met2 ( 1439570 1677730 ) ( 1439570 1700340 )
     NEW met2 ( 1439570 1700340 ) ( 1440030 1700340 0 )
-    NEW met2 ( 1437270 17850 ) ( 1437270 1660050 )
-    NEW met2 ( 1412430 2380 0 ) ( 1412430 17850 )
-    NEW met1 ( 1412430 17850 ) ( 1437270 17850 )
-    NEW met1 ( 1437270 17850 ) M1M2_PR
-    NEW met1 ( 1437270 1660050 ) M1M2_PR
-    NEW met1 ( 1439570 1660050 ) M1M2_PR
-    NEW met1 ( 1412430 17850 ) M1M2_PR
+    NEW met2 ( 1435890 15810 ) ( 1435890 1677730 )
+    NEW met2 ( 1403690 2380 0 ) ( 1403690 15810 )
+    NEW met1 ( 1403690 15810 ) ( 1435890 15810 )
+    NEW met1 ( 1435890 15810 ) M1M2_PR
+    NEW met1 ( 1435890 1677730 ) M1M2_PR
+    NEW met1 ( 1439570 1677730 ) M1M2_PR
+    NEW met1 ( 1403690 15810 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) 
-  + ROUTED met1 ( 1429910 19890 ) ( 1443250 19890 )
-    NEW met2 ( 1429910 2380 0 ) ( 1429910 19890 )
-    NEW met2 ( 1443250 1700340 ) ( 1443710 1700340 0 )
-    NEW met2 ( 1443250 19890 ) ( 1443250 1700340 )
-    NEW met1 ( 1429910 19890 ) M1M2_PR
-    NEW met1 ( 1443250 19890 ) M1M2_PR
+  + ROUTED met1 ( 1421630 19550 ) ( 1443710 19550 )
+    NEW met2 ( 1421630 2380 0 ) ( 1421630 19550 )
+    NEW met1 ( 1443710 82110 ) ( 1443710 82790 )
+    NEW met2 ( 1443710 19550 ) ( 1443710 82110 )
+    NEW met2 ( 1443710 82790 ) ( 1443710 1700340 0 )
+    NEW met1 ( 1421630 19550 ) M1M2_PR
+    NEW met1 ( 1443710 19550 ) M1M2_PR
+    NEW met1 ( 1443710 82110 ) M1M2_PR
+    NEW met1 ( 1443710 82790 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) 
-  + ROUTED met1 ( 1445550 20570 ) ( 1447850 20570 )
-    NEW met2 ( 1447850 2380 0 ) ( 1447850 20570 )
-    NEW met2 ( 1445550 1677900 ) ( 1446930 1677900 )
-    NEW met2 ( 1446930 1677900 ) ( 1446930 1700340 )
+  + ROUTED met1 ( 1439110 20570 ) ( 1444170 20570 )
+    NEW met2 ( 1439110 2380 0 ) ( 1439110 20570 )
+    NEW met2 ( 1444170 1677220 ) ( 1446930 1677220 )
+    NEW met2 ( 1446930 1677220 ) ( 1446930 1700340 )
     NEW met2 ( 1446930 1700340 ) ( 1447390 1700340 0 )
-    NEW met2 ( 1445550 20570 ) ( 1445550 1677900 )
-    NEW met1 ( 1445550 20570 ) M1M2_PR
-    NEW met1 ( 1447850 20570 ) M1M2_PR
+    NEW met1 ( 1444170 82110 ) ( 1444170 82790 )
+    NEW met2 ( 1444170 20570 ) ( 1444170 82110 )
+    NEW met2 ( 1444170 82790 ) ( 1444170 1677220 )
+    NEW met1 ( 1439110 20570 ) M1M2_PR
+    NEW met1 ( 1444170 20570 ) M1M2_PR
+    NEW met1 ( 1444170 82110 ) M1M2_PR
+    NEW met1 ( 1444170 82790 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) 
-  + ROUTED met1 ( 1451070 1684190 ) ( 1454750 1684190 )
+  + ROUTED met1 ( 1454750 20570 ) ( 1457050 20570 )
+    NEW met2 ( 1457050 2380 0 ) ( 1457050 20570 )
+    NEW met2 ( 1452450 1656140 ) ( 1454750 1656140 )
+    NEW met2 ( 1452450 1656140 ) ( 1452450 1684190 )
+    NEW met1 ( 1451070 1684190 ) ( 1452450 1684190 )
     NEW met2 ( 1451070 1684190 ) ( 1451070 1700340 0 )
-    NEW met2 ( 1454750 17170 ) ( 1454750 1684190 )
-    NEW met1 ( 1454750 17170 ) ( 1465790 17170 )
-    NEW met2 ( 1465790 2380 0 ) ( 1465790 17170 )
-    NEW met1 ( 1454750 17170 ) M1M2_PR
-    NEW met1 ( 1454750 1684190 ) M1M2_PR
+    NEW met2 ( 1454750 20570 ) ( 1454750 1656140 )
+    NEW met1 ( 1454750 20570 ) M1M2_PR
+    NEW met1 ( 1457050 20570 ) M1M2_PR
+    NEW met1 ( 1452450 1684190 ) M1M2_PR
     NEW met1 ( 1451070 1684190 ) M1M2_PR
-    NEW met1 ( 1465790 17170 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) 
-  + ROUTED met2 ( 1454750 1685550 ) ( 1454750 1700340 0 )
-    NEW met1 ( 1454750 1685550 ) ( 1467170 1685550 )
-    NEW met1 ( 1467170 20570 ) ( 1483730 20570 )
-    NEW met2 ( 1483730 2380 0 ) ( 1483730 20570 )
-    NEW met2 ( 1467170 20570 ) ( 1467170 1685550 )
-    NEW met1 ( 1467170 1685550 ) M1M2_PR
-    NEW met1 ( 1454750 1685550 ) M1M2_PR
-    NEW met1 ( 1467170 20570 ) M1M2_PR
-    NEW met1 ( 1483730 20570 ) M1M2_PR
+  + ROUTED met2 ( 1454750 1690310 ) ( 1454750 1700340 0 )
+    NEW met1 ( 1471770 62050 ) ( 1474070 62050 )
+    NEW met2 ( 1474070 16660 ) ( 1474070 62050 )
+    NEW met2 ( 1474070 16660 ) ( 1474530 16660 )
+    NEW met2 ( 1474530 2380 0 ) ( 1474530 16660 )
+    NEW met1 ( 1454750 1690310 ) ( 1471770 1690310 )
+    NEW met2 ( 1471770 62050 ) ( 1471770 1690310 )
+    NEW met1 ( 1454750 1690310 ) M1M2_PR
+    NEW met1 ( 1471770 62050 ) M1M2_PR
+    NEW met1 ( 1474070 62050 ) M1M2_PR
+    NEW met1 ( 1471770 1690310 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) 
-  + ROUTED met2 ( 1459350 1677900 ) ( 1461190 1677900 )
-    NEW met2 ( 1459350 1677900 ) ( 1459350 1700340 )
-    NEW met2 ( 1458430 1700340 0 ) ( 1459350 1700340 )
-    NEW met2 ( 1461190 17510 ) ( 1461190 1677900 )
-    NEW met1 ( 1461190 17510 ) ( 1501670 17510 )
-    NEW met2 ( 1501670 2380 0 ) ( 1501670 17510 )
-    NEW met1 ( 1461190 17510 ) M1M2_PR
-    NEW met1 ( 1501670 17510 ) M1M2_PR
+  + ROUTED met1 ( 1458430 1657330 ) ( 1460730 1657330 )
+    NEW met2 ( 1458430 1657330 ) ( 1458430 1700340 0 )
+    NEW met2 ( 1460730 19890 ) ( 1460730 1657330 )
+    NEW met1 ( 1460730 19890 ) ( 1492470 19890 )
+    NEW met2 ( 1492470 2380 0 ) ( 1492470 19890 )
+    NEW met1 ( 1460730 19890 ) M1M2_PR
+    NEW met1 ( 1460730 1657330 ) M1M2_PR
+    NEW met1 ( 1458430 1657330 ) M1M2_PR
+    NEW met1 ( 1492470 19890 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) 
-  + ROUTED met2 ( 1462110 1688270 ) ( 1462110 1700340 0 )
-    NEW met1 ( 1462110 1688270 ) ( 1466250 1688270 )
-    NEW met2 ( 1519150 2380 0 ) ( 1519150 19890 )
-    NEW met1 ( 1466250 16830 ) ( 1490170 16830 )
-    NEW li1 ( 1490170 16830 ) ( 1490170 19550 )
-    NEW met1 ( 1490170 19550 ) ( 1510410 19550 )
-    NEW met1 ( 1510410 19550 ) ( 1510410 19890 )
-    NEW met1 ( 1510410 19890 ) ( 1519150 19890 )
-    NEW met2 ( 1466250 16830 ) ( 1466250 1688270 )
-    NEW met1 ( 1466250 1688270 ) M1M2_PR
-    NEW met1 ( 1462110 1688270 ) M1M2_PR
-    NEW met1 ( 1519150 19890 ) M1M2_PR
-    NEW met1 ( 1466250 16830 ) M1M2_PR
-    NEW li1 ( 1490170 16830 ) L1M1_PR_MR
-    NEW li1 ( 1490170 19550 ) L1M1_PR_MR
+  + ROUTED met2 ( 1462110 1700340 0 ) ( 1462570 1700340 )
+    NEW met2 ( 1462570 15130 ) ( 1462570 1700340 )
+    NEW met1 ( 1462570 15130 ) ( 1509950 15130 )
+    NEW met2 ( 1509950 2380 0 ) ( 1509950 15130 )
+    NEW met1 ( 1462570 15130 ) M1M2_PR
+    NEW met1 ( 1509950 15130 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) 
-  + ROUTED met2 ( 1293750 62220 ) ( 1294210 62220 )
-    NEW met2 ( 1293750 158780 ) ( 1294210 158780 )
-    NEW met2 ( 1293750 255340 ) ( 1294210 255340 )
-    NEW met2 ( 1293750 351900 ) ( 1294210 351900 )
-    NEW met2 ( 1293750 449140 ) ( 1294210 449140 )
-    NEW met2 ( 1293750 835380 ) ( 1294210 835380 )
-    NEW met2 ( 1293750 931940 ) ( 1294210 931940 )
-    NEW met2 ( 1293750 1028500 ) ( 1294210 1028500 )
-    NEW met2 ( 1293750 1125060 ) ( 1294210 1125060 )
-    NEW met2 ( 1293750 1221620 ) ( 1294210 1221620 )
-    NEW met2 ( 1293750 1318180 ) ( 1294210 1318180 )
-    NEW met1 ( 716450 46750 ) ( 737610 46750 )
-    NEW met1 ( 737610 46750 ) ( 737610 47090 )
-    NEW met2 ( 716450 2380 0 ) ( 716450 46750 )
-    NEW met1 ( 737610 47090 ) ( 1293750 47090 )
-    NEW met2 ( 1293750 47090 ) ( 1293750 62220 )
-    NEW met2 ( 1293750 158100 ) ( 1294210 158100 )
-    NEW met2 ( 1293750 158100 ) ( 1293750 158780 )
-    NEW met2 ( 1294210 62220 ) ( 1294210 158100 )
-    NEW met1 ( 1293750 255170 ) ( 1294670 255170 )
-    NEW met2 ( 1293750 255170 ) ( 1293750 255340 )
-    NEW met2 ( 1293750 351220 ) ( 1294210 351220 )
-    NEW met2 ( 1293750 351220 ) ( 1293750 351900 )
-    NEW met2 ( 1294210 255340 ) ( 1294210 351220 )
-    NEW met2 ( 1293750 448460 ) ( 1294210 448460 )
-    NEW met2 ( 1293750 448460 ) ( 1293750 449140 )
-    NEW met2 ( 1294210 351900 ) ( 1294210 448460 )
-    NEW met2 ( 1294210 738140 ) ( 1294670 738140 )
-    NEW met2 ( 1293750 834700 ) ( 1294210 834700 )
-    NEW met2 ( 1293750 834700 ) ( 1293750 835380 )
-    NEW met2 ( 1293750 931260 ) ( 1294210 931260 )
-    NEW met2 ( 1293750 931260 ) ( 1293750 931940 )
-    NEW met2 ( 1294210 835380 ) ( 1294210 931260 )
-    NEW met2 ( 1293750 1027820 ) ( 1294210 1027820 )
-    NEW met2 ( 1293750 1027820 ) ( 1293750 1028500 )
-    NEW met2 ( 1294210 931940 ) ( 1294210 1027820 )
-    NEW met2 ( 1293750 1124380 ) ( 1294210 1124380 )
-    NEW met2 ( 1293750 1124380 ) ( 1293750 1125060 )
-    NEW met2 ( 1294210 1028500 ) ( 1294210 1124380 )
-    NEW met2 ( 1293750 1220940 ) ( 1294210 1220940 )
-    NEW met2 ( 1293750 1220940 ) ( 1293750 1221620 )
-    NEW met2 ( 1294210 1125060 ) ( 1294210 1220940 )
-    NEW met2 ( 1293750 1317500 ) ( 1294210 1317500 )
-    NEW met2 ( 1293750 1317500 ) ( 1293750 1318180 )
-    NEW met2 ( 1294210 1221620 ) ( 1294210 1317500 )
-    NEW met2 ( 1296510 1700340 ) ( 1296970 1700340 0 )
-    NEW li1 ( 1294210 193630 ) ( 1294210 241230 )
-    NEW met1 ( 1294210 241230 ) ( 1294670 241230 )
-    NEW met2 ( 1294210 158780 ) ( 1294210 193630 )
-    NEW met2 ( 1294670 241230 ) ( 1294670 255170 )
-    NEW met1 ( 1294210 579870 ) ( 1295130 579870 )
-    NEW met1 ( 1294210 690030 ) ( 1294670 690030 )
-    NEW met1 ( 1294670 689010 ) ( 1294670 690030 )
-    NEW met2 ( 1294210 690030 ) ( 1294210 738140 )
-    NEW li1 ( 1294210 786590 ) ( 1294210 820930 )
-    NEW met2 ( 1294210 772820 ) ( 1294210 786590 )
-    NEW met2 ( 1294210 772820 ) ( 1294670 772820 )
-    NEW met2 ( 1294210 820930 ) ( 1294210 834700 )
-    NEW met2 ( 1294670 738140 ) ( 1294670 772820 )
-    NEW li1 ( 1294210 1352690 ) ( 1294210 1366630 )
-    NEW met1 ( 1294210 1366630 ) ( 1294670 1366630 )
-    NEW met2 ( 1294210 1318180 ) ( 1294210 1352690 )
-    NEW met1 ( 1294210 1642370 ) ( 1296510 1642370 )
-    NEW met2 ( 1296510 1642370 ) ( 1296510 1700340 )
-    NEW met2 ( 1294210 449140 ) ( 1294210 579870 )
-    NEW li1 ( 1294670 641410 ) ( 1294670 676090 )
-    NEW met2 ( 1294670 627980 ) ( 1294670 641410 )
-    NEW met2 ( 1294670 627980 ) ( 1295130 627980 )
-    NEW met2 ( 1294670 676090 ) ( 1294670 689010 )
-    NEW met2 ( 1295130 579870 ) ( 1295130 627980 )
-    NEW li1 ( 1294670 1401310 ) ( 1294670 1448910 )
-    NEW met2 ( 1294670 1366630 ) ( 1294670 1401310 )
-    NEW li1 ( 1294210 1497530 ) ( 1294210 1545470 )
-    NEW met1 ( 1294210 1497530 ) ( 1294670 1497530 )
-    NEW met2 ( 1294210 1545470 ) ( 1294210 1642370 )
-    NEW met2 ( 1294670 1448910 ) ( 1294670 1497530 )
-    NEW met1 ( 716450 46750 ) M1M2_PR
-    NEW met1 ( 1293750 47090 ) M1M2_PR
-    NEW met1 ( 1293750 255170 ) M1M2_PR
-    NEW met1 ( 1294670 255170 ) M1M2_PR
-    NEW li1 ( 1294210 193630 ) L1M1_PR_MR
-    NEW met1 ( 1294210 193630 ) M1M2_PR
-    NEW li1 ( 1294210 241230 ) L1M1_PR_MR
-    NEW met1 ( 1294670 241230 ) M1M2_PR
-    NEW met1 ( 1294210 579870 ) M1M2_PR
-    NEW met1 ( 1295130 579870 ) M1M2_PR
-    NEW met1 ( 1294210 690030 ) M1M2_PR
-    NEW met1 ( 1294670 689010 ) M1M2_PR
-    NEW li1 ( 1294210 820930 ) L1M1_PR_MR
-    NEW met1 ( 1294210 820930 ) M1M2_PR
-    NEW li1 ( 1294210 786590 ) L1M1_PR_MR
-    NEW met1 ( 1294210 786590 ) M1M2_PR
-    NEW li1 ( 1294210 1352690 ) L1M1_PR_MR
-    NEW met1 ( 1294210 1352690 ) M1M2_PR
-    NEW li1 ( 1294210 1366630 ) L1M1_PR_MR
-    NEW met1 ( 1294670 1366630 ) M1M2_PR
-    NEW met1 ( 1294210 1642370 ) M1M2_PR
-    NEW met1 ( 1296510 1642370 ) M1M2_PR
-    NEW li1 ( 1294670 676090 ) L1M1_PR_MR
-    NEW met1 ( 1294670 676090 ) M1M2_PR
-    NEW li1 ( 1294670 641410 ) L1M1_PR_MR
-    NEW met1 ( 1294670 641410 ) M1M2_PR
-    NEW li1 ( 1294670 1401310 ) L1M1_PR_MR
-    NEW met1 ( 1294670 1401310 ) M1M2_PR
-    NEW li1 ( 1294670 1448910 ) L1M1_PR_MR
-    NEW met1 ( 1294670 1448910 ) M1M2_PR
-    NEW li1 ( 1294210 1545470 ) L1M1_PR_MR
-    NEW met1 ( 1294210 1545470 ) M1M2_PR
-    NEW li1 ( 1294210 1497530 ) L1M1_PR_MR
-    NEW met1 ( 1294670 1497530 ) M1M2_PR
-    NEW met2 ( 1293750 255170 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1294210 193630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294210 820930 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294210 786590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294210 1352690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294670 676090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294670 641410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294670 1401310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294670 1448910 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1294210 1545470 ) RECT ( -355 -70 0 70 )
+  + ROUTED li1 ( 759230 42670 ) ( 759230 47090 )
+    NEW li1 ( 848470 43010 ) ( 848470 47090 )
+    NEW li1 ( 955650 43010 ) ( 955650 47090 )
+    NEW li1 ( 1052250 41650 ) ( 1052250 47090 )
+    NEW met2 ( 1172310 47090 ) ( 1172310 47260 )
+    NEW li1 ( 1221530 47090 ) ( 1221990 47090 )
+    NEW li1 ( 1221990 47090 ) ( 1221990 48450 )
+    NEW met2 ( 712310 2380 0 ) ( 712310 47090 )
+    NEW met1 ( 712310 47090 ) ( 759230 47090 )
+    NEW li1 ( 810750 42670 ) ( 810750 47090 )
+    NEW met1 ( 759230 42670 ) ( 810750 42670 )
+    NEW met1 ( 810750 47090 ) ( 848470 47090 )
+    NEW li1 ( 907350 43010 ) ( 907350 47090 )
+    NEW met1 ( 848470 43010 ) ( 907350 43010 )
+    NEW met1 ( 907350 47090 ) ( 955650 47090 )
+    NEW li1 ( 1003950 43010 ) ( 1003950 47090 )
+    NEW met1 ( 955650 43010 ) ( 1003950 43010 )
+    NEW met1 ( 1003950 47090 ) ( 1052250 47090 )
+    NEW li1 ( 1100550 41650 ) ( 1100550 47090 )
+    NEW met1 ( 1052250 41650 ) ( 1100550 41650 )
+    NEW met1 ( 1100550 47090 ) ( 1172310 47090 )
+    NEW met2 ( 1173690 47090 ) ( 1173690 47260 )
+    NEW met3 ( 1172310 47260 ) ( 1173690 47260 )
+    NEW met1 ( 1173690 47090 ) ( 1221530 47090 )
+    NEW met1 ( 1270750 48110 ) ( 1270750 48450 )
+    NEW met1 ( 1270750 48110 ) ( 1291450 48110 )
+    NEW met1 ( 1221990 48450 ) ( 1270750 48450 )
+    NEW met1 ( 1291450 1677390 ) ( 1296050 1677390 )
+    NEW met2 ( 1296050 1677390 ) ( 1296050 1700340 )
+    NEW met2 ( 1296050 1700340 ) ( 1296970 1700340 0 )
+    NEW met2 ( 1291450 48110 ) ( 1291450 1677390 )
+    NEW li1 ( 759230 47090 ) L1M1_PR_MR
+    NEW li1 ( 759230 42670 ) L1M1_PR_MR
+    NEW li1 ( 848470 47090 ) L1M1_PR_MR
+    NEW li1 ( 848470 43010 ) L1M1_PR_MR
+    NEW li1 ( 955650 47090 ) L1M1_PR_MR
+    NEW li1 ( 955650 43010 ) L1M1_PR_MR
+    NEW li1 ( 1052250 47090 ) L1M1_PR_MR
+    NEW li1 ( 1052250 41650 ) L1M1_PR_MR
+    NEW met1 ( 1172310 47090 ) M1M2_PR
+    NEW met2 ( 1172310 47260 ) via2_FR
+    NEW li1 ( 1221530 47090 ) L1M1_PR_MR
+    NEW li1 ( 1221990 48450 ) L1M1_PR_MR
+    NEW met1 ( 712310 47090 ) M1M2_PR
+    NEW li1 ( 810750 42670 ) L1M1_PR_MR
+    NEW li1 ( 810750 47090 ) L1M1_PR_MR
+    NEW li1 ( 907350 43010 ) L1M1_PR_MR
+    NEW li1 ( 907350 47090 ) L1M1_PR_MR
+    NEW li1 ( 1003950 43010 ) L1M1_PR_MR
+    NEW li1 ( 1003950 47090 ) L1M1_PR_MR
+    NEW li1 ( 1100550 41650 ) L1M1_PR_MR
+    NEW li1 ( 1100550 47090 ) L1M1_PR_MR
+    NEW met2 ( 1173690 47260 ) via2_FR
+    NEW met1 ( 1173690 47090 ) M1M2_PR
+    NEW met1 ( 1291450 48110 ) M1M2_PR
+    NEW met1 ( 1291450 1677390 ) M1M2_PR
+    NEW met1 ( 1296050 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) 
-  + ROUTED met1 ( 1465790 1687930 ) ( 1469470 1687930 )
-    NEW met2 ( 1465790 1687930 ) ( 1465790 1700340 0 )
-    NEW met2 ( 1537090 2380 0 ) ( 1537090 17850 )
-    NEW met1 ( 1469470 17170 ) ( 1502590 17170 )
-    NEW li1 ( 1502590 17170 ) ( 1502590 17850 )
-    NEW met1 ( 1502590 17850 ) ( 1537090 17850 )
-    NEW met2 ( 1469470 17170 ) ( 1469470 1687930 )
-    NEW met1 ( 1469470 1687930 ) M1M2_PR
-    NEW met1 ( 1465790 1687930 ) M1M2_PR
-    NEW met1 ( 1537090 17850 ) M1M2_PR
-    NEW met1 ( 1469470 17170 ) M1M2_PR
-    NEW li1 ( 1502590 17170 ) L1M1_PR_MR
-    NEW li1 ( 1502590 17850 ) L1M1_PR_MR
+  + ROUTED met2 ( 1527890 2380 0 ) ( 1527890 17170 )
+    NEW met2 ( 1468090 39780 ) ( 1468550 39780 )
+    NEW met2 ( 1468090 15810 ) ( 1468090 39780 )
+    NEW met1 ( 1468090 15810 ) ( 1488790 15810 )
+    NEW li1 ( 1488790 15810 ) ( 1488790 17170 )
+    NEW met1 ( 1488790 17170 ) ( 1527890 17170 )
+    NEW met1 ( 1465790 1685210 ) ( 1468550 1685210 )
+    NEW met2 ( 1465790 1685210 ) ( 1465790 1700340 0 )
+    NEW met2 ( 1468550 39780 ) ( 1468550 1685210 )
+    NEW met1 ( 1527890 17170 ) M1M2_PR
+    NEW met1 ( 1468090 15810 ) M1M2_PR
+    NEW li1 ( 1488790 15810 ) L1M1_PR_MR
+    NEW li1 ( 1488790 17170 ) L1M1_PR_MR
+    NEW met1 ( 1468550 1685210 ) M1M2_PR
+    NEW met1 ( 1465790 1685210 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) 
-  + ROUTED met2 ( 1469010 1700340 ) ( 1469470 1700340 0 )
-    NEW met2 ( 1555030 2380 0 ) ( 1555030 18530 )
-    NEW met1 ( 1469010 20230 ) ( 1496150 20230 )
-    NEW li1 ( 1496150 18530 ) ( 1496150 20230 )
-    NEW met1 ( 1496150 18530 ) ( 1555030 18530 )
-    NEW met2 ( 1469010 20230 ) ( 1469010 1700340 )
-    NEW met1 ( 1555030 18530 ) M1M2_PR
-    NEW met1 ( 1469010 20230 ) M1M2_PR
-    NEW li1 ( 1496150 20230 ) L1M1_PR_MR
-    NEW li1 ( 1496150 18530 ) L1M1_PR_MR
+  + ROUTED met2 ( 1545370 2380 0 ) ( 1545370 19890 )
+    NEW met1 ( 1469010 17170 ) ( 1488330 17170 )
+    NEW met1 ( 1488330 17170 ) ( 1488330 17510 )
+    NEW met1 ( 1488330 17510 ) ( 1510870 17510 )
+    NEW li1 ( 1510870 17510 ) ( 1510870 19890 )
+    NEW met1 ( 1510870 19890 ) ( 1545370 19890 )
+    NEW met2 ( 1469010 1700340 ) ( 1469470 1700340 0 )
+    NEW met2 ( 1469010 17170 ) ( 1469010 1700340 )
+    NEW met1 ( 1545370 19890 ) M1M2_PR
+    NEW met1 ( 1469010 17170 ) M1M2_PR
+    NEW li1 ( 1510870 17510 ) L1M1_PR_MR
+    NEW li1 ( 1510870 19890 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) 
-  + ROUTED met2 ( 1473150 1686910 ) ( 1473150 1700340 0 )
-    NEW li1 ( 1539850 1685550 ) ( 1539850 1686910 )
-    NEW met1 ( 1473150 1686910 ) ( 1539850 1686910 )
-    NEW met2 ( 1568370 14110 ) ( 1572970 14110 )
-    NEW met2 ( 1572970 2380 0 ) ( 1572970 14110 )
-    NEW met1 ( 1539850 1685550 ) ( 1568370 1685550 )
-    NEW met2 ( 1568370 14110 ) ( 1568370 1685550 )
-    NEW met1 ( 1473150 1686910 ) M1M2_PR
-    NEW li1 ( 1539850 1686910 ) L1M1_PR_MR
-    NEW li1 ( 1539850 1685550 ) L1M1_PR_MR
-    NEW met1 ( 1568370 1685550 ) M1M2_PR
+  + ROUTED met2 ( 1474530 62220 ) ( 1474990 62220 )
+    NEW met1 ( 1474530 17510 ) ( 1487870 17510 )
+    NEW li1 ( 1487870 17510 ) ( 1487870 18530 )
+    NEW met2 ( 1474530 17510 ) ( 1474530 62220 )
+    NEW met1 ( 1473150 1685550 ) ( 1474990 1685550 )
+    NEW met2 ( 1473150 1685550 ) ( 1473150 1700340 0 )
+    NEW met2 ( 1474990 62220 ) ( 1474990 1685550 )
+    NEW met1 ( 1487870 18530 ) ( 1563310 18530 )
+    NEW met2 ( 1563310 2380 0 ) ( 1563310 18530 )
+    NEW met1 ( 1474530 17510 ) M1M2_PR
+    NEW li1 ( 1487870 17510 ) L1M1_PR_MR
+    NEW li1 ( 1487870 18530 ) L1M1_PR_MR
+    NEW met1 ( 1474990 1685550 ) M1M2_PR
+    NEW met1 ( 1473150 1685550 ) M1M2_PR
+    NEW met1 ( 1563310 18530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) 
-  + ROUTED met1 ( 1476830 1687590 ) ( 1483270 1687590 )
-    NEW met2 ( 1476830 1687590 ) ( 1476830 1700340 0 )
-    NEW met1 ( 1483270 17850 ) ( 1502130 17850 )
-    NEW met1 ( 1502130 17510 ) ( 1502130 17850 )
-    NEW met2 ( 1483270 17850 ) ( 1483270 1687590 )
-    NEW met1 ( 1502130 17510 ) ( 1590450 17510 )
-    NEW met2 ( 1590450 2380 0 ) ( 1590450 17510 )
-    NEW met1 ( 1483270 1687590 ) M1M2_PR
-    NEW met1 ( 1476830 1687590 ) M1M2_PR
-    NEW met1 ( 1483270 17850 ) M1M2_PR
-    NEW met1 ( 1590450 17510 ) M1M2_PR
+  + ROUTED met1 ( 1483270 18530 ) ( 1487410 18530 )
+    NEW met1 ( 1487410 18190 ) ( 1487410 18530 )
+    NEW met1 ( 1477750 1677390 ) ( 1483270 1677390 )
+    NEW met2 ( 1477750 1677390 ) ( 1477750 1700340 )
+    NEW met2 ( 1476830 1700340 0 ) ( 1477750 1700340 )
+    NEW met2 ( 1483270 18530 ) ( 1483270 1677390 )
+    NEW met1 ( 1487410 18190 ) ( 1581250 18190 )
+    NEW met2 ( 1581250 2380 0 ) ( 1581250 18190 )
+    NEW met1 ( 1483270 18530 ) M1M2_PR
+    NEW met1 ( 1483270 1677390 ) M1M2_PR
+    NEW met1 ( 1477750 1677390 ) M1M2_PR
+    NEW met1 ( 1581250 18190 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) 
-  + ROUTED met2 ( 1480510 1688270 ) ( 1480510 1700340 0 )
-    NEW met2 ( 1608390 2380 0 ) ( 1608390 3060 )
-    NEW met2 ( 1607930 3060 ) ( 1608390 3060 )
-    NEW met1 ( 1492470 1687590 ) ( 1492470 1688270 )
-    NEW met1 ( 1492470 1687590 ) ( 1514550 1687590 )
-    NEW met1 ( 1480510 1688270 ) ( 1492470 1688270 )
-    NEW met2 ( 1514550 72250 ) ( 1514550 1687590 )
-    NEW met2 ( 1607930 3060 ) ( 1607930 72250 )
-    NEW met1 ( 1514550 72250 ) ( 1607930 72250 )
-    NEW met1 ( 1480510 1688270 ) M1M2_PR
-    NEW met1 ( 1514550 1687590 ) M1M2_PR
-    NEW met1 ( 1514550 72250 ) M1M2_PR
-    NEW met1 ( 1607930 72250 ) M1M2_PR
+  + ROUTED met2 ( 1482810 1677900 ) ( 1483270 1677900 )
+    NEW met2 ( 1483270 1677900 ) ( 1483270 1684530 )
+    NEW met1 ( 1480510 1684530 ) ( 1483270 1684530 )
+    NEW met2 ( 1480510 1684530 ) ( 1480510 1700340 0 )
+    NEW met2 ( 1482810 17850 ) ( 1482810 1677900 )
+    NEW met1 ( 1482810 17850 ) ( 1598730 17850 )
+    NEW met2 ( 1598730 2380 0 ) ( 1598730 17850 )
+    NEW met1 ( 1482810 17850 ) M1M2_PR
+    NEW met1 ( 1483270 1684530 ) M1M2_PR
+    NEW met1 ( 1480510 1684530 ) M1M2_PR
+    NEW met1 ( 1598730 17850 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) 
-  + ROUTED met2 ( 1626330 2380 0 ) ( 1626330 2890 )
-    NEW met1 ( 1621730 2890 ) ( 1626330 2890 )
-    NEW met1 ( 1484190 1689970 ) ( 1521450 1689970 )
-    NEW met2 ( 1484190 1689970 ) ( 1484190 1700340 0 )
-    NEW met2 ( 1521450 58650 ) ( 1521450 1689970 )
-    NEW met2 ( 1621730 2890 ) ( 1621730 58650 )
-    NEW met1 ( 1521450 58650 ) ( 1621730 58650 )
-    NEW met1 ( 1626330 2890 ) M1M2_PR
-    NEW met1 ( 1621730 2890 ) M1M2_PR
-    NEW met1 ( 1521450 1689970 ) M1M2_PR
-    NEW met1 ( 1484190 1689970 ) M1M2_PR
-    NEW met1 ( 1521450 58650 ) M1M2_PR
-    NEW met1 ( 1621730 58650 ) M1M2_PR
+  + ROUTED met2 ( 1616670 2380 0 ) ( 1616670 2890 )
+    NEW met1 ( 1614830 2890 ) ( 1616670 2890 )
+    NEW li1 ( 1517770 1685550 ) ( 1517770 1687590 )
+    NEW met2 ( 1614830 2890 ) ( 1614830 58990 )
+    NEW met2 ( 1484190 1685550 ) ( 1484190 1700340 0 )
+    NEW met1 ( 1484190 1685550 ) ( 1517770 1685550 )
+    NEW met1 ( 1569750 58990 ) ( 1614830 58990 )
+    NEW li1 ( 1569750 96730 ) ( 1569750 144670 )
+    NEW met1 ( 1569290 144670 ) ( 1569750 144670 )
+    NEW met2 ( 1569750 58990 ) ( 1569750 96730 )
+    NEW met1 ( 1569290 193630 ) ( 1569750 193630 )
+    NEW met2 ( 1569290 193630 ) ( 1569290 241060 )
+    NEW met2 ( 1569290 241060 ) ( 1569750 241060 )
+    NEW met1 ( 1569290 483310 ) ( 1569750 483310 )
+    NEW met3 ( 1569750 772820 ) ( 1570670 772820 )
+    NEW met2 ( 1570670 772820 ) ( 1570670 820930 )
+    NEW met1 ( 1569750 820930 ) ( 1570670 820930 )
+    NEW li1 ( 1569750 869550 ) ( 1569750 917150 )
+    NEW met2 ( 1569750 820930 ) ( 1569750 869550 )
+    NEW met1 ( 1569290 1449250 ) ( 1569750 1449250 )
+    NEW met2 ( 1569290 1449250 ) ( 1569290 1497020 )
+    NEW met2 ( 1569290 1497020 ) ( 1569750 1497020 )
+    NEW met2 ( 1569750 917150 ) ( 1569750 1449250 )
+    NEW li1 ( 1569750 1642370 ) ( 1569750 1687590 )
+    NEW met1 ( 1517770 1687590 ) ( 1569750 1687590 )
+    NEW met2 ( 1569750 1497020 ) ( 1569750 1642370 )
+    NEW met2 ( 1569290 145180 ) ( 1569750 145180 )
+    NEW met2 ( 1569290 144670 ) ( 1569290 145180 )
+    NEW met2 ( 1569750 145180 ) ( 1569750 193630 )
+    NEW met2 ( 1569750 241060 ) ( 1569750 483310 )
+    NEW met3 ( 1569060 531420 ) ( 1569290 531420 )
+    NEW met3 ( 1569060 531420 ) ( 1569060 532100 )
+    NEW met3 ( 1569060 532100 ) ( 1569750 532100 )
+    NEW met2 ( 1569290 483310 ) ( 1569290 531420 )
+    NEW met2 ( 1569750 532100 ) ( 1569750 772820 )
+    NEW met1 ( 1616670 2890 ) M1M2_PR
+    NEW met1 ( 1614830 2890 ) M1M2_PR
+    NEW li1 ( 1517770 1687590 ) L1M1_PR_MR
+    NEW li1 ( 1517770 1685550 ) L1M1_PR_MR
+    NEW met1 ( 1614830 58990 ) M1M2_PR
+    NEW met1 ( 1484190 1685550 ) M1M2_PR
+    NEW met1 ( 1569750 58990 ) M1M2_PR
+    NEW li1 ( 1569750 96730 ) L1M1_PR_MR
+    NEW met1 ( 1569750 96730 ) M1M2_PR
+    NEW li1 ( 1569750 144670 ) L1M1_PR_MR
+    NEW met1 ( 1569290 144670 ) M1M2_PR
+    NEW met1 ( 1569750 193630 ) M1M2_PR
+    NEW met1 ( 1569290 193630 ) M1M2_PR
+    NEW met1 ( 1569750 483310 ) M1M2_PR
+    NEW met1 ( 1569290 483310 ) M1M2_PR
+    NEW met2 ( 1569750 772820 ) via2_FR
+    NEW met2 ( 1570670 772820 ) via2_FR
+    NEW met1 ( 1570670 820930 ) M1M2_PR
+    NEW met1 ( 1569750 820930 ) M1M2_PR
+    NEW li1 ( 1569750 869550 ) L1M1_PR_MR
+    NEW met1 ( 1569750 869550 ) M1M2_PR
+    NEW li1 ( 1569750 917150 ) L1M1_PR_MR
+    NEW met1 ( 1569750 917150 ) M1M2_PR
+    NEW met1 ( 1569750 1449250 ) M1M2_PR
+    NEW met1 ( 1569290 1449250 ) M1M2_PR
+    NEW li1 ( 1569750 1687590 ) L1M1_PR_MR
+    NEW li1 ( 1569750 1642370 ) L1M1_PR_MR
+    NEW met1 ( 1569750 1642370 ) M1M2_PR
+    NEW met2 ( 1569290 531420 ) via2_FR
+    NEW met2 ( 1569750 532100 ) via2_FR
+    NEW met1 ( 1569750 96730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1569750 869550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1569750 917150 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1569750 1642370 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) 
-  + ROUTED met1 ( 1487870 1684870 ) ( 1528350 1684870 )
-    NEW met2 ( 1487870 1684870 ) ( 1487870 1700340 0 )
-    NEW met2 ( 1528350 86190 ) ( 1528350 1684870 )
-    NEW met1 ( 1528350 86190 ) ( 1642430 86190 )
-    NEW met2 ( 1644270 2380 0 ) ( 1644270 13260 )
-    NEW met2 ( 1643810 13260 ) ( 1644270 13260 )
-    NEW met2 ( 1643810 13260 ) ( 1643810 13940 )
-    NEW met2 ( 1642430 13940 ) ( 1643810 13940 )
-    NEW met2 ( 1642430 13940 ) ( 1642430 86190 )
-    NEW met1 ( 1528350 1684870 ) M1M2_PR
-    NEW met1 ( 1487870 1684870 ) M1M2_PR
-    NEW met1 ( 1528350 86190 ) M1M2_PR
-    NEW met1 ( 1642430 86190 ) M1M2_PR
+  + ROUTED li1 ( 1538470 1685890 ) ( 1538470 1686910 )
+    NEW li1 ( 1528810 1686910 ) ( 1528810 1690310 )
+    NEW met1 ( 1528810 1686910 ) ( 1538470 1686910 )
+    NEW met2 ( 1633230 53380 ) ( 1633230 58650 )
+    NEW met2 ( 1633230 53380 ) ( 1633690 53380 )
+    NEW met2 ( 1487870 1690310 ) ( 1487870 1700340 0 )
+    NEW met1 ( 1487870 1690310 ) ( 1528810 1690310 )
+    NEW met1 ( 1583550 58650 ) ( 1633230 58650 )
+    NEW met1 ( 1577110 1685210 ) ( 1577110 1685890 )
+    NEW met1 ( 1577110 1685210 ) ( 1583550 1685210 )
+    NEW met1 ( 1538470 1685890 ) ( 1577110 1685890 )
+    NEW met2 ( 1583550 58650 ) ( 1583550 1685210 )
+    NEW met2 ( 1634150 2380 0 ) ( 1634150 13260 )
+    NEW met2 ( 1633230 13260 ) ( 1634150 13260 )
+    NEW met2 ( 1633230 13260 ) ( 1633230 14620 )
+    NEW met2 ( 1633230 14620 ) ( 1633690 14620 )
+    NEW met2 ( 1633690 14620 ) ( 1633690 53380 )
+    NEW li1 ( 1538470 1686910 ) L1M1_PR_MR
+    NEW li1 ( 1538470 1685890 ) L1M1_PR_MR
+    NEW li1 ( 1528810 1686910 ) L1M1_PR_MR
+    NEW li1 ( 1528810 1690310 ) L1M1_PR_MR
+    NEW met1 ( 1633230 58650 ) M1M2_PR
+    NEW met1 ( 1487870 1690310 ) M1M2_PR
+    NEW met1 ( 1583550 58650 ) M1M2_PR
+    NEW met1 ( 1583550 1685210 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) 
-  + ROUTED met1 ( 1491550 1688610 ) ( 1495230 1688610 )
-    NEW met2 ( 1491550 1688610 ) ( 1491550 1700340 0 )
-    NEW met2 ( 1495230 1611090 ) ( 1495230 1688610 )
-    NEW met1 ( 1495230 1611090 ) ( 1656230 1611090 )
-    NEW met1 ( 1656230 37570 ) ( 1662210 37570 )
-    NEW met2 ( 1662210 2380 0 ) ( 1662210 37570 )
-    NEW met2 ( 1656230 37570 ) ( 1656230 1611090 )
-    NEW met1 ( 1495230 1611090 ) M1M2_PR
-    NEW met1 ( 1495230 1688610 ) M1M2_PR
-    NEW met1 ( 1491550 1688610 ) M1M2_PR
-    NEW met1 ( 1656230 1611090 ) M1M2_PR
-    NEW met1 ( 1656230 37570 ) M1M2_PR
-    NEW met1 ( 1662210 37570 ) M1M2_PR
+  + ROUTED li1 ( 1549510 1685550 ) ( 1549510 1687250 )
+    NEW met1 ( 1549510 1685550 ) ( 1559170 1685550 )
+    NEW met1 ( 1559170 1685210 ) ( 1559170 1685550 )
+    NEW li1 ( 1511790 1687250 ) ( 1511790 1689970 )
+    NEW met1 ( 1511790 1687250 ) ( 1549510 1687250 )
+    NEW met2 ( 1491550 1689970 ) ( 1491550 1700340 0 )
+    NEW met1 ( 1491550 1689970 ) ( 1511790 1689970 )
+    NEW met1 ( 1559170 1685210 ) ( 1576650 1685210 )
+    NEW met2 ( 1576650 72590 ) ( 1576650 1685210 )
+    NEW met2 ( 1652090 2380 0 ) ( 1652090 41140 )
+    NEW met2 ( 1651630 41140 ) ( 1652090 41140 )
+    NEW li1 ( 1652090 46750 ) ( 1652090 72590 )
+    NEW met2 ( 1652090 41820 ) ( 1652090 46750 )
+    NEW met2 ( 1651630 41820 ) ( 1652090 41820 )
+    NEW met1 ( 1576650 72590 ) ( 1652090 72590 )
+    NEW met2 ( 1651630 41140 ) ( 1651630 41820 )
+    NEW li1 ( 1549510 1687250 ) L1M1_PR_MR
+    NEW li1 ( 1549510 1685550 ) L1M1_PR_MR
+    NEW li1 ( 1511790 1687250 ) L1M1_PR_MR
+    NEW li1 ( 1511790 1689970 ) L1M1_PR_MR
+    NEW met1 ( 1576650 72590 ) M1M2_PR
+    NEW met1 ( 1491550 1689970 ) M1M2_PR
+    NEW met1 ( 1576650 1685210 ) M1M2_PR
+    NEW li1 ( 1652090 72590 ) L1M1_PR_MR
+    NEW li1 ( 1652090 46750 ) L1M1_PR_MR
+    NEW met1 ( 1652090 46750 ) M1M2_PR
+    NEW met1 ( 1652090 46750 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) 
-  + ROUTED met2 ( 1495230 1700340 0 ) ( 1495690 1700340 )
-    NEW met2 ( 1495690 92990 ) ( 1495690 1700340 )
-    NEW met2 ( 1679690 2380 0 ) ( 1679690 24140 )
-    NEW met2 ( 1678310 24140 ) ( 1679690 24140 )
-    NEW met1 ( 1495690 92990 ) ( 1678310 92990 )
-    NEW met2 ( 1678310 24140 ) ( 1678310 92990 )
-    NEW met1 ( 1495690 92990 ) M1M2_PR
-    NEW met1 ( 1678310 92990 ) M1M2_PR
+  + ROUTED met1 ( 1495690 86190 ) ( 1663130 86190 )
+    NEW met2 ( 1495230 1700340 0 ) ( 1495690 1700340 )
+    NEW met2 ( 1495690 86190 ) ( 1495690 1700340 )
+    NEW met1 ( 1663130 37570 ) ( 1669570 37570 )
+    NEW met2 ( 1663130 37570 ) ( 1663130 86190 )
+    NEW met2 ( 1669570 2380 0 ) ( 1669570 37570 )
+    NEW met1 ( 1495690 86190 ) M1M2_PR
+    NEW met1 ( 1663130 86190 ) M1M2_PR
+    NEW met1 ( 1663130 37570 ) M1M2_PR
+    NEW met1 ( 1669570 37570 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) 
-  + ROUTED met1 ( 1498910 1689630 ) ( 1515010 1689630 )
-    NEW met2 ( 1498910 1689630 ) ( 1498910 1700340 0 )
-    NEW met2 ( 1515010 1473050 ) ( 1515010 1689630 )
-    NEW met1 ( 1515010 1473050 ) ( 1697630 1473050 )
-    NEW met2 ( 1697630 2380 0 ) ( 1697630 1473050 )
-    NEW met1 ( 1515010 1689630 ) M1M2_PR
-    NEW met1 ( 1498910 1689630 ) M1M2_PR
-    NEW met1 ( 1515010 1473050 ) M1M2_PR
-    NEW met1 ( 1697630 1473050 ) M1M2_PR
+  + ROUTED li1 ( 1536630 1684870 ) ( 1536630 1687930 )
+    NEW met2 ( 1687510 2380 0 ) ( 1687510 2890 )
+    NEW met1 ( 1683830 2890 ) ( 1687510 2890 )
+    NEW met1 ( 1517310 1687590 ) ( 1517310 1687930 )
+    NEW met1 ( 1517310 1687930 ) ( 1536630 1687930 )
+    NEW met1 ( 1570210 92990 ) ( 1683830 92990 )
+    NEW met2 ( 1498910 1687590 ) ( 1498910 1700340 0 )
+    NEW met1 ( 1498910 1687590 ) ( 1517310 1687590 )
+    NEW met1 ( 1536630 1684870 ) ( 1570210 1684870 )
+    NEW met2 ( 1683830 2890 ) ( 1683830 92990 )
+    NEW met2 ( 1570210 92990 ) ( 1570210 1684870 )
+    NEW li1 ( 1536630 1687930 ) L1M1_PR_MR
+    NEW li1 ( 1536630 1684870 ) L1M1_PR_MR
+    NEW met1 ( 1687510 2890 ) M1M2_PR
+    NEW met1 ( 1683830 2890 ) M1M2_PR
+    NEW met1 ( 1570210 92990 ) M1M2_PR
+    NEW met1 ( 1683830 92990 ) M1M2_PR
+    NEW met1 ( 1498910 1687590 ) M1M2_PR
+    NEW met1 ( 1570210 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) 
-  + ROUTED li1 ( 1221530 47430 ) ( 1221530 47770 )
-    NEW li1 ( 1221530 47770 ) ( 1222450 47770 )
-    NEW li1 ( 1222450 47770 ) ( 1222450 48450 )
-    NEW met1 ( 1222450 48450 ) ( 1269370 48450 )
-    NEW li1 ( 1269370 45730 ) ( 1269370 48450 )
-    NEW met2 ( 734390 2380 0 ) ( 734390 47430 )
-    NEW met1 ( 734390 47430 ) ( 1221530 47430 )
-    NEW met2 ( 1269830 44540 ) ( 1269830 45730 )
-    NEW met3 ( 1269830 44540 ) ( 1272130 44540 )
-    NEW met2 ( 1272130 44030 ) ( 1272130 44540 )
-    NEW met1 ( 1272130 44030 ) ( 1299730 44030 )
-    NEW met1 ( 1269370 45730 ) ( 1269830 45730 )
-    NEW met2 ( 1299730 1700340 ) ( 1300650 1700340 0 )
-    NEW met2 ( 1299730 44030 ) ( 1299730 1700340 )
-    NEW li1 ( 1221530 47430 ) L1M1_PR_MR
-    NEW li1 ( 1222450 48450 ) L1M1_PR_MR
-    NEW li1 ( 1269370 48450 ) L1M1_PR_MR
-    NEW li1 ( 1269370 45730 ) L1M1_PR_MR
-    NEW met1 ( 734390 47430 ) M1M2_PR
-    NEW met1 ( 1269830 45730 ) M1M2_PR
-    NEW met2 ( 1269830 44540 ) via2_FR
-    NEW met2 ( 1272130 44540 ) via2_FR
-    NEW met1 ( 1272130 44030 ) M1M2_PR
-    NEW met1 ( 1299730 44030 ) M1M2_PR
+  + ROUTED met1 ( 1222450 47090 ) ( 1222450 47430 )
+    NEW met1 ( 1222450 47090 ) ( 1268450 47090 )
+    NEW met2 ( 1268450 47090 ) ( 1268450 47260 )
+    NEW met2 ( 729790 2380 0 ) ( 729790 47430 )
+    NEW met1 ( 729790 47430 ) ( 1222450 47430 )
+    NEW met2 ( 1270750 47260 ) ( 1270750 47770 )
+    NEW met1 ( 1270750 47770 ) ( 1300190 47770 )
+    NEW met3 ( 1268450 47260 ) ( 1270750 47260 )
+    NEW met2 ( 1300190 1700340 ) ( 1300650 1700340 0 )
+    NEW met2 ( 1300190 47770 ) ( 1300190 1700340 )
+    NEW met1 ( 1268450 47090 ) M1M2_PR
+    NEW met2 ( 1268450 47260 ) via2_FR
+    NEW met1 ( 729790 47430 ) M1M2_PR
+    NEW met2 ( 1270750 47260 ) via2_FR
+    NEW met1 ( 1270750 47770 ) M1M2_PR
+    NEW met1 ( 1300190 47770 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) 
-  + ROUTED met2 ( 1502130 1700340 ) ( 1502590 1700340 0 )
-    NEW met2 ( 1711430 25500 ) ( 1715570 25500 )
-    NEW met2 ( 1715570 2380 0 ) ( 1715570 25500 )
-    NEW met2 ( 1711430 25500 ) ( 1711430 1328210 )
-    NEW met1 ( 1502130 1328210 ) ( 1711430 1328210 )
-    NEW met2 ( 1502130 1328210 ) ( 1502130 1700340 )
-    NEW met1 ( 1502130 1328210 ) M1M2_PR
-    NEW met1 ( 1711430 1328210 ) M1M2_PR
+  + ROUTED met2 ( 1704990 2380 0 ) ( 1704990 3060 )
+    NEW met2 ( 1704530 3060 ) ( 1704990 3060 )
+    NEW met2 ( 1501670 1366460 ) ( 1502130 1366460 )
+    NEW met2 ( 1501670 1314270 ) ( 1501670 1366460 )
+    NEW met2 ( 1502130 1700340 ) ( 1502590 1700340 0 )
+    NEW met1 ( 1501670 1314270 ) ( 1704530 1314270 )
+    NEW li1 ( 1501670 1655970 ) ( 1501670 1656990 )
+    NEW met1 ( 1501670 1656990 ) ( 1502130 1656990 )
+    NEW met2 ( 1502130 1656990 ) ( 1502130 1700340 )
+    NEW met2 ( 1501670 1594260 ) ( 1502130 1594260 )
+    NEW met2 ( 1501670 1594260 ) ( 1501670 1655970 )
+    NEW li1 ( 1502130 1559070 ) ( 1502130 1586950 )
+    NEW met2 ( 1502130 1366460 ) ( 1502130 1559070 )
+    NEW met2 ( 1502130 1586950 ) ( 1502130 1594260 )
+    NEW met2 ( 1704530 3060 ) ( 1704530 1314270 )
+    NEW met1 ( 1704530 1314270 ) M1M2_PR
+    NEW met1 ( 1501670 1314270 ) M1M2_PR
+    NEW li1 ( 1501670 1655970 ) L1M1_PR_MR
+    NEW met1 ( 1501670 1655970 ) M1M2_PR
+    NEW li1 ( 1501670 1656990 ) L1M1_PR_MR
+    NEW met1 ( 1502130 1656990 ) M1M2_PR
+    NEW li1 ( 1502130 1559070 ) L1M1_PR_MR
+    NEW met1 ( 1502130 1559070 ) M1M2_PR
+    NEW li1 ( 1502130 1586950 ) L1M1_PR_MR
+    NEW met1 ( 1502130 1586950 ) M1M2_PR
+    NEW met1 ( 1501670 1655970 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1502130 1559070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1502130 1586950 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) 
-  + ROUTED met2 ( 1506270 1685890 ) ( 1506270 1700340 0 )
-    NEW met1 ( 1506270 1685890 ) ( 1562850 1685890 )
-    NEW met2 ( 1562850 106930 ) ( 1562850 1685890 )
-    NEW met2 ( 1733510 2380 0 ) ( 1733510 13260 )
-    NEW met2 ( 1733050 13260 ) ( 1733510 13260 )
-    NEW met2 ( 1733050 13260 ) ( 1733050 13940 )
-    NEW met2 ( 1732130 13940 ) ( 1733050 13940 )
-    NEW met1 ( 1562850 106930 ) ( 1732130 106930 )
-    NEW met3 ( 1732130 48620 ) ( 1733050 48620 )
-    NEW met2 ( 1733050 48620 ) ( 1733050 96390 )
-    NEW met1 ( 1732130 96390 ) ( 1733050 96390 )
-    NEW met2 ( 1732130 13940 ) ( 1732130 48620 )
-    NEW met2 ( 1732130 96390 ) ( 1732130 106930 )
-    NEW met1 ( 1506270 1685890 ) M1M2_PR
-    NEW met1 ( 1562850 106930 ) M1M2_PR
-    NEW met1 ( 1562850 1685890 ) M1M2_PR
-    NEW met1 ( 1732130 106930 ) M1M2_PR
-    NEW met2 ( 1732130 48620 ) via2_FR
-    NEW met2 ( 1733050 48620 ) via2_FR
-    NEW met1 ( 1733050 96390 ) M1M2_PR
-    NEW met1 ( 1732130 96390 ) M1M2_PR
+  + ROUTED met2 ( 1722930 2380 0 ) ( 1722930 2890 )
+    NEW met1 ( 1718330 2890 ) ( 1722930 2890 )
+    NEW met2 ( 1718330 2890 ) ( 1718330 1418310 )
+    NEW met1 ( 1509030 1418310 ) ( 1718330 1418310 )
+    NEW met1 ( 1506270 1685210 ) ( 1509030 1685210 )
+    NEW met2 ( 1506270 1685210 ) ( 1506270 1700340 0 )
+    NEW met2 ( 1509030 1418310 ) ( 1509030 1685210 )
+    NEW met1 ( 1722930 2890 ) M1M2_PR
+    NEW met1 ( 1718330 2890 ) M1M2_PR
+    NEW met1 ( 1718330 1418310 ) M1M2_PR
+    NEW met1 ( 1509030 1418310 ) M1M2_PR
+    NEW met1 ( 1509030 1685210 ) M1M2_PR
+    NEW met1 ( 1506270 1685210 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) 
   + ROUTED met2 ( 1509490 1700340 ) ( 1509950 1700340 0 )
-    NEW met1 ( 1509490 1265990 ) ( 1745930 1265990 )
-    NEW met2 ( 1509490 1265990 ) ( 1509490 1700340 )
-    NEW met2 ( 1751450 2380 0 ) ( 1751450 12070 )
-    NEW met1 ( 1745930 12070 ) ( 1751450 12070 )
-    NEW met2 ( 1745930 12070 ) ( 1745930 1265990 )
-    NEW met1 ( 1745930 1265990 ) M1M2_PR
-    NEW met1 ( 1509490 1265990 ) M1M2_PR
-    NEW met1 ( 1751450 12070 ) M1M2_PR
-    NEW met1 ( 1745930 12070 ) M1M2_PR
+    NEW met2 ( 1509490 120530 ) ( 1509490 1700340 )
+    NEW met1 ( 1509490 120530 ) ( 1739030 120530 )
+    NEW met2 ( 1739030 72420 ) ( 1739950 72420 )
+    NEW met2 ( 1739950 61540 ) ( 1739950 72420 )
+    NEW met2 ( 1739950 61540 ) ( 1740410 61540 )
+    NEW met2 ( 1739030 72420 ) ( 1739030 120530 )
+    NEW met2 ( 1740410 2380 0 ) ( 1740410 61540 )
+    NEW met1 ( 1739030 120530 ) M1M2_PR
+    NEW met1 ( 1509490 120530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) 
-  + ROUTED met2 ( 1513170 1700340 ) ( 1513630 1700340 0 )
-    NEW met2 ( 1513170 1666850 ) ( 1513170 1700340 )
-    NEW met1 ( 1513170 1666850 ) ( 1766630 1666850 )
-    NEW met2 ( 1768930 2380 0 ) ( 1768930 5610 )
-    NEW met1 ( 1766630 5610 ) ( 1768930 5610 )
-    NEW met2 ( 1766630 5610 ) ( 1766630 1666850 )
-    NEW met1 ( 1766630 1666850 ) M1M2_PR
-    NEW met1 ( 1513170 1666850 ) M1M2_PR
-    NEW met1 ( 1768930 5610 ) M1M2_PR
-    NEW met1 ( 1766630 5610 ) M1M2_PR
+  + ROUTED met2 ( 1758350 2380 0 ) ( 1758350 17340 )
+    NEW met2 ( 1752830 17340 ) ( 1758350 17340 )
+    NEW met1 ( 1513630 1687930 ) ( 1516850 1687930 )
+    NEW met2 ( 1513630 1687930 ) ( 1513630 1700340 0 )
+    NEW met2 ( 1516850 1611770 ) ( 1516850 1687930 )
+    NEW met1 ( 1516850 1611770 ) ( 1752830 1611770 )
+    NEW met2 ( 1752830 17340 ) ( 1752830 1611770 )
+    NEW met1 ( 1516850 1687930 ) M1M2_PR
+    NEW met1 ( 1513630 1687930 ) M1M2_PR
+    NEW met1 ( 1516850 1611770 ) M1M2_PR
+    NEW met1 ( 1752830 1611770 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) 
-  + ROUTED met2 ( 1517310 1690310 ) ( 1517310 1700340 0 )
-    NEW met2 ( 1786870 2380 0 ) ( 1786870 6970 )
-    NEW met1 ( 1780430 6970 ) ( 1786870 6970 )
-    NEW met1 ( 1517310 1690310 ) ( 1780430 1690310 )
-    NEW met2 ( 1780430 6970 ) ( 1780430 1690310 )
-    NEW met1 ( 1517310 1690310 ) M1M2_PR
-    NEW met1 ( 1780430 1690310 ) M1M2_PR
-    NEW met1 ( 1786870 6970 ) M1M2_PR
-    NEW met1 ( 1780430 6970 ) M1M2_PR
+  + ROUTED met2 ( 1776290 2380 0 ) ( 1776290 3060 )
+    NEW met2 ( 1775830 3060 ) ( 1776290 3060 )
+    NEW met2 ( 1775830 3060 ) ( 1775830 18020 )
+    NEW met2 ( 1773530 18020 ) ( 1775830 18020 )
+    NEW met2 ( 1517770 1681470 ) ( 1517770 1700340 )
+    NEW met2 ( 1517310 1700340 0 ) ( 1517770 1700340 )
+    NEW met1 ( 1517770 1681470 ) ( 1773530 1681470 )
+    NEW met2 ( 1773530 18020 ) ( 1773530 1681470 )
+    NEW met1 ( 1517770 1681470 ) M1M2_PR
+    NEW met1 ( 1773530 1681470 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) 
-  + ROUTED met1 ( 1520990 1688610 ) ( 1523750 1688610 )
+  + ROUTED met2 ( 1793770 2380 0 ) ( 1793770 17510 )
+    NEW met1 ( 1520990 1688610 ) ( 1524670 1688610 )
     NEW met2 ( 1520990 1688610 ) ( 1520990 1700340 0 )
-    NEW met2 ( 1523750 1569950 ) ( 1523750 1688610 )
-    NEW met1 ( 1523750 1569950 ) ( 1801130 1569950 )
-    NEW met2 ( 1804810 2380 0 ) ( 1804810 13090 )
-    NEW met1 ( 1801130 13090 ) ( 1804810 13090 )
-    NEW met2 ( 1801130 13090 ) ( 1801130 1569950 )
-    NEW met1 ( 1523750 1688610 ) M1M2_PR
+    NEW met2 ( 1524670 17510 ) ( 1524670 1688610 )
+    NEW met1 ( 1524670 17510 ) ( 1793770 17510 )
+    NEW met1 ( 1793770 17510 ) M1M2_PR
+    NEW met1 ( 1524670 1688610 ) M1M2_PR
     NEW met1 ( 1520990 1688610 ) M1M2_PR
-    NEW met1 ( 1523750 1569950 ) M1M2_PR
-    NEW met1 ( 1801130 1569950 ) M1M2_PR
-    NEW met1 ( 1804810 13090 ) M1M2_PR
-    NEW met1 ( 1801130 13090 ) M1M2_PR
+    NEW met1 ( 1524670 17510 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) 
-  + ROUTED met2 ( 1524670 1689970 ) ( 1524670 1700340 0 )
-    NEW met2 ( 1821830 37740 ) ( 1822750 37740 )
-    NEW met2 ( 1822750 2380 0 ) ( 1822750 37740 )
-    NEW met2 ( 1821830 37740 ) ( 1821830 1689970 )
-    NEW met1 ( 1524670 1689970 ) ( 1821830 1689970 )
-    NEW met1 ( 1821830 1689970 ) M1M2_PR
-    NEW met1 ( 1524670 1689970 ) M1M2_PR
+  + ROUTED met2 ( 1773530 15300 ) ( 1773530 17170 )
+    NEW met3 ( 1773530 15300 ) ( 1794230 15300 )
+    NEW met2 ( 1794230 15300 ) ( 1794230 17510 )
+    NEW met2 ( 1524210 1700340 ) ( 1524670 1700340 0 )
+    NEW met1 ( 1524210 16830 ) ( 1528350 16830 )
+    NEW met1 ( 1528350 16830 ) ( 1528350 17170 )
+    NEW met2 ( 1524210 16830 ) ( 1524210 1700340 )
+    NEW met1 ( 1794230 17510 ) ( 1811710 17510 )
+    NEW met2 ( 1811710 2380 0 ) ( 1811710 17510 )
+    NEW met1 ( 1528350 17170 ) ( 1773530 17170 )
+    NEW met1 ( 1773530 17170 ) M1M2_PR
+    NEW met2 ( 1773530 15300 ) via2_FR
+    NEW met2 ( 1794230 15300 ) via2_FR
+    NEW met1 ( 1794230 17510 ) M1M2_PR
+    NEW met1 ( 1524210 16830 ) M1M2_PR
+    NEW met1 ( 1811710 17510 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) 
-  + ROUTED met1 ( 1528350 1687930 ) ( 1530650 1687930 )
-    NEW met2 ( 1528350 1687930 ) ( 1528350 1700340 0 )
-    NEW met1 ( 1835630 110330 ) ( 1839310 110330 )
-    NEW met2 ( 1839310 109820 ) ( 1839310 110330 )
-    NEW met2 ( 1839310 109820 ) ( 1839770 109820 )
-    NEW met2 ( 1530650 1556350 ) ( 1530650 1687930 )
-    NEW met2 ( 1835630 110330 ) ( 1835630 1556350 )
-    NEW met1 ( 1530650 1556350 ) ( 1835630 1556350 )
-    NEW met2 ( 1840230 2380 0 ) ( 1840230 20740 )
-    NEW met2 ( 1839770 20740 ) ( 1840230 20740 )
-    NEW met2 ( 1839770 20740 ) ( 1839770 109820 )
-    NEW met1 ( 1530650 1687930 ) M1M2_PR
-    NEW met1 ( 1528350 1687930 ) M1M2_PR
-    NEW met1 ( 1530650 1556350 ) M1M2_PR
-    NEW met1 ( 1835630 110330 ) M1M2_PR
-    NEW met1 ( 1839310 110330 ) M1M2_PR
-    NEW met1 ( 1835630 1556350 ) M1M2_PR
+  + ROUTED met1 ( 1528350 1689970 ) ( 1529730 1689970 )
+    NEW met2 ( 1528350 1689970 ) ( 1528350 1700340 0 )
+    NEW met2 ( 1529730 14110 ) ( 1529730 1689970 )
+    NEW met2 ( 1829190 2380 0 ) ( 1829190 14110 )
+    NEW met1 ( 1529730 14110 ) ( 1829190 14110 )
+    NEW met1 ( 1529730 1689970 ) M1M2_PR
+    NEW met1 ( 1528350 1689970 ) M1M2_PR
+    NEW met1 ( 1529730 14110 ) M1M2_PR
+    NEW met1 ( 1829190 14110 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) 
-  + ROUTED met1 ( 1549970 1687250 ) ( 1549970 1687590 )
-    NEW met1 ( 1532030 1687590 ) ( 1549970 1687590 )
-    NEW met2 ( 1532030 1687590 ) ( 1532030 1700340 0 )
-    NEW met2 ( 1856790 109820 ) ( 1857710 109820 )
-    NEW li1 ( 1583550 1687250 ) ( 1583550 1689630 )
-    NEW met1 ( 1549970 1687250 ) ( 1583550 1687250 )
-    NEW met2 ( 1856790 110500 ) ( 1857710 110500 )
-    NEW met2 ( 1856790 109820 ) ( 1856790 110500 )
-    NEW met2 ( 1856790 1220940 ) ( 1857250 1220940 )
-    NEW met2 ( 1856790 1463020 ) ( 1857250 1463020 )
-    NEW met2 ( 1857250 602820 ) ( 1857710 602820 )
-    NEW met1 ( 1856790 689690 ) ( 1856790 690030 )
-    NEW met1 ( 1856790 689690 ) ( 1857250 689690 )
-    NEW met2 ( 1856790 1086300 ) ( 1857250 1086300 )
-    NEW met1 ( 1856330 1172830 ) ( 1856330 1173510 )
-    NEW met1 ( 1856330 1173510 ) ( 1856790 1173510 )
-    NEW met2 ( 1856790 1173510 ) ( 1856790 1220940 )
-    NEW met1 ( 1857250 1269730 ) ( 1858170 1269730 )
-    NEW met2 ( 1857250 1220940 ) ( 1857250 1269730 )
-    NEW met1 ( 1857250 1365950 ) ( 1857250 1366630 )
-    NEW met1 ( 1857250 1366630 ) ( 1857710 1366630 )
-    NEW met1 ( 1857250 1559070 ) ( 1857250 1559750 )
-    NEW met1 ( 1857250 1559750 ) ( 1857710 1559750 )
-    NEW li1 ( 1857250 1655630 ) ( 1857250 1689630 )
-    NEW met1 ( 1583550 1689630 ) ( 1857250 1689630 )
-    NEW li1 ( 1857250 641410 ) ( 1857250 676090 )
-    NEW met2 ( 1857250 627980 ) ( 1857250 641410 )
-    NEW met2 ( 1857250 627980 ) ( 1857710 627980 )
-    NEW met2 ( 1857250 676090 ) ( 1857250 689690 )
-    NEW met2 ( 1857710 602820 ) ( 1857710 627980 )
-    NEW met3 ( 1855410 1159060 ) ( 1856330 1159060 )
-    NEW met2 ( 1855410 1110950 ) ( 1855410 1159060 )
-    NEW met1 ( 1855410 1110950 ) ( 1856790 1110950 )
-    NEW met2 ( 1856330 1159060 ) ( 1856330 1172830 )
-    NEW met2 ( 1856790 1086300 ) ( 1856790 1110950 )
-    NEW li1 ( 1857250 1413890 ) ( 1857250 1448570 )
-    NEW met2 ( 1857250 1401140 ) ( 1857250 1413890 )
-    NEW met2 ( 1857250 1401140 ) ( 1857710 1401140 )
-    NEW met2 ( 1857250 1448570 ) ( 1857250 1463020 )
-    NEW met2 ( 1857710 1366630 ) ( 1857710 1401140 )
-    NEW li1 ( 1856790 1510790 ) ( 1856790 1545470 )
-    NEW met1 ( 1856790 1545470 ) ( 1857250 1545470 )
-    NEW met2 ( 1856790 1463020 ) ( 1856790 1510790 )
-    NEW met2 ( 1857250 1545470 ) ( 1857250 1559070 )
-    NEW li1 ( 1857250 1607010 ) ( 1857250 1642030 )
-    NEW met2 ( 1857250 1594260 ) ( 1857250 1607010 )
-    NEW met2 ( 1857250 1594260 ) ( 1857710 1594260 )
-    NEW met2 ( 1857250 1642030 ) ( 1857250 1655630 )
-    NEW met2 ( 1857710 1559750 ) ( 1857710 1594260 )
-    NEW met2 ( 1858170 2380 0 ) ( 1858170 12580 )
-    NEW met2 ( 1857710 12580 ) ( 1858170 12580 )
-    NEW met2 ( 1857710 12580 ) ( 1857710 109820 )
-    NEW met1 ( 1857250 186490 ) ( 1857710 186490 )
-    NEW met2 ( 1856790 400180 ) ( 1857250 400180 )
-    NEW met2 ( 1856790 400180 ) ( 1856790 427380 )
-    NEW met3 ( 1856790 427380 ) ( 1857710 427380 )
-    NEW met2 ( 1856790 523940 ) ( 1857710 523940 )
-    NEW met2 ( 1857710 476510 ) ( 1857710 523940 )
-    NEW met1 ( 1857250 476510 ) ( 1857710 476510 )
-    NEW met2 ( 1857250 185980 ) ( 1857710 185980 )
-    NEW met2 ( 1857250 185980 ) ( 1857250 186490 )
-    NEW met2 ( 1857710 110500 ) ( 1857710 185980 )
-    NEW met2 ( 1857250 258740 ) ( 1857710 258740 )
-    NEW met2 ( 1857710 186490 ) ( 1857710 258740 )
-    NEW met1 ( 1857250 360910 ) ( 1858170 360910 )
-    NEW met2 ( 1858170 331500 ) ( 1858170 360910 )
-    NEW met2 ( 1857710 331500 ) ( 1858170 331500 )
-    NEW met2 ( 1857250 360910 ) ( 1857250 400180 )
-    NEW li1 ( 1857250 427890 ) ( 1857250 475490 )
-    NEW met1 ( 1857250 427890 ) ( 1857710 427890 )
-    NEW met2 ( 1857250 475490 ) ( 1857250 476510 )
-    NEW met2 ( 1857710 427380 ) ( 1857710 427890 )
-    NEW met1 ( 1856790 524450 ) ( 1857250 524450 )
-    NEW met2 ( 1856790 523940 ) ( 1856790 524450 )
-    NEW met2 ( 1857250 524450 ) ( 1857250 602820 )
-    NEW met2 ( 1856790 741540 ) ( 1857250 741540 )
-    NEW met2 ( 1856790 690030 ) ( 1856790 741540 )
-    NEW met2 ( 1856790 1031220 ) ( 1857250 1031220 )
-    NEW met2 ( 1856790 1007420 ) ( 1856790 1031220 )
-    NEW met2 ( 1856790 1007420 ) ( 1857710 1007420 )
-    NEW met2 ( 1857250 1031220 ) ( 1857250 1086300 )
-    NEW met2 ( 1857250 1321580 ) ( 1858170 1321580 )
-    NEW met2 ( 1857250 1321580 ) ( 1857250 1365950 )
-    NEW met2 ( 1858170 1269730 ) ( 1858170 1321580 )
-    NEW li1 ( 1857250 276250 ) ( 1857250 324190 )
-    NEW met1 ( 1857250 324190 ) ( 1857710 324190 )
-    NEW met2 ( 1857250 258740 ) ( 1857250 276250 )
-    NEW met2 ( 1857710 324190 ) ( 1857710 331500 )
-    NEW met1 ( 1857250 765850 ) ( 1857250 766190 )
-    NEW met1 ( 1857250 766190 ) ( 1857710 766190 )
-    NEW met2 ( 1857250 741540 ) ( 1857250 765850 )
-    NEW met1 ( 1857250 903890 ) ( 1857710 903890 )
-    NEW li1 ( 1857710 956250 ) ( 1857710 1000450 )
-    NEW met2 ( 1857710 1000450 ) ( 1857710 1007420 )
-    NEW met1 ( 1857250 807330 ) ( 1857710 807330 )
-    NEW met2 ( 1857710 766190 ) ( 1857710 807330 )
-    NEW met2 ( 1857710 903890 ) ( 1857710 956250 )
-    NEW li1 ( 1857250 807330 ) ( 1857250 896750 )
-    NEW met2 ( 1857250 896750 ) ( 1857250 903890 )
-    NEW met1 ( 1532030 1687590 ) M1M2_PR
-    NEW li1 ( 1583550 1687250 ) L1M1_PR_MR
-    NEW li1 ( 1583550 1689630 ) L1M1_PR_MR
-    NEW met1 ( 1856790 690030 ) M1M2_PR
-    NEW met1 ( 1857250 689690 ) M1M2_PR
-    NEW met1 ( 1856330 1172830 ) M1M2_PR
-    NEW met1 ( 1856790 1173510 ) M1M2_PR
-    NEW met1 ( 1858170 1269730 ) M1M2_PR
-    NEW met1 ( 1857250 1269730 ) M1M2_PR
-    NEW met1 ( 1857250 1365950 ) M1M2_PR
-    NEW met1 ( 1857710 1366630 ) M1M2_PR
-    NEW met1 ( 1857250 1559070 ) M1M2_PR
-    NEW met1 ( 1857710 1559750 ) M1M2_PR
-    NEW li1 ( 1857250 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1857250 1655630 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1655630 ) M1M2_PR
-    NEW li1 ( 1857250 676090 ) L1M1_PR_MR
-    NEW met1 ( 1857250 676090 ) M1M2_PR
-    NEW li1 ( 1857250 641410 ) L1M1_PR_MR
-    NEW met1 ( 1857250 641410 ) M1M2_PR
-    NEW met2 ( 1856330 1159060 ) via2_FR
-    NEW met2 ( 1855410 1159060 ) via2_FR
-    NEW met1 ( 1855410 1110950 ) M1M2_PR
-    NEW met1 ( 1856790 1110950 ) M1M2_PR
-    NEW li1 ( 1857250 1448570 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1448570 ) M1M2_PR
-    NEW li1 ( 1857250 1413890 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1413890 ) M1M2_PR
-    NEW li1 ( 1856790 1510790 ) L1M1_PR_MR
-    NEW met1 ( 1856790 1510790 ) M1M2_PR
-    NEW li1 ( 1856790 1545470 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1545470 ) M1M2_PR
-    NEW li1 ( 1857250 1642030 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1642030 ) M1M2_PR
-    NEW li1 ( 1857250 1607010 ) L1M1_PR_MR
-    NEW met1 ( 1857250 1607010 ) M1M2_PR
-    NEW met1 ( 1857250 186490 ) M1M2_PR
-    NEW met1 ( 1857710 186490 ) M1M2_PR
-    NEW met2 ( 1856790 427380 ) via2_FR
-    NEW met2 ( 1857710 427380 ) via2_FR
-    NEW met1 ( 1857710 476510 ) M1M2_PR
-    NEW met1 ( 1857250 476510 ) M1M2_PR
-    NEW met1 ( 1857250 360910 ) M1M2_PR
-    NEW met1 ( 1858170 360910 ) M1M2_PR
-    NEW li1 ( 1857250 475490 ) L1M1_PR_MR
-    NEW met1 ( 1857250 475490 ) M1M2_PR
-    NEW li1 ( 1857250 427890 ) L1M1_PR_MR
-    NEW met1 ( 1857710 427890 ) M1M2_PR
-    NEW met1 ( 1856790 524450 ) M1M2_PR
-    NEW met1 ( 1857250 524450 ) M1M2_PR
-    NEW li1 ( 1857250 276250 ) L1M1_PR_MR
-    NEW met1 ( 1857250 276250 ) M1M2_PR
-    NEW li1 ( 1857250 324190 ) L1M1_PR_MR
-    NEW met1 ( 1857710 324190 ) M1M2_PR
-    NEW met1 ( 1857250 765850 ) M1M2_PR
-    NEW met1 ( 1857710 766190 ) M1M2_PR
-    NEW met1 ( 1857250 903890 ) M1M2_PR
-    NEW met1 ( 1857710 903890 ) M1M2_PR
-    NEW li1 ( 1857710 956250 ) L1M1_PR_MR
-    NEW met1 ( 1857710 956250 ) M1M2_PR
-    NEW li1 ( 1857710 1000450 ) L1M1_PR_MR
-    NEW met1 ( 1857710 1000450 ) M1M2_PR
-    NEW li1 ( 1857250 807330 ) L1M1_PR_MR
-    NEW met1 ( 1857710 807330 ) M1M2_PR
-    NEW li1 ( 1857250 896750 ) L1M1_PR_MR
-    NEW met1 ( 1857250 896750 ) M1M2_PR
-    NEW met1 ( 1857250 1655630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 676090 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 641410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 1448570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 1413890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1856790 1510790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 1642030 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 1607010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 475490 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 276250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857710 956250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857710 1000450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1857250 896750 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1535710 1676540 ) ( 1536170 1676540 )
+    NEW met1 ( 1532030 1685890 ) ( 1535710 1685890 )
+    NEW met2 ( 1532030 1685890 ) ( 1532030 1700340 0 )
+    NEW met2 ( 1535710 1676540 ) ( 1535710 1685890 )
+    NEW met2 ( 1535710 62220 ) ( 1536170 62220 )
+    NEW met2 ( 1535710 496740 ) ( 1536170 496740 )
+    NEW met2 ( 1535710 786420 ) ( 1536170 786420 )
+    NEW met2 ( 1535710 38420 ) ( 1537090 38420 )
+    NEW met2 ( 1537090 14450 ) ( 1537090 38420 )
+    NEW met2 ( 1535710 38420 ) ( 1535710 62220 )
+    NEW met2 ( 1534790 834700 ) ( 1535250 834700 )
+    NEW met2 ( 1535250 834020 ) ( 1535250 834700 )
+    NEW met2 ( 1535250 834020 ) ( 1536170 834020 )
+    NEW met2 ( 1536170 786420 ) ( 1536170 834020 )
+    NEW met2 ( 1535250 931260 ) ( 1536170 931260 )
+    NEW met2 ( 1847130 2380 0 ) ( 1847130 14450 )
+    NEW met1 ( 1537090 14450 ) ( 1847130 14450 )
+    NEW met2 ( 1535250 120700 ) ( 1535710 120700 )
+    NEW met2 ( 1535710 96730 ) ( 1535710 120700 )
+    NEW met1 ( 1535710 96730 ) ( 1536170 96730 )
+    NEW met2 ( 1536170 62220 ) ( 1536170 96730 )
+    NEW met1 ( 1535250 303110 ) ( 1535250 303790 )
+    NEW met1 ( 1535250 303110 ) ( 1535710 303110 )
+    NEW met3 ( 1534330 434180 ) ( 1535710 434180 )
+    NEW met2 ( 1534330 399330 ) ( 1534330 434180 )
+    NEW met1 ( 1534330 399330 ) ( 1536170 399330 )
+    NEW li1 ( 1535710 592450 ) ( 1535710 593810 )
+    NEW met2 ( 1535710 496740 ) ( 1535710 592450 )
+    NEW li1 ( 1534790 869550 ) ( 1534790 884510 )
+    NEW met2 ( 1534790 884510 ) ( 1534790 917660 )
+    NEW met2 ( 1534790 917660 ) ( 1535250 917660 )
+    NEW met2 ( 1534790 834700 ) ( 1534790 869550 )
+    NEW met2 ( 1535250 917660 ) ( 1535250 931260 )
+    NEW met2 ( 1535710 1014220 ) ( 1536170 1014220 )
+    NEW met2 ( 1536170 1014050 ) ( 1536170 1014220 )
+    NEW li1 ( 1536170 978690 ) ( 1536170 1014050 )
+    NEW met2 ( 1536170 931260 ) ( 1536170 978690 )
+    NEW met1 ( 1535250 1159570 ) ( 1535710 1159570 )
+    NEW li1 ( 1535710 1159570 ) ( 1535710 1173510 )
+    NEW li1 ( 1535710 1449250 ) ( 1535710 1497190 )
+    NEW met1 ( 1535710 1449250 ) ( 1536170 1449250 )
+    NEW met1 ( 1535250 158610 ) ( 1535250 158950 )
+    NEW met1 ( 1535250 158950 ) ( 1535710 158950 )
+    NEW met2 ( 1535250 120700 ) ( 1535250 158610 )
+    NEW li1 ( 1535710 254830 ) ( 1535710 289510 )
+    NEW met1 ( 1535710 254830 ) ( 1536170 254830 )
+    NEW met2 ( 1535710 289510 ) ( 1535710 303110 )
+    NEW met1 ( 1535250 351730 ) ( 1535250 352410 )
+    NEW met1 ( 1535250 352410 ) ( 1536170 352410 )
+    NEW met2 ( 1535250 303790 ) ( 1535250 351730 )
+    NEW met2 ( 1536170 352410 ) ( 1536170 399330 )
+    NEW met3 ( 1535710 434860 ) ( 1535710 435540 )
+    NEW met3 ( 1534330 435540 ) ( 1535710 435540 )
+    NEW met2 ( 1534330 435540 ) ( 1534330 458830 )
+    NEW met1 ( 1534330 458830 ) ( 1536170 458830 )
+    NEW met2 ( 1535710 434180 ) ( 1535710 434860 )
+    NEW met2 ( 1536170 458830 ) ( 1536170 496740 )
+    NEW met2 ( 1535710 641580 ) ( 1536170 641580 )
+    NEW met2 ( 1535710 593810 ) ( 1535710 641580 )
+    NEW met1 ( 1535250 737970 ) ( 1535250 738650 )
+    NEW met1 ( 1535250 738650 ) ( 1535710 738650 )
+    NEW met2 ( 1535710 738650 ) ( 1535710 786420 )
+    NEW li1 ( 1535710 1027650 ) ( 1535710 1038530 )
+    NEW met2 ( 1535710 1014220 ) ( 1535710 1027650 )
+    NEW met1 ( 1535250 1110950 ) ( 1536170 1110950 )
+    NEW met2 ( 1535250 1110950 ) ( 1535250 1159570 )
+    NEW li1 ( 1535710 1220770 ) ( 1535710 1223490 )
+    NEW met1 ( 1535710 1223490 ) ( 1536170 1223490 )
+    NEW met2 ( 1535710 1173510 ) ( 1535710 1220770 )
+    NEW li1 ( 1536170 1413890 ) ( 1536170 1414910 )
+    NEW met1 ( 1535710 1414910 ) ( 1536170 1414910 )
+    NEW met2 ( 1535710 1414910 ) ( 1535710 1448740 )
+    NEW met2 ( 1535710 1448740 ) ( 1536170 1448740 )
+    NEW met2 ( 1536170 1448740 ) ( 1536170 1449250 )
+    NEW met1 ( 1535250 1511470 ) ( 1535710 1511470 )
+    NEW met1 ( 1535710 1510450 ) ( 1535710 1511470 )
+    NEW met2 ( 1535710 1497190 ) ( 1535710 1510450 )
+    NEW li1 ( 1535710 186490 ) ( 1535710 234430 )
+    NEW met1 ( 1535710 234430 ) ( 1536170 234430 )
+    NEW met2 ( 1535710 158950 ) ( 1535710 186490 )
+    NEW met2 ( 1536170 234430 ) ( 1536170 254830 )
+    NEW met1 ( 1534790 717570 ) ( 1535250 717570 )
+    NEW met2 ( 1534790 669460 ) ( 1534790 717570 )
+    NEW met3 ( 1534790 669460 ) ( 1536170 669460 )
+    NEW met2 ( 1535250 717570 ) ( 1535250 737970 )
+    NEW met2 ( 1536170 641580 ) ( 1536170 669460 )
+    NEW li1 ( 1535710 1055870 ) ( 1535710 1076610 )
+    NEW met2 ( 1535710 1076610 ) ( 1535710 1103980 )
+    NEW met2 ( 1535710 1103980 ) ( 1536170 1103980 )
+    NEW met2 ( 1535710 1038530 ) ( 1535710 1055870 )
+    NEW met2 ( 1536170 1103980 ) ( 1536170 1110950 )
+    NEW met1 ( 1536170 1269050 ) ( 1536170 1269730 )
+    NEW met2 ( 1536170 1223490 ) ( 1536170 1269050 )
+    NEW met1 ( 1535250 1539010 ) ( 1536170 1539010 )
+    NEW met2 ( 1535250 1511470 ) ( 1535250 1539010 )
+    NEW met2 ( 1536170 1539010 ) ( 1536170 1676540 )
+    NEW met2 ( 1536170 1269730 ) ( 1536170 1413890 )
+    NEW met1 ( 1535710 1685890 ) M1M2_PR
+    NEW met1 ( 1532030 1685890 ) M1M2_PR
+    NEW met1 ( 1537090 14450 ) M1M2_PR
+    NEW met1 ( 1847130 14450 ) M1M2_PR
+    NEW met1 ( 1535710 96730 ) M1M2_PR
+    NEW met1 ( 1536170 96730 ) M1M2_PR
+    NEW met1 ( 1535250 303790 ) M1M2_PR
+    NEW met1 ( 1535710 303110 ) M1M2_PR
+    NEW met2 ( 1535710 434180 ) via2_FR
+    NEW met2 ( 1534330 434180 ) via2_FR
+    NEW met1 ( 1534330 399330 ) M1M2_PR
+    NEW met1 ( 1536170 399330 ) M1M2_PR
+    NEW li1 ( 1535710 592450 ) L1M1_PR_MR
+    NEW met1 ( 1535710 592450 ) M1M2_PR
+    NEW li1 ( 1535710 593810 ) L1M1_PR_MR
+    NEW met1 ( 1535710 593810 ) M1M2_PR
+    NEW li1 ( 1534790 869550 ) L1M1_PR_MR
+    NEW met1 ( 1534790 869550 ) M1M2_PR
+    NEW li1 ( 1534790 884510 ) L1M1_PR_MR
+    NEW met1 ( 1534790 884510 ) M1M2_PR
+    NEW li1 ( 1536170 1014050 ) L1M1_PR_MR
+    NEW met1 ( 1536170 1014050 ) M1M2_PR
+    NEW li1 ( 1536170 978690 ) L1M1_PR_MR
+    NEW met1 ( 1536170 978690 ) M1M2_PR
+    NEW met1 ( 1535250 1159570 ) M1M2_PR
+    NEW li1 ( 1535710 1159570 ) L1M1_PR_MR
+    NEW li1 ( 1535710 1173510 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1173510 ) M1M2_PR
+    NEW li1 ( 1535710 1497190 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1497190 ) M1M2_PR
+    NEW li1 ( 1535710 1449250 ) L1M1_PR_MR
+    NEW met1 ( 1536170 1449250 ) M1M2_PR
+    NEW met1 ( 1535250 158610 ) M1M2_PR
+    NEW met1 ( 1535710 158950 ) M1M2_PR
+    NEW li1 ( 1535710 289510 ) L1M1_PR_MR
+    NEW met1 ( 1535710 289510 ) M1M2_PR
+    NEW li1 ( 1535710 254830 ) L1M1_PR_MR
+    NEW met1 ( 1536170 254830 ) M1M2_PR
+    NEW met1 ( 1535250 351730 ) M1M2_PR
+    NEW met1 ( 1536170 352410 ) M1M2_PR
+    NEW met2 ( 1535710 434860 ) via2_FR
+    NEW met2 ( 1534330 435540 ) via2_FR
+    NEW met1 ( 1534330 458830 ) M1M2_PR
+    NEW met1 ( 1536170 458830 ) M1M2_PR
+    NEW met1 ( 1535250 737970 ) M1M2_PR
+    NEW met1 ( 1535710 738650 ) M1M2_PR
+    NEW li1 ( 1535710 1038530 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1038530 ) M1M2_PR
+    NEW li1 ( 1535710 1027650 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1027650 ) M1M2_PR
+    NEW met1 ( 1535250 1110950 ) M1M2_PR
+    NEW met1 ( 1536170 1110950 ) M1M2_PR
+    NEW li1 ( 1535710 1220770 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1220770 ) M1M2_PR
+    NEW li1 ( 1535710 1223490 ) L1M1_PR_MR
+    NEW met1 ( 1536170 1223490 ) M1M2_PR
+    NEW li1 ( 1536170 1413890 ) L1M1_PR_MR
+    NEW met1 ( 1536170 1413890 ) M1M2_PR
+    NEW li1 ( 1536170 1414910 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1414910 ) M1M2_PR
+    NEW met1 ( 1535250 1511470 ) M1M2_PR
+    NEW met1 ( 1535710 1510450 ) M1M2_PR
+    NEW li1 ( 1535710 186490 ) L1M1_PR_MR
+    NEW met1 ( 1535710 186490 ) M1M2_PR
+    NEW li1 ( 1535710 234430 ) L1M1_PR_MR
+    NEW met1 ( 1536170 234430 ) M1M2_PR
+    NEW met1 ( 1535250 717570 ) M1M2_PR
+    NEW met1 ( 1534790 717570 ) M1M2_PR
+    NEW met2 ( 1534790 669460 ) via2_FR
+    NEW met2 ( 1536170 669460 ) via2_FR
+    NEW li1 ( 1535710 1055870 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1055870 ) M1M2_PR
+    NEW li1 ( 1535710 1076610 ) L1M1_PR_MR
+    NEW met1 ( 1535710 1076610 ) M1M2_PR
+    NEW met1 ( 1536170 1269730 ) M1M2_PR
+    NEW met1 ( 1536170 1269050 ) M1M2_PR
+    NEW met1 ( 1535250 1539010 ) M1M2_PR
+    NEW met1 ( 1536170 1539010 ) M1M2_PR
+    NEW met1 ( 1535710 592450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 593810 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1534790 869550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1534790 884510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1536170 1014050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1536170 978690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1173510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1497190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 289510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1038530 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1027650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1220770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1536170 1413890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 186490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1055870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1535710 1076610 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) 
-  + ROUTED met1 ( 1535710 1684530 ) ( 1537090 1684530 )
-    NEW met2 ( 1535710 1684530 ) ( 1535710 1700340 0 )
-    NEW met2 ( 1537090 1487330 ) ( 1537090 1684530 )
-    NEW met1 ( 1870130 41990 ) ( 1876110 41990 )
-    NEW met2 ( 1876110 2380 0 ) ( 1876110 41990 )
-    NEW met1 ( 1537090 1487330 ) ( 1870130 1487330 )
-    NEW met2 ( 1870130 41990 ) ( 1870130 1487330 )
-    NEW met1 ( 1537090 1684530 ) M1M2_PR
-    NEW met1 ( 1535710 1684530 ) M1M2_PR
-    NEW met1 ( 1537090 1487330 ) M1M2_PR
-    NEW met1 ( 1870130 41990 ) M1M2_PR
-    NEW met1 ( 1876110 41990 ) M1M2_PR
-    NEW met1 ( 1870130 1487330 ) M1M2_PR
+  + ROUTED met2 ( 1864610 2380 0 ) ( 1864610 14110 )
+    NEW met2 ( 1538010 1687420 ) ( 1538470 1687420 )
+    NEW met2 ( 1538470 1687420 ) ( 1538470 1689630 )
+    NEW met1 ( 1535710 1689630 ) ( 1538470 1689630 )
+    NEW met2 ( 1535710 1689630 ) ( 1535710 1700340 0 )
+    NEW met2 ( 1801130 14620 ) ( 1801130 14790 )
+    NEW met3 ( 1801130 14620 ) ( 1829650 14620 )
+    NEW met2 ( 1829650 14110 ) ( 1829650 14620 )
+    NEW met1 ( 1829650 14110 ) ( 1864610 14110 )
+    NEW met1 ( 1538010 14790 ) ( 1801130 14790 )
+    NEW met2 ( 1538010 14790 ) ( 1538010 1687420 )
+    NEW met1 ( 1864610 14110 ) M1M2_PR
+    NEW met1 ( 1538470 1689630 ) M1M2_PR
+    NEW met1 ( 1535710 1689630 ) M1M2_PR
+    NEW met1 ( 1538010 14790 ) M1M2_PR
+    NEW met1 ( 1801130 14790 ) M1M2_PR
+    NEW met2 ( 1801130 14620 ) via2_FR
+    NEW met2 ( 1829650 14620 ) via2_FR
+    NEW met1 ( 1829650 14110 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) 
-  + ROUTED met2 ( 752330 2380 0 ) ( 752330 47770 )
-    NEW met1 ( 752330 47770 ) ( 1305710 47770 )
-    NEW met2 ( 1304330 1672460 ) ( 1305710 1672460 )
-    NEW met2 ( 1304330 1672460 ) ( 1304330 1700340 0 )
-    NEW met2 ( 1305710 47770 ) ( 1305710 1672460 )
-    NEW met1 ( 752330 47770 ) M1M2_PR
-    NEW met1 ( 1305710 47770 ) M1M2_PR
+  + ROUTED met2 ( 747730 2380 0 ) ( 747730 47770 )
+    NEW li1 ( 1269830 47770 ) ( 1270290 47770 )
+    NEW li1 ( 1270290 44370 ) ( 1270290 47770 )
+    NEW met1 ( 1270290 44370 ) ( 1305710 44370 )
+    NEW met1 ( 747730 47770 ) ( 1269830 47770 )
+    NEW met1 ( 1304330 1695410 ) ( 1305710 1695410 )
+    NEW met2 ( 1304330 1695410 ) ( 1304330 1700340 0 )
+    NEW met2 ( 1305710 44370 ) ( 1305710 1695410 )
+    NEW met1 ( 747730 47770 ) M1M2_PR
+    NEW li1 ( 1269830 47770 ) L1M1_PR_MR
+    NEW li1 ( 1270290 44370 ) L1M1_PR_MR
+    NEW met1 ( 1305710 44370 ) M1M2_PR
+    NEW met1 ( 1305710 1695410 ) M1M2_PR
+    NEW met1 ( 1304330 1695410 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) 
-  + ROUTED met2 ( 1539390 1684870 ) ( 1539390 1700340 0 )
-    NEW met2 ( 1894050 2380 0 ) ( 1894050 2890 )
-    NEW met1 ( 1890830 2890 ) ( 1894050 2890 )
-    NEW li1 ( 1572970 1684870 ) ( 1572970 1688610 )
-    NEW met1 ( 1539390 1684870 ) ( 1572970 1684870 )
-    NEW met1 ( 1572970 1688610 ) ( 1890830 1688610 )
-    NEW met2 ( 1890830 2890 ) ( 1890830 1688610 )
-    NEW met1 ( 1539390 1684870 ) M1M2_PR
-    NEW met1 ( 1894050 2890 ) M1M2_PR
-    NEW met1 ( 1890830 2890 ) M1M2_PR
-    NEW li1 ( 1572970 1684870 ) L1M1_PR_MR
-    NEW li1 ( 1572970 1688610 ) L1M1_PR_MR
-    NEW met1 ( 1890830 1688610 ) M1M2_PR
+  + ROUTED met1 ( 1539390 1684190 ) ( 1545370 1684190 )
+    NEW met2 ( 1539390 1684190 ) ( 1539390 1700340 0 )
+    NEW met2 ( 1882550 2380 0 ) ( 1882550 15130 )
+    NEW met2 ( 1544910 38420 ) ( 1545370 38420 )
+    NEW met2 ( 1544910 15130 ) ( 1544910 38420 )
+    NEW met2 ( 1545370 38420 ) ( 1545370 1684190 )
+    NEW met1 ( 1544910 15130 ) ( 1882550 15130 )
+    NEW met1 ( 1545370 1684190 ) M1M2_PR
+    NEW met1 ( 1539390 1684190 ) M1M2_PR
+    NEW met1 ( 1882550 15130 ) M1M2_PR
+    NEW met1 ( 1544910 15130 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) 
-  + ROUTED met1 ( 1543070 1689630 ) ( 1545370 1689630 )
-    NEW met2 ( 1543070 1689630 ) ( 1543070 1700340 0 )
-    NEW met1 ( 1870590 13090 ) ( 1911990 13090 )
-    NEW met2 ( 1911990 2380 0 ) ( 1911990 13090 )
-    NEW met2 ( 1545370 17850 ) ( 1545370 1689630 )
-    NEW li1 ( 1849890 15470 ) ( 1849890 17850 )
-    NEW li1 ( 1849890 15470 ) ( 1870590 15470 )
-    NEW met1 ( 1545370 17850 ) ( 1849890 17850 )
-    NEW li1 ( 1870590 13090 ) ( 1870590 15470 )
-    NEW met1 ( 1545370 1689630 ) M1M2_PR
-    NEW met1 ( 1543070 1689630 ) M1M2_PR
-    NEW li1 ( 1870590 13090 ) L1M1_PR_MR
-    NEW met1 ( 1911990 13090 ) M1M2_PR
-    NEW met1 ( 1545370 17850 ) M1M2_PR
-    NEW li1 ( 1849890 17850 ) L1M1_PR_MR
+  + ROUTED met1 ( 1543070 1688270 ) ( 1544910 1688270 )
+    NEW met2 ( 1543070 1688270 ) ( 1543070 1700340 0 )
+    NEW met2 ( 1900030 2380 0 ) ( 1900030 15470 )
+    NEW met1 ( 1543530 38930 ) ( 1544910 38930 )
+    NEW met2 ( 1543530 15470 ) ( 1543530 38930 )
+    NEW met2 ( 1544910 38930 ) ( 1544910 1688270 )
+    NEW met1 ( 1543530 15470 ) ( 1900030 15470 )
+    NEW met1 ( 1544910 1688270 ) M1M2_PR
+    NEW met1 ( 1543070 1688270 ) M1M2_PR
+    NEW met1 ( 1900030 15470 ) M1M2_PR
+    NEW met1 ( 1544910 38930 ) M1M2_PR
+    NEW met1 ( 1543530 38930 ) M1M2_PR
+    NEW met1 ( 1543530 15470 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) 
-  + ROUTED met2 ( 1546750 1685210 ) ( 1546750 1700340 0 )
-    NEW li1 ( 1583090 1685210 ) ( 1583090 1688270 )
-    NEW met1 ( 1546750 1685210 ) ( 1583090 1685210 )
-    NEW met1 ( 1583090 1688270 ) ( 1925330 1688270 )
-    NEW met3 ( 1927630 48620 ) ( 1929470 48620 )
-    NEW met2 ( 1929470 2380 0 ) ( 1929470 48620 )
-    NEW met3 ( 1925100 821100 ) ( 1925330 821100 )
-    NEW met3 ( 1925100 821100 ) ( 1925100 822460 )
-    NEW met3 ( 1925100 822460 ) ( 1925330 822460 )
-    NEW met3 ( 1925100 1594260 ) ( 1925330 1594260 )
-    NEW met4 ( 1925100 1594260 ) ( 1925100 1595620 )
-    NEW met3 ( 1925100 1595620 ) ( 1925330 1595620 )
-    NEW met2 ( 1925330 1595620 ) ( 1925330 1688270 )
-    NEW met1 ( 1925330 137870 ) ( 1927630 137870 )
-    NEW met2 ( 1927630 48620 ) ( 1927630 137870 )
-    NEW li1 ( 1925330 186490 ) ( 1925330 234430 )
-    NEW met2 ( 1925330 137870 ) ( 1925330 186490 )
-    NEW li1 ( 1925330 283390 ) ( 1925330 330990 )
-    NEW met2 ( 1925330 234430 ) ( 1925330 283390 )
-    NEW li1 ( 1925330 379610 ) ( 1925330 427550 )
-    NEW met2 ( 1925330 330990 ) ( 1925330 379610 )
-    NEW li1 ( 1925330 476510 ) ( 1925330 524110 )
-    NEW met2 ( 1925330 427550 ) ( 1925330 476510 )
-    NEW li1 ( 1925330 572730 ) ( 1925330 620670 )
-    NEW met2 ( 1925330 524110 ) ( 1925330 572730 )
-    NEW li1 ( 1925330 669630 ) ( 1925330 717570 )
-    NEW met2 ( 1925330 620670 ) ( 1925330 669630 )
-    NEW li1 ( 1925330 766190 ) ( 1925330 814130 )
-    NEW met2 ( 1925330 717570 ) ( 1925330 766190 )
-    NEW met2 ( 1925330 814130 ) ( 1925330 821100 )
-    NEW met3 ( 1925330 862580 ) ( 1926250 862580 )
-    NEW met2 ( 1926250 862580 ) ( 1926250 910690 )
-    NEW met1 ( 1925330 910690 ) ( 1926250 910690 )
-    NEW met2 ( 1925330 822460 ) ( 1925330 862580 )
-    NEW met3 ( 1925330 959140 ) ( 1926250 959140 )
-    NEW met2 ( 1926250 959140 ) ( 1926250 1007250 )
-    NEW met1 ( 1925330 1007250 ) ( 1926250 1007250 )
-    NEW met2 ( 1925330 910690 ) ( 1925330 959140 )
-    NEW met1 ( 1925330 1055870 ) ( 1926250 1055870 )
-    NEW met2 ( 1926250 1055870 ) ( 1926250 1103980 )
-    NEW met3 ( 1925330 1103980 ) ( 1926250 1103980 )
-    NEW met2 ( 1925330 1007250 ) ( 1925330 1055870 )
-    NEW met1 ( 1925330 1152430 ) ( 1926250 1152430 )
-    NEW met2 ( 1926250 1152430 ) ( 1926250 1200540 )
-    NEW met3 ( 1925330 1200540 ) ( 1926250 1200540 )
-    NEW met2 ( 1925330 1103980 ) ( 1925330 1152430 )
-    NEW met1 ( 1925330 1248990 ) ( 1926250 1248990 )
-    NEW met2 ( 1926250 1248990 ) ( 1926250 1297100 )
-    NEW met3 ( 1925330 1297100 ) ( 1926250 1297100 )
-    NEW met2 ( 1925330 1200540 ) ( 1925330 1248990 )
-    NEW met1 ( 1925330 1345550 ) ( 1926250 1345550 )
-    NEW met2 ( 1926250 1345550 ) ( 1926250 1393660 )
-    NEW met3 ( 1925330 1393660 ) ( 1926250 1393660 )
-    NEW met2 ( 1925330 1297100 ) ( 1925330 1345550 )
-    NEW met1 ( 1925330 1442110 ) ( 1926250 1442110 )
-    NEW met2 ( 1926250 1442110 ) ( 1926250 1490220 )
-    NEW met3 ( 1925330 1490220 ) ( 1926250 1490220 )
-    NEW met2 ( 1925330 1393660 ) ( 1925330 1442110 )
-    NEW li1 ( 1925330 1539010 ) ( 1925330 1586950 )
-    NEW met2 ( 1925330 1490220 ) ( 1925330 1539010 )
-    NEW met2 ( 1925330 1586950 ) ( 1925330 1594260 )
-    NEW met1 ( 1546750 1685210 ) M1M2_PR
-    NEW met1 ( 1925330 1688270 ) M1M2_PR
-    NEW li1 ( 1583090 1685210 ) L1M1_PR_MR
-    NEW li1 ( 1583090 1688270 ) L1M1_PR_MR
-    NEW met2 ( 1927630 48620 ) via2_FR
-    NEW met2 ( 1929470 48620 ) via2_FR
-    NEW met2 ( 1925330 821100 ) via2_FR
-    NEW met2 ( 1925330 822460 ) via2_FR
-    NEW met2 ( 1925330 1594260 ) via2_FR
-    NEW met3 ( 1925100 1594260 ) M3M4_PR_M
-    NEW met3 ( 1925100 1595620 ) M3M4_PR_M
-    NEW met2 ( 1925330 1595620 ) via2_FR
-    NEW met1 ( 1925330 137870 ) M1M2_PR
-    NEW met1 ( 1927630 137870 ) M1M2_PR
-    NEW li1 ( 1925330 186490 ) L1M1_PR_MR
-    NEW met1 ( 1925330 186490 ) M1M2_PR
-    NEW li1 ( 1925330 234430 ) L1M1_PR_MR
-    NEW met1 ( 1925330 234430 ) M1M2_PR
-    NEW li1 ( 1925330 283390 ) L1M1_PR_MR
-    NEW met1 ( 1925330 283390 ) M1M2_PR
-    NEW li1 ( 1925330 330990 ) L1M1_PR_MR
-    NEW met1 ( 1925330 330990 ) M1M2_PR
-    NEW li1 ( 1925330 379610 ) L1M1_PR_MR
-    NEW met1 ( 1925330 379610 ) M1M2_PR
-    NEW li1 ( 1925330 427550 ) L1M1_PR_MR
-    NEW met1 ( 1925330 427550 ) M1M2_PR
-    NEW li1 ( 1925330 476510 ) L1M1_PR_MR
-    NEW met1 ( 1925330 476510 ) M1M2_PR
-    NEW li1 ( 1925330 524110 ) L1M1_PR_MR
-    NEW met1 ( 1925330 524110 ) M1M2_PR
-    NEW li1 ( 1925330 572730 ) L1M1_PR_MR
-    NEW met1 ( 1925330 572730 ) M1M2_PR
-    NEW li1 ( 1925330 620670 ) L1M1_PR_MR
-    NEW met1 ( 1925330 620670 ) M1M2_PR
-    NEW li1 ( 1925330 669630 ) L1M1_PR_MR
-    NEW met1 ( 1925330 669630 ) M1M2_PR
-    NEW li1 ( 1925330 717570 ) L1M1_PR_MR
-    NEW met1 ( 1925330 717570 ) M1M2_PR
-    NEW li1 ( 1925330 766190 ) L1M1_PR_MR
-    NEW met1 ( 1925330 766190 ) M1M2_PR
-    NEW li1 ( 1925330 814130 ) L1M1_PR_MR
-    NEW met1 ( 1925330 814130 ) M1M2_PR
-    NEW met2 ( 1925330 862580 ) via2_FR
-    NEW met2 ( 1926250 862580 ) via2_FR
-    NEW met1 ( 1926250 910690 ) M1M2_PR
-    NEW met1 ( 1925330 910690 ) M1M2_PR
-    NEW met2 ( 1925330 959140 ) via2_FR
-    NEW met2 ( 1926250 959140 ) via2_FR
-    NEW met1 ( 1926250 1007250 ) M1M2_PR
-    NEW met1 ( 1925330 1007250 ) M1M2_PR
-    NEW met1 ( 1925330 1055870 ) M1M2_PR
-    NEW met1 ( 1926250 1055870 ) M1M2_PR
-    NEW met2 ( 1926250 1103980 ) via2_FR
-    NEW met2 ( 1925330 1103980 ) via2_FR
-    NEW met1 ( 1925330 1152430 ) M1M2_PR
-    NEW met1 ( 1926250 1152430 ) M1M2_PR
-    NEW met2 ( 1926250 1200540 ) via2_FR
-    NEW met2 ( 1925330 1200540 ) via2_FR
-    NEW met1 ( 1925330 1248990 ) M1M2_PR
-    NEW met1 ( 1926250 1248990 ) M1M2_PR
-    NEW met2 ( 1926250 1297100 ) via2_FR
-    NEW met2 ( 1925330 1297100 ) via2_FR
-    NEW met1 ( 1925330 1345550 ) M1M2_PR
-    NEW met1 ( 1926250 1345550 ) M1M2_PR
-    NEW met2 ( 1926250 1393660 ) via2_FR
-    NEW met2 ( 1925330 1393660 ) via2_FR
-    NEW met1 ( 1925330 1442110 ) M1M2_PR
-    NEW met1 ( 1926250 1442110 ) M1M2_PR
-    NEW met2 ( 1926250 1490220 ) via2_FR
-    NEW met2 ( 1925330 1490220 ) via2_FR
-    NEW li1 ( 1925330 1539010 ) L1M1_PR_MR
-    NEW met1 ( 1925330 1539010 ) M1M2_PR
-    NEW li1 ( 1925330 1586950 ) L1M1_PR_MR
-    NEW met1 ( 1925330 1586950 ) M1M2_PR
-    NEW met3 ( 1925330 1594260 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 1925100 1595620 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1925330 186490 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 234430 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 283390 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 330990 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 379610 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 427550 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 476510 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 524110 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 572730 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 620670 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 669630 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 717570 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 766190 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 814130 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 1539010 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1925330 1586950 ) RECT ( 0 -70 355 70 )
+  + ROUTED met2 ( 1546750 1690310 ) ( 1546750 1700340 0 )
+    NEW met2 ( 1917970 2380 0 ) ( 1917970 15470 )
+    NEW met1 ( 1911530 15470 ) ( 1917970 15470 )
+    NEW met2 ( 1911530 15470 ) ( 1911530 1685550 )
+    NEW li1 ( 1583090 1685550 ) ( 1583090 1690310 )
+    NEW met1 ( 1546750 1690310 ) ( 1583090 1690310 )
+    NEW met1 ( 1583090 1685550 ) ( 1911530 1685550 )
+    NEW met1 ( 1546750 1690310 ) M1M2_PR
+    NEW met1 ( 1917970 15470 ) M1M2_PR
+    NEW met1 ( 1911530 15470 ) M1M2_PR
+    NEW met1 ( 1911530 1685550 ) M1M2_PR
+    NEW li1 ( 1583090 1690310 ) L1M1_PR_MR
+    NEW li1 ( 1583090 1685550 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) 
-  + ROUTED met1 ( 1550430 1687590 ) ( 1552270 1687590 )
-    NEW met2 ( 1550430 1687590 ) ( 1550430 1700340 0 )
-    NEW met2 ( 1552270 17170 ) ( 1552270 1687590 )
-    NEW met1 ( 1552270 17170 ) ( 1947410 17170 )
-    NEW met2 ( 1947410 2380 0 ) ( 1947410 17170 )
-    NEW met1 ( 1552270 1687590 ) M1M2_PR
-    NEW met1 ( 1550430 1687590 ) M1M2_PR
-    NEW met1 ( 1552270 17170 ) M1M2_PR
-    NEW met1 ( 1947410 17170 ) M1M2_PR
+  + ROUTED met2 ( 1935910 2380 0 ) ( 1935910 15810 )
+    NEW met1 ( 1550430 1687250 ) ( 1552270 1687250 )
+    NEW met2 ( 1550430 1687250 ) ( 1550430 1700340 0 )
+    NEW met2 ( 1552270 15810 ) ( 1552270 1687250 )
+    NEW met1 ( 1552270 15810 ) ( 1935910 15810 )
+    NEW met1 ( 1935910 15810 ) M1M2_PR
+    NEW met1 ( 1552270 1687250 ) M1M2_PR
+    NEW met1 ( 1550430 1687250 ) M1M2_PR
+    NEW met1 ( 1552270 15810 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) 
-  + ROUTED met2 ( 1554110 1687590 ) ( 1554110 1700340 0 )
-    NEW li1 ( 1568370 1687590 ) ( 1568830 1687590 )
-    NEW li1 ( 1568830 1685550 ) ( 1568830 1687590 )
-    NEW met1 ( 1568830 1685550 ) ( 1607470 1685550 )
-    NEW li1 ( 1607470 1685550 ) ( 1607470 1687930 )
-    NEW met1 ( 1554110 1687590 ) ( 1568370 1687590 )
-    NEW met1 ( 1607470 1687930 ) ( 1959830 1687930 )
-    NEW met3 ( 1959140 145180 ) ( 1959830 145180 )
-    NEW met3 ( 1959140 145180 ) ( 1959140 145860 )
-    NEW met3 ( 1959140 145860 ) ( 1960290 145860 )
-    NEW met3 ( 1959830 821100 ) ( 1960060 821100 )
-    NEW met3 ( 1960060 821100 ) ( 1960060 822460 )
-    NEW met3 ( 1959830 822460 ) ( 1960060 822460 )
-    NEW met3 ( 1959370 90100 ) ( 1960750 90100 )
-    NEW met2 ( 1960750 90100 ) ( 1960750 137870 )
-    NEW met1 ( 1959830 137870 ) ( 1960750 137870 )
-    NEW met2 ( 1959830 137870 ) ( 1959830 145180 )
-    NEW li1 ( 1959830 233410 ) ( 1959830 234430 )
-    NEW met1 ( 1959830 233410 ) ( 1960290 233410 )
-    NEW met2 ( 1960290 145860 ) ( 1960290 233410 )
-    NEW li1 ( 1959830 283390 ) ( 1959830 330990 )
-    NEW met2 ( 1959830 234430 ) ( 1959830 283390 )
-    NEW li1 ( 1959830 379610 ) ( 1959830 427550 )
-    NEW met2 ( 1959830 330990 ) ( 1959830 379610 )
-    NEW li1 ( 1959830 476510 ) ( 1959830 524110 )
-    NEW met2 ( 1959830 427550 ) ( 1959830 476510 )
-    NEW li1 ( 1959830 572730 ) ( 1959830 620670 )
-    NEW met2 ( 1959830 524110 ) ( 1959830 572730 )
-    NEW li1 ( 1959830 669630 ) ( 1959830 717570 )
-    NEW met2 ( 1959830 620670 ) ( 1959830 669630 )
-    NEW li1 ( 1959830 766190 ) ( 1959830 814130 )
-    NEW met2 ( 1959830 717570 ) ( 1959830 766190 )
-    NEW met2 ( 1959830 814130 ) ( 1959830 821100 )
-    NEW met3 ( 1959830 862580 ) ( 1960750 862580 )
-    NEW met2 ( 1960750 862580 ) ( 1960750 910690 )
-    NEW met1 ( 1959830 910690 ) ( 1960750 910690 )
-    NEW met2 ( 1959830 822460 ) ( 1959830 862580 )
-    NEW met3 ( 1959830 959140 ) ( 1960750 959140 )
-    NEW met2 ( 1960750 959140 ) ( 1960750 1007250 )
-    NEW met1 ( 1959830 1007250 ) ( 1960750 1007250 )
-    NEW met2 ( 1959830 910690 ) ( 1959830 959140 )
-    NEW met1 ( 1959830 1055870 ) ( 1960750 1055870 )
-    NEW met2 ( 1960750 1055870 ) ( 1960750 1103980 )
-    NEW met3 ( 1959830 1103980 ) ( 1960750 1103980 )
-    NEW met2 ( 1959830 1007250 ) ( 1959830 1055870 )
-    NEW met1 ( 1959830 1152430 ) ( 1960750 1152430 )
-    NEW met2 ( 1960750 1152430 ) ( 1960750 1200540 )
-    NEW met3 ( 1959830 1200540 ) ( 1960750 1200540 )
-    NEW met2 ( 1959830 1103980 ) ( 1959830 1152430 )
-    NEW met1 ( 1959830 1248990 ) ( 1960750 1248990 )
-    NEW met2 ( 1960750 1248990 ) ( 1960750 1297100 )
-    NEW met3 ( 1959830 1297100 ) ( 1960750 1297100 )
-    NEW met2 ( 1959830 1200540 ) ( 1959830 1248990 )
-    NEW met1 ( 1959830 1345550 ) ( 1960750 1345550 )
-    NEW met2 ( 1960750 1345550 ) ( 1960750 1393660 )
-    NEW met3 ( 1959830 1393660 ) ( 1960750 1393660 )
-    NEW met2 ( 1959830 1297100 ) ( 1959830 1345550 )
-    NEW met1 ( 1959830 1442110 ) ( 1960750 1442110 )
-    NEW met2 ( 1960750 1442110 ) ( 1960750 1490220 )
-    NEW met3 ( 1959830 1490220 ) ( 1960750 1490220 )
-    NEW met2 ( 1959830 1393660 ) ( 1959830 1442110 )
-    NEW li1 ( 1959830 1539010 ) ( 1959830 1586950 )
-    NEW met2 ( 1959830 1490220 ) ( 1959830 1539010 )
-    NEW met1 ( 1958910 1635570 ) ( 1959830 1635570 )
-    NEW met2 ( 1958910 1635570 ) ( 1958910 1683340 )
-    NEW met3 ( 1958910 1683340 ) ( 1959830 1683340 )
-    NEW met2 ( 1959830 1586950 ) ( 1959830 1635570 )
-    NEW met2 ( 1959830 1683340 ) ( 1959830 1687930 )
-    NEW met1 ( 1959370 77350 ) ( 1965350 77350 )
-    NEW met2 ( 1959370 77350 ) ( 1959370 90100 )
-    NEW met2 ( 1965350 2380 0 ) ( 1965350 77350 )
-    NEW met1 ( 1554110 1687590 ) M1M2_PR
-    NEW met1 ( 1959830 1687930 ) M1M2_PR
-    NEW li1 ( 1568370 1687590 ) L1M1_PR_MR
-    NEW li1 ( 1568830 1685550 ) L1M1_PR_MR
-    NEW li1 ( 1607470 1685550 ) L1M1_PR_MR
-    NEW li1 ( 1607470 1687930 ) L1M1_PR_MR
-    NEW met2 ( 1959830 145180 ) via2_FR
-    NEW met2 ( 1960290 145860 ) via2_FR
-    NEW met2 ( 1959830 821100 ) via2_FR
-    NEW met2 ( 1959830 822460 ) via2_FR
-    NEW met2 ( 1959370 90100 ) via2_FR
-    NEW met2 ( 1960750 90100 ) via2_FR
-    NEW met1 ( 1960750 137870 ) M1M2_PR
-    NEW met1 ( 1959830 137870 ) M1M2_PR
-    NEW li1 ( 1959830 234430 ) L1M1_PR_MR
-    NEW met1 ( 1959830 234430 ) M1M2_PR
-    NEW li1 ( 1959830 233410 ) L1M1_PR_MR
-    NEW met1 ( 1960290 233410 ) M1M2_PR
-    NEW li1 ( 1959830 283390 ) L1M1_PR_MR
-    NEW met1 ( 1959830 283390 ) M1M2_PR
-    NEW li1 ( 1959830 330990 ) L1M1_PR_MR
-    NEW met1 ( 1959830 330990 ) M1M2_PR
-    NEW li1 ( 1959830 379610 ) L1M1_PR_MR
-    NEW met1 ( 1959830 379610 ) M1M2_PR
-    NEW li1 ( 1959830 427550 ) L1M1_PR_MR
-    NEW met1 ( 1959830 427550 ) M1M2_PR
-    NEW li1 ( 1959830 476510 ) L1M1_PR_MR
-    NEW met1 ( 1959830 476510 ) M1M2_PR
-    NEW li1 ( 1959830 524110 ) L1M1_PR_MR
-    NEW met1 ( 1959830 524110 ) M1M2_PR
-    NEW li1 ( 1959830 572730 ) L1M1_PR_MR
-    NEW met1 ( 1959830 572730 ) M1M2_PR
-    NEW li1 ( 1959830 620670 ) L1M1_PR_MR
-    NEW met1 ( 1959830 620670 ) M1M2_PR
-    NEW li1 ( 1959830 669630 ) L1M1_PR_MR
-    NEW met1 ( 1959830 669630 ) M1M2_PR
-    NEW li1 ( 1959830 717570 ) L1M1_PR_MR
-    NEW met1 ( 1959830 717570 ) M1M2_PR
-    NEW li1 ( 1959830 766190 ) L1M1_PR_MR
-    NEW met1 ( 1959830 766190 ) M1M2_PR
-    NEW li1 ( 1959830 814130 ) L1M1_PR_MR
-    NEW met1 ( 1959830 814130 ) M1M2_PR
-    NEW met2 ( 1959830 862580 ) via2_FR
-    NEW met2 ( 1960750 862580 ) via2_FR
-    NEW met1 ( 1960750 910690 ) M1M2_PR
-    NEW met1 ( 1959830 910690 ) M1M2_PR
-    NEW met2 ( 1959830 959140 ) via2_FR
-    NEW met2 ( 1960750 959140 ) via2_FR
-    NEW met1 ( 1960750 1007250 ) M1M2_PR
-    NEW met1 ( 1959830 1007250 ) M1M2_PR
-    NEW met1 ( 1959830 1055870 ) M1M2_PR
-    NEW met1 ( 1960750 1055870 ) M1M2_PR
-    NEW met2 ( 1960750 1103980 ) via2_FR
-    NEW met2 ( 1959830 1103980 ) via2_FR
-    NEW met1 ( 1959830 1152430 ) M1M2_PR
-    NEW met1 ( 1960750 1152430 ) M1M2_PR
-    NEW met2 ( 1960750 1200540 ) via2_FR
-    NEW met2 ( 1959830 1200540 ) via2_FR
-    NEW met1 ( 1959830 1248990 ) M1M2_PR
-    NEW met1 ( 1960750 1248990 ) M1M2_PR
-    NEW met2 ( 1960750 1297100 ) via2_FR
-    NEW met2 ( 1959830 1297100 ) via2_FR
-    NEW met1 ( 1959830 1345550 ) M1M2_PR
-    NEW met1 ( 1960750 1345550 ) M1M2_PR
-    NEW met2 ( 1960750 1393660 ) via2_FR
-    NEW met2 ( 1959830 1393660 ) via2_FR
-    NEW met1 ( 1959830 1442110 ) M1M2_PR
-    NEW met1 ( 1960750 1442110 ) M1M2_PR
-    NEW met2 ( 1960750 1490220 ) via2_FR
-    NEW met2 ( 1959830 1490220 ) via2_FR
-    NEW li1 ( 1959830 1539010 ) L1M1_PR_MR
-    NEW met1 ( 1959830 1539010 ) M1M2_PR
-    NEW li1 ( 1959830 1586950 ) L1M1_PR_MR
-    NEW met1 ( 1959830 1586950 ) M1M2_PR
-    NEW met1 ( 1959830 1635570 ) M1M2_PR
-    NEW met1 ( 1958910 1635570 ) M1M2_PR
-    NEW met2 ( 1958910 1683340 ) via2_FR
-    NEW met2 ( 1959830 1683340 ) via2_FR
-    NEW met1 ( 1959370 77350 ) M1M2_PR
-    NEW met1 ( 1965350 77350 ) M1M2_PR
-    NEW met1 ( 1959830 234430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 283390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 330990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 379610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 476510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 524110 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 572730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 620670 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 669630 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 717570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 1539010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1959830 1586950 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 1953390 2380 0 ) ( 1953390 3060 )
+    NEW met2 ( 1952930 3060 ) ( 1953390 3060 )
+    NEW met2 ( 1554110 1687250 ) ( 1554110 1700340 0 )
+    NEW li1 ( 1583550 1685890 ) ( 1583550 1687250 )
+    NEW met1 ( 1554110 1687250 ) ( 1583550 1687250 )
+    NEW met1 ( 1583550 1685890 ) ( 1952930 1685890 )
+    NEW met2 ( 1952930 3060 ) ( 1952930 1685890 )
+    NEW met1 ( 1554110 1687250 ) M1M2_PR
+    NEW li1 ( 1583550 1687250 ) L1M1_PR_MR
+    NEW li1 ( 1583550 1685890 ) L1M1_PR_MR
+    NEW met1 ( 1952930 1685890 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) 
   + ROUTED met1 ( 1557790 1688610 ) ( 1559170 1688610 )
     NEW met2 ( 1557790 1688610 ) ( 1557790 1700340 0 )
-    NEW met2 ( 1557330 28900 ) ( 1559170 28900 )
-    NEW met2 ( 1557330 14110 ) ( 1557330 28900 )
-    NEW met2 ( 1559170 28900 ) ( 1559170 1688610 )
-    NEW met2 ( 1820910 13940 ) ( 1820910 14110 )
-    NEW met3 ( 1820910 13940 ) ( 1823210 13940 )
-    NEW met2 ( 1823210 13940 ) ( 1823210 14110 )
-    NEW met1 ( 1557330 14110 ) ( 1820910 14110 )
-    NEW met1 ( 1823210 14110 ) ( 1983290 14110 )
-    NEW met2 ( 1983290 2380 0 ) ( 1983290 14110 )
+    NEW met2 ( 1971330 2380 0 ) ( 1971330 16830 )
+    NEW met2 ( 1559170 16830 ) ( 1559170 1688610 )
+    NEW met1 ( 1559170 16830 ) ( 1971330 16830 )
     NEW met1 ( 1559170 1688610 ) M1M2_PR
     NEW met1 ( 1557790 1688610 ) M1M2_PR
-    NEW met1 ( 1557330 14110 ) M1M2_PR
-    NEW met1 ( 1820910 14110 ) M1M2_PR
-    NEW met2 ( 1820910 13940 ) via2_FR
-    NEW met2 ( 1823210 13940 ) via2_FR
-    NEW met1 ( 1823210 14110 ) M1M2_PR
-    NEW met1 ( 1983290 14110 ) M1M2_PR
+    NEW met1 ( 1971330 16830 ) M1M2_PR
+    NEW met1 ( 1559170 16830 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) 
-  + ROUTED met2 ( 2001230 2380 0 ) ( 2001230 1687590 )
-    NEW li1 ( 1588610 1685890 ) ( 1588610 1687590 )
-    NEW met1 ( 1566070 1685890 ) ( 1588610 1685890 )
-    NEW met2 ( 1566070 1685890 ) ( 1566070 1686910 )
-    NEW met1 ( 1561470 1686910 ) ( 1566070 1686910 )
-    NEW met2 ( 1561470 1686910 ) ( 1561470 1700340 0 )
-    NEW met1 ( 1588610 1687590 ) ( 2001230 1687590 )
-    NEW met1 ( 2001230 1687590 ) M1M2_PR
-    NEW li1 ( 1588610 1687590 ) L1M1_PR_MR
-    NEW li1 ( 1588610 1685890 ) L1M1_PR_MR
-    NEW met1 ( 1566070 1685890 ) M1M2_PR
-    NEW met1 ( 1566070 1686910 ) M1M2_PR
-    NEW met1 ( 1561470 1686910 ) M1M2_PR
+  + ROUTED met2 ( 1988810 2380 0 ) ( 1988810 3060 )
+    NEW met2 ( 1987430 3060 ) ( 1988810 3060 )
+    NEW li1 ( 1591370 1688610 ) ( 1591370 1690310 )
+    NEW met1 ( 1561470 1688610 ) ( 1591370 1688610 )
+    NEW met2 ( 1561470 1688610 ) ( 1561470 1700340 0 )
+    NEW met1 ( 1591370 1690310 ) ( 1987430 1690310 )
+    NEW met2 ( 1987430 3060 ) ( 1987430 1690310 )
+    NEW li1 ( 1591370 1690310 ) L1M1_PR_MR
+    NEW li1 ( 1591370 1688610 ) L1M1_PR_MR
+    NEW met1 ( 1561470 1688610 ) M1M2_PR
+    NEW met1 ( 1987430 1690310 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) 
-  + ROUTED met1 ( 2006290 14110 ) ( 2006290 14450 )
-    NEW met1 ( 2006290 14110 ) ( 2018710 14110 )
-    NEW met2 ( 2018710 2380 0 ) ( 2018710 14110 )
+  + ROUTED li1 ( 1967650 15810 ) ( 1967650 20570 )
+    NEW met1 ( 1967650 15810 ) ( 2006750 15810 )
+    NEW met2 ( 2006750 2380 0 ) ( 2006750 15810 )
+    NEW met1 ( 1566070 19550 ) ( 1584470 19550 )
+    NEW li1 ( 1584470 19550 ) ( 1584470 20570 )
     NEW met1 ( 1565150 1684190 ) ( 1566070 1684190 )
     NEW met2 ( 1565150 1684190 ) ( 1565150 1700340 0 )
-    NEW met2 ( 1566070 14450 ) ( 1566070 1684190 )
-    NEW met1 ( 1566070 14450 ) ( 2006290 14450 )
-    NEW met1 ( 2018710 14110 ) M1M2_PR
-    NEW met1 ( 1566070 14450 ) M1M2_PR
+    NEW met2 ( 1566070 19550 ) ( 1566070 1684190 )
+    NEW met1 ( 1584470 20570 ) ( 1967650 20570 )
+    NEW li1 ( 1967650 20570 ) L1M1_PR_MR
+    NEW li1 ( 1967650 15810 ) L1M1_PR_MR
+    NEW met1 ( 2006750 15810 ) M1M2_PR
+    NEW met1 ( 1566070 19550 ) M1M2_PR
+    NEW li1 ( 1584470 19550 ) L1M1_PR_MR
+    NEW li1 ( 1584470 20570 ) L1M1_PR_MR
     NEW met1 ( 1566070 1684190 ) M1M2_PR
     NEW met1 ( 1565150 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) 
-  + ROUTED met2 ( 2036650 2380 0 ) ( 2036650 3060 )
-    NEW met2 ( 2035730 3060 ) ( 2036650 3060 )
-    NEW met2 ( 2035730 3060 ) ( 2035730 1687250 )
-    NEW met1 ( 1588150 1687250 ) ( 1588150 1687590 )
-    NEW met1 ( 1568830 1687590 ) ( 1588150 1687590 )
-    NEW met2 ( 1568830 1687590 ) ( 1568830 1700340 0 )
-    NEW met1 ( 1588150 1687250 ) ( 2035730 1687250 )
-    NEW met1 ( 2035730 1687250 ) M1M2_PR
-    NEW met1 ( 1568830 1687590 ) M1M2_PR
+  + ROUTED met2 ( 2024230 2380 0 ) ( 2024230 2890 )
+    NEW met1 ( 2021930 2890 ) ( 2024230 2890 )
+    NEW met2 ( 2021930 2890 ) ( 2021930 1689970 )
+    NEW met2 ( 1568830 1689970 ) ( 1568830 1700340 0 )
+    NEW met1 ( 1568830 1689970 ) ( 2021930 1689970 )
+    NEW met1 ( 2024230 2890 ) M1M2_PR
+    NEW met1 ( 2021930 2890 ) M1M2_PR
+    NEW met1 ( 2021930 1689970 ) M1M2_PR
+    NEW met1 ( 1568830 1689970 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) 
-  + ROUTED met1 ( 2018250 14450 ) ( 2018250 14790 )
+  + ROUTED met2 ( 2042170 2380 0 ) ( 2042170 20230 )
     NEW met2 ( 1572510 1700340 0 ) ( 1572970 1700340 )
-    NEW met2 ( 1572970 14790 ) ( 1572970 1700340 )
-    NEW li1 ( 1773070 14790 ) ( 1773070 15130 )
-    NEW li1 ( 1773070 15130 ) ( 1774450 15130 )
-    NEW li1 ( 1774450 14790 ) ( 1774450 15130 )
-    NEW met1 ( 1572970 14790 ) ( 1773070 14790 )
-    NEW met1 ( 1774450 14790 ) ( 2018250 14790 )
-    NEW met1 ( 2018250 14450 ) ( 2054590 14450 )
-    NEW met2 ( 2054590 2380 0 ) ( 2054590 14450 )
-    NEW met1 ( 1572970 14790 ) M1M2_PR
-    NEW li1 ( 1773070 14790 ) L1M1_PR_MR
-    NEW li1 ( 1774450 14790 ) L1M1_PR_MR
-    NEW met1 ( 2054590 14450 ) M1M2_PR
+    NEW met2 ( 1572970 20230 ) ( 1572970 1700340 )
+    NEW met1 ( 1572970 20230 ) ( 2042170 20230 )
+    NEW met1 ( 2042170 20230 ) M1M2_PR
+    NEW met1 ( 1572970 20230 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) 
-  + ROUTED met2 ( 769810 2380 0 ) ( 769810 42670 )
-    NEW li1 ( 810290 42670 ) ( 810290 48110 )
-    NEW met1 ( 769810 42670 ) ( 810290 42670 )
-    NEW met1 ( 1269830 48110 ) ( 1269830 48450 )
-    NEW met1 ( 1269830 48450 ) ( 1271210 48450 )
-    NEW li1 ( 1271210 44370 ) ( 1271210 48450 )
-    NEW met1 ( 1271210 44370 ) ( 1307090 44370 )
+  + ROUTED met2 ( 765210 2380 0 ) ( 765210 43010 )
+    NEW li1 ( 810290 43010 ) ( 810290 48110 )
+    NEW met1 ( 765210 43010 ) ( 810290 43010 )
+    NEW li1 ( 1270290 48110 ) ( 1270750 48110 )
+    NEW li1 ( 1270750 44030 ) ( 1270750 48110 )
+    NEW li1 ( 1270750 44030 ) ( 1271210 44030 )
+    NEW met1 ( 1271210 44030 ) ( 1307090 44030 )
+    NEW met1 ( 810290 48110 ) ( 1270290 48110 )
     NEW met2 ( 1307090 1700340 ) ( 1308010 1700340 0 )
-    NEW met2 ( 1307090 44370 ) ( 1307090 1700340 )
-    NEW met2 ( 845250 47260 ) ( 845250 48110 )
-    NEW met3 ( 845250 47260 ) ( 848470 47260 )
-    NEW met2 ( 848470 47260 ) ( 848470 48110 )
-    NEW met1 ( 810290 48110 ) ( 845250 48110 )
-    NEW met1 ( 848470 48110 ) ( 1269830 48110 )
-    NEW met1 ( 769810 42670 ) M1M2_PR
-    NEW li1 ( 810290 42670 ) L1M1_PR_MR
+    NEW met2 ( 1307090 44030 ) ( 1307090 1700340 )
+    NEW met1 ( 765210 43010 ) M1M2_PR
+    NEW li1 ( 810290 43010 ) L1M1_PR_MR
     NEW li1 ( 810290 48110 ) L1M1_PR_MR
-    NEW li1 ( 1271210 48450 ) L1M1_PR_MR
-    NEW li1 ( 1271210 44370 ) L1M1_PR_MR
-    NEW met1 ( 1307090 44370 ) M1M2_PR
-    NEW met1 ( 845250 48110 ) M1M2_PR
-    NEW met2 ( 845250 47260 ) via2_FR
-    NEW met2 ( 848470 47260 ) via2_FR
-    NEW met1 ( 848470 48110 ) M1M2_PR
+    NEW li1 ( 1270290 48110 ) L1M1_PR_MR
+    NEW li1 ( 1271210 44030 ) L1M1_PR_MR
+    NEW met1 ( 1307090 44030 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) 
-  + ROUTED met2 ( 1576190 1686910 ) ( 1576190 1700340 0 )
-    NEW met1 ( 1576190 1686910 ) ( 2070230 1686910 )
-    NEW met1 ( 2070230 96390 ) ( 2072070 96390 )
-    NEW met2 ( 2072070 61540 ) ( 2072070 96390 )
-    NEW met2 ( 2072070 61540 ) ( 2072530 61540 )
-    NEW met2 ( 2070230 96390 ) ( 2070230 1686910 )
-    NEW met2 ( 2072530 2380 0 ) ( 2072530 61540 )
-    NEW met1 ( 2070230 1686910 ) M1M2_PR
-    NEW met1 ( 1576190 1686910 ) M1M2_PR
-    NEW met1 ( 2070230 96390 ) M1M2_PR
-    NEW met1 ( 2072070 96390 ) M1M2_PR
+  + ROUTED met2 ( 2059650 2380 0 ) ( 2059650 2890 )
+    NEW met1 ( 2056430 2890 ) ( 2059650 2890 )
+    NEW met2 ( 1576190 1689630 ) ( 1576190 1700340 0 )
+    NEW met1 ( 1576190 1689630 ) ( 2056430 1689630 )
+    NEW met2 ( 2056430 2890 ) ( 2056430 1689630 )
+    NEW met1 ( 2059650 2890 ) M1M2_PR
+    NEW met1 ( 2056430 2890 ) M1M2_PR
+    NEW met1 ( 1576190 1689630 ) M1M2_PR
+    NEW met1 ( 2056430 1689630 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) 
-  + ROUTED met2 ( 1579870 15130 ) ( 1579870 1700340 0 )
-    NEW met1 ( 1579870 15130 ) ( 2090010 15130 )
-    NEW met2 ( 2090010 2380 0 ) ( 2090010 15130 )
-    NEW met1 ( 1579870 15130 ) M1M2_PR
-    NEW met1 ( 2090010 15130 ) M1M2_PR
+  + ROUTED met2 ( 2077590 2380 0 ) ( 2077590 19890 )
+    NEW met2 ( 1579870 19890 ) ( 1579870 1700340 0 )
+    NEW met1 ( 1579870 19890 ) ( 2077590 19890 )
+    NEW met1 ( 2077590 19890 ) M1M2_PR
+    NEW met1 ( 1579870 19890 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) 
-  + ROUTED met2 ( 2107950 2380 0 ) ( 2107950 15470 )
-    NEW met1 ( 1583550 1684530 ) ( 1586770 1684530 )
-    NEW met2 ( 1583550 1684530 ) ( 1583550 1700340 0 )
-    NEW met2 ( 1586770 15470 ) ( 1586770 1684530 )
-    NEW met1 ( 1586770 15470 ) ( 2107950 15470 )
-    NEW met1 ( 2107950 15470 ) M1M2_PR
-    NEW met1 ( 1586770 15470 ) M1M2_PR
-    NEW met1 ( 1586770 1684530 ) M1M2_PR
-    NEW met1 ( 1583550 1684530 ) M1M2_PR
+  + ROUTED met2 ( 2095070 2380 0 ) ( 2095070 2890 )
+    NEW met1 ( 2090930 2890 ) ( 2095070 2890 )
+    NEW met1 ( 1607930 1687590 ) ( 1607930 1688610 )
+    NEW met2 ( 2090930 2890 ) ( 2090930 1688610 )
+    NEW met2 ( 1584010 1687590 ) ( 1584010 1700340 )
+    NEW met2 ( 1583550 1700340 0 ) ( 1584010 1700340 )
+    NEW met1 ( 1584010 1687590 ) ( 1607930 1687590 )
+    NEW met1 ( 1607930 1688610 ) ( 2090930 1688610 )
+    NEW met1 ( 2095070 2890 ) M1M2_PR
+    NEW met1 ( 2090930 2890 ) M1M2_PR
+    NEW met1 ( 2090930 1688610 ) M1M2_PR
+    NEW met1 ( 1584010 1687590 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) 
-  + ROUTED met2 ( 2125890 2380 0 ) ( 2125890 15810 )
-    NEW met1 ( 1587690 1677390 ) ( 1593670 1677390 )
-    NEW met2 ( 1587690 1677390 ) ( 1587690 1700340 )
-    NEW met2 ( 1587230 1700340 0 ) ( 1587690 1700340 )
-    NEW met1 ( 1593670 15810 ) ( 2125890 15810 )
-    NEW met1 ( 1593670 1592390 ) ( 1593670 1593070 )
-    NEW met2 ( 1593670 15810 ) ( 1593670 1592390 )
-    NEW met2 ( 1593670 1593070 ) ( 1593670 1677390 )
-    NEW met1 ( 2125890 15810 ) M1M2_PR
-    NEW met1 ( 1593670 15810 ) M1M2_PR
-    NEW met1 ( 1593670 1677390 ) M1M2_PR
-    NEW met1 ( 1587690 1677390 ) M1M2_PR
-    NEW met1 ( 1593670 1592390 ) M1M2_PR
-    NEW met1 ( 1593670 1593070 ) M1M2_PR
+  + ROUTED li1 ( 1631390 17850 ) ( 1632770 17850 )
+    NEW li1 ( 1632770 17850 ) ( 1632770 19550 )
+    NEW met2 ( 2113010 2380 0 ) ( 2113010 19550 )
+    NEW met1 ( 1593670 18190 ) ( 1599190 18190 )
+    NEW met1 ( 1599190 17850 ) ( 1599190 18190 )
+    NEW met1 ( 1599190 17850 ) ( 1631390 17850 )
+    NEW met1 ( 1587230 1684870 ) ( 1593670 1684870 )
+    NEW met2 ( 1587230 1684870 ) ( 1587230 1700340 0 )
+    NEW met2 ( 1593670 18190 ) ( 1593670 1684870 )
+    NEW met1 ( 1632770 19550 ) ( 2113010 19550 )
+    NEW li1 ( 1631390 17850 ) L1M1_PR_MR
+    NEW li1 ( 1632770 19550 ) L1M1_PR_MR
+    NEW met1 ( 2113010 19550 ) M1M2_PR
+    NEW met1 ( 1593670 18190 ) M1M2_PR
+    NEW met1 ( 1593670 1684870 ) M1M2_PR
+    NEW met1 ( 1587230 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) 
-  + ROUTED met2 ( 1593210 1677900 ) ( 1593670 1677900 )
-    NEW met2 ( 1593670 1677900 ) ( 1593670 1684870 )
-    NEW met1 ( 1590910 1684870 ) ( 1593670 1684870 )
-    NEW met2 ( 1590910 1684870 ) ( 1590910 1700340 0 )
-    NEW met1 ( 1593210 16830 ) ( 2143830 16830 )
-    NEW met2 ( 2143830 2380 0 ) ( 2143830 16830 )
-    NEW li1 ( 1593210 1549210 ) ( 1593210 1593410 )
-    NEW met2 ( 1593210 16830 ) ( 1593210 1549210 )
-    NEW met2 ( 1593210 1593410 ) ( 1593210 1677900 )
-    NEW met1 ( 1593210 16830 ) M1M2_PR
-    NEW met1 ( 1593670 1684870 ) M1M2_PR
-    NEW met1 ( 1590910 1684870 ) M1M2_PR
-    NEW met1 ( 2143830 16830 ) M1M2_PR
-    NEW li1 ( 1593210 1549210 ) L1M1_PR_MR
-    NEW met1 ( 1593210 1549210 ) M1M2_PR
-    NEW li1 ( 1593210 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1593210 1593410 ) M1M2_PR
-    NEW met1 ( 1593210 1549210 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1593210 1593410 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 2130950 2380 0 ) ( 2130950 2890 )
+    NEW met1 ( 2125430 2890 ) ( 2130950 2890 )
+    NEW li1 ( 1608390 1684870 ) ( 1608390 1688270 )
+    NEW met2 ( 2125430 2890 ) ( 2125430 1688270 )
+    NEW met1 ( 1597810 1684870 ) ( 1597810 1685210 )
+    NEW met1 ( 1590910 1685210 ) ( 1597810 1685210 )
+    NEW met2 ( 1590910 1685210 ) ( 1590910 1700340 0 )
+    NEW met1 ( 1597810 1684870 ) ( 1608390 1684870 )
+    NEW met1 ( 1608390 1688270 ) ( 2125430 1688270 )
+    NEW met1 ( 2130950 2890 ) M1M2_PR
+    NEW met1 ( 2125430 2890 ) M1M2_PR
+    NEW li1 ( 1608390 1684870 ) L1M1_PR_MR
+    NEW li1 ( 1608390 1688270 ) L1M1_PR_MR
+    NEW met1 ( 2125430 1688270 ) M1M2_PR
+    NEW met1 ( 1590910 1685210 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) 
-  + ROUTED li1 ( 1612070 19550 ) ( 1612070 20570 )
-    NEW li1 ( 2091390 15130 ) ( 2091390 20570 )
-    NEW met1 ( 2091390 15130 ) ( 2108870 15130 )
-    NEW met1 ( 2108870 15130 ) ( 2108870 15470 )
-    NEW met1 ( 1600110 19550 ) ( 1612070 19550 )
-    NEW met1 ( 1595050 1677390 ) ( 1600110 1677390 )
-    NEW met2 ( 1595050 1677390 ) ( 1595050 1700340 )
+  + ROUTED met2 ( 2148430 2380 0 ) ( 2148430 18530 )
+    NEW li1 ( 1630930 18190 ) ( 1630930 18530 )
+    NEW li1 ( 1630930 18190 ) ( 1631850 18190 )
+    NEW met1 ( 1631850 17850 ) ( 1631850 18190 )
+    NEW met1 ( 1600570 18530 ) ( 1630930 18530 )
+    NEW met1 ( 1595050 1660730 ) ( 1600570 1660730 )
+    NEW met2 ( 1595050 1660730 ) ( 1595050 1700340 )
     NEW met2 ( 1594590 1700340 0 ) ( 1595050 1700340 )
-    NEW met1 ( 1612070 20570 ) ( 2091390 20570 )
-    NEW met1 ( 2108870 15470 ) ( 2161770 15470 )
-    NEW met2 ( 2161770 2380 0 ) ( 2161770 15470 )
-    NEW met2 ( 1600110 19550 ) ( 1600110 1677390 )
-    NEW li1 ( 1612070 19550 ) L1M1_PR_MR
-    NEW li1 ( 1612070 20570 ) L1M1_PR_MR
-    NEW li1 ( 2091390 20570 ) L1M1_PR_MR
-    NEW li1 ( 2091390 15130 ) L1M1_PR_MR
-    NEW met1 ( 1600110 19550 ) M1M2_PR
-    NEW met1 ( 1600110 1677390 ) M1M2_PR
-    NEW met1 ( 1595050 1677390 ) M1M2_PR
-    NEW met1 ( 2161770 15470 ) M1M2_PR
+    NEW li1 ( 1703610 17850 ) ( 1704070 17850 )
+    NEW li1 ( 1704070 17850 ) ( 1704070 18530 )
+    NEW met1 ( 1631850 17850 ) ( 1703610 17850 )
+    NEW met1 ( 1704070 18530 ) ( 2148430 18530 )
+    NEW met2 ( 1600570 18530 ) ( 1600570 1660730 )
+    NEW met1 ( 2148430 18530 ) M1M2_PR
+    NEW li1 ( 1630930 18530 ) L1M1_PR_MR
+    NEW li1 ( 1631850 18190 ) L1M1_PR_MR
+    NEW met1 ( 1600570 18530 ) M1M2_PR
+    NEW met1 ( 1600570 1660730 ) M1M2_PR
+    NEW met1 ( 1595050 1660730 ) M1M2_PR
+    NEW li1 ( 1703610 17850 ) L1M1_PR_MR
+    NEW li1 ( 1704070 18530 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) 
-  + ROUTED met1 ( 1611610 20230 ) ( 1611610 20570 )
-    NEW met1 ( 1600570 20570 ) ( 1611610 20570 )
-    NEW met2 ( 1600110 1677900 ) ( 1600570 1677900 )
-    NEW met2 ( 1600110 1677900 ) ( 1600110 1684870 )
-    NEW met1 ( 1598270 1684870 ) ( 1600110 1684870 )
-    NEW met2 ( 1598270 1684870 ) ( 1598270 1700340 0 )
-    NEW met1 ( 1611610 20230 ) ( 2179250 20230 )
-    NEW met2 ( 2179250 2380 0 ) ( 2179250 20230 )
-    NEW met2 ( 1600570 20570 ) ( 1600570 1677900 )
-    NEW met1 ( 1600570 20570 ) M1M2_PR
-    NEW met1 ( 1600110 1684870 ) M1M2_PR
-    NEW met1 ( 1598270 1684870 ) M1M2_PR
-    NEW met1 ( 2179250 20230 ) M1M2_PR
+  + ROUTED met2 ( 2166370 2380 0 ) ( 2166370 16830 )
+    NEW met1 ( 2159930 16830 ) ( 2166370 16830 )
+    NEW li1 ( 1630010 1685210 ) ( 1630010 1687590 )
+    NEW met2 ( 1598270 1685210 ) ( 1598270 1700340 0 )
+    NEW met1 ( 1598270 1685210 ) ( 1630010 1685210 )
+    NEW met1 ( 1679690 1687590 ) ( 1679690 1687930 )
+    NEW met1 ( 1630010 1687590 ) ( 1679690 1687590 )
+    NEW met1 ( 1679690 1687930 ) ( 2159930 1687930 )
+    NEW met2 ( 2159930 16830 ) ( 2159930 1687930 )
+    NEW met1 ( 2166370 16830 ) M1M2_PR
+    NEW met1 ( 2159930 16830 ) M1M2_PR
+    NEW li1 ( 1630010 1685210 ) L1M1_PR_MR
+    NEW li1 ( 1630010 1687590 ) L1M1_PR_MR
+    NEW met1 ( 1598270 1685210 ) M1M2_PR
+    NEW met1 ( 2159930 1687930 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) 
-  + ROUTED met2 ( 2197190 2380 0 ) ( 2197190 19890 )
-    NEW met2 ( 1607010 41140 ) ( 1607470 41140 )
-    NEW met2 ( 1607010 19890 ) ( 1607010 41140 )
-    NEW met1 ( 1601490 1684870 ) ( 1607470 1684870 )
-    NEW met2 ( 1601490 1684870 ) ( 1601490 1700340 0 )
-    NEW met2 ( 1607470 41140 ) ( 1607470 1684870 )
-    NEW met1 ( 1607010 19890 ) ( 2197190 19890 )
-    NEW met1 ( 2197190 19890 ) M1M2_PR
-    NEW met1 ( 1607010 19890 ) M1M2_PR
-    NEW met1 ( 1607470 1684870 ) M1M2_PR
-    NEW met1 ( 1601490 1684870 ) M1M2_PR
+  + ROUTED met2 ( 2183850 2380 0 ) ( 2183850 18190 )
+    NEW li1 ( 1632310 18190 ) ( 1632310 19550 )
+    NEW met2 ( 1606550 41140 ) ( 1607470 41140 )
+    NEW met2 ( 1606550 19550 ) ( 1606550 41140 )
+    NEW met1 ( 1606550 19550 ) ( 1632310 19550 )
+    NEW met1 ( 1601490 1687250 ) ( 1607470 1687250 )
+    NEW met2 ( 1601490 1687250 ) ( 1601490 1700340 0 )
+    NEW met2 ( 1607470 41140 ) ( 1607470 1687250 )
+    NEW met1 ( 1632310 18190 ) ( 2183850 18190 )
+    NEW met1 ( 2183850 18190 ) M1M2_PR
+    NEW li1 ( 1632310 19550 ) L1M1_PR_MR
+    NEW li1 ( 1632310 18190 ) L1M1_PR_MR
+    NEW met1 ( 1606550 19550 ) M1M2_PR
+    NEW met1 ( 1607470 1687250 ) M1M2_PR
+    NEW met1 ( 1601490 1687250 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) 
-  + ROUTED li1 ( 1627710 17510 ) ( 1627710 19550 )
-    NEW met2 ( 2215130 2380 0 ) ( 2215130 19550 )
-    NEW met2 ( 1606550 41820 ) ( 1607010 41820 )
-    NEW met2 ( 1606550 17510 ) ( 1606550 41820 )
-    NEW met1 ( 1606550 17510 ) ( 1627710 17510 )
-    NEW met1 ( 1605170 1684190 ) ( 1607010 1684190 )
-    NEW met2 ( 1605170 1684190 ) ( 1605170 1700340 0 )
-    NEW met2 ( 1607010 41820 ) ( 1607010 1684190 )
-    NEW met1 ( 1627710 19550 ) ( 2215130 19550 )
-    NEW li1 ( 1627710 17510 ) L1M1_PR_MR
-    NEW li1 ( 1627710 19550 ) L1M1_PR_MR
-    NEW met1 ( 2215130 19550 ) M1M2_PR
-    NEW met1 ( 1606550 17510 ) M1M2_PR
-    NEW met1 ( 1607010 1684190 ) M1M2_PR
-    NEW met1 ( 1605170 1684190 ) M1M2_PR
+  + ROUTED met2 ( 2201790 2380 0 ) ( 2201790 17340 )
+    NEW met2 ( 2201330 17340 ) ( 2201790 17340 )
+    NEW met2 ( 2201330 17340 ) ( 2201330 1687590 )
+    NEW met2 ( 1605170 1686910 ) ( 1605170 1700340 0 )
+    NEW li1 ( 1680150 1686910 ) ( 1680150 1687590 )
+    NEW met1 ( 1605170 1686910 ) ( 1680150 1686910 )
+    NEW met1 ( 1680150 1687590 ) ( 2201330 1687590 )
+    NEW met1 ( 2201330 1687590 ) M1M2_PR
+    NEW met1 ( 1605170 1686910 ) M1M2_PR
+    NEW li1 ( 1680150 1686910 ) L1M1_PR_MR
+    NEW li1 ( 1680150 1687590 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) 
-  + ROUTED met2 ( 1612070 40460 ) ( 1612530 40460 )
-    NEW met2 ( 1612530 19550 ) ( 1612530 40460 )
-    NEW met1 ( 1612530 19550 ) ( 1618510 19550 )
-    NEW li1 ( 1618510 19550 ) ( 1618510 20910 )
-    NEW met1 ( 1618510 20910 ) ( 1655770 20910 )
-    NEW li1 ( 1655770 18530 ) ( 1655770 20910 )
-    NEW met1 ( 1608850 1684190 ) ( 1612070 1684190 )
-    NEW met2 ( 1608850 1684190 ) ( 1608850 1700340 0 )
-    NEW met2 ( 1612070 40460 ) ( 1612070 1684190 )
-    NEW met2 ( 2233070 2380 0 ) ( 2233070 18530 )
-    NEW met1 ( 1655770 18530 ) ( 2233070 18530 )
-    NEW met1 ( 1612530 19550 ) M1M2_PR
-    NEW li1 ( 1618510 19550 ) L1M1_PR_MR
-    NEW li1 ( 1618510 20910 ) L1M1_PR_MR
-    NEW li1 ( 1655770 20910 ) L1M1_PR_MR
+  + ROUTED met2 ( 2219270 2380 0 ) ( 2219270 17850 )
+    NEW met1 ( 1614370 21250 ) ( 1655770 21250 )
+    NEW li1 ( 1655770 18530 ) ( 1655770 21250 )
+    NEW met1 ( 1608850 1684870 ) ( 1614370 1684870 )
+    NEW met2 ( 1608850 1684870 ) ( 1608850 1700340 0 )
+    NEW met2 ( 1614370 21250 ) ( 1614370 1684870 )
+    NEW met2 ( 1656230 18020 ) ( 1656230 18530 )
+    NEW met3 ( 1656230 18020 ) ( 1702690 18020 )
+    NEW met2 ( 1702690 18020 ) ( 1704070 18020 )
+    NEW met2 ( 1704070 17850 ) ( 1704070 18020 )
+    NEW met1 ( 1655770 18530 ) ( 1656230 18530 )
+    NEW met1 ( 1704070 17850 ) ( 2219270 17850 )
+    NEW met1 ( 2219270 17850 ) M1M2_PR
+    NEW met1 ( 1614370 21250 ) M1M2_PR
+    NEW li1 ( 1655770 21250 ) L1M1_PR_MR
     NEW li1 ( 1655770 18530 ) L1M1_PR_MR
-    NEW met1 ( 1612070 1684190 ) M1M2_PR
-    NEW met1 ( 1608850 1684190 ) M1M2_PR
-    NEW met1 ( 2233070 18530 ) M1M2_PR
+    NEW met1 ( 1614370 1684870 ) M1M2_PR
+    NEW met1 ( 1608850 1684870 ) M1M2_PR
+    NEW met1 ( 1656230 18530 ) M1M2_PR
+    NEW met2 ( 1656230 18020 ) via2_FR
+    NEW met2 ( 1702690 18020 ) via2_FR
+    NEW met1 ( 1704070 17850 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) 
-  + ROUTED met1 ( 787750 43010 ) ( 812130 43010 )
-    NEW li1 ( 812130 43010 ) ( 812130 44370 )
-    NEW met2 ( 787750 2380 0 ) ( 787750 43010 )
-    NEW met1 ( 1270290 44030 ) ( 1270290 44370 )
-    NEW met1 ( 1270290 44030 ) ( 1271670 44030 )
-    NEW li1 ( 1271670 44030 ) ( 1271670 48450 )
-    NEW met1 ( 1271670 48450 ) ( 1285470 48450 )
-    NEW met1 ( 1285470 48110 ) ( 1285470 48450 )
-    NEW met1 ( 1285470 48110 ) ( 1311690 48110 )
-    NEW met1 ( 812130 44370 ) ( 1270290 44370 )
-    NEW met2 ( 1311690 48110 ) ( 1311690 1700340 0 )
-    NEW met1 ( 787750 43010 ) M1M2_PR
-    NEW li1 ( 812130 43010 ) L1M1_PR_MR
-    NEW li1 ( 812130 44370 ) L1M1_PR_MR
-    NEW li1 ( 1271670 44030 ) L1M1_PR_MR
-    NEW li1 ( 1271670 48450 ) L1M1_PR_MR
-    NEW met1 ( 1311690 48110 ) M1M2_PR
+  + ROUTED met2 ( 783150 2380 0 ) ( 783150 44370 )
+    NEW met2 ( 1269830 44370 ) ( 1269830 45220 )
+    NEW met2 ( 1269830 45220 ) ( 1271210 45220 )
+    NEW met2 ( 1271210 45220 ) ( 1271210 48450 )
+    NEW met1 ( 1271210 48450 ) ( 1291910 48450 )
+    NEW met1 ( 1291910 48110 ) ( 1291910 48450 )
+    NEW met1 ( 1291910 48110 ) ( 1312150 48110 )
+    NEW met1 ( 783150 44370 ) ( 1269830 44370 )
+    NEW met2 ( 1311690 1700340 0 ) ( 1312150 1700340 )
+    NEW met2 ( 1312150 48110 ) ( 1312150 1700340 )
+    NEW met1 ( 783150 44370 ) M1M2_PR
+    NEW met1 ( 1269830 44370 ) M1M2_PR
+    NEW met1 ( 1271210 48450 ) M1M2_PR
+    NEW met1 ( 1312150 48110 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) 
-  + ROUTED met1 ( 1612530 1684190 ) ( 1613910 1684190 )
-    NEW met2 ( 1612530 1684190 ) ( 1612530 1700340 0 )
-    NEW met2 ( 1613910 18190 ) ( 1613910 1684190 )
-    NEW met1 ( 1613910 18190 ) ( 2251010 18190 )
-    NEW met2 ( 2251010 2380 0 ) ( 2251010 18190 )
-    NEW met1 ( 1613910 18190 ) M1M2_PR
-    NEW met1 ( 1613910 1684190 ) M1M2_PR
-    NEW met1 ( 1612530 1684190 ) M1M2_PR
-    NEW met1 ( 2251010 18190 ) M1M2_PR
+  + ROUTED met2 ( 2237210 2380 0 ) ( 2237210 3060 )
+    NEW met2 ( 2235830 3060 ) ( 2237210 3060 )
+    NEW met2 ( 1612530 1687250 ) ( 1612530 1700340 0 )
+    NEW met1 ( 1612530 1687250 ) ( 2235830 1687250 )
+    NEW met2 ( 2235830 3060 ) ( 2235830 1687250 )
+    NEW met1 ( 1612530 1687250 ) M1M2_PR
+    NEW met1 ( 2235830 1687250 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) 
-  + ROUTED met1 ( 1725690 12750 ) ( 1734430 12750 )
+  + ROUTED met1 ( 1725230 12750 ) ( 1734430 12750 )
     NEW met1 ( 1734430 12750 ) ( 1734430 13090 )
-    NEW met1 ( 1820910 13090 ) ( 1822290 13090 )
-    NEW met1 ( 1870130 12750 ) ( 1870130 13090 )
-    NEW met1 ( 1870130 12750 ) ( 1917970 12750 )
-    NEW met1 ( 1869210 13090 ) ( 1870130 13090 )
-    NEW met1 ( 1618970 19550 ) ( 1627250 19550 )
-    NEW li1 ( 1627250 19550 ) ( 1627250 19890 )
-    NEW li1 ( 1627250 19890 ) ( 1629090 19890 )
-    NEW li1 ( 1629090 17510 ) ( 1629090 19890 )
-    NEW met2 ( 1618510 1656140 ) ( 1618970 1656140 )
-    NEW met2 ( 1618510 1656140 ) ( 1618510 1684190 )
-    NEW met1 ( 1616210 1684190 ) ( 1618510 1684190 )
-    NEW met2 ( 1616210 1684190 ) ( 1616210 1700340 0 )
-    NEW met2 ( 1618970 19550 ) ( 1618970 1656140 )
-    NEW li1 ( 1704530 17510 ) ( 1704530 22610 )
-    NEW met1 ( 1704530 22610 ) ( 1725690 22610 )
-    NEW li1 ( 1725690 12750 ) ( 1725690 22610 )
-    NEW li1 ( 1801130 14110 ) ( 1801130 17510 )
-    NEW li1 ( 1801130 14110 ) ( 1820910 14110 )
-    NEW met2 ( 1822290 14110 ) ( 1822290 17340 )
-    NEW met3 ( 1822290 17340 ) ( 1848970 17340 )
-    NEW met2 ( 1848970 17340 ) ( 1848970 17510 )
-    NEW li1 ( 1820910 13090 ) ( 1820910 14110 )
-    NEW li1 ( 1822290 13090 ) ( 1822290 14110 )
-    NEW li1 ( 1917970 12750 ) ( 1917970 17850 )
-    NEW met1 ( 1734430 13090 ) ( 1773990 13090 )
-    NEW met1 ( 1629090 17510 ) ( 1704530 17510 )
-    NEW met2 ( 1773990 14620 ) ( 1773990 14790 )
-    NEW met3 ( 1773990 14620 ) ( 1800670 14620 )
-    NEW met2 ( 1800670 14620 ) ( 1800670 17510 )
-    NEW li1 ( 1773990 13090 ) ( 1773990 14790 )
-    NEW met1 ( 1800670 17510 ) ( 1801130 17510 )
-    NEW li1 ( 1849430 14110 ) ( 1849430 17510 )
-    NEW li1 ( 1849430 14110 ) ( 1869210 14110 )
-    NEW met1 ( 1848970 17510 ) ( 1849430 17510 )
-    NEW li1 ( 1869210 13090 ) ( 1869210 14110 )
-    NEW met1 ( 1917970 17850 ) ( 2268490 17850 )
-    NEW met2 ( 2268490 2380 0 ) ( 2268490 17850 )
-    NEW li1 ( 1725690 12750 ) L1M1_PR_MR
-    NEW li1 ( 1822290 13090 ) L1M1_PR_MR
-    NEW li1 ( 1869210 13090 ) L1M1_PR_MR
-    NEW li1 ( 1820910 13090 ) L1M1_PR_MR
-    NEW li1 ( 1917970 12750 ) L1M1_PR_MR
-    NEW met1 ( 1618970 19550 ) M1M2_PR
-    NEW li1 ( 1627250 19550 ) L1M1_PR_MR
-    NEW li1 ( 1629090 17510 ) L1M1_PR_MR
-    NEW met1 ( 1618510 1684190 ) M1M2_PR
-    NEW met1 ( 1616210 1684190 ) M1M2_PR
-    NEW li1 ( 1704530 17510 ) L1M1_PR_MR
-    NEW li1 ( 1704530 22610 ) L1M1_PR_MR
-    NEW li1 ( 1725690 22610 ) L1M1_PR_MR
-    NEW li1 ( 1801130 17510 ) L1M1_PR_MR
-    NEW li1 ( 1822290 14110 ) L1M1_PR_MR
-    NEW met1 ( 1822290 14110 ) M1M2_PR
-    NEW met2 ( 1822290 17340 ) via2_FR
-    NEW met2 ( 1848970 17340 ) via2_FR
-    NEW met1 ( 1848970 17510 ) M1M2_PR
-    NEW li1 ( 1917970 17850 ) L1M1_PR_MR
-    NEW li1 ( 1773990 13090 ) L1M1_PR_MR
-    NEW li1 ( 1773990 14790 ) L1M1_PR_MR
-    NEW met1 ( 1773990 14790 ) M1M2_PR
-    NEW met2 ( 1773990 14620 ) via2_FR
-    NEW met2 ( 1800670 14620 ) via2_FR
-    NEW met1 ( 1800670 17510 ) M1M2_PR
-    NEW li1 ( 1849430 17510 ) L1M1_PR_MR
-    NEW met1 ( 2268490 17850 ) M1M2_PR
-    NEW met1 ( 1822290 14110 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 1773990 14790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 2231230 17510 ) ( 2231230 17850 )
+    NEW met1 ( 2231230 17850 ) ( 2254690 17850 )
+    NEW met2 ( 2254690 2380 0 ) ( 2254690 17850 )
+    NEW met1 ( 1734430 13090 ) ( 1812170 13090 )
+    NEW met1 ( 1621270 18190 ) ( 1631390 18190 )
+    NEW met1 ( 1631390 18190 ) ( 1631390 18530 )
+    NEW met1 ( 1631390 18530 ) ( 1655310 18530 )
+    NEW li1 ( 1655310 18530 ) ( 1655310 20910 )
+    NEW met1 ( 1617130 1676030 ) ( 1621270 1676030 )
+    NEW met2 ( 1617130 1676030 ) ( 1617130 1700340 )
+    NEW met2 ( 1616210 1700340 0 ) ( 1617130 1700340 )
+    NEW met2 ( 1621270 18190 ) ( 1621270 1676030 )
+    NEW met2 ( 1725230 20740 ) ( 1725230 22270 )
+    NEW li1 ( 1725230 12750 ) ( 1725230 22270 )
+    NEW li1 ( 1812170 13090 ) ( 1812170 17510 )
+    NEW met1 ( 1812170 17510 ) ( 2231230 17510 )
+    NEW li1 ( 1656690 18530 ) ( 1656690 20910 )
+    NEW met1 ( 1656690 18530 ) ( 1703610 18530 )
+    NEW met2 ( 1703610 18530 ) ( 1703610 20740 )
+    NEW met1 ( 1655310 20910 ) ( 1656690 20910 )
+    NEW met3 ( 1703610 20740 ) ( 1725230 20740 )
+    NEW li1 ( 1725230 12750 ) L1M1_PR_MR
+    NEW met1 ( 2254690 17850 ) M1M2_PR
+    NEW li1 ( 1812170 13090 ) L1M1_PR_MR
+    NEW met1 ( 1621270 18190 ) M1M2_PR
+    NEW li1 ( 1655310 18530 ) L1M1_PR_MR
+    NEW li1 ( 1655310 20910 ) L1M1_PR_MR
+    NEW met1 ( 1621270 1676030 ) M1M2_PR
+    NEW met1 ( 1617130 1676030 ) M1M2_PR
+    NEW met2 ( 1725230 20740 ) via2_FR
+    NEW li1 ( 1725230 22270 ) L1M1_PR_MR
+    NEW met1 ( 1725230 22270 ) M1M2_PR
+    NEW li1 ( 1812170 17510 ) L1M1_PR_MR
+    NEW li1 ( 1656690 20910 ) L1M1_PR_MR
+    NEW li1 ( 1656690 18530 ) L1M1_PR_MR
+    NEW met1 ( 1703610 18530 ) M1M2_PR
+    NEW met2 ( 1703610 20740 ) via2_FR
+    NEW met1 ( 1725230 22270 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) 
-  + ROUTED met1 ( 1620810 18530 ) ( 1655310 18530 )
-    NEW li1 ( 1655310 18530 ) ( 1655310 21250 )
-    NEW met2 ( 1619890 1700340 0 ) ( 1620810 1700340 )
-    NEW met2 ( 1620810 18530 ) ( 1620810 1700340 )
-    NEW met2 ( 1704990 17340 ) ( 1704990 17510 )
-    NEW met2 ( 1821370 14110 ) ( 1821370 14620 )
-    NEW met3 ( 1821370 14620 ) ( 1825740 14620 )
-    NEW met3 ( 1825740 14620 ) ( 1825740 15300 )
-    NEW li1 ( 1821370 12750 ) ( 1821370 14110 )
-    NEW met2 ( 2286430 2380 0 ) ( 2286430 17510 )
-    NEW met2 ( 1681070 17340 ) ( 1681070 21250 )
-    NEW met1 ( 1655310 21250 ) ( 1681070 21250 )
-    NEW met3 ( 1681070 17340 ) ( 1704990 17340 )
-    NEW met2 ( 1850350 15300 ) ( 1850350 17510 )
-    NEW met3 ( 1825740 15300 ) ( 1850350 15300 )
-    NEW met1 ( 1850350 17510 ) ( 2286430 17510 )
-    NEW li1 ( 1751450 12750 ) ( 1751450 17510 )
-    NEW met1 ( 1704990 17510 ) ( 1751450 17510 )
-    NEW met1 ( 1751450 12750 ) ( 1821370 12750 )
-    NEW li1 ( 1821370 12750 ) L1M1_PR_MR
-    NEW met1 ( 1620810 18530 ) M1M2_PR
-    NEW li1 ( 1655310 18530 ) L1M1_PR_MR
-    NEW li1 ( 1655310 21250 ) L1M1_PR_MR
-    NEW met2 ( 1704990 17340 ) via2_FR
-    NEW met1 ( 1704990 17510 ) M1M2_PR
-    NEW li1 ( 1821370 14110 ) L1M1_PR_MR
-    NEW met1 ( 1821370 14110 ) M1M2_PR
-    NEW met2 ( 1821370 14620 ) via2_FR
-    NEW met1 ( 2286430 17510 ) M1M2_PR
-    NEW met1 ( 1681070 21250 ) M1M2_PR
-    NEW met2 ( 1681070 17340 ) via2_FR
-    NEW met2 ( 1850350 15300 ) via2_FR
-    NEW met1 ( 1850350 17510 ) M1M2_PR
-    NEW li1 ( 1751450 17510 ) L1M1_PR_MR
-    NEW li1 ( 1751450 12750 ) L1M1_PR_MR
-    NEW met1 ( 1821370 14110 ) RECT ( 0 -70 355 70 )
+  + ROUTED met2 ( 2272630 2380 0 ) ( 2272630 2890 )
+    NEW met1 ( 2270330 2890 ) ( 2272630 2890 )
+    NEW met1 ( 1637830 1684870 ) ( 1637830 1685210 )
+    NEW met1 ( 1619890 1684870 ) ( 1637830 1684870 )
+    NEW met2 ( 1619890 1684870 ) ( 1619890 1700340 0 )
+    NEW li1 ( 1680610 1685210 ) ( 1680610 1686910 )
+    NEW met1 ( 1637830 1685210 ) ( 1680610 1685210 )
+    NEW met1 ( 1680610 1686910 ) ( 2270330 1686910 )
+    NEW met2 ( 2270330 2890 ) ( 2270330 1686910 )
+    NEW met1 ( 2272630 2890 ) M1M2_PR
+    NEW met1 ( 2270330 2890 ) M1M2_PR
+    NEW met1 ( 1619890 1684870 ) M1M2_PR
+    NEW li1 ( 1680610 1685210 ) L1M1_PR_MR
+    NEW li1 ( 1680610 1686910 ) L1M1_PR_MR
+    NEW met1 ( 2270330 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) 
-  + ROUTED met1 ( 1628630 13090 ) ( 1729370 13090 )
-    NEW met1 ( 1917510 13090 ) ( 1947870 13090 )
-    NEW met1 ( 1628170 17510 ) ( 1628630 17510 )
-    NEW li1 ( 1628630 13090 ) ( 1628630 17510 )
+  + ROUTED met1 ( 1628630 13090 ) ( 1726150 13090 )
+    NEW met2 ( 1773990 15980 ) ( 1773990 17170 )
+    NEW met2 ( 2290570 2380 0 ) ( 2290570 17170 )
+    NEW met2 ( 1628170 14620 ) ( 1628630 14620 )
+    NEW met2 ( 1628630 13090 ) ( 1628630 14620 )
     NEW met1 ( 1623570 1684530 ) ( 1628170 1684530 )
     NEW met2 ( 1623570 1684530 ) ( 1623570 1700340 0 )
-    NEW met2 ( 1628170 17510 ) ( 1628170 1684530 )
-    NEW met2 ( 1729370 13090 ) ( 1729370 15980 )
-    NEW met2 ( 1801590 15980 ) ( 1801590 17510 )
-    NEW met1 ( 1801590 17510 ) ( 1848510 17510 )
-    NEW met2 ( 1848510 15980 ) ( 1848510 17510 )
-    NEW li1 ( 1917510 13090 ) ( 1917510 17850 )
-    NEW met2 ( 2304370 2380 0 ) ( 2304370 17170 )
-    NEW met2 ( 1753290 15980 ) ( 1753290 17510 )
-    NEW met1 ( 1753290 17510 ) ( 1800210 17510 )
-    NEW met2 ( 1800210 15980 ) ( 1800210 17510 )
-    NEW met3 ( 1729370 15980 ) ( 1753290 15980 )
-    NEW met3 ( 1800210 15980 ) ( 1801590 15980 )
-    NEW met2 ( 1850810 15980 ) ( 1850810 17850 )
-    NEW met3 ( 1848510 15980 ) ( 1850810 15980 )
-    NEW met1 ( 1850810 17850 ) ( 1917510 17850 )
-    NEW li1 ( 1947870 13090 ) ( 1947870 17170 )
-    NEW met1 ( 1947870 17170 ) ( 2304370 17170 )
-    NEW li1 ( 1628630 13090 ) L1M1_PR_MR
-    NEW met1 ( 1729370 13090 ) M1M2_PR
-    NEW li1 ( 1947870 13090 ) L1M1_PR_MR
-    NEW li1 ( 1917510 13090 ) L1M1_PR_MR
-    NEW met1 ( 1628170 17510 ) M1M2_PR
-    NEW li1 ( 1628630 17510 ) L1M1_PR_MR
+    NEW met2 ( 1628170 14620 ) ( 1628170 1684530 )
+    NEW met2 ( 1726150 13090 ) ( 1726150 15980 )
+    NEW met3 ( 1726150 15980 ) ( 1773990 15980 )
+    NEW met1 ( 1773990 17170 ) ( 2290570 17170 )
+    NEW met1 ( 1628630 13090 ) M1M2_PR
+    NEW met1 ( 1726150 13090 ) M1M2_PR
+    NEW met2 ( 1773990 15980 ) via2_FR
+    NEW met1 ( 1773990 17170 ) M1M2_PR
+    NEW met1 ( 2290570 17170 ) M1M2_PR
     NEW met1 ( 1628170 1684530 ) M1M2_PR
     NEW met1 ( 1623570 1684530 ) M1M2_PR
-    NEW met2 ( 1729370 15980 ) via2_FR
-    NEW met2 ( 1801590 15980 ) via2_FR
-    NEW met1 ( 1801590 17510 ) M1M2_PR
-    NEW met1 ( 1848510 17510 ) M1M2_PR
-    NEW met2 ( 1848510 15980 ) via2_FR
-    NEW li1 ( 1917510 17850 ) L1M1_PR_MR
-    NEW met1 ( 2304370 17170 ) M1M2_PR
-    NEW met2 ( 1753290 15980 ) via2_FR
-    NEW met1 ( 1753290 17510 ) M1M2_PR
-    NEW met1 ( 1800210 17510 ) M1M2_PR
-    NEW met2 ( 1800210 15980 ) via2_FR
-    NEW met2 ( 1850810 15980 ) via2_FR
-    NEW met1 ( 1850810 17850 ) M1M2_PR
-    NEW li1 ( 1947870 17170 ) L1M1_PR_MR
+    NEW met2 ( 1726150 15980 ) via2_FR
 + USE SIGNAL ;
 - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) 
-  + ROUTED met2 ( 1627250 1700340 0 ) ( 1627710 1700340 )
-    NEW met2 ( 1627710 20060 ) ( 1627710 1700340 )
-    NEW met2 ( 2322310 2380 0 ) ( 2322310 20060 )
-    NEW met3 ( 1627710 20060 ) ( 2322310 20060 )
-    NEW met2 ( 1627710 20060 ) via2_FR
-    NEW met2 ( 2322310 20060 ) via2_FR
+  + ROUTED met2 ( 2308050 2380 0 ) ( 2308050 20060 )
+    NEW met2 ( 1627250 41140 ) ( 1627710 41140 )
+    NEW met2 ( 1627250 20060 ) ( 1627250 41140 )
+    NEW met2 ( 1627250 1700340 0 ) ( 1627710 1700340 )
+    NEW met2 ( 1627710 41140 ) ( 1627710 1700340 )
+    NEW met3 ( 1627250 20060 ) ( 2308050 20060 )
+    NEW met2 ( 2308050 20060 ) via2_FR
+    NEW met2 ( 1627250 20060 ) via2_FR
 + USE SIGNAL ;
 - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) 
-  + ROUTED met1 ( 1630930 1685210 ) ( 1634610 1685210 )
-    NEW met2 ( 1630930 1685210 ) ( 1630930 1700340 0 )
-    NEW met2 ( 1634610 19380 ) ( 1634610 1685210 )
-    NEW met3 ( 1634610 19380 ) ( 2339790 19380 )
-    NEW met2 ( 2339790 2380 0 ) ( 2339790 19380 )
+  + ROUTED met2 ( 2325990 2380 0 ) ( 2325990 19380 )
+    NEW met1 ( 1630930 1684530 ) ( 1634610 1684530 )
+    NEW met2 ( 1630930 1684530 ) ( 1630930 1700340 0 )
+    NEW met3 ( 1634610 19380 ) ( 2325990 19380 )
+    NEW met2 ( 1634610 19380 ) ( 1634610 1684530 )
+    NEW met2 ( 2325990 19380 ) via2_FR
     NEW met2 ( 1634610 19380 ) via2_FR
-    NEW met1 ( 1634610 1685210 ) M1M2_PR
-    NEW met1 ( 1630930 1685210 ) M1M2_PR
-    NEW met2 ( 2339790 19380 ) via2_FR
+    NEW met1 ( 1634610 1684530 ) M1M2_PR
+    NEW met1 ( 1630930 1684530 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) 
-  + ROUTED met2 ( 1634610 1700340 0 ) ( 1635070 1700340 )
-    NEW met2 ( 1635070 18700 ) ( 1635070 1700340 )
-    NEW met3 ( 1635070 18700 ) ( 2357730 18700 )
-    NEW met2 ( 2357730 2380 0 ) ( 2357730 18700 )
-    NEW met2 ( 1635070 18700 ) via2_FR
-    NEW met2 ( 2357730 18700 ) via2_FR
+  + ROUTED met2 ( 2343470 2380 0 ) ( 2343470 18700 )
+    NEW met2 ( 1632310 62220 ) ( 1632770 62220 )
+    NEW met2 ( 1632310 158780 ) ( 1632770 158780 )
+    NEW met2 ( 1632310 255340 ) ( 1633230 255340 )
+    NEW met2 ( 1632310 449140 ) ( 1632770 449140 )
+    NEW met2 ( 1632310 545700 ) ( 1632770 545700 )
+    NEW met2 ( 1631850 689860 ) ( 1632770 689860 )
+    NEW met1 ( 1632310 738310 ) ( 1633230 738310 )
+    NEW met2 ( 1631850 882980 ) ( 1632770 882980 )
+    NEW met2 ( 1632310 931940 ) ( 1632770 931940 )
+    NEW met2 ( 1630930 1630300 ) ( 1632310 1630300 )
+    NEW met2 ( 1632310 17340 ) ( 1632310 62220 )
+    NEW met1 ( 1632310 158610 ) ( 1633230 158610 )
+    NEW met2 ( 1632310 158610 ) ( 1632310 158780 )
+    NEW met2 ( 1632310 254660 ) ( 1632770 254660 )
+    NEW met2 ( 1632310 254660 ) ( 1632310 255340 )
+    NEW met2 ( 1632770 158780 ) ( 1632770 254660 )
+    NEW met2 ( 1632770 303620 ) ( 1633230 303620 )
+    NEW met2 ( 1633230 255340 ) ( 1633230 303620 )
+    NEW met2 ( 1632310 448460 ) ( 1632770 448460 )
+    NEW met2 ( 1632310 448460 ) ( 1632310 449140 )
+    NEW met2 ( 1632310 545020 ) ( 1632770 545020 )
+    NEW met2 ( 1632310 545020 ) ( 1632310 545700 )
+    NEW met2 ( 1632770 449140 ) ( 1632770 545020 )
+    NEW met2 ( 1632310 714340 ) ( 1632770 714340 )
+    NEW met2 ( 1632310 714340 ) ( 1632310 738310 )
+    NEW met2 ( 1632770 689860 ) ( 1632770 714340 )
+    NEW met2 ( 1632310 931260 ) ( 1632770 931260 )
+    NEW met2 ( 1632310 931260 ) ( 1632310 931940 )
+    NEW met2 ( 1632770 882980 ) ( 1632770 931260 )
+    NEW met2 ( 1630470 1677900 ) ( 1630930 1677900 )
+    NEW met2 ( 1630470 1677900 ) ( 1630470 1685210 )
+    NEW met1 ( 1630470 1685210 ) ( 1634610 1685210 )
+    NEW met2 ( 1634610 1685210 ) ( 1634610 1700340 0 )
+    NEW met2 ( 1630930 1630300 ) ( 1630930 1677900 )
+    NEW met2 ( 2126350 18700 ) ( 2126350 19550 )
+    NEW met1 ( 2126350 19550 ) ( 2173270 19550 )
+    NEW met2 ( 2173270 18700 ) ( 2173270 19550 )
+    NEW met3 ( 2173270 18700 ) ( 2343470 18700 )
+    NEW li1 ( 1632770 96730 ) ( 1632770 144670 )
+    NEW met1 ( 1632770 144670 ) ( 1633230 144670 )
+    NEW met2 ( 1632770 62220 ) ( 1632770 96730 )
+    NEW met2 ( 1633230 144670 ) ( 1633230 158610 )
+    NEW met1 ( 1632310 627470 ) ( 1632770 627470 )
+    NEW met2 ( 1632770 545700 ) ( 1632770 627470 )
+    NEW met2 ( 1631390 1086980 ) ( 1631850 1086980 )
+    NEW met2 ( 1632310 1207340 ) ( 1632770 1207340 )
+    NEW met2 ( 1632770 1159230 ) ( 1632770 1207340 )
+    NEW met1 ( 1632770 1159230 ) ( 1633230 1159230 )
+    NEW li1 ( 1631390 1302370 ) ( 1631390 1304070 )
+    NEW met1 ( 1631390 1302370 ) ( 1631850 1302370 )
+    NEW met1 ( 1631390 1473050 ) ( 1632770 1473050 )
+    NEW met2 ( 1632310 1558220 ) ( 1632770 1558220 )
+    NEW met2 ( 1632310 1558220 ) ( 1632310 1630300 )
+    NEW met2 ( 1632770 1473050 ) ( 1632770 1558220 )
+    NEW met2 ( 1708670 17340 ) ( 1708670 18700 )
+    NEW met3 ( 1632310 17340 ) ( 1708670 17340 )
+    NEW met3 ( 1708670 18700 ) ( 2126350 18700 )
+    NEW met2 ( 1632770 303620 ) ( 1632770 338130 )
+    NEW li1 ( 1631850 641410 ) ( 1631850 676090 )
+    NEW met2 ( 1631850 627980 ) ( 1631850 641410 )
+    NEW met2 ( 1631850 627980 ) ( 1632310 627980 )
+    NEW met2 ( 1631850 676090 ) ( 1631850 689860 )
+    NEW met2 ( 1632310 627470 ) ( 1632310 627980 )
+    NEW met3 ( 1631850 869380 ) ( 1633230 869380 )
+    NEW met2 ( 1631850 869380 ) ( 1631850 882980 )
+    NEW met2 ( 1633230 738310 ) ( 1633230 869380 )
+    NEW met3 ( 1631850 1062500 ) ( 1632770 1062500 )
+    NEW met2 ( 1631850 1062500 ) ( 1631850 1086980 )
+    NEW met2 ( 1632770 931940 ) ( 1632770 1062500 )
+    NEW met1 ( 1631390 1110950 ) ( 1633230 1110950 )
+    NEW met2 ( 1631390 1086980 ) ( 1631390 1110950 )
+    NEW met2 ( 1633230 1110950 ) ( 1633230 1159230 )
+    NEW li1 ( 1631850 1220770 ) ( 1631850 1231650 )
+    NEW met1 ( 1631850 1220770 ) ( 1632310 1220770 )
+    NEW met2 ( 1631850 1231650 ) ( 1631850 1302370 )
+    NEW met2 ( 1632310 1207340 ) ( 1632310 1220770 )
+    NEW met2 ( 1631390 1317500 ) ( 1632770 1317500 )
+    NEW met2 ( 1631390 1304070 ) ( 1631390 1317500 )
+    NEW met1 ( 1631390 1448910 ) ( 1632770 1448910 )
+    NEW met2 ( 1631390 1448910 ) ( 1631390 1473050 )
+    NEW met2 ( 1632770 1317500 ) ( 1632770 1448910 )
+    NEW li1 ( 1632770 338130 ) ( 1632770 427550 )
+    NEW met2 ( 1632770 427550 ) ( 1632770 448460 )
+    NEW met2 ( 2343470 18700 ) via2_FR
+    NEW met1 ( 1632310 738310 ) M1M2_PR
+    NEW met1 ( 1633230 738310 ) M1M2_PR
+    NEW met2 ( 1632310 17340 ) via2_FR
+    NEW met1 ( 1632310 158610 ) M1M2_PR
+    NEW met1 ( 1633230 158610 ) M1M2_PR
+    NEW met1 ( 1630470 1685210 ) M1M2_PR
+    NEW met1 ( 1634610 1685210 ) M1M2_PR
+    NEW met2 ( 2126350 18700 ) via2_FR
+    NEW met1 ( 2126350 19550 ) M1M2_PR
+    NEW met1 ( 2173270 19550 ) M1M2_PR
+    NEW met2 ( 2173270 18700 ) via2_FR
+    NEW li1 ( 1632770 96730 ) L1M1_PR_MR
+    NEW met1 ( 1632770 96730 ) M1M2_PR
+    NEW li1 ( 1632770 144670 ) L1M1_PR_MR
+    NEW met1 ( 1633230 144670 ) M1M2_PR
+    NEW met1 ( 1632310 627470 ) M1M2_PR
+    NEW met1 ( 1632770 627470 ) M1M2_PR
+    NEW met1 ( 1632770 1159230 ) M1M2_PR
+    NEW met1 ( 1633230 1159230 ) M1M2_PR
+    NEW li1 ( 1631390 1304070 ) L1M1_PR_MR
+    NEW met1 ( 1631390 1304070 ) M1M2_PR
+    NEW li1 ( 1631390 1302370 ) L1M1_PR_MR
+    NEW met1 ( 1631850 1302370 ) M1M2_PR
+    NEW met1 ( 1631390 1473050 ) M1M2_PR
+    NEW met1 ( 1632770 1473050 ) M1M2_PR
+    NEW met2 ( 1708670 17340 ) via2_FR
+    NEW met2 ( 1708670 18700 ) via2_FR
+    NEW li1 ( 1632770 338130 ) L1M1_PR_MR
+    NEW met1 ( 1632770 338130 ) M1M2_PR
+    NEW li1 ( 1631850 676090 ) L1M1_PR_MR
+    NEW met1 ( 1631850 676090 ) M1M2_PR
+    NEW li1 ( 1631850 641410 ) L1M1_PR_MR
+    NEW met1 ( 1631850 641410 ) M1M2_PR
+    NEW met2 ( 1631850 869380 ) via2_FR
+    NEW met2 ( 1633230 869380 ) via2_FR
+    NEW met2 ( 1631850 1062500 ) via2_FR
+    NEW met2 ( 1632770 1062500 ) via2_FR
+    NEW met1 ( 1631390 1110950 ) M1M2_PR
+    NEW met1 ( 1633230 1110950 ) M1M2_PR
+    NEW li1 ( 1631850 1231650 ) L1M1_PR_MR
+    NEW met1 ( 1631850 1231650 ) M1M2_PR
+    NEW li1 ( 1631850 1220770 ) L1M1_PR_MR
+    NEW met1 ( 1632310 1220770 ) M1M2_PR
+    NEW met1 ( 1631390 1448910 ) M1M2_PR
+    NEW met1 ( 1632770 1448910 ) M1M2_PR
+    NEW li1 ( 1632770 427550 ) L1M1_PR_MR
+    NEW met1 ( 1632770 427550 ) M1M2_PR
+    NEW met2 ( 1632310 158610 ) RECT ( -70 -315 70 0 )
+    NEW met1 ( 1632770 96730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1631390 1304070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1632770 338130 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1631850 676090 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1631850 641410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1631850 1231650 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1632770 427550 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) 
-  + ROUTED met1 ( 1638290 1684870 ) ( 1641510 1684870 )
+  + ROUTED met2 ( 2361410 2380 0 ) ( 2361410 18020 )
+    NEW met1 ( 1638290 1684870 ) ( 1641970 1684870 )
     NEW met2 ( 1638290 1684870 ) ( 1638290 1700340 0 )
-    NEW met2 ( 2375670 2380 0 ) ( 2375670 18020 )
-    NEW met4 ( 1737420 18020 ) ( 1737420 18700 )
-    NEW met4 ( 1737420 18700 ) ( 1740180 18700 )
-    NEW met4 ( 1740180 18020 ) ( 1740180 18700 )
-    NEW met3 ( 1641510 18020 ) ( 1737420 18020 )
-    NEW met3 ( 1740180 18020 ) ( 2375670 18020 )
-    NEW met2 ( 1641510 18020 ) ( 1641510 1684870 )
-    NEW met2 ( 1641510 18020 ) via2_FR
-    NEW met1 ( 1641510 1684870 ) M1M2_PR
+    NEW met4 ( 2125660 18020 ) ( 2125660 18700 )
+    NEW met4 ( 2125660 18700 ) ( 2127500 18700 )
+    NEW met4 ( 2127500 18020 ) ( 2127500 18700 )
+    NEW met3 ( 2127500 18020 ) ( 2361410 18020 )
+    NEW met2 ( 1641510 31790 ) ( 1641970 31790 )
+    NEW met2 ( 1641510 18700 ) ( 1641510 31790 )
+    NEW met2 ( 1641970 31790 ) ( 1641970 1684870 )
+    NEW met3 ( 1703610 18530 ) ( 1703610 18700 )
+    NEW met3 ( 1703610 18530 ) ( 1705220 18530 )
+    NEW met3 ( 1705220 18020 ) ( 1705220 18530 )
+    NEW met3 ( 1641510 18700 ) ( 1703610 18700 )
+    NEW met3 ( 1705220 18020 ) ( 2125660 18020 )
+    NEW met2 ( 2361410 18020 ) via2_FR
+    NEW met1 ( 1641970 1684870 ) M1M2_PR
     NEW met1 ( 1638290 1684870 ) M1M2_PR
-    NEW met2 ( 2375670 18020 ) via2_FR
-    NEW met3 ( 1737420 18020 ) M3M4_PR_M
-    NEW met3 ( 1740180 18020 ) M3M4_PR_M
+    NEW met3 ( 2125660 18020 ) M3M4_PR_M
+    NEW met3 ( 2127500 18020 ) M3M4_PR_M
+    NEW met2 ( 1641510 18700 ) via2_FR
 + USE SIGNAL ;
 - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) 
-  + ROUTED met2 ( 2393610 2380 0 ) ( 2393610 17340 )
-    NEW met2 ( 1873350 14620 ) ( 1873350 17340 )
-    NEW met3 ( 1665660 15980 ) ( 1665660 17340 )
-    NEW met3 ( 1665660 15980 ) ( 1689350 15980 )
-    NEW met2 ( 1689350 15980 ) ( 1689350 16660 )
-    NEW met2 ( 1689350 16660 ) ( 1690270 16660 )
-    NEW met3 ( 1641970 17340 ) ( 1665660 17340 )
-    NEW met3 ( 1714420 16660 ) ( 1714420 17340 )
-    NEW met3 ( 1690270 16660 ) ( 1714420 16660 )
-    NEW met3 ( 1811020 16660 ) ( 1811020 17340 )
-    NEW met3 ( 1811020 16660 ) ( 1824130 16660 )
-    NEW met2 ( 1824130 14620 ) ( 1824130 16660 )
-    NEW met2 ( 1824130 14620 ) ( 1826430 14620 )
-    NEW met3 ( 1826430 14620 ) ( 1873350 14620 )
-    NEW met3 ( 1738570 17340 ) ( 1738570 17510 )
-    NEW met3 ( 1738570 17510 ) ( 1739490 17510 )
-    NEW met3 ( 1739490 17340 ) ( 1739490 17510 )
-    NEW met3 ( 1714420 17340 ) ( 1738570 17340 )
-    NEW met3 ( 1739490 17340 ) ( 1811020 17340 )
-    NEW met2 ( 2165450 16830 ) ( 2165450 17340 )
-    NEW met2 ( 2165450 16830 ) ( 2166370 16830 )
-    NEW met2 ( 2166370 16830 ) ( 2166370 17340 )
-    NEW met3 ( 1873350 17340 ) ( 2165450 17340 )
-    NEW met3 ( 2166370 17340 ) ( 2393610 17340 )
-    NEW met2 ( 1641970 17340 ) ( 1641970 1700340 0 )
-    NEW met2 ( 1641970 17340 ) via2_FR
-    NEW met2 ( 2393610 17340 ) via2_FR
-    NEW met2 ( 1873350 14620 ) via2_FR
-    NEW met2 ( 1873350 17340 ) via2_FR
-    NEW met2 ( 1689350 15980 ) via2_FR
-    NEW met2 ( 1690270 16660 ) via2_FR
-    NEW met2 ( 1824130 16660 ) via2_FR
-    NEW met2 ( 1826430 14620 ) via2_FR
-    NEW met2 ( 2165450 17340 ) via2_FR
-    NEW met2 ( 2166370 17340 ) via2_FR
+  + ROUTED met2 ( 2378890 2380 0 ) ( 2378890 17340 )
+    NEW met2 ( 1641510 1700340 ) ( 1641970 1700340 0 )
+    NEW met2 ( 2125890 17340 ) ( 2128190 17340 )
+    NEW met3 ( 2128190 17340 ) ( 2378890 17340 )
+    NEW met2 ( 1710510 14620 ) ( 1710510 17340 )
+    NEW met3 ( 1710510 17340 ) ( 2125890 17340 )
+    NEW met1 ( 1641510 36550 ) ( 1656690 36550 )
+    NEW met2 ( 1656690 14620 ) ( 1656690 36550 )
+    NEW met2 ( 1641510 36550 ) ( 1641510 1700340 )
+    NEW met3 ( 1656690 14620 ) ( 1710510 14620 )
+    NEW met2 ( 2378890 17340 ) via2_FR
+    NEW met2 ( 2125890 17340 ) via2_FR
+    NEW met2 ( 2128190 17340 ) via2_FR
+    NEW met2 ( 1710510 14620 ) via2_FR
+    NEW met2 ( 1710510 17340 ) via2_FR
+    NEW met1 ( 1641510 36550 ) M1M2_PR
+    NEW met1 ( 1656690 36550 ) M1M2_PR
+    NEW met2 ( 1656690 14620 ) via2_FR
 + USE SIGNAL ;
 - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) 
-  + ROUTED met2 ( 1646570 54060 ) ( 1647030 54060 )
-    NEW met2 ( 1646110 1656140 ) ( 1647030 1656140 )
-    NEW met2 ( 1646110 1656140 ) ( 1646110 1700340 )
-    NEW met2 ( 1645650 1700340 0 ) ( 1646110 1700340 )
-    NEW met2 ( 1647030 54060 ) ( 1647030 1656140 )
-    NEW met3 ( 1824820 15300 ) ( 1824820 16660 )
-    NEW met3 ( 1776980 15300 ) ( 1776980 16660 )
-    NEW met3 ( 1776980 15300 ) ( 1824820 15300 )
-    NEW met2 ( 1646570 14620 ) ( 1646570 54060 )
-    NEW met2 ( 1728910 14620 ) ( 1728910 16660 )
-    NEW met3 ( 1646570 14620 ) ( 1728910 14620 )
-    NEW met3 ( 1728910 16660 ) ( 1776980 16660 )
-    NEW met3 ( 2137620 15300 ) ( 2137620 15980 )
-    NEW met3 ( 2137620 15300 ) ( 2166140 15300 )
-    NEW met3 ( 2166140 15300 ) ( 2166140 16660 )
-    NEW met2 ( 2330590 15980 ) ( 2330590 16830 )
-    NEW met2 ( 2070230 16660 ) ( 2070230 17340 )
-    NEW met2 ( 2070230 17340 ) ( 2070690 17340 )
-    NEW met2 ( 2070690 14790 ) ( 2070690 17340 )
-    NEW met1 ( 2070690 14790 ) ( 2118070 14790 )
-    NEW met2 ( 2118070 14790 ) ( 2118070 15980 )
-    NEW met3 ( 2118070 15980 ) ( 2137620 15980 )
-    NEW met2 ( 2166830 16660 ) ( 2166830 16830 )
-    NEW met3 ( 2166140 16660 ) ( 2166830 16660 )
-    NEW met3 ( 2263660 15300 ) ( 2263660 15980 )
-    NEW met3 ( 2263660 15300 ) ( 2311270 15300 )
-    NEW met2 ( 2311270 15300 ) ( 2311270 15980 )
-    NEW met3 ( 2311270 15980 ) ( 2330590 15980 )
-    NEW met2 ( 2256070 15980 ) ( 2256070 16830 )
-    NEW met1 ( 2166830 16830 ) ( 2256070 16830 )
-    NEW met3 ( 2256070 15980 ) ( 2263660 15980 )
-    NEW met2 ( 2411550 2380 0 ) ( 2411550 15980 )
-    NEW met2 ( 1876570 16660 ) ( 1877490 16660 )
-    NEW met3 ( 1824820 16660 ) ( 1876570 16660 )
-    NEW met2 ( 2400970 15980 ) ( 2400970 16830 )
-    NEW met1 ( 2330590 16830 ) ( 2400970 16830 )
-    NEW met3 ( 2400970 15980 ) ( 2411550 15980 )
-    NEW met2 ( 2032050 14790 ) ( 2032050 15980 )
-    NEW met1 ( 2032050 14790 ) ( 2055970 14790 )
-    NEW met2 ( 2055970 14790 ) ( 2055970 16660 )
-    NEW met3 ( 2055970 16660 ) ( 2070230 16660 )
-    NEW met2 ( 1959830 14620 ) ( 1959830 16660 )
-    NEW met3 ( 1959830 14620 ) ( 2007670 14620 )
-    NEW met2 ( 2007670 14620 ) ( 2007670 15980 )
-    NEW met3 ( 1877490 16660 ) ( 1959830 16660 )
-    NEW met3 ( 2007670 15980 ) ( 2032050 15980 )
-    NEW met2 ( 1646570 14620 ) via2_FR
-    NEW met2 ( 1728910 14620 ) via2_FR
-    NEW met2 ( 1728910 16660 ) via2_FR
-    NEW met2 ( 2330590 15980 ) via2_FR
-    NEW met1 ( 2330590 16830 ) M1M2_PR
-    NEW met2 ( 2070230 16660 ) via2_FR
-    NEW met1 ( 2070690 14790 ) M1M2_PR
-    NEW met1 ( 2118070 14790 ) M1M2_PR
-    NEW met2 ( 2118070 15980 ) via2_FR
-    NEW met2 ( 2166830 16660 ) via2_FR
-    NEW met1 ( 2166830 16830 ) M1M2_PR
-    NEW met2 ( 2311270 15300 ) via2_FR
-    NEW met2 ( 2311270 15980 ) via2_FR
-    NEW met1 ( 2256070 16830 ) M1M2_PR
-    NEW met2 ( 2256070 15980 ) via2_FR
-    NEW met2 ( 2411550 15980 ) via2_FR
-    NEW met2 ( 1876570 16660 ) via2_FR
-    NEW met2 ( 1877490 16660 ) via2_FR
-    NEW met1 ( 2400970 16830 ) M1M2_PR
-    NEW met2 ( 2400970 15980 ) via2_FR
-    NEW met2 ( 2032050 15980 ) via2_FR
-    NEW met1 ( 2032050 14790 ) M1M2_PR
-    NEW met1 ( 2055970 14790 ) M1M2_PR
-    NEW met2 ( 2055970 16660 ) via2_FR
-    NEW met2 ( 1959830 16660 ) via2_FR
-    NEW met2 ( 1959830 14620 ) via2_FR
-    NEW met2 ( 2007670 14620 ) via2_FR
-    NEW met2 ( 2007670 15980 ) via2_FR
+  + ROUTED met2 ( 2396830 2380 0 ) ( 2396830 16660 )
+    NEW met2 ( 1646570 1656140 ) ( 1647030 1656140 )
+    NEW met2 ( 1646570 1656140 ) ( 1646570 1700340 )
+    NEW met2 ( 1645650 1700340 0 ) ( 1646570 1700340 )
+    NEW met2 ( 1647030 16660 ) ( 1647030 1656140 )
+    NEW met3 ( 1681300 15980 ) ( 1681300 16660 )
+    NEW met3 ( 1647030 16660 ) ( 1681300 16660 )
+    NEW met3 ( 2125660 15980 ) ( 2125660 16660 )
+    NEW met4 ( 2125660 15300 ) ( 2125660 15980 )
+    NEW met4 ( 2125660 15300 ) ( 2126580 15300 )
+    NEW met4 ( 2126580 15300 ) ( 2126580 16660 )
+    NEW met3 ( 2126580 16660 ) ( 2396830 16660 )
+    NEW met3 ( 1714420 15980 ) ( 1714420 16660 )
+    NEW met3 ( 1681300 15980 ) ( 1714420 15980 )
+    NEW met3 ( 1714420 16660 ) ( 2125660 16660 )
+    NEW met2 ( 2396830 16660 ) via2_FR
+    NEW met2 ( 1647030 16660 ) via2_FR
+    NEW met3 ( 2125660 15980 ) M3M4_PR_M
+    NEW met3 ( 2126580 16660 ) M3M4_PR_M
 + USE SIGNAL ;
 - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) 
-  + ROUTED met1 ( 805690 44370 ) ( 811670 44370 )
-    NEW met1 ( 811670 44030 ) ( 811670 44370 )
-    NEW met2 ( 805690 2380 0 ) ( 805690 44370 )
-    NEW li1 ( 1269830 44030 ) ( 1270750 44030 )
-    NEW li1 ( 1270750 44030 ) ( 1270750 49470 )
-    NEW met1 ( 1270750 49470 ) ( 1293290 49470 )
-    NEW li1 ( 1293290 47430 ) ( 1293290 49470 )
-    NEW met1 ( 1293290 47430 ) ( 1312150 47430 )
-    NEW met1 ( 811670 44030 ) ( 1269830 44030 )
-    NEW met1 ( 1312150 1677730 ) ( 1314450 1677730 )
-    NEW met2 ( 1314450 1677730 ) ( 1314450 1700340 )
+  + ROUTED met2 ( 800630 2380 0 ) ( 800630 44030 )
+    NEW met2 ( 1270750 43860 ) ( 1270750 44030 )
+    NEW met3 ( 1270750 43860 ) ( 1293750 43860 )
+    NEW met2 ( 1293750 43860 ) ( 1293750 47090 )
+    NEW met1 ( 1293750 47090 ) ( 1314450 47090 )
+    NEW met1 ( 800630 44030 ) ( 1270750 44030 )
     NEW met2 ( 1314450 1700340 ) ( 1315370 1700340 0 )
-    NEW met2 ( 1312150 47430 ) ( 1312150 1677730 )
-    NEW met1 ( 805690 44370 ) M1M2_PR
-    NEW li1 ( 1269830 44030 ) L1M1_PR_MR
-    NEW li1 ( 1270750 49470 ) L1M1_PR_MR
-    NEW li1 ( 1293290 49470 ) L1M1_PR_MR
-    NEW li1 ( 1293290 47430 ) L1M1_PR_MR
-    NEW met1 ( 1312150 47430 ) M1M2_PR
-    NEW met1 ( 1312150 1677730 ) M1M2_PR
-    NEW met1 ( 1314450 1677730 ) M1M2_PR
+    NEW met2 ( 1314450 47090 ) ( 1314450 1700340 )
+    NEW met1 ( 800630 44030 ) M1M2_PR
+    NEW met1 ( 1270750 44030 ) M1M2_PR
+    NEW met2 ( 1270750 43860 ) via2_FR
+    NEW met2 ( 1293750 43860 ) via2_FR
+    NEW met1 ( 1293750 47090 ) M1M2_PR
+    NEW met1 ( 1314450 47090 ) M1M2_PR
 + USE SIGNAL ;
 - user_clock2 ( PIN user_clock2 ) 
 + USE SIGNAL ;
 - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) 
   + ROUTED met2 ( 2990 2380 0 ) ( 2990 23970 )
-    NEW li1 ( 1135510 24990 ) ( 1135510 25330 )
-    NEW li1 ( 1135510 24990 ) ( 1136430 24990 )
-    NEW met1 ( 1136430 24990 ) ( 1146090 24990 )
     NEW met2 ( 1146090 1700340 ) ( 1150230 1700340 0 )
-    NEW met2 ( 1146090 24990 ) ( 1146090 1700340 )
-    NEW li1 ( 1101010 23970 ) ( 1101010 25330 )
-    NEW met1 ( 2990 23970 ) ( 1101010 23970 )
-    NEW met1 ( 1101010 25330 ) ( 1135510 25330 )
+    NEW met2 ( 1124930 22780 ) ( 1124930 23970 )
+    NEW met3 ( 1124930 22780 ) ( 1146090 22780 )
+    NEW met2 ( 1146090 22780 ) ( 1146090 1700340 )
+    NEW met1 ( 2990 23970 ) ( 1124930 23970 )
     NEW met1 ( 2990 23970 ) M1M2_PR
-    NEW li1 ( 1135510 25330 ) L1M1_PR_MR
-    NEW li1 ( 1136430 24990 ) L1M1_PR_MR
-    NEW met1 ( 1146090 24990 ) M1M2_PR
-    NEW li1 ( 1101010 23970 ) L1M1_PR_MR
-    NEW li1 ( 1101010 25330 ) L1M1_PR_MR
+    NEW met1 ( 1124930 23970 ) M1M2_PR
+    NEW met2 ( 1124930 22780 ) via2_FR
+    NEW met2 ( 1146090 22780 ) via2_FR
 + USE SIGNAL ;
 - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) 
-  + ROUTED met2 ( 8510 2380 0 ) ( 8510 25330 )
-    NEW met1 ( 1146550 931770 ) ( 1147470 931770 )
-    NEW met1 ( 1146550 1124890 ) ( 1147470 1124890 )
-    NEW met1 ( 1135970 24990 ) ( 1135970 25330 )
-    NEW met1 ( 1135970 25330 ) ( 1144250 25330 )
-    NEW li1 ( 1144250 25330 ) ( 1144250 25670 )
-    NEW li1 ( 1144250 25670 ) ( 1145170 25670 )
-    NEW li1 ( 1145170 24990 ) ( 1145170 25670 )
-    NEW li1 ( 1145170 24990 ) ( 1146550 24990 )
-    NEW met1 ( 1146550 24990 ) ( 1148390 24990 )
-    NEW met2 ( 1147010 207060 ) ( 1147470 207060 )
+  + ROUTED met2 ( 8510 2380 0 ) ( 8510 24990 )
+    NEW met1 ( 1147010 1676710 ) ( 1151150 1676710 )
+    NEW met2 ( 1151150 1676710 ) ( 1151150 1700340 0 )
+    NEW met2 ( 1146550 206380 ) ( 1147010 206380 )
+    NEW met1 ( 1146550 303450 ) ( 1147470 303450 )
+    NEW met1 ( 1146550 1511130 ) ( 1147470 1511130 )
+    NEW met2 ( 1124930 24820 ) ( 1124930 24990 )
+    NEW met3 ( 1124930 24820 ) ( 1148390 24820 )
+    NEW met1 ( 1147010 158610 ) ( 1147930 158610 )
+    NEW met2 ( 1147010 158610 ) ( 1147010 206380 )
+    NEW met2 ( 1146550 207060 ) ( 1147010 207060 )
+    NEW met2 ( 1146550 206380 ) ( 1146550 207060 )
+    NEW met2 ( 1146550 303620 ) ( 1147010 303620 )
+    NEW met2 ( 1146550 303450 ) ( 1146550 303620 )
+    NEW met2 ( 1147010 448460 ) ( 1147470 448460 )
+    NEW met2 ( 1147010 545020 ) ( 1147470 545020 )
     NEW met2 ( 1147010 641580 ) ( 1147470 641580 )
-    NEW met2 ( 1146550 931260 ) ( 1147010 931260 )
-    NEW met2 ( 1146550 931260 ) ( 1146550 931770 )
-    NEW met2 ( 1146550 1124380 ) ( 1147010 1124380 )
-    NEW met2 ( 1147010 1076780 ) ( 1147010 1124380 )
-    NEW met2 ( 1147010 1076780 ) ( 1147470 1076780 )
-    NEW met2 ( 1146550 1124380 ) ( 1146550 1124890 )
-    NEW met2 ( 1147010 1269900 ) ( 1147470 1269900 )
+    NEW met2 ( 1147010 738140 ) ( 1147470 738140 )
+    NEW met2 ( 1147010 834700 ) ( 1147470 834700 )
+    NEW met2 ( 1147010 931260 ) ( 1147470 931260 )
+    NEW met2 ( 1147010 1027820 ) ( 1147470 1027820 )
+    NEW met2 ( 1147010 1124380 ) ( 1147470 1124380 )
+    NEW met2 ( 1147010 1220940 ) ( 1147470 1220940 )
+    NEW met2 ( 1146550 1366460 ) ( 1147010 1366460 )
+    NEW met2 ( 1147010 1366460 ) ( 1147010 1414060 )
+    NEW met2 ( 1147010 1414060 ) ( 1147470 1414060 )
+    NEW met2 ( 1146550 1510620 ) ( 1147010 1510620 )
+    NEW met2 ( 1146550 1510620 ) ( 1146550 1511130 )
     NEW met2 ( 1147010 1559580 ) ( 1147470 1559580 )
-    NEW met1 ( 1147470 1677730 ) ( 1151150 1677730 )
-    NEW met2 ( 1151150 1677730 ) ( 1151150 1700340 0 )
-    NEW met1 ( 1100550 24990 ) ( 1100550 25330 )
-    NEW met1 ( 8510 25330 ) ( 1100550 25330 )
-    NEW met1 ( 1100550 24990 ) ( 1135970 24990 )
-    NEW met1 ( 1147470 120870 ) ( 1148390 120870 )
-    NEW met2 ( 1148390 24990 ) ( 1148390 120870 )
+    NEW met2 ( 1147470 1511130 ) ( 1147470 1559580 )
+    NEW met1 ( 8510 24990 ) ( 1124930 24990 )
+    NEW met1 ( 1147930 144670 ) ( 1148390 144670 )
+    NEW met2 ( 1147930 144670 ) ( 1147930 158610 )
+    NEW met2 ( 1148390 24820 ) ( 1148390 144670 )
+    NEW met1 ( 1147010 496570 ) ( 1147010 496910 )
+    NEW met1 ( 1146550 496570 ) ( 1147010 496570 )
+    NEW met2 ( 1146550 483140 ) ( 1146550 496570 )
+    NEW met3 ( 1146550 483140 ) ( 1147470 483140 )
+    NEW met2 ( 1147010 496910 ) ( 1147010 545020 )
+    NEW met2 ( 1147470 448460 ) ( 1147470 483140 )
+    NEW met1 ( 1147010 627810 ) ( 1148390 627810 )
+    NEW met2 ( 1148390 579700 ) ( 1148390 627810 )
+    NEW met3 ( 1147470 579700 ) ( 1148390 579700 )
+    NEW met2 ( 1147010 627810 ) ( 1147010 641580 )
+    NEW met2 ( 1147470 545020 ) ( 1147470 579700 )
     NEW met1 ( 1147010 689690 ) ( 1147010 690030 )
     NEW met1 ( 1146550 689690 ) ( 1147010 689690 )
     NEW met2 ( 1146550 676260 ) ( 1146550 689690 )
     NEW met3 ( 1146550 676260 ) ( 1147470 676260 )
+    NEW met2 ( 1147010 690030 ) ( 1147010 738140 )
     NEW met2 ( 1147470 641580 ) ( 1147470 676260 )
-    NEW met1 ( 1147470 158270 ) ( 1147470 158950 )
-    NEW met2 ( 1147470 120870 ) ( 1147470 158270 )
-    NEW met2 ( 1147470 158950 ) ( 1147470 207060 )
-    NEW met2 ( 1146550 265540 ) ( 1147010 265540 )
-    NEW met2 ( 1147010 207060 ) ( 1147010 265540 )
-    NEW met2 ( 1147010 724540 ) ( 1147930 724540 )
-    NEW met2 ( 1147010 690030 ) ( 1147010 724540 )
-    NEW met2 ( 1147010 834700 ) ( 1147470 834700 )
-    NEW met2 ( 1147470 821100 ) ( 1147470 834700 )
-    NEW met2 ( 1147470 821100 ) ( 1147930 821100 )
-    NEW li1 ( 1147470 1027650 ) ( 1147470 1029010 )
-    NEW met1 ( 1147470 1029010 ) ( 1147930 1029010 )
-    NEW met2 ( 1147930 1029010 ) ( 1147930 1062500 )
-    NEW met2 ( 1147470 1062500 ) ( 1147930 1062500 )
-    NEW met2 ( 1147470 931770 ) ( 1147470 1027650 )
-    NEW met2 ( 1147470 1062500 ) ( 1147470 1076780 )
-    NEW li1 ( 1147470 1220770 ) ( 1147470 1255790 )
-    NEW met2 ( 1147470 1124890 ) ( 1147470 1220770 )
-    NEW met2 ( 1147470 1255790 ) ( 1147470 1269900 )
-    NEW met2 ( 1146550 1328380 ) ( 1147010 1328380 )
-    NEW met2 ( 1147010 1269900 ) ( 1147010 1328380 )
-    NEW li1 ( 1147010 1607010 ) ( 1147010 1642030 )
-    NEW met1 ( 1147010 1642030 ) ( 1147470 1642030 )
+    NEW met1 ( 1147010 820930 ) ( 1147470 820930 )
+    NEW met2 ( 1147010 820930 ) ( 1147010 834700 )
+    NEW met2 ( 1147470 738140 ) ( 1147470 820930 )
+    NEW met1 ( 1147010 917490 ) ( 1147470 917490 )
+    NEW met2 ( 1147010 917490 ) ( 1147010 931260 )
+    NEW met2 ( 1147470 834700 ) ( 1147470 917490 )
+    NEW met1 ( 1147010 1014050 ) ( 1147470 1014050 )
+    NEW met2 ( 1147010 1014050 ) ( 1147010 1027820 )
+    NEW met2 ( 1147470 931260 ) ( 1147470 1014050 )
+    NEW met1 ( 1147010 1110610 ) ( 1147470 1110610 )
+    NEW met2 ( 1147010 1110610 ) ( 1147010 1124380 )
+    NEW met2 ( 1147470 1027820 ) ( 1147470 1110610 )
+    NEW met1 ( 1147010 1207170 ) ( 1147470 1207170 )
+    NEW met2 ( 1147010 1207170 ) ( 1147010 1220940 )
+    NEW met2 ( 1147470 1124380 ) ( 1147470 1207170 )
+    NEW met2 ( 1146550 1303900 ) ( 1147010 1303900 )
+    NEW met2 ( 1146550 1279420 ) ( 1146550 1303900 )
+    NEW met2 ( 1146550 1279420 ) ( 1147470 1279420 )
+    NEW met2 ( 1147470 1220940 ) ( 1147470 1279420 )
+    NEW li1 ( 1147010 1449250 ) ( 1147010 1497190 )
+    NEW met1 ( 1147010 1449250 ) ( 1147470 1449250 )
+    NEW met2 ( 1147010 1497190 ) ( 1147010 1510620 )
+    NEW met2 ( 1147470 1414060 ) ( 1147470 1449250 )
+    NEW met2 ( 1147010 255340 ) ( 1147470 255340 )
+    NEW met2 ( 1147010 207060 ) ( 1147010 255340 )
+    NEW met2 ( 1147470 255340 ) ( 1147470 303450 )
+    NEW met2 ( 1147010 362100 ) ( 1147470 362100 )
+    NEW met2 ( 1147010 303620 ) ( 1147010 362100 )
+    NEW met2 ( 1146550 1316820 ) ( 1147010 1316820 )
+    NEW met2 ( 1146550 1316820 ) ( 1146550 1366460 )
+    NEW met2 ( 1147010 1303900 ) ( 1147010 1316820 )
+    NEW li1 ( 1147010 1607010 ) ( 1147010 1608370 )
     NEW met2 ( 1147010 1559580 ) ( 1147010 1607010 )
-    NEW met2 ( 1147470 1642030 ) ( 1147470 1677730 )
-    NEW met1 ( 1146550 303450 ) ( 1146550 304130 )
-    NEW met1 ( 1146550 304130 ) ( 1147010 304130 )
-    NEW met2 ( 1146550 265540 ) ( 1146550 303450 )
-    NEW li1 ( 1147470 399330 ) ( 1147470 400690 )
-    NEW met1 ( 1147470 400690 ) ( 1147930 400690 )
-    NEW li1 ( 1146550 573410 ) ( 1146550 593810 )
-    NEW met1 ( 1146550 593810 ) ( 1147010 593810 )
-    NEW met2 ( 1147010 593810 ) ( 1147010 641580 )
-    NEW li1 ( 1147930 785570 ) ( 1147930 814130 )
-    NEW met2 ( 1147930 724540 ) ( 1147930 785570 )
-    NEW met2 ( 1147930 814130 ) ( 1147930 821100 )
-    NEW li1 ( 1147010 882810 ) ( 1147010 910690 )
-    NEW met2 ( 1147010 834700 ) ( 1147010 882810 )
-    NEW met2 ( 1147010 910690 ) ( 1147010 931260 )
-    NEW met1 ( 1146550 1345550 ) ( 1147010 1345550 )
-    NEW met2 ( 1147010 1345550 ) ( 1147010 1366460 )
-    NEW met2 ( 1147010 1366460 ) ( 1147930 1366460 )
-    NEW met2 ( 1146550 1328380 ) ( 1146550 1345550 )
-    NEW met1 ( 1147010 1490050 ) ( 1148850 1490050 )
-    NEW met1 ( 1147010 331330 ) ( 1147470 331330 )
-    NEW met2 ( 1147010 304130 ) ( 1147010 331330 )
-    NEW met2 ( 1147470 331330 ) ( 1147470 399330 )
-    NEW met1 ( 1147010 427890 ) ( 1147930 427890 )
-    NEW met2 ( 1147930 400690 ) ( 1147930 427890 )
-    NEW met1 ( 1146550 544850 ) ( 1146550 545190 )
-    NEW met1 ( 1146550 544850 ) ( 1147010 544850 )
-    NEW met2 ( 1146550 545190 ) ( 1146550 573410 )
-    NEW met1 ( 1147470 1393830 ) ( 1147930 1393830 )
-    NEW met2 ( 1147930 1366460 ) ( 1147930 1393830 )
-    NEW met1 ( 1147010 1538670 ) ( 1147470 1538670 )
-    NEW met2 ( 1147010 1490050 ) ( 1147010 1538670 )
-    NEW met2 ( 1147470 1538670 ) ( 1147470 1559580 )
-    NEW li1 ( 1146550 517310 ) ( 1147010 517310 )
-    NEW met1 ( 1146550 517310 ) ( 1147010 517310 )
-    NEW li1 ( 1147010 427890 ) ( 1147010 517310 )
-    NEW met2 ( 1147010 517310 ) ( 1147010 544850 )
-    NEW met1 ( 1147470 1435310 ) ( 1148390 1435310 )
-    NEW met2 ( 1148390 1435310 ) ( 1148390 1483420 )
-    NEW met2 ( 1148390 1483420 ) ( 1148850 1483420 )
-    NEW met2 ( 1147470 1393830 ) ( 1147470 1435310 )
-    NEW met2 ( 1148850 1483420 ) ( 1148850 1490050 )
-    NEW met1 ( 8510 25330 ) M1M2_PR
-    NEW met1 ( 1146550 931770 ) M1M2_PR
-    NEW met1 ( 1147470 931770 ) M1M2_PR
-    NEW met1 ( 1146550 1124890 ) M1M2_PR
-    NEW met1 ( 1147470 1124890 ) M1M2_PR
-    NEW li1 ( 1144250 25330 ) L1M1_PR_MR
-    NEW li1 ( 1146550 24990 ) L1M1_PR_MR
-    NEW met1 ( 1148390 24990 ) M1M2_PR
-    NEW met1 ( 1147470 1677730 ) M1M2_PR
-    NEW met1 ( 1151150 1677730 ) M1M2_PR
-    NEW met1 ( 1147470 120870 ) M1M2_PR
-    NEW met1 ( 1148390 120870 ) M1M2_PR
+    NEW met2 ( 1147010 1608370 ) ( 1147010 1676710 )
+    NEW li1 ( 1147010 379610 ) ( 1147010 403750 )
+    NEW met1 ( 1147010 379610 ) ( 1147470 379610 )
+    NEW met2 ( 1147010 403750 ) ( 1147010 448460 )
+    NEW met2 ( 1147470 362100 ) ( 1147470 379610 )
+    NEW met1 ( 8510 24990 ) M1M2_PR
+    NEW met1 ( 1147010 1676710 ) M1M2_PR
+    NEW met1 ( 1151150 1676710 ) M1M2_PR
+    NEW met1 ( 1146550 303450 ) M1M2_PR
+    NEW met1 ( 1147470 303450 ) M1M2_PR
+    NEW met1 ( 1146550 1511130 ) M1M2_PR
+    NEW met1 ( 1147470 1511130 ) M1M2_PR
+    NEW met1 ( 1124930 24990 ) M1M2_PR
+    NEW met2 ( 1124930 24820 ) via2_FR
+    NEW met2 ( 1148390 24820 ) via2_FR
+    NEW met1 ( 1147010 158610 ) M1M2_PR
+    NEW met1 ( 1147930 158610 ) M1M2_PR
+    NEW met1 ( 1147930 144670 ) M1M2_PR
+    NEW met1 ( 1148390 144670 ) M1M2_PR
+    NEW met1 ( 1147010 496910 ) M1M2_PR
+    NEW met1 ( 1146550 496570 ) M1M2_PR
+    NEW met2 ( 1146550 483140 ) via2_FR
+    NEW met2 ( 1147470 483140 ) via2_FR
+    NEW met1 ( 1147010 627810 ) M1M2_PR
+    NEW met1 ( 1148390 627810 ) M1M2_PR
+    NEW met2 ( 1148390 579700 ) via2_FR
+    NEW met2 ( 1147470 579700 ) via2_FR
     NEW met1 ( 1147010 690030 ) M1M2_PR
     NEW met1 ( 1146550 689690 ) M1M2_PR
     NEW met2 ( 1146550 676260 ) via2_FR
     NEW met2 ( 1147470 676260 ) via2_FR
-    NEW met1 ( 1147470 158270 ) M1M2_PR
-    NEW met1 ( 1147470 158950 ) M1M2_PR
-    NEW li1 ( 1147470 1027650 ) L1M1_PR_MR
-    NEW met1 ( 1147470 1027650 ) M1M2_PR
-    NEW li1 ( 1147470 1029010 ) L1M1_PR_MR
-    NEW met1 ( 1147930 1029010 ) M1M2_PR
-    NEW li1 ( 1147470 1220770 ) L1M1_PR_MR
-    NEW met1 ( 1147470 1220770 ) M1M2_PR
-    NEW li1 ( 1147470 1255790 ) L1M1_PR_MR
-    NEW met1 ( 1147470 1255790 ) M1M2_PR
+    NEW met1 ( 1147010 820930 ) M1M2_PR
+    NEW met1 ( 1147470 820930 ) M1M2_PR
+    NEW met1 ( 1147010 917490 ) M1M2_PR
+    NEW met1 ( 1147470 917490 ) M1M2_PR
+    NEW met1 ( 1147010 1014050 ) M1M2_PR
+    NEW met1 ( 1147470 1014050 ) M1M2_PR
+    NEW met1 ( 1147010 1110610 ) M1M2_PR
+    NEW met1 ( 1147470 1110610 ) M1M2_PR
+    NEW met1 ( 1147010 1207170 ) M1M2_PR
+    NEW met1 ( 1147470 1207170 ) M1M2_PR
+    NEW li1 ( 1147010 1497190 ) L1M1_PR_MR
+    NEW met1 ( 1147010 1497190 ) M1M2_PR
+    NEW li1 ( 1147010 1449250 ) L1M1_PR_MR
+    NEW met1 ( 1147470 1449250 ) M1M2_PR
     NEW li1 ( 1147010 1607010 ) L1M1_PR_MR
     NEW met1 ( 1147010 1607010 ) M1M2_PR
-    NEW li1 ( 1147010 1642030 ) L1M1_PR_MR
-    NEW met1 ( 1147470 1642030 ) M1M2_PR
-    NEW met1 ( 1146550 303450 ) M1M2_PR
-    NEW met1 ( 1147010 304130 ) M1M2_PR
-    NEW li1 ( 1147470 399330 ) L1M1_PR_MR
-    NEW met1 ( 1147470 399330 ) M1M2_PR
-    NEW li1 ( 1147470 400690 ) L1M1_PR_MR
-    NEW met1 ( 1147930 400690 ) M1M2_PR
-    NEW li1 ( 1146550 573410 ) L1M1_PR_MR
-    NEW met1 ( 1146550 573410 ) M1M2_PR
-    NEW li1 ( 1146550 593810 ) L1M1_PR_MR
-    NEW met1 ( 1147010 593810 ) M1M2_PR
-    NEW li1 ( 1147930 785570 ) L1M1_PR_MR
-    NEW met1 ( 1147930 785570 ) M1M2_PR
-    NEW li1 ( 1147930 814130 ) L1M1_PR_MR
-    NEW met1 ( 1147930 814130 ) M1M2_PR
-    NEW li1 ( 1147010 882810 ) L1M1_PR_MR
-    NEW met1 ( 1147010 882810 ) M1M2_PR
-    NEW li1 ( 1147010 910690 ) L1M1_PR_MR
-    NEW met1 ( 1147010 910690 ) M1M2_PR
-    NEW met1 ( 1146550 1345550 ) M1M2_PR
-    NEW met1 ( 1147010 1345550 ) M1M2_PR
-    NEW met1 ( 1147010 1490050 ) M1M2_PR
-    NEW met1 ( 1148850 1490050 ) M1M2_PR
-    NEW met1 ( 1147010 331330 ) M1M2_PR
-    NEW met1 ( 1147470 331330 ) M1M2_PR
-    NEW li1 ( 1147010 427890 ) L1M1_PR_MR
-    NEW met1 ( 1147930 427890 ) M1M2_PR
-    NEW met1 ( 1146550 545190 ) M1M2_PR
-    NEW met1 ( 1147010 544850 ) M1M2_PR
-    NEW met1 ( 1147930 1393830 ) M1M2_PR
-    NEW met1 ( 1147470 1393830 ) M1M2_PR
-    NEW met1 ( 1147010 1538670 ) M1M2_PR
-    NEW met1 ( 1147470 1538670 ) M1M2_PR
-    NEW li1 ( 1146550 517310 ) L1M1_PR_MR
-    NEW met1 ( 1147010 517310 ) M1M2_PR
-    NEW met1 ( 1147470 1435310 ) M1M2_PR
-    NEW met1 ( 1148390 1435310 ) M1M2_PR
-    NEW met1 ( 1147470 1027650 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147470 1220770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147470 1255790 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 1147010 1608370 ) L1M1_PR_MR
+    NEW met1 ( 1147010 1608370 ) M1M2_PR
+    NEW li1 ( 1147010 403750 ) L1M1_PR_MR
+    NEW met1 ( 1147010 403750 ) M1M2_PR
+    NEW li1 ( 1147010 379610 ) L1M1_PR_MR
+    NEW met1 ( 1147470 379610 ) M1M2_PR
+    NEW met1 ( 1147010 1497190 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 1147010 1607010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147470 399330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1146550 573410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147930 785570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147930 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147010 882810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1147010 910690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1147010 1608370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1147010 403750 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) 
-  + ROUTED met2 ( 14490 2380 0 ) ( 14490 24990 )
-    NEW met3 ( 1125620 26180 ) ( 1125620 26860 )
-    NEW met3 ( 1125620 26860 ) ( 1155750 26860 )
-    NEW met1 ( 1152990 1678750 ) ( 1155750 1678750 )
-    NEW met2 ( 1152990 1678750 ) ( 1152990 1700340 )
+  + ROUTED met2 ( 14490 2380 0 ) ( 14490 25330 )
     NEW met2 ( 1152530 1700340 0 ) ( 1152990 1700340 )
-    NEW met2 ( 1155750 26860 ) ( 1155750 1678750 )
-    NEW li1 ( 1100090 23630 ) ( 1100090 24990 )
-    NEW li1 ( 1100090 23630 ) ( 1101470 23630 )
-    NEW li1 ( 1101470 23630 ) ( 1101470 23970 )
-    NEW met1 ( 1101470 23970 ) ( 1124010 23970 )
-    NEW met2 ( 1124010 23970 ) ( 1124010 26180 )
-    NEW met1 ( 14490 24990 ) ( 1100090 24990 )
-    NEW met3 ( 1124010 26180 ) ( 1125620 26180 )
-    NEW met1 ( 14490 24990 ) M1M2_PR
-    NEW met2 ( 1155750 26860 ) via2_FR
-    NEW met1 ( 1155750 1678750 ) M1M2_PR
-    NEW met1 ( 1152990 1678750 ) M1M2_PR
-    NEW li1 ( 1100090 24990 ) L1M1_PR_MR
-    NEW li1 ( 1101470 23970 ) L1M1_PR_MR
-    NEW met1 ( 1124010 23970 ) M1M2_PR
-    NEW met2 ( 1124010 26180 ) via2_FR
+    NEW met2 ( 1125390 25330 ) ( 1125390 25500 )
+    NEW met3 ( 1125390 25500 ) ( 1152990 25500 )
+    NEW met2 ( 1152990 25500 ) ( 1152990 1700340 )
+    NEW met1 ( 14490 25330 ) ( 1125390 25330 )
+    NEW met1 ( 14490 25330 ) M1M2_PR
+    NEW met1 ( 1125390 25330 ) M1M2_PR
+    NEW met2 ( 1125390 25500 ) via2_FR
+    NEW met2 ( 1152990 25500 ) via2_FR
 + USE SIGNAL ;
 - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) 
-  + ROUTED met2 ( 38410 2380 0 ) ( 38410 25670 )
-    NEW met2 ( 1132290 25670 ) ( 1132290 26180 )
-    NEW met3 ( 1132290 26180 ) ( 1152990 26180 )
-    NEW met1 ( 1152990 1677390 ) ( 1156670 1677390 )
-    NEW met2 ( 1156670 1677390 ) ( 1156670 1700340 )
-    NEW met2 ( 1156670 1700340 ) ( 1157130 1700340 0 )
-    NEW met2 ( 1152990 26180 ) ( 1152990 1677390 )
-    NEW met1 ( 38410 25670 ) ( 1132290 25670 )
-    NEW met1 ( 38410 25670 ) M1M2_PR
-    NEW met1 ( 1132290 25670 ) M1M2_PR
-    NEW met2 ( 1132290 26180 ) via2_FR
-    NEW met2 ( 1152990 26180 ) via2_FR
-    NEW met1 ( 1152990 1677390 ) M1M2_PR
-    NEW met1 ( 1156670 1677390 ) M1M2_PR
+  + ROUTED met2 ( 1156210 1688780 ) ( 1157130 1688780 )
+    NEW met2 ( 1157130 1688780 ) ( 1157130 1700340 0 )
+    NEW met2 ( 38410 2380 0 ) ( 38410 30940 )
+    NEW met2 ( 1155750 206380 ) ( 1156210 206380 )
+    NEW met1 ( 1155750 303450 ) ( 1156670 303450 )
+    NEW met1 ( 1155750 1511130 ) ( 1156670 1511130 )
+    NEW met2 ( 1155750 207060 ) ( 1156210 207060 )
+    NEW met2 ( 1155750 206380 ) ( 1155750 207060 )
+    NEW met2 ( 1155750 303620 ) ( 1156210 303620 )
+    NEW met2 ( 1155750 303450 ) ( 1155750 303620 )
+    NEW met2 ( 1156210 448460 ) ( 1156670 448460 )
+    NEW met2 ( 1156210 545020 ) ( 1156670 545020 )
+    NEW met2 ( 1156210 641580 ) ( 1156670 641580 )
+    NEW met2 ( 1156210 738140 ) ( 1156670 738140 )
+    NEW met2 ( 1156210 834700 ) ( 1156670 834700 )
+    NEW met2 ( 1156210 931260 ) ( 1156670 931260 )
+    NEW met2 ( 1156210 1027820 ) ( 1156670 1027820 )
+    NEW met2 ( 1156210 1124380 ) ( 1156670 1124380 )
+    NEW met2 ( 1156210 1220940 ) ( 1156670 1220940 )
+    NEW met2 ( 1155750 1366460 ) ( 1156210 1366460 )
+    NEW met2 ( 1156210 1366460 ) ( 1156210 1414060 )
+    NEW met2 ( 1156210 1414060 ) ( 1156670 1414060 )
+    NEW met2 ( 1155750 1510620 ) ( 1156210 1510620 )
+    NEW met2 ( 1155750 1510620 ) ( 1155750 1511130 )
+    NEW met2 ( 1156210 1559580 ) ( 1156670 1559580 )
+    NEW met2 ( 1156670 1511130 ) ( 1156670 1559580 )
+    NEW met3 ( 38410 30940 ) ( 1156210 30940 )
+    NEW met2 ( 1156210 109820 ) ( 1156670 109820 )
+    NEW met2 ( 1156210 30940 ) ( 1156210 109820 )
+    NEW met1 ( 1156210 496570 ) ( 1156210 496910 )
+    NEW met1 ( 1155750 496570 ) ( 1156210 496570 )
+    NEW met2 ( 1155750 483140 ) ( 1155750 496570 )
+    NEW met3 ( 1155750 483140 ) ( 1156670 483140 )
+    NEW met2 ( 1156210 496910 ) ( 1156210 545020 )
+    NEW met2 ( 1156670 448460 ) ( 1156670 483140 )
+    NEW met1 ( 1156210 627810 ) ( 1157590 627810 )
+    NEW met2 ( 1157590 579700 ) ( 1157590 627810 )
+    NEW met3 ( 1156670 579700 ) ( 1157590 579700 )
+    NEW met2 ( 1156210 627810 ) ( 1156210 641580 )
+    NEW met2 ( 1156670 545020 ) ( 1156670 579700 )
+    NEW met1 ( 1156210 689690 ) ( 1156210 690030 )
+    NEW met1 ( 1155750 689690 ) ( 1156210 689690 )
+    NEW met2 ( 1155750 676260 ) ( 1155750 689690 )
+    NEW met3 ( 1155750 676260 ) ( 1156670 676260 )
+    NEW met2 ( 1156210 690030 ) ( 1156210 738140 )
+    NEW met2 ( 1156670 641580 ) ( 1156670 676260 )
+    NEW met1 ( 1156210 820930 ) ( 1157590 820930 )
+    NEW met2 ( 1157590 772820 ) ( 1157590 820930 )
+    NEW met3 ( 1156670 772820 ) ( 1157590 772820 )
+    NEW met2 ( 1156210 820930 ) ( 1156210 834700 )
+    NEW met2 ( 1156670 738140 ) ( 1156670 772820 )
+    NEW met3 ( 1156210 917660 ) ( 1157590 917660 )
+    NEW met2 ( 1157590 869550 ) ( 1157590 917660 )
+    NEW met1 ( 1156670 869550 ) ( 1157590 869550 )
+    NEW met2 ( 1156210 917660 ) ( 1156210 931260 )
+    NEW met2 ( 1156670 834700 ) ( 1156670 869550 )
+    NEW met3 ( 1156210 1014220 ) ( 1157590 1014220 )
+    NEW met2 ( 1157590 966110 ) ( 1157590 1014220 )
+    NEW met1 ( 1156670 966110 ) ( 1157590 966110 )
+    NEW met2 ( 1156210 1014220 ) ( 1156210 1027820 )
+    NEW met2 ( 1156670 931260 ) ( 1156670 966110 )
+    NEW met3 ( 1156210 1110780 ) ( 1157590 1110780 )
+    NEW met2 ( 1157590 1062670 ) ( 1157590 1110780 )
+    NEW met1 ( 1156670 1062670 ) ( 1157590 1062670 )
+    NEW met2 ( 1156210 1110780 ) ( 1156210 1124380 )
+    NEW met2 ( 1156670 1027820 ) ( 1156670 1062670 )
+    NEW met3 ( 1156210 1207340 ) ( 1157590 1207340 )
+    NEW met2 ( 1157590 1159230 ) ( 1157590 1207340 )
+    NEW met1 ( 1156670 1159230 ) ( 1157590 1159230 )
+    NEW met2 ( 1156210 1207340 ) ( 1156210 1220940 )
+    NEW met2 ( 1156670 1124380 ) ( 1156670 1159230 )
+    NEW met2 ( 1155750 1303900 ) ( 1156210 1303900 )
+    NEW met2 ( 1155750 1279420 ) ( 1155750 1303900 )
+    NEW met2 ( 1155750 1279420 ) ( 1156670 1279420 )
+    NEW met2 ( 1156670 1220940 ) ( 1156670 1279420 )
+    NEW li1 ( 1156210 1449250 ) ( 1156210 1497190 )
+    NEW met1 ( 1156210 1449250 ) ( 1156670 1449250 )
+    NEW met2 ( 1156210 1497190 ) ( 1156210 1510620 )
+    NEW met2 ( 1156670 1414060 ) ( 1156670 1449250 )
+    NEW met2 ( 1156210 255340 ) ( 1156670 255340 )
+    NEW met2 ( 1156210 207060 ) ( 1156210 255340 )
+    NEW met2 ( 1156670 255340 ) ( 1156670 303450 )
+    NEW met2 ( 1156210 362100 ) ( 1156670 362100 )
+    NEW met2 ( 1156210 303620 ) ( 1156210 362100 )
+    NEW met1 ( 1155750 1317330 ) ( 1155750 1318010 )
+    NEW met1 ( 1155750 1317330 ) ( 1156210 1317330 )
+    NEW met2 ( 1155750 1318010 ) ( 1155750 1366460 )
+    NEW met2 ( 1156210 1303900 ) ( 1156210 1317330 )
+    NEW met2 ( 1156210 1607180 ) ( 1156670 1607180 )
+    NEW met2 ( 1156670 1607180 ) ( 1156670 1608540 )
+    NEW met2 ( 1156210 1608540 ) ( 1156670 1608540 )
+    NEW met2 ( 1156210 1559580 ) ( 1156210 1607180 )
+    NEW met2 ( 1156210 1608540 ) ( 1156210 1688780 )
+    NEW li1 ( 1156210 143650 ) ( 1156210 186150 )
+    NEW met1 ( 1156210 143650 ) ( 1156670 143650 )
+    NEW met2 ( 1156210 186150 ) ( 1156210 206380 )
+    NEW met2 ( 1156670 109820 ) ( 1156670 143650 )
+    NEW met2 ( 1155750 399500 ) ( 1156210 399500 )
+    NEW met2 ( 1155750 366010 ) ( 1155750 399500 )
+    NEW met1 ( 1155750 366010 ) ( 1156670 366010 )
+    NEW met2 ( 1156210 399500 ) ( 1156210 448460 )
+    NEW met2 ( 1156670 362100 ) ( 1156670 366010 )
+    NEW met2 ( 38410 30940 ) via2_FR
+    NEW met1 ( 1155750 303450 ) M1M2_PR
+    NEW met1 ( 1156670 303450 ) M1M2_PR
+    NEW met1 ( 1155750 1511130 ) M1M2_PR
+    NEW met1 ( 1156670 1511130 ) M1M2_PR
+    NEW met2 ( 1156210 30940 ) via2_FR
+    NEW met1 ( 1156210 496910 ) M1M2_PR
+    NEW met1 ( 1155750 496570 ) M1M2_PR
+    NEW met2 ( 1155750 483140 ) via2_FR
+    NEW met2 ( 1156670 483140 ) via2_FR
+    NEW met1 ( 1156210 627810 ) M1M2_PR
+    NEW met1 ( 1157590 627810 ) M1M2_PR
+    NEW met2 ( 1157590 579700 ) via2_FR
+    NEW met2 ( 1156670 579700 ) via2_FR
+    NEW met1 ( 1156210 690030 ) M1M2_PR
+    NEW met1 ( 1155750 689690 ) M1M2_PR
+    NEW met2 ( 1155750 676260 ) via2_FR
+    NEW met2 ( 1156670 676260 ) via2_FR
+    NEW met1 ( 1156210 820930 ) M1M2_PR
+    NEW met1 ( 1157590 820930 ) M1M2_PR
+    NEW met2 ( 1157590 772820 ) via2_FR
+    NEW met2 ( 1156670 772820 ) via2_FR
+    NEW met2 ( 1156210 917660 ) via2_FR
+    NEW met2 ( 1157590 917660 ) via2_FR
+    NEW met1 ( 1157590 869550 ) M1M2_PR
+    NEW met1 ( 1156670 869550 ) M1M2_PR
+    NEW met2 ( 1156210 1014220 ) via2_FR
+    NEW met2 ( 1157590 1014220 ) via2_FR
+    NEW met1 ( 1157590 966110 ) M1M2_PR
+    NEW met1 ( 1156670 966110 ) M1M2_PR
+    NEW met2 ( 1156210 1110780 ) via2_FR
+    NEW met2 ( 1157590 1110780 ) via2_FR
+    NEW met1 ( 1157590 1062670 ) M1M2_PR
+    NEW met1 ( 1156670 1062670 ) M1M2_PR
+    NEW met2 ( 1156210 1207340 ) via2_FR
+    NEW met2 ( 1157590 1207340 ) via2_FR
+    NEW met1 ( 1157590 1159230 ) M1M2_PR
+    NEW met1 ( 1156670 1159230 ) M1M2_PR
+    NEW li1 ( 1156210 1497190 ) L1M1_PR_MR
+    NEW met1 ( 1156210 1497190 ) M1M2_PR
+    NEW li1 ( 1156210 1449250 ) L1M1_PR_MR
+    NEW met1 ( 1156670 1449250 ) M1M2_PR
+    NEW met1 ( 1155750 1318010 ) M1M2_PR
+    NEW met1 ( 1156210 1317330 ) M1M2_PR
+    NEW li1 ( 1156210 186150 ) L1M1_PR_MR
+    NEW met1 ( 1156210 186150 ) M1M2_PR
+    NEW li1 ( 1156210 143650 ) L1M1_PR_MR
+    NEW met1 ( 1156670 143650 ) M1M2_PR
+    NEW met1 ( 1155750 366010 ) M1M2_PR
+    NEW met1 ( 1156670 366010 ) M1M2_PR
+    NEW met1 ( 1156210 1497190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1156210 186150 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) 
-  + ROUTED met2 ( 240810 2380 0 ) ( 240810 30940 )
-    NEW met3 ( 1193930 255340 ) ( 1194850 255340 )
-    NEW met1 ( 1193930 642430 ) ( 1194850 642430 )
-    NEW met1 ( 1193930 835550 ) ( 1194850 835550 )
-    NEW met1 ( 1193930 1221790 ) ( 1194850 1221790 )
-    NEW met1 ( 1193930 1318010 ) ( 1194850 1318010 )
-    NEW met1 ( 1193930 1608030 ) ( 1194850 1608030 )
-    NEW met3 ( 240810 30940 ) ( 1194850 30940 )
-    NEW met1 ( 1193930 255170 ) ( 1194850 255170 )
-    NEW met2 ( 1193930 255170 ) ( 1193930 255340 )
-    NEW met1 ( 1193930 641410 ) ( 1194850 641410 )
-    NEW met2 ( 1193930 641410 ) ( 1193930 642430 )
-    NEW met1 ( 1193930 834530 ) ( 1194850 834530 )
-    NEW met2 ( 1193930 834530 ) ( 1193930 835550 )
-    NEW met1 ( 1193930 1027650 ) ( 1194850 1027650 )
-    NEW met1 ( 1193930 1220770 ) ( 1194850 1220770 )
-    NEW met2 ( 1193930 1220770 ) ( 1193930 1221790 )
-    NEW met2 ( 1194850 1221790 ) ( 1194850 1318010 )
-    NEW met1 ( 1193930 1366290 ) ( 1194850 1366290 )
-    NEW met2 ( 1193930 1318010 ) ( 1193930 1366290 )
-    NEW met1 ( 1194850 1677390 ) ( 1198070 1677390 )
-    NEW met2 ( 1198070 1677390 ) ( 1198070 1700340 )
+  + ROUTED met2 ( 239430 2380 0 ) ( 239430 30770 )
+    NEW met1 ( 1028790 30770 ) ( 1028790 31110 )
+    NEW met1 ( 1028790 31110 ) ( 1074790 31110 )
+    NEW li1 ( 1074790 30770 ) ( 1074790 31110 )
+    NEW li1 ( 1074790 30770 ) ( 1076170 30770 )
+    NEW met1 ( 239430 30770 ) ( 1028790 30770 )
+    NEW met1 ( 1194390 1677730 ) ( 1198070 1677730 )
+    NEW met2 ( 1198070 1677730 ) ( 1198070 1700340 )
     NEW met2 ( 1198070 1700340 ) ( 1198990 1700340 0 )
-    NEW met2 ( 1194850 1608030 ) ( 1194850 1677390 )
-    NEW met3 ( 1193930 483140 ) ( 1194850 483140 )
-    NEW met2 ( 1193930 483140 ) ( 1193930 531250 )
-    NEW met1 ( 1193930 531250 ) ( 1194850 531250 )
-    NEW met1 ( 1193930 1497190 ) ( 1194850 1497190 )
-    NEW met2 ( 1194850 1366290 ) ( 1194850 1497190 )
-    NEW met2 ( 1194850 30940 ) ( 1194850 255170 )
-    NEW met2 ( 1194850 255340 ) ( 1194850 483140 )
-    NEW met2 ( 1194850 531250 ) ( 1194850 641410 )
-    NEW met2 ( 1194850 642430 ) ( 1194850 834530 )
-    NEW met2 ( 1194850 835550 ) ( 1194850 1027650 )
-    NEW met1 ( 1193930 1510790 ) ( 1193930 1511470 )
-    NEW met2 ( 1193930 1497190 ) ( 1193930 1510790 )
-    NEW met2 ( 1193930 1511470 ) ( 1193930 1608030 )
-    NEW met1 ( 1193930 1128290 ) ( 1194850 1128290 )
-    NEW met2 ( 1193930 1027650 ) ( 1193930 1128290 )
-    NEW met2 ( 1194850 1128290 ) ( 1194850 1220770 )
-    NEW met2 ( 240810 30940 ) via2_FR
-    NEW met2 ( 1193930 255340 ) via2_FR
-    NEW met2 ( 1194850 255340 ) via2_FR
-    NEW met1 ( 1193930 642430 ) M1M2_PR
-    NEW met1 ( 1194850 642430 ) M1M2_PR
-    NEW met1 ( 1193930 835550 ) M1M2_PR
-    NEW met1 ( 1194850 835550 ) M1M2_PR
-    NEW met1 ( 1193930 1221790 ) M1M2_PR
-    NEW met1 ( 1194850 1221790 ) M1M2_PR
-    NEW met1 ( 1194850 1318010 ) M1M2_PR
-    NEW met1 ( 1193930 1318010 ) M1M2_PR
-    NEW met1 ( 1193930 1608030 ) M1M2_PR
-    NEW met1 ( 1194850 1608030 ) M1M2_PR
-    NEW met2 ( 1194850 30940 ) via2_FR
-    NEW met1 ( 1193930 255170 ) M1M2_PR
-    NEW met1 ( 1194850 255170 ) M1M2_PR
-    NEW met1 ( 1193930 641410 ) M1M2_PR
-    NEW met1 ( 1194850 641410 ) M1M2_PR
-    NEW met1 ( 1193930 834530 ) M1M2_PR
-    NEW met1 ( 1194850 834530 ) M1M2_PR
-    NEW met1 ( 1193930 1027650 ) M1M2_PR
-    NEW met1 ( 1194850 1027650 ) M1M2_PR
-    NEW met1 ( 1193930 1220770 ) M1M2_PR
-    NEW met1 ( 1194850 1220770 ) M1M2_PR
-    NEW met1 ( 1193930 1366290 ) M1M2_PR
-    NEW met1 ( 1194850 1366290 ) M1M2_PR
-    NEW met1 ( 1194850 1677390 ) M1M2_PR
-    NEW met1 ( 1198070 1677390 ) M1M2_PR
-    NEW met2 ( 1194850 483140 ) via2_FR
-    NEW met2 ( 1193930 483140 ) via2_FR
-    NEW met1 ( 1193930 531250 ) M1M2_PR
-    NEW met1 ( 1194850 531250 ) M1M2_PR
-    NEW met1 ( 1193930 1497190 ) M1M2_PR
-    NEW met1 ( 1194850 1497190 ) M1M2_PR
-    NEW met1 ( 1193930 1510790 ) M1M2_PR
-    NEW met1 ( 1193930 1511470 ) M1M2_PR
-    NEW met1 ( 1193930 1128290 ) M1M2_PR
-    NEW met1 ( 1194850 1128290 ) M1M2_PR
+    NEW met2 ( 1194390 30260 ) ( 1194390 1677730 )
+    NEW met2 ( 1123550 30770 ) ( 1123550 31620 )
+    NEW met2 ( 1123550 31620 ) ( 1124930 31620 )
+    NEW met2 ( 1124930 30260 ) ( 1124930 31620 )
+    NEW met2 ( 1124930 30260 ) ( 1125390 30260 )
+    NEW met1 ( 1076170 30770 ) ( 1123550 30770 )
+    NEW met3 ( 1125390 30260 ) ( 1194390 30260 )
+    NEW met1 ( 239430 30770 ) M1M2_PR
+    NEW li1 ( 1074790 31110 ) L1M1_PR_MR
+    NEW li1 ( 1076170 30770 ) L1M1_PR_MR
+    NEW met1 ( 1194390 1677730 ) M1M2_PR
+    NEW met1 ( 1198070 1677730 ) M1M2_PR
+    NEW met2 ( 1194390 30260 ) via2_FR
+    NEW met1 ( 1123550 30770 ) M1M2_PR
+    NEW met2 ( 1125390 30260 ) via2_FR
 + USE SIGNAL ;
 - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) 
-  + ROUTED met2 ( 258290 2380 0 ) ( 258290 30770 )
-    NEW li1 ( 1076630 30770 ) ( 1077090 30770 )
-    NEW li1 ( 1077090 30770 ) ( 1077090 31450 )
-    NEW met1 ( 258290 30770 ) ( 1076630 30770 )
-    NEW met2 ( 1173230 31450 ) ( 1173230 32980 )
-    NEW met3 ( 1173230 32980 ) ( 1202670 32980 )
-    NEW met1 ( 1077090 31450 ) ( 1173230 31450 )
-    NEW met2 ( 1202670 32980 ) ( 1202670 1700340 0 )
-    NEW met1 ( 258290 30770 ) M1M2_PR
-    NEW li1 ( 1076630 30770 ) L1M1_PR_MR
-    NEW li1 ( 1077090 31450 ) L1M1_PR_MR
-    NEW met1 ( 1173230 31450 ) M1M2_PR
-    NEW met2 ( 1173230 32980 ) via2_FR
-    NEW met2 ( 1202670 32980 ) via2_FR
+  + ROUTED met2 ( 256910 2380 0 ) ( 256910 31110 )
+    NEW met2 ( 1028330 31110 ) ( 1028330 32300 )
+    NEW met3 ( 1028330 32300 ) ( 1075710 32300 )
+    NEW met2 ( 1075710 31450 ) ( 1075710 32300 )
+    NEW met1 ( 1075710 31110 ) ( 1075710 31450 )
+    NEW met1 ( 256910 31110 ) ( 1028330 31110 )
+    NEW met1 ( 1101010 31110 ) ( 1101010 31450 )
+    NEW met1 ( 1075710 31110 ) ( 1101010 31110 )
+    NEW met1 ( 1101010 31450 ) ( 1201750 31450 )
+    NEW met2 ( 1201750 53890 ) ( 1202670 53890 )
+    NEW met2 ( 1201750 31450 ) ( 1201750 53890 )
+    NEW li1 ( 1202670 1539010 ) ( 1202670 1586950 )
+    NEW met1 ( 1201290 1635570 ) ( 1202670 1635570 )
+    NEW li1 ( 1202670 331330 ) ( 1202670 379270 )
+    NEW li1 ( 1202670 427890 ) ( 1202670 475490 )
+    NEW met2 ( 1202670 379270 ) ( 1202670 427890 )
+    NEW met2 ( 1202670 475490 ) ( 1202670 572730 )
+    NEW met2 ( 1202670 1586950 ) ( 1202670 1635570 )
+    NEW met1 ( 1201290 1692350 ) ( 1202670 1692350 )
+    NEW met2 ( 1202670 1692350 ) ( 1202670 1700340 0 )
+    NEW met2 ( 1201290 1635570 ) ( 1201290 1692350 )
+    NEW met3 ( 1202670 1242020 ) ( 1202900 1242020 )
+    NEW met3 ( 1202900 1242020 ) ( 1202900 1242700 )
+    NEW met3 ( 1202670 1242700 ) ( 1202900 1242700 )
+    NEW met1 ( 1202210 807330 ) ( 1202670 807330 )
+    NEW met3 ( 1202670 1097180 ) ( 1202900 1097180 )
+    NEW met3 ( 1202900 1097180 ) ( 1202900 1097860 )
+    NEW met3 ( 1202670 1097860 ) ( 1202900 1097860 )
+    NEW met2 ( 1202670 1097860 ) ( 1202670 1242020 )
+    NEW met3 ( 1202670 1290300 ) ( 1202900 1290300 )
+    NEW met4 ( 1202900 1290300 ) ( 1202900 1338580 )
+    NEW met3 ( 1202670 1338580 ) ( 1202900 1338580 )
+    NEW met2 ( 1202670 1242700 ) ( 1202670 1290300 )
+    NEW met2 ( 1202670 1338580 ) ( 1202670 1539010 )
+    NEW met2 ( 1202670 53890 ) ( 1202670 331330 )
+    NEW li1 ( 1202210 703970 ) ( 1202210 751910 )
+    NEW met1 ( 1202210 751910 ) ( 1202670 751910 )
+    NEW met2 ( 1202670 751910 ) ( 1202670 807330 )
+    NEW met1 ( 1202210 897090 ) ( 1202670 897090 )
+    NEW met2 ( 1202670 897090 ) ( 1202670 1097180 )
+    NEW met1 ( 1202210 855270 ) ( 1202210 855950 )
+    NEW met2 ( 1202210 807330 ) ( 1202210 855270 )
+    NEW met2 ( 1202210 855950 ) ( 1202210 897090 )
+    NEW li1 ( 1202670 572730 ) ( 1202670 624750 )
+    NEW met2 ( 1202210 666060 ) ( 1202670 666060 )
+    NEW met2 ( 1202210 666060 ) ( 1202210 703970 )
+    NEW met2 ( 1202670 624750 ) ( 1202670 666060 )
+    NEW met1 ( 256910 31110 ) M1M2_PR
+    NEW met1 ( 1028330 31110 ) M1M2_PR
+    NEW met2 ( 1028330 32300 ) via2_FR
+    NEW met2 ( 1075710 32300 ) via2_FR
+    NEW met1 ( 1075710 31450 ) M1M2_PR
+    NEW met1 ( 1201750 31450 ) M1M2_PR
+    NEW li1 ( 1202670 572730 ) L1M1_PR_MR
+    NEW met1 ( 1202670 572730 ) M1M2_PR
+    NEW li1 ( 1202670 1539010 ) L1M1_PR_MR
+    NEW met1 ( 1202670 1539010 ) M1M2_PR
+    NEW li1 ( 1202670 1586950 ) L1M1_PR_MR
+    NEW met1 ( 1202670 1586950 ) M1M2_PR
+    NEW met1 ( 1202670 1635570 ) M1M2_PR
+    NEW met1 ( 1201290 1635570 ) M1M2_PR
+    NEW li1 ( 1202670 331330 ) L1M1_PR_MR
+    NEW met1 ( 1202670 331330 ) M1M2_PR
+    NEW li1 ( 1202670 379270 ) L1M1_PR_MR
+    NEW met1 ( 1202670 379270 ) M1M2_PR
+    NEW li1 ( 1202670 427890 ) L1M1_PR_MR
+    NEW met1 ( 1202670 427890 ) M1M2_PR
+    NEW li1 ( 1202670 475490 ) L1M1_PR_MR
+    NEW met1 ( 1202670 475490 ) M1M2_PR
+    NEW met1 ( 1201290 1692350 ) M1M2_PR
+    NEW met1 ( 1202670 1692350 ) M1M2_PR
+    NEW met2 ( 1202670 1242020 ) via2_FR
+    NEW met2 ( 1202670 1242700 ) via2_FR
+    NEW met1 ( 1202670 807330 ) M1M2_PR
+    NEW met1 ( 1202210 807330 ) M1M2_PR
+    NEW met2 ( 1202670 1097180 ) via2_FR
+    NEW met2 ( 1202670 1097860 ) via2_FR
+    NEW met2 ( 1202670 1290300 ) via2_FR
+    NEW met3 ( 1202900 1290300 ) M3M4_PR_M
+    NEW met3 ( 1202900 1338580 ) M3M4_PR_M
+    NEW met2 ( 1202670 1338580 ) via2_FR
+    NEW li1 ( 1202210 703970 ) L1M1_PR_MR
+    NEW met1 ( 1202210 703970 ) M1M2_PR
+    NEW li1 ( 1202210 751910 ) L1M1_PR_MR
+    NEW met1 ( 1202670 751910 ) M1M2_PR
+    NEW met1 ( 1202210 897090 ) M1M2_PR
+    NEW met1 ( 1202670 897090 ) M1M2_PR
+    NEW met1 ( 1202210 855270 ) M1M2_PR
+    NEW met1 ( 1202210 855950 ) M1M2_PR
+    NEW li1 ( 1202670 624750 ) L1M1_PR_MR
+    NEW met1 ( 1202670 624750 ) M1M2_PR
+    NEW met1 ( 1202670 572730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 1539010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 1586950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 331330 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 379270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 427890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 475490 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1202670 1290300 ) RECT ( -390 -150 0 150 )
+    NEW met3 ( 1202670 1338580 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 1202210 703970 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1202670 624750 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) 
-  + ROUTED met2 ( 276230 2380 0 ) ( 276230 31110 )
-    NEW met2 ( 1123550 31110 ) ( 1123550 31620 )
-    NEW met1 ( 276230 31110 ) ( 1123550 31110 )
-    NEW met3 ( 1123550 31620 ) ( 1201750 31620 )
-    NEW met1 ( 1201750 1677050 ) ( 1205430 1677050 )
-    NEW met2 ( 1205430 1677050 ) ( 1205430 1700340 )
+  + ROUTED met2 ( 274850 2380 0 ) ( 274850 31450 )
+    NEW met2 ( 1028790 30260 ) ( 1028790 31450 )
+    NEW met3 ( 1028790 30260 ) ( 1076170 30260 )
+    NEW met2 ( 1076170 30260 ) ( 1076170 31450 )
+    NEW met2 ( 1203130 182580 ) ( 1203590 182580 )
+    NEW met2 ( 1203130 483820 ) ( 1203590 483820 )
+    NEW met1 ( 274850 31450 ) ( 1028790 31450 )
+    NEW met2 ( 1076630 31450 ) ( 1076630 32300 )
+    NEW met1 ( 1076170 31450 ) ( 1076630 31450 )
+    NEW met2 ( 1203130 521220 ) ( 1203590 521220 )
+    NEW met2 ( 1203130 483820 ) ( 1203130 521220 )
     NEW met2 ( 1205430 1700340 ) ( 1206350 1700340 0 )
-    NEW met2 ( 1201750 31620 ) ( 1201750 1677050 )
-    NEW met1 ( 276230 31110 ) M1M2_PR
-    NEW met1 ( 1123550 31110 ) M1M2_PR
-    NEW met2 ( 1123550 31620 ) via2_FR
-    NEW met2 ( 1201750 31620 ) via2_FR
-    NEW met1 ( 1201750 1677050 ) M1M2_PR
-    NEW met1 ( 1205430 1677050 ) M1M2_PR
+    NEW met3 ( 1203590 1635740 ) ( 1205430 1635740 )
+    NEW met2 ( 1205430 1635740 ) ( 1205430 1700340 )
+    NEW met1 ( 1203590 331330 ) ( 1204050 331330 )
+    NEW met1 ( 1203590 427890 ) ( 1204050 427890 )
+    NEW met2 ( 1203590 427890 ) ( 1203590 483820 )
+    NEW met1 ( 1203590 1635230 ) ( 1204970 1635230 )
+    NEW met2 ( 1203590 1635230 ) ( 1203590 1635740 )
+    NEW met3 ( 1076630 32300 ) ( 1203130 32300 )
+    NEW met2 ( 1203130 281180 ) ( 1204050 281180 )
+    NEW met2 ( 1203130 182580 ) ( 1203130 281180 )
+    NEW met2 ( 1204050 281180 ) ( 1204050 331330 )
+    NEW met2 ( 1203590 396780 ) ( 1204510 396780 )
+    NEW met2 ( 1204510 396780 ) ( 1204510 400860 )
+    NEW met2 ( 1204050 400860 ) ( 1204510 400860 )
+    NEW met2 ( 1203590 331330 ) ( 1203590 396780 )
+    NEW met2 ( 1204050 400860 ) ( 1204050 427890 )
+    NEW met2 ( 1203590 1265820 ) ( 1204050 1265820 )
+    NEW met2 ( 1203590 179180 ) ( 1204050 179180 )
+    NEW met2 ( 1203590 179180 ) ( 1203590 182580 )
+    NEW met2 ( 1203590 637500 ) ( 1204050 637500 )
+    NEW met2 ( 1203590 521220 ) ( 1203590 637500 )
+    NEW met2 ( 1203130 111180 ) ( 1204050 111180 )
+    NEW met2 ( 1203130 32300 ) ( 1203130 111180 )
+    NEW met2 ( 1204050 111180 ) ( 1204050 179180 )
+    NEW met2 ( 1203590 1356260 ) ( 1204050 1356260 )
+    NEW met2 ( 1203590 1331780 ) ( 1203590 1356260 )
+    NEW met2 ( 1203590 1331780 ) ( 1204510 1331780 )
+    NEW met2 ( 1203130 1549380 ) ( 1204510 1549380 )
+    NEW met1 ( 1203590 945030 ) ( 1204510 945030 )
+    NEW met2 ( 1203130 1114180 ) ( 1203590 1114180 )
+    NEW met2 ( 1203130 1112820 ) ( 1203130 1114180 )
+    NEW met2 ( 1203130 1112820 ) ( 1204050 1112820 )
+    NEW met3 ( 1203130 1283500 ) ( 1204050 1283500 )
+    NEW met2 ( 1203130 1283500 ) ( 1203130 1331610 )
+    NEW met1 ( 1203130 1331610 ) ( 1204510 1331610 )
+    NEW met2 ( 1204050 1265820 ) ( 1204050 1283500 )
+    NEW met2 ( 1204510 1331610 ) ( 1204510 1331780 )
+    NEW met1 ( 1203130 1524730 ) ( 1203590 1524730 )
+    NEW met2 ( 1203590 1476620 ) ( 1203590 1524730 )
+    NEW met2 ( 1203590 1476620 ) ( 1204050 1476620 )
+    NEW met2 ( 1203130 1524730 ) ( 1203130 1549380 )
+    NEW met2 ( 1204050 1356260 ) ( 1204050 1476620 )
+    NEW li1 ( 1204510 1573350 ) ( 1204510 1583550 )
+    NEW met1 ( 1203130 1583550 ) ( 1204510 1583550 )
+    NEW met2 ( 1203130 1583550 ) ( 1203130 1621460 )
+    NEW met3 ( 1203130 1621460 ) ( 1204970 1621460 )
+    NEW met2 ( 1204510 1549380 ) ( 1204510 1573350 )
+    NEW met2 ( 1204970 1621460 ) ( 1204970 1635230 )
+    NEW li1 ( 1204050 842010 ) ( 1204050 889950 )
+    NEW met1 ( 1203590 1075930 ) ( 1203590 1076610 )
+    NEW met2 ( 1203590 1076610 ) ( 1203590 1077460 )
+    NEW met2 ( 1203590 1077460 ) ( 1204050 1077460 )
+    NEW met2 ( 1203590 945030 ) ( 1203590 1075930 )
+    NEW met2 ( 1204050 1077460 ) ( 1204050 1112820 )
+    NEW met1 ( 1203590 1179630 ) ( 1204050 1179630 )
+    NEW met2 ( 1203590 1114180 ) ( 1203590 1179630 )
+    NEW met2 ( 1203590 697340 ) ( 1204050 697340 )
+    NEW met2 ( 1203590 697340 ) ( 1203590 744940 )
+    NEW met2 ( 1203590 744940 ) ( 1204050 744940 )
+    NEW met2 ( 1204050 744940 ) ( 1204050 842010 )
+    NEW met2 ( 1203590 896580 ) ( 1204050 896580 )
+    NEW met2 ( 1203590 896580 ) ( 1203590 897090 )
+    NEW met2 ( 1203590 897090 ) ( 1204510 897090 )
+    NEW met2 ( 1204050 889950 ) ( 1204050 896580 )
+    NEW met2 ( 1204510 897090 ) ( 1204510 945030 )
+    NEW met2 ( 1203590 1214820 ) ( 1204510 1214820 )
+    NEW met2 ( 1204510 1206660 ) ( 1204510 1214820 )
+    NEW met2 ( 1204050 1206660 ) ( 1204510 1206660 )
+    NEW met2 ( 1203590 1214820 ) ( 1203590 1265820 )
+    NEW met2 ( 1204050 1179630 ) ( 1204050 1206660 )
+    NEW li1 ( 1204050 665890 ) ( 1204050 669630 )
+    NEW met2 ( 1204050 637500 ) ( 1204050 665890 )
+    NEW met2 ( 1204050 669630 ) ( 1204050 697340 )
+    NEW met1 ( 274850 31450 ) M1M2_PR
+    NEW met1 ( 1028790 31450 ) M1M2_PR
+    NEW met2 ( 1028790 30260 ) via2_FR
+    NEW met2 ( 1076170 30260 ) via2_FR
+    NEW met1 ( 1076170 31450 ) M1M2_PR
+    NEW met1 ( 1076630 31450 ) M1M2_PR
+    NEW met2 ( 1076630 32300 ) via2_FR
+    NEW met2 ( 1203590 1635740 ) via2_FR
+    NEW met2 ( 1205430 1635740 ) via2_FR
+    NEW met1 ( 1203590 331330 ) M1M2_PR
+    NEW met1 ( 1204050 331330 ) M1M2_PR
+    NEW met1 ( 1203590 427890 ) M1M2_PR
+    NEW met1 ( 1204050 427890 ) M1M2_PR
+    NEW met1 ( 1203590 1635230 ) M1M2_PR
+    NEW met1 ( 1204970 1635230 ) M1M2_PR
+    NEW met2 ( 1203130 32300 ) via2_FR
+    NEW met1 ( 1203590 945030 ) M1M2_PR
+    NEW met1 ( 1204510 945030 ) M1M2_PR
+    NEW met2 ( 1204050 1283500 ) via2_FR
+    NEW met2 ( 1203130 1283500 ) via2_FR
+    NEW met1 ( 1203130 1331610 ) M1M2_PR
+    NEW met1 ( 1204510 1331610 ) M1M2_PR
+    NEW met1 ( 1203130 1524730 ) M1M2_PR
+    NEW met1 ( 1203590 1524730 ) M1M2_PR
+    NEW li1 ( 1204510 1573350 ) L1M1_PR_MR
+    NEW met1 ( 1204510 1573350 ) M1M2_PR
+    NEW li1 ( 1204510 1583550 ) L1M1_PR_MR
+    NEW met1 ( 1203130 1583550 ) M1M2_PR
+    NEW met2 ( 1203130 1621460 ) via2_FR
+    NEW met2 ( 1204970 1621460 ) via2_FR
+    NEW li1 ( 1204050 842010 ) L1M1_PR_MR
+    NEW met1 ( 1204050 842010 ) M1M2_PR
+    NEW li1 ( 1204050 889950 ) L1M1_PR_MR
+    NEW met1 ( 1204050 889950 ) M1M2_PR
+    NEW met1 ( 1203590 1075930 ) M1M2_PR
+    NEW met1 ( 1203590 1076610 ) M1M2_PR
+    NEW met1 ( 1203590 1179630 ) M1M2_PR
+    NEW met1 ( 1204050 1179630 ) M1M2_PR
+    NEW li1 ( 1204050 665890 ) L1M1_PR_MR
+    NEW met1 ( 1204050 665890 ) M1M2_PR
+    NEW li1 ( 1204050 669630 ) L1M1_PR_MR
+    NEW met1 ( 1204050 669630 ) M1M2_PR
+    NEW met2 ( 1204510 1331610 ) RECT ( -70 -315 70 0 )
+    NEW met1 ( 1204510 1573350 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1204050 842010 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1204050 889950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1204050 665890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1204050 669630 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) 
-  + ROUTED met2 ( 294170 2380 0 ) ( 294170 31450 )
-    NEW met2 ( 1124930 29580 ) ( 1124930 32300 )
-    NEW met2 ( 1076630 30260 ) ( 1076630 31450 )
-    NEW met3 ( 1076630 30260 ) ( 1082380 30260 )
-    NEW met3 ( 1082380 29580 ) ( 1082380 30260 )
-    NEW met1 ( 294170 31450 ) ( 1076630 31450 )
-    NEW met3 ( 1082380 29580 ) ( 1124930 29580 )
-    NEW met3 ( 1124930 32300 ) ( 1208650 32300 )
-    NEW met2 ( 1208190 1656140 ) ( 1208650 1656140 )
-    NEW met1 ( 1208190 724370 ) ( 1208650 724370 )
-    NEW met1 ( 1208190 820590 ) ( 1208190 820930 )
-    NEW met1 ( 1208190 820590 ) ( 1208650 820590 )
-    NEW met1 ( 1208190 1159230 ) ( 1208650 1159230 )
-    NEW met1 ( 1207730 338130 ) ( 1208190 338130 )
-    NEW met3 ( 1208190 531420 ) ( 1208420 531420 )
-    NEW met3 ( 1208420 531420 ) ( 1208420 532100 )
-    NEW met3 ( 1208420 532100 ) ( 1208650 532100 )
-    NEW met3 ( 1208190 627980 ) ( 1208420 627980 )
-    NEW met3 ( 1208420 627980 ) ( 1208420 628660 )
-    NEW met3 ( 1208420 628660 ) ( 1208650 628660 )
-    NEW met2 ( 1208190 748340 ) ( 1208650 748340 )
-    NEW met2 ( 1208190 724370 ) ( 1208190 748340 )
-    NEW met2 ( 1208190 1231820 ) ( 1208650 1231820 )
-    NEW met2 ( 1208190 1159230 ) ( 1208190 1231820 )
-    NEW met3 ( 1208650 1594260 ) ( 1209340 1594260 )
-    NEW met3 ( 1209340 1594260 ) ( 1209340 1594940 )
-    NEW met3 ( 1208650 1594940 ) ( 1209340 1594940 )
-    NEW met2 ( 1208650 1594940 ) ( 1208650 1656140 )
-    NEW met1 ( 1208190 1695410 ) ( 1210030 1695410 )
-    NEW met2 ( 1210030 1695410 ) ( 1210030 1700340 0 )
-    NEW met2 ( 1208190 1656140 ) ( 1208190 1695410 )
-    NEW met2 ( 1208190 113900 ) ( 1208650 113900 )
-    NEW met2 ( 1208650 32300 ) ( 1208650 113900 )
-    NEW met1 ( 1207730 234430 ) ( 1208190 234430 )
-    NEW met2 ( 1207730 403580 ) ( 1208650 403580 )
-    NEW met2 ( 1207730 338130 ) ( 1207730 403580 )
-    NEW met2 ( 1208190 579020 ) ( 1208650 579020 )
-    NEW met2 ( 1208190 579020 ) ( 1208190 627980 )
-    NEW met2 ( 1208650 532100 ) ( 1208650 579020 )
-    NEW met3 ( 1207730 669460 ) ( 1208650 669460 )
-    NEW met2 ( 1207730 669460 ) ( 1207730 717570 )
-    NEW met1 ( 1207730 717570 ) ( 1208650 717570 )
-    NEW met2 ( 1208650 628660 ) ( 1208650 669460 )
-    NEW met2 ( 1208650 717570 ) ( 1208650 724370 )
-    NEW met3 ( 1207730 766020 ) ( 1208650 766020 )
-    NEW met2 ( 1207730 766020 ) ( 1207730 814130 )
-    NEW met1 ( 1207730 814130 ) ( 1208650 814130 )
-    NEW met2 ( 1208650 748340 ) ( 1208650 766020 )
-    NEW met2 ( 1208650 814130 ) ( 1208650 820590 )
-    NEW met1 ( 1208190 910690 ) ( 1208650 910690 )
-    NEW met2 ( 1208190 820930 ) ( 1208190 910690 )
-    NEW met2 ( 1208190 1274660 ) ( 1208650 1274660 )
-    NEW met2 ( 1208650 1231820 ) ( 1208650 1274660 )
-    NEW met1 ( 1207730 186150 ) ( 1208190 186150 )
-    NEW met2 ( 1207730 186150 ) ( 1207730 234430 )
-    NEW met2 ( 1208190 113900 ) ( 1208190 186150 )
-    NEW met2 ( 1207730 434180 ) ( 1208650 434180 )
-    NEW met2 ( 1208650 403580 ) ( 1208650 434180 )
-    NEW met2 ( 1208190 234430 ) ( 1208190 338130 )
-    NEW li1 ( 1207730 469370 ) ( 1207730 507110 )
-    NEW met1 ( 1207730 507110 ) ( 1208190 507110 )
-    NEW met2 ( 1207730 434180 ) ( 1207730 469370 )
-    NEW met2 ( 1208190 507110 ) ( 1208190 531420 )
-    NEW met1 ( 1208190 1110610 ) ( 1208190 1111290 )
-    NEW met1 ( 1208190 1111290 ) ( 1208650 1111290 )
-    NEW met2 ( 1208650 1111290 ) ( 1208650 1159230 )
-    NEW met2 ( 1208190 969340 ) ( 1208650 969340 )
-    NEW met2 ( 1208650 910690 ) ( 1208650 969340 )
-    NEW met2 ( 1208190 1065900 ) ( 1208650 1065900 )
-    NEW met2 ( 1208190 1065900 ) ( 1208190 1110610 )
-    NEW met2 ( 1208190 1428340 ) ( 1208650 1428340 )
-    NEW met2 ( 1208650 1428340 ) ( 1208650 1594260 )
-    NEW met2 ( 1208190 1016940 ) ( 1208650 1016940 )
-    NEW met2 ( 1208190 969340 ) ( 1208190 1016940 )
-    NEW met2 ( 1208650 1016940 ) ( 1208650 1065900 )
-    NEW li1 ( 1208190 1386690 ) ( 1208190 1394170 )
-    NEW met2 ( 1208190 1274660 ) ( 1208190 1386690 )
-    NEW met2 ( 1208190 1394170 ) ( 1208190 1428340 )
-    NEW met1 ( 294170 31450 ) M1M2_PR
-    NEW met2 ( 1124930 29580 ) via2_FR
-    NEW met2 ( 1124930 32300 ) via2_FR
-    NEW met1 ( 1076630 31450 ) M1M2_PR
-    NEW met2 ( 1076630 30260 ) via2_FR
-    NEW met2 ( 1208650 32300 ) via2_FR
-    NEW met1 ( 1208190 724370 ) M1M2_PR
-    NEW met1 ( 1208650 724370 ) M1M2_PR
-    NEW met1 ( 1208190 820930 ) M1M2_PR
-    NEW met1 ( 1208650 820590 ) M1M2_PR
-    NEW met1 ( 1208190 1159230 ) M1M2_PR
-    NEW met1 ( 1208650 1159230 ) M1M2_PR
-    NEW met1 ( 1208190 338130 ) M1M2_PR
-    NEW met1 ( 1207730 338130 ) M1M2_PR
-    NEW met2 ( 1208190 531420 ) via2_FR
-    NEW met2 ( 1208650 532100 ) via2_FR
-    NEW met2 ( 1208190 627980 ) via2_FR
-    NEW met2 ( 1208650 628660 ) via2_FR
-    NEW met2 ( 1208650 1594260 ) via2_FR
-    NEW met2 ( 1208650 1594940 ) via2_FR
-    NEW met1 ( 1208190 1695410 ) M1M2_PR
-    NEW met1 ( 1210030 1695410 ) M1M2_PR
-    NEW met1 ( 1208190 234430 ) M1M2_PR
-    NEW met1 ( 1207730 234430 ) M1M2_PR
-    NEW met2 ( 1208650 669460 ) via2_FR
-    NEW met2 ( 1207730 669460 ) via2_FR
-    NEW met1 ( 1207730 717570 ) M1M2_PR
-    NEW met1 ( 1208650 717570 ) M1M2_PR
-    NEW met2 ( 1208650 766020 ) via2_FR
-    NEW met2 ( 1207730 766020 ) via2_FR
-    NEW met1 ( 1207730 814130 ) M1M2_PR
-    NEW met1 ( 1208650 814130 ) M1M2_PR
-    NEW met1 ( 1208190 910690 ) M1M2_PR
-    NEW met1 ( 1208650 910690 ) M1M2_PR
-    NEW met1 ( 1207730 186150 ) M1M2_PR
-    NEW met1 ( 1208190 186150 ) M1M2_PR
-    NEW li1 ( 1207730 469370 ) L1M1_PR_MR
-    NEW met1 ( 1207730 469370 ) M1M2_PR
-    NEW li1 ( 1207730 507110 ) L1M1_PR_MR
-    NEW met1 ( 1208190 507110 ) M1M2_PR
-    NEW met1 ( 1208190 1110610 ) M1M2_PR
-    NEW met1 ( 1208650 1111290 ) M1M2_PR
-    NEW li1 ( 1208190 1386690 ) L1M1_PR_MR
-    NEW met1 ( 1208190 1386690 ) M1M2_PR
-    NEW li1 ( 1208190 1394170 ) L1M1_PR_MR
-    NEW met1 ( 1208190 1394170 ) M1M2_PR
-    NEW met1 ( 1207730 469370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1208190 1386690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1208190 1394170 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 292330 2380 0 ) ( 292330 39610 )
+    NEW met1 ( 1184730 38930 ) ( 1184730 39610 )
+    NEW met1 ( 1184730 38930 ) ( 1210030 38930 )
+    NEW met1 ( 292330 39610 ) ( 1184730 39610 )
+    NEW met2 ( 1210030 38930 ) ( 1210030 1700340 0 )
+    NEW met1 ( 292330 39610 ) M1M2_PR
+    NEW met1 ( 1210030 38930 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) 
-  + ROUTED met2 ( 312110 2380 0 ) ( 312110 39610 )
-    NEW met1 ( 1210030 86530 ) ( 1210950 86530 )
-    NEW met2 ( 1209570 39610 ) ( 1209570 40460 )
-    NEW met2 ( 1209570 40460 ) ( 1210030 40460 )
-    NEW met1 ( 312110 39610 ) ( 1209570 39610 )
-    NEW met2 ( 1210030 40460 ) ( 1210030 86530 )
-    NEW met2 ( 1211410 1677900 ) ( 1213250 1677900 )
-    NEW met2 ( 1213250 1677900 ) ( 1213250 1700340 )
-    NEW met2 ( 1213250 1700340 ) ( 1213710 1700340 0 )
-    NEW met3 ( 1211410 386580 ) ( 1212330 386580 )
-    NEW met2 ( 1212330 386580 ) ( 1212330 434690 )
-    NEW met1 ( 1211870 434690 ) ( 1212330 434690 )
-    NEW met2 ( 1211870 506940 ) ( 1212330 506940 )
-    NEW met2 ( 1211870 434690 ) ( 1211870 506940 )
-    NEW met1 ( 1210950 724030 ) ( 1211410 724030 )
-    NEW li1 ( 1210950 785570 ) ( 1210950 820930 )
-    NEW met1 ( 1210950 1569950 ) ( 1211870 1569950 )
-    NEW met1 ( 1211410 145010 ) ( 1211870 145010 )
-    NEW met1 ( 1211410 241570 ) ( 1211870 241570 )
-    NEW met2 ( 1210950 362100 ) ( 1211410 362100 )
-    NEW met2 ( 1211410 362100 ) ( 1211410 386580 )
-    NEW met2 ( 1211410 651780 ) ( 1211870 651780 )
-    NEW met2 ( 1211870 627810 ) ( 1211870 651780 )
-    NEW met2 ( 1210950 724030 ) ( 1210950 785570 )
-    NEW met3 ( 1210950 821100 ) ( 1211870 821100 )
-    NEW met2 ( 1210950 820930 ) ( 1210950 821100 )
-    NEW met2 ( 1211410 1521500 ) ( 1211870 1521500 )
-    NEW met2 ( 1211870 1521500 ) ( 1211870 1569950 )
-    NEW met2 ( 1210950 1608540 ) ( 1211410 1608540 )
-    NEW met2 ( 1210950 1569950 ) ( 1210950 1608540 )
-    NEW met2 ( 1211410 1608540 ) ( 1211410 1677900 )
-    NEW met1 ( 1210950 90270 ) ( 1210950 90610 )
-    NEW met1 ( 1210950 90610 ) ( 1211410 90610 )
-    NEW met2 ( 1210950 86530 ) ( 1210950 90270 )
-    NEW met2 ( 1211410 90610 ) ( 1211410 145010 )
-    NEW met2 ( 1211870 145010 ) ( 1211870 241570 )
-    NEW li1 ( 1211870 583610 ) ( 1211870 627810 )
-    NEW met2 ( 1211410 651780 ) ( 1211410 724030 )
-    NEW met2 ( 1211410 959140 ) ( 1211870 959140 )
-    NEW li1 ( 1211870 552670 ) ( 1211870 572390 )
-    NEW met1 ( 1211870 552670 ) ( 1212330 552670 )
-    NEW met2 ( 1211870 572390 ) ( 1211870 583610 )
-    NEW met2 ( 1212330 506940 ) ( 1212330 552670 )
-    NEW met2 ( 1210950 289340 ) ( 1211410 289340 )
-    NEW met2 ( 1210950 289340 ) ( 1210950 362100 )
-    NEW met2 ( 1211410 241570 ) ( 1211410 289340 )
-    NEW met2 ( 1210950 1144100 ) ( 1211410 1144100 )
-    NEW met2 ( 1210950 1144100 ) ( 1210950 1145460 )
-    NEW met2 ( 1210950 1145460 ) ( 1211410 1145460 )
-    NEW met2 ( 1211410 959140 ) ( 1211410 1144100 )
-    NEW met2 ( 1210950 1428340 ) ( 1211410 1428340 )
-    NEW met2 ( 1211410 1428340 ) ( 1211410 1521500 )
-    NEW li1 ( 1211870 910010 ) ( 1211870 945030 )
-    NEW met2 ( 1211870 821100 ) ( 1211870 910010 )
-    NEW met2 ( 1211870 945030 ) ( 1211870 959140 )
-    NEW met2 ( 1211410 1145460 ) ( 1211410 1209890 )
-    NEW met3 ( 1210950 1380740 ) ( 1211180 1380740 )
-    NEW met3 ( 1211180 1380060 ) ( 1211180 1380740 )
-    NEW met3 ( 1211180 1380060 ) ( 1211410 1380060 )
-    NEW met2 ( 1210950 1380740 ) ( 1210950 1428340 )
-    NEW met2 ( 1211410 1234030 ) ( 1211410 1276020 )
-    NEW met2 ( 1211410 1276020 ) ( 1211870 1276020 )
-    NEW li1 ( 1211410 1209890 ) ( 1211410 1234030 )
-    NEW li1 ( 1211410 1337730 ) ( 1211410 1348610 )
-    NEW met1 ( 1211410 1337730 ) ( 1212330 1337730 )
-    NEW met2 ( 1211410 1348610 ) ( 1211410 1380060 )
-    NEW li1 ( 1211870 1276530 ) ( 1211870 1324130 )
-    NEW met1 ( 1211870 1324130 ) ( 1212330 1324130 )
-    NEW met2 ( 1211870 1276020 ) ( 1211870 1276530 )
-    NEW met2 ( 1212330 1324130 ) ( 1212330 1337730 )
-    NEW met1 ( 312110 39610 ) M1M2_PR
-    NEW met1 ( 1210030 86530 ) M1M2_PR
-    NEW met1 ( 1210950 86530 ) M1M2_PR
-    NEW met1 ( 1209570 39610 ) M1M2_PR
-    NEW met2 ( 1211410 386580 ) via2_FR
-    NEW met2 ( 1212330 386580 ) via2_FR
-    NEW met1 ( 1212330 434690 ) M1M2_PR
-    NEW met1 ( 1211870 434690 ) M1M2_PR
-    NEW li1 ( 1211870 627810 ) L1M1_PR_MR
-    NEW met1 ( 1211870 627810 ) M1M2_PR
-    NEW met1 ( 1210950 724030 ) M1M2_PR
-    NEW met1 ( 1211410 724030 ) M1M2_PR
-    NEW li1 ( 1210950 820930 ) L1M1_PR_MR
-    NEW met1 ( 1210950 820930 ) M1M2_PR
-    NEW li1 ( 1210950 785570 ) L1M1_PR_MR
-    NEW met1 ( 1210950 785570 ) M1M2_PR
-    NEW met1 ( 1210950 1569950 ) M1M2_PR
-    NEW met1 ( 1211870 1569950 ) M1M2_PR
-    NEW met1 ( 1211410 145010 ) M1M2_PR
-    NEW met1 ( 1211870 145010 ) M1M2_PR
-    NEW met1 ( 1211870 241570 ) M1M2_PR
-    NEW met1 ( 1211410 241570 ) M1M2_PR
-    NEW met2 ( 1210950 821100 ) via2_FR
-    NEW met2 ( 1211870 821100 ) via2_FR
-    NEW met1 ( 1210950 90270 ) M1M2_PR
-    NEW met1 ( 1211410 90610 ) M1M2_PR
-    NEW li1 ( 1211870 583610 ) L1M1_PR_MR
-    NEW met1 ( 1211870 583610 ) M1M2_PR
-    NEW li1 ( 1211870 572390 ) L1M1_PR_MR
-    NEW met1 ( 1211870 572390 ) M1M2_PR
-    NEW li1 ( 1211870 552670 ) L1M1_PR_MR
-    NEW met1 ( 1212330 552670 ) M1M2_PR
-    NEW li1 ( 1211870 910010 ) L1M1_PR_MR
-    NEW met1 ( 1211870 910010 ) M1M2_PR
-    NEW li1 ( 1211870 945030 ) L1M1_PR_MR
-    NEW met1 ( 1211870 945030 ) M1M2_PR
-    NEW li1 ( 1211410 1209890 ) L1M1_PR_MR
-    NEW met1 ( 1211410 1209890 ) M1M2_PR
-    NEW met2 ( 1210950 1380740 ) via2_FR
-    NEW met2 ( 1211410 1380060 ) via2_FR
-    NEW li1 ( 1211410 1234030 ) L1M1_PR_MR
-    NEW met1 ( 1211410 1234030 ) M1M2_PR
-    NEW li1 ( 1211410 1348610 ) L1M1_PR_MR
-    NEW met1 ( 1211410 1348610 ) M1M2_PR
-    NEW li1 ( 1211410 1337730 ) L1M1_PR_MR
-    NEW met1 ( 1212330 1337730 ) M1M2_PR
-    NEW li1 ( 1211870 1276530 ) L1M1_PR_MR
-    NEW met1 ( 1211870 1276530 ) M1M2_PR
-    NEW li1 ( 1211870 1324130 ) L1M1_PR_MR
-    NEW met1 ( 1212330 1324130 ) M1M2_PR
-    NEW met1 ( 1211870 627810 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210950 820930 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210950 785570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211870 583610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211870 572390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211870 910010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211870 945030 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211410 1209890 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211410 1234030 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211410 1348610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1211870 1276530 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 310270 2380 0 ) ( 310270 39950 )
+    NEW met1 ( 310270 39950 ) ( 1208190 39950 )
+    NEW met1 ( 1208190 1678750 ) ( 1212790 1678750 )
+    NEW met2 ( 1212790 1678750 ) ( 1212790 1700340 )
+    NEW met2 ( 1212790 1700340 ) ( 1213710 1700340 0 )
+    NEW met2 ( 1208190 39950 ) ( 1208190 1678750 )
+    NEW met1 ( 310270 39950 ) M1M2_PR
+    NEW met1 ( 1208190 39950 ) M1M2_PR
+    NEW met1 ( 1208190 1678750 ) M1M2_PR
+    NEW met1 ( 1212790 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) 
-  + ROUTED met2 ( 330050 2380 0 ) ( 330050 39950 )
-    NEW met1 ( 330050 39950 ) ( 1215550 39950 )
-    NEW met1 ( 1215550 1677390 ) ( 1217390 1677390 )
-    NEW met2 ( 1217390 1677390 ) ( 1217390 1700340 0 )
-    NEW met2 ( 1215550 39950 ) ( 1215550 1677390 )
-    NEW met1 ( 330050 39950 ) M1M2_PR
-    NEW met1 ( 1215550 39950 ) M1M2_PR
-    NEW met1 ( 1215550 1677390 ) M1M2_PR
-    NEW met1 ( 1217390 1677390 ) M1M2_PR
+  + ROUTED met2 ( 327750 2380 0 ) ( 327750 16830 )
+    NEW met1 ( 327750 16830 ) ( 330970 16830 )
+    NEW met2 ( 330970 16830 ) ( 330970 1611090 )
+    NEW met1 ( 330970 1611090 ) ( 1204050 1611090 )
+    NEW met2 ( 1217390 1684190 ) ( 1217390 1700340 0 )
+    NEW met1 ( 1204050 1684190 ) ( 1217390 1684190 )
+    NEW met2 ( 1204050 1611090 ) ( 1204050 1636930 )
+    NEW li1 ( 1204050 1636930 ) ( 1204050 1684190 )
+    NEW met1 ( 327750 16830 ) M1M2_PR
+    NEW met1 ( 330970 16830 ) M1M2_PR
+    NEW met1 ( 330970 1611090 ) M1M2_PR
+    NEW met1 ( 1204050 1611090 ) M1M2_PR
+    NEW met1 ( 1217390 1684190 ) M1M2_PR
+    NEW li1 ( 1204050 1684190 ) L1M1_PR_MR
+    NEW li1 ( 1204050 1636930 ) L1M1_PR_MR
+    NEW met1 ( 1204050 1636930 ) M1M2_PR
+    NEW met1 ( 1204050 1636930 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) 
-  + ROUTED met2 ( 347530 2380 0 ) ( 347530 40290 )
-    NEW met1 ( 347530 40290 ) ( 1217850 40290 )
-    NEW met1 ( 1217850 1677730 ) ( 1220150 1677730 )
+  + ROUTED met2 ( 345690 2380 0 ) ( 345690 18530 )
+    NEW met1 ( 345690 18530 ) ( 351670 18530 )
+    NEW met2 ( 351670 18530 ) ( 351670 1638630 )
+    NEW met1 ( 351670 1638630 ) ( 1216930 1638630 )
+    NEW met1 ( 1216930 1677730 ) ( 1220150 1677730 )
     NEW met2 ( 1220150 1677730 ) ( 1220150 1700340 )
     NEW met2 ( 1220150 1700340 ) ( 1221070 1700340 0 )
-    NEW met2 ( 1217850 40290 ) ( 1217850 1677730 )
-    NEW met1 ( 347530 40290 ) M1M2_PR
-    NEW met1 ( 1217850 40290 ) M1M2_PR
-    NEW met1 ( 1217850 1677730 ) M1M2_PR
+    NEW met2 ( 1216930 1638630 ) ( 1216930 1677730 )
+    NEW met1 ( 345690 18530 ) M1M2_PR
+    NEW met1 ( 351670 18530 ) M1M2_PR
+    NEW met1 ( 351670 1638630 ) M1M2_PR
+    NEW met1 ( 1216930 1638630 ) M1M2_PR
+    NEW met1 ( 1216930 1677730 ) M1M2_PR
     NEW met1 ( 1220150 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) 
-  + ROUTED met2 ( 365470 2380 0 ) ( 365470 1624690 )
-    NEW met1 ( 1222910 1678750 ) ( 1223830 1678750 )
-    NEW met2 ( 1223830 1678750 ) ( 1223830 1700340 )
-    NEW met2 ( 1223830 1700340 ) ( 1224750 1700340 0 )
-    NEW met2 ( 1222910 1624690 ) ( 1222910 1678750 )
-    NEW met1 ( 365470 1624690 ) ( 1222910 1624690 )
+  + ROUTED met2 ( 363170 2380 0 ) ( 363170 15810 )
+    NEW met1 ( 363170 15810 ) ( 365470 15810 )
+    NEW met2 ( 365470 15810 ) ( 365470 1624690 )
+    NEW met1 ( 365470 1624690 ) ( 1224290 1624690 )
+    NEW met2 ( 1224290 1700340 ) ( 1224750 1700340 0 )
+    NEW met2 ( 1224290 1624690 ) ( 1224290 1700340 )
+    NEW met1 ( 363170 15810 ) M1M2_PR
+    NEW met1 ( 365470 15810 ) M1M2_PR
     NEW met1 ( 365470 1624690 ) M1M2_PR
-    NEW met1 ( 1222910 1624690 ) M1M2_PR
-    NEW met1 ( 1222910 1678750 ) M1M2_PR
-    NEW met1 ( 1223830 1678750 ) M1M2_PR
+    NEW met1 ( 1224290 1624690 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) 
-  + ROUTED met2 ( 383410 2380 0 ) ( 383410 15470 )
-    NEW met1 ( 383410 15470 ) ( 386170 15470 )
-    NEW met2 ( 386170 15470 ) ( 386170 1638630 )
-    NEW met2 ( 1228430 1638630 ) ( 1228430 1700340 0 )
-    NEW met1 ( 386170 1638630 ) ( 1228430 1638630 )
-    NEW met1 ( 383410 15470 ) M1M2_PR
-    NEW met1 ( 386170 15470 ) M1M2_PR
-    NEW met1 ( 386170 1638630 ) M1M2_PR
-    NEW met1 ( 1228430 1638630 ) M1M2_PR
+  + ROUTED met2 ( 381110 2380 0 ) ( 381110 16830 )
+    NEW met1 ( 381110 16830 ) ( 386170 16830 )
+    NEW met2 ( 386170 16830 ) ( 386170 1521330 )
+    NEW met2 ( 1228430 1687590 ) ( 1228430 1700340 0 )
+    NEW met1 ( 386170 1521330 ) ( 1217850 1521330 )
+    NEW met2 ( 1217850 1521330 ) ( 1217850 1687590 )
+    NEW met1 ( 1217850 1687590 ) ( 1228430 1687590 )
+    NEW met1 ( 381110 16830 ) M1M2_PR
+    NEW met1 ( 386170 16830 ) M1M2_PR
+    NEW met1 ( 386170 1521330 ) M1M2_PR
+    NEW met1 ( 1228430 1687590 ) M1M2_PR
+    NEW met1 ( 1217850 1521330 ) M1M2_PR
+    NEW met1 ( 1217850 1687590 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) 
-  + ROUTED met2 ( 401350 2380 0 ) ( 401350 15470 )
-    NEW met1 ( 401350 15470 ) ( 406870 15470 )
-    NEW met2 ( 406870 15470 ) ( 406870 1473050 )
-    NEW met1 ( 406870 1473050 ) ( 1229810 1473050 )
+  + ROUTED met2 ( 398590 2380 0 ) ( 398590 17340 )
+    NEW met2 ( 398590 17340 ) ( 399970 17340 )
+    NEW met2 ( 399970 17340 ) ( 399970 1597150 )
+    NEW met1 ( 1230270 1677390 ) ( 1231190 1677390 )
+    NEW met2 ( 1231190 1677390 ) ( 1231190 1700340 )
     NEW met2 ( 1231190 1700340 ) ( 1232110 1700340 0 )
-    NEW met1 ( 1229810 1655290 ) ( 1231190 1655290 )
-    NEW met2 ( 1229810 1473050 ) ( 1229810 1655290 )
-    NEW met2 ( 1231190 1655290 ) ( 1231190 1700340 )
-    NEW met1 ( 401350 15470 ) M1M2_PR
-    NEW met1 ( 406870 15470 ) M1M2_PR
-    NEW met1 ( 406870 1473050 ) M1M2_PR
-    NEW met1 ( 1229810 1473050 ) M1M2_PR
-    NEW met1 ( 1229810 1655290 ) M1M2_PR
-    NEW met1 ( 1231190 1655290 ) M1M2_PR
+    NEW met2 ( 1230270 1597150 ) ( 1230270 1677390 )
+    NEW met1 ( 399970 1597150 ) ( 1230270 1597150 )
+    NEW met1 ( 399970 1597150 ) M1M2_PR
+    NEW met1 ( 1230270 1597150 ) M1M2_PR
+    NEW met1 ( 1230270 1677390 ) M1M2_PR
+    NEW met1 ( 1231190 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) 
-  + ROUTED met2 ( 62330 2380 0 ) ( 62330 38930 )
-    NEW li1 ( 979570 39270 ) ( 979570 41990 )
-    NEW met2 ( 1154370 39100 ) ( 1154370 39270 )
-    NEW met1 ( 1154370 39270 ) ( 1160350 39270 )
-    NEW met2 ( 1160350 1677900 ) ( 1161270 1677900 )
-    NEW met2 ( 1161270 1677900 ) ( 1161270 1700340 )
+  + ROUTED met2 ( 1160350 1688780 ) ( 1161270 1688780 )
+    NEW met2 ( 1161270 1688780 ) ( 1161270 1700340 )
     NEW met2 ( 1161270 1700340 ) ( 1162190 1700340 0 )
-    NEW met2 ( 1160350 39270 ) ( 1160350 1677900 )
-    NEW li1 ( 930810 38930 ) ( 930810 41990 )
-    NEW met1 ( 62330 38930 ) ( 930810 38930 )
-    NEW met1 ( 930810 41990 ) ( 979570 41990 )
-    NEW met2 ( 1076630 39270 ) ( 1076630 39780 )
-    NEW met3 ( 1076630 39780 ) ( 1079620 39780 )
-    NEW met3 ( 1079620 39100 ) ( 1079620 39780 )
-    NEW met1 ( 979570 39270 ) ( 1076630 39270 )
-    NEW met3 ( 1079620 39100 ) ( 1154370 39100 )
-    NEW met1 ( 62330 38930 ) M1M2_PR
-    NEW li1 ( 979570 41990 ) L1M1_PR_MR
-    NEW li1 ( 979570 39270 ) L1M1_PR_MR
-    NEW met2 ( 1154370 39100 ) via2_FR
-    NEW met1 ( 1154370 39270 ) M1M2_PR
-    NEW met1 ( 1160350 39270 ) M1M2_PR
-    NEW li1 ( 930810 38930 ) L1M1_PR_MR
-    NEW li1 ( 930810 41990 ) L1M1_PR_MR
-    NEW met1 ( 1076630 39270 ) M1M2_PR
-    NEW met2 ( 1076630 39780 ) via2_FR
+    NEW met2 ( 61870 2380 0 ) ( 61870 38590 )
+    NEW li1 ( 932650 38930 ) ( 932650 41650 )
+    NEW met2 ( 1125390 38420 ) ( 1125390 38930 )
+    NEW met1 ( 1125390 38930 ) ( 1160350 38930 )
+    NEW met2 ( 1160350 38930 ) ( 1160350 1688780 )
+    NEW li1 ( 889870 38250 ) ( 889870 38590 )
+    NEW li1 ( 889870 38250 ) ( 890790 38250 )
+    NEW li1 ( 890790 38250 ) ( 890790 39270 )
+    NEW met1 ( 890790 39270 ) ( 930810 39270 )
+    NEW li1 ( 930810 39270 ) ( 930810 41650 )
+    NEW met1 ( 61870 38590 ) ( 889870 38590 )
+    NEW met1 ( 930810 41650 ) ( 932650 41650 )
+    NEW met2 ( 1076630 38420 ) ( 1076630 38930 )
+    NEW met1 ( 932650 38930 ) ( 1076630 38930 )
+    NEW met3 ( 1076630 38420 ) ( 1125390 38420 )
+    NEW met1 ( 61870 38590 ) M1M2_PR
+    NEW li1 ( 932650 41650 ) L1M1_PR_MR
+    NEW li1 ( 932650 38930 ) L1M1_PR_MR
+    NEW met2 ( 1125390 38420 ) via2_FR
+    NEW met1 ( 1125390 38930 ) M1M2_PR
+    NEW met1 ( 1160350 38930 ) M1M2_PR
+    NEW li1 ( 889870 38590 ) L1M1_PR_MR
+    NEW li1 ( 890790 39270 ) L1M1_PR_MR
+    NEW li1 ( 930810 39270 ) L1M1_PR_MR
+    NEW li1 ( 930810 41650 ) L1M1_PR_MR
+    NEW met1 ( 1076630 38930 ) M1M2_PR
+    NEW met2 ( 1076630 38420 ) via2_FR
 + USE SIGNAL ;
 - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) 
-  + ROUTED met2 ( 419290 2380 0 ) ( 419290 16660 )
-    NEW met2 ( 419290 16660 ) ( 420670 16660 )
-    NEW met2 ( 420670 16660 ) ( 420670 1459110 )
-    NEW met1 ( 420670 1459110 ) ( 1224750 1459110 )
-    NEW met1 ( 1224750 1684190 ) ( 1235790 1684190 )
-    NEW met2 ( 1235790 1684190 ) ( 1235790 1700340 0 )
-    NEW met2 ( 1224750 1459110 ) ( 1224750 1684190 )
-    NEW met1 ( 420670 1459110 ) M1M2_PR
-    NEW met1 ( 1224750 1459110 ) M1M2_PR
-    NEW met1 ( 1224750 1684190 ) M1M2_PR
-    NEW met1 ( 1235790 1684190 ) M1M2_PR
+  + ROUTED met2 ( 416530 2380 0 ) ( 416530 15810 )
+    NEW met1 ( 416530 15810 ) ( 420670 15810 )
+    NEW met2 ( 420670 15810 ) ( 420670 1666170 )
+    NEW met2 ( 1235790 1666170 ) ( 1235790 1700340 0 )
+    NEW met1 ( 420670 1666170 ) ( 1235790 1666170 )
+    NEW met1 ( 416530 15810 ) M1M2_PR
+    NEW met1 ( 420670 15810 ) M1M2_PR
+    NEW met1 ( 420670 1666170 ) M1M2_PR
+    NEW met1 ( 1235790 1666170 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) 
-  + ROUTED met2 ( 436770 2380 0 ) ( 436770 15130 )
-    NEW met1 ( 436770 15130 ) ( 441370 15130 )
-    NEW met2 ( 441370 15130 ) ( 441370 1445510 )
-    NEW met1 ( 441370 1445510 ) ( 1239010 1445510 )
-    NEW met2 ( 1239010 1700340 ) ( 1239470 1700340 0 )
-    NEW met2 ( 1239010 1445510 ) ( 1239010 1700340 )
-    NEW met1 ( 436770 15130 ) M1M2_PR
-    NEW met1 ( 441370 15130 ) M1M2_PR
-    NEW met1 ( 441370 1445510 ) M1M2_PR
-    NEW met1 ( 1239010 1445510 ) M1M2_PR
+  + ROUTED met2 ( 434470 2380 0 ) ( 434470 1646110 )
+    NEW met1 ( 1235330 1678750 ) ( 1238550 1678750 )
+    NEW met2 ( 1238550 1678750 ) ( 1238550 1700340 )
+    NEW met2 ( 1238550 1700340 ) ( 1239470 1700340 0 )
+    NEW met2 ( 1235330 1646110 ) ( 1235330 1678750 )
+    NEW met1 ( 434470 1646110 ) ( 1235330 1646110 )
+    NEW met1 ( 434470 1646110 ) M1M2_PR
+    NEW met1 ( 1235330 1646110 ) M1M2_PR
+    NEW met1 ( 1235330 1678750 ) M1M2_PR
+    NEW met1 ( 1238550 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) 
-  + ROUTED met2 ( 1243150 1700340 0 ) ( 1243610 1700340 )
-    NEW met2 ( 1243610 1431570 ) ( 1243610 1700340 )
-    NEW met2 ( 454710 2380 0 ) ( 454710 24140 )
-    NEW met2 ( 454710 24140 ) ( 455170 24140 )
-    NEW li1 ( 455170 48450 ) ( 455170 96390 )
-    NEW met2 ( 455170 24140 ) ( 455170 48450 )
-    NEW li1 ( 455170 145010 ) ( 455170 192610 )
-    NEW met2 ( 455170 96390 ) ( 455170 145010 )
-    NEW li1 ( 455170 241570 ) ( 455170 289510 )
-    NEW met2 ( 455170 192610 ) ( 455170 241570 )
-    NEW li1 ( 455170 338130 ) ( 455170 385730 )
-    NEW met2 ( 455170 289510 ) ( 455170 338130 )
-    NEW met3 ( 454940 434860 ) ( 455170 434860 )
-    NEW met3 ( 454940 434860 ) ( 454940 435540 )
-    NEW met3 ( 454250 435540 ) ( 454940 435540 )
-    NEW met2 ( 454250 435540 ) ( 454250 482970 )
-    NEW met1 ( 454250 482970 ) ( 455170 482970 )
-    NEW met2 ( 455170 385730 ) ( 455170 434860 )
-    NEW li1 ( 455170 531590 ) ( 455170 578850 )
-    NEW met2 ( 455170 482970 ) ( 455170 531590 )
-    NEW met3 ( 454940 627980 ) ( 455170 627980 )
-    NEW met3 ( 454940 627980 ) ( 454940 628660 )
-    NEW met3 ( 454250 628660 ) ( 454940 628660 )
-    NEW met2 ( 454250 628660 ) ( 454250 676090 )
-    NEW met1 ( 454250 676090 ) ( 455170 676090 )
-    NEW met2 ( 455170 578850 ) ( 455170 627980 )
-    NEW met3 ( 455170 724540 ) ( 456550 724540 )
-    NEW met2 ( 456550 724540 ) ( 456550 772140 )
-    NEW met3 ( 455170 772140 ) ( 456550 772140 )
-    NEW met2 ( 455170 676090 ) ( 455170 724540 )
-    NEW met3 ( 454940 821100 ) ( 455170 821100 )
-    NEW met4 ( 454940 821100 ) ( 454940 869380 )
-    NEW met3 ( 454940 869380 ) ( 455170 869380 )
-    NEW met2 ( 455170 772140 ) ( 455170 821100 )
-    NEW met1 ( 454250 917830 ) ( 455170 917830 )
-    NEW met2 ( 454250 917830 ) ( 454250 965940 )
-    NEW met3 ( 454250 965940 ) ( 455170 965940 )
-    NEW met2 ( 455170 869380 ) ( 455170 917830 )
-    NEW met1 ( 454250 1015070 ) ( 455170 1015070 )
-    NEW met2 ( 454250 1015070 ) ( 454250 1062500 )
-    NEW met3 ( 454250 1062500 ) ( 455170 1062500 )
-    NEW met2 ( 455170 965940 ) ( 455170 1015070 )
-    NEW met1 ( 454250 1110950 ) ( 455170 1110950 )
-    NEW met2 ( 454250 1110950 ) ( 454250 1159060 )
-    NEW met3 ( 454250 1159060 ) ( 455170 1159060 )
-    NEW met2 ( 455170 1062500 ) ( 455170 1110950 )
-    NEW li1 ( 455170 1208190 ) ( 455170 1255790 )
-    NEW met2 ( 455170 1159060 ) ( 455170 1208190 )
-    NEW li1 ( 455170 1304410 ) ( 455170 1352350 )
-    NEW met2 ( 455170 1255790 ) ( 455170 1304410 )
-    NEW li1 ( 455170 1401310 ) ( 455170 1431570 )
-    NEW met2 ( 455170 1352350 ) ( 455170 1401310 )
-    NEW met1 ( 455170 1431570 ) ( 1243610 1431570 )
-    NEW met1 ( 1243610 1431570 ) M1M2_PR
-    NEW li1 ( 455170 48450 ) L1M1_PR_MR
-    NEW met1 ( 455170 48450 ) M1M2_PR
-    NEW li1 ( 455170 96390 ) L1M1_PR_MR
-    NEW met1 ( 455170 96390 ) M1M2_PR
-    NEW li1 ( 455170 145010 ) L1M1_PR_MR
-    NEW met1 ( 455170 145010 ) M1M2_PR
-    NEW li1 ( 455170 192610 ) L1M1_PR_MR
-    NEW met1 ( 455170 192610 ) M1M2_PR
-    NEW li1 ( 455170 241570 ) L1M1_PR_MR
-    NEW met1 ( 455170 241570 ) M1M2_PR
-    NEW li1 ( 455170 289510 ) L1M1_PR_MR
-    NEW met1 ( 455170 289510 ) M1M2_PR
-    NEW li1 ( 455170 338130 ) L1M1_PR_MR
-    NEW met1 ( 455170 338130 ) M1M2_PR
-    NEW li1 ( 455170 385730 ) L1M1_PR_MR
-    NEW met1 ( 455170 385730 ) M1M2_PR
-    NEW met2 ( 455170 434860 ) via2_FR
-    NEW met2 ( 454250 435540 ) via2_FR
-    NEW met1 ( 454250 482970 ) M1M2_PR
-    NEW met1 ( 455170 482970 ) M1M2_PR
-    NEW li1 ( 455170 531590 ) L1M1_PR_MR
-    NEW met1 ( 455170 531590 ) M1M2_PR
-    NEW li1 ( 455170 578850 ) L1M1_PR_MR
-    NEW met1 ( 455170 578850 ) M1M2_PR
-    NEW met2 ( 455170 627980 ) via2_FR
-    NEW met2 ( 454250 628660 ) via2_FR
-    NEW met1 ( 454250 676090 ) M1M2_PR
-    NEW met1 ( 455170 676090 ) M1M2_PR
-    NEW met2 ( 455170 724540 ) via2_FR
-    NEW met2 ( 456550 724540 ) via2_FR
-    NEW met2 ( 456550 772140 ) via2_FR
-    NEW met2 ( 455170 772140 ) via2_FR
-    NEW met2 ( 455170 821100 ) via2_FR
-    NEW met3 ( 454940 821100 ) M3M4_PR_M
-    NEW met3 ( 454940 869380 ) M3M4_PR_M
-    NEW met2 ( 455170 869380 ) via2_FR
-    NEW met1 ( 455170 917830 ) M1M2_PR
-    NEW met1 ( 454250 917830 ) M1M2_PR
-    NEW met2 ( 454250 965940 ) via2_FR
-    NEW met2 ( 455170 965940 ) via2_FR
-    NEW met1 ( 455170 1015070 ) M1M2_PR
-    NEW met1 ( 454250 1015070 ) M1M2_PR
-    NEW met2 ( 454250 1062500 ) via2_FR
-    NEW met2 ( 455170 1062500 ) via2_FR
-    NEW met1 ( 455170 1110950 ) M1M2_PR
-    NEW met1 ( 454250 1110950 ) M1M2_PR
-    NEW met2 ( 454250 1159060 ) via2_FR
-    NEW met2 ( 455170 1159060 ) via2_FR
-    NEW li1 ( 455170 1208190 ) L1M1_PR_MR
-    NEW met1 ( 455170 1208190 ) M1M2_PR
-    NEW li1 ( 455170 1255790 ) L1M1_PR_MR
-    NEW met1 ( 455170 1255790 ) M1M2_PR
-    NEW li1 ( 455170 1304410 ) L1M1_PR_MR
-    NEW met1 ( 455170 1304410 ) M1M2_PR
-    NEW li1 ( 455170 1352350 ) L1M1_PR_MR
-    NEW met1 ( 455170 1352350 ) M1M2_PR
-    NEW li1 ( 455170 1401310 ) L1M1_PR_MR
-    NEW met1 ( 455170 1401310 ) M1M2_PR
-    NEW li1 ( 455170 1431570 ) L1M1_PR_MR
-    NEW met1 ( 455170 48450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 96390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 145010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 192610 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 241570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 289510 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 338130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 385730 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 531590 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 578850 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 455170 821100 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 454940 869380 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 455170 1208190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 1255790 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 1304410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 1352350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 455170 1401310 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 451950 2380 0 ) ( 451950 16830 )
+    NEW met1 ( 451950 16830 ) ( 455170 16830 )
+    NEW met2 ( 455170 16830 ) ( 455170 1562810 )
+    NEW met1 ( 455170 1562810 ) ( 1243610 1562810 )
+    NEW met2 ( 1243150 1700340 0 ) ( 1243610 1700340 )
+    NEW met2 ( 1243610 1562810 ) ( 1243610 1700340 )
+    NEW met1 ( 451950 16830 ) M1M2_PR
+    NEW met1 ( 455170 16830 ) M1M2_PR
+    NEW met1 ( 455170 1562810 ) M1M2_PR
+    NEW met1 ( 1243610 1562810 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) 
-  + ROUTED met1 ( 475870 1417970 ) ( 1244530 1417970 )
-    NEW met1 ( 1244990 1559070 ) ( 1245910 1559070 )
-    NEW met1 ( 472650 17510 ) ( 475870 17510 )
-    NEW met2 ( 472650 2380 0 ) ( 472650 17510 )
-    NEW met2 ( 475870 17510 ) ( 475870 1417970 )
-    NEW met1 ( 1244530 1476450 ) ( 1245910 1476450 )
-    NEW met2 ( 1244530 1417970 ) ( 1244530 1476450 )
-    NEW met2 ( 1245910 1476450 ) ( 1245910 1559070 )
-    NEW met2 ( 1244990 1677900 ) ( 1245910 1677900 )
-    NEW met2 ( 1245910 1677900 ) ( 1245910 1700340 )
+  + ROUTED met2 ( 469890 2380 0 ) ( 469890 15810 )
+    NEW met1 ( 469890 15810 ) ( 475410 15810 )
+    NEW met2 ( 475410 15810 ) ( 475410 50830 )
+    NEW met1 ( 1242690 1677730 ) ( 1245910 1677730 )
+    NEW met2 ( 1245910 1677730 ) ( 1245910 1700340 )
     NEW met2 ( 1245910 1700340 ) ( 1246830 1700340 0 )
-    NEW met2 ( 1244990 1559070 ) ( 1244990 1677900 )
-    NEW met1 ( 475870 1417970 ) M1M2_PR
-    NEW met1 ( 1244530 1417970 ) M1M2_PR
-    NEW met1 ( 1244990 1559070 ) M1M2_PR
-    NEW met1 ( 1245910 1559070 ) M1M2_PR
-    NEW met1 ( 472650 17510 ) M1M2_PR
-    NEW met1 ( 475870 17510 ) M1M2_PR
-    NEW met1 ( 1244530 1476450 ) M1M2_PR
-    NEW met1 ( 1245910 1476450 ) M1M2_PR
+    NEW met2 ( 1242690 50830 ) ( 1242690 1677730 )
+    NEW met1 ( 475410 50830 ) ( 1242690 50830 )
+    NEW met1 ( 469890 15810 ) M1M2_PR
+    NEW met1 ( 475410 15810 ) M1M2_PR
+    NEW met1 ( 475410 50830 ) M1M2_PR
+    NEW met1 ( 1242690 50830 ) M1M2_PR
+    NEW met1 ( 1242690 1677730 ) M1M2_PR
+    NEW met1 ( 1245910 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) 
-  + ROUTED met1 ( 490590 17510 ) ( 496570 17510 )
-    NEW met2 ( 490590 2380 0 ) ( 490590 17510 )
-    NEW met2 ( 496570 17510 ) ( 496570 1397230 )
-    NEW met2 ( 1245450 1677220 ) ( 1246370 1677220 )
-    NEW met2 ( 1246370 1677220 ) ( 1246370 1684190 )
-    NEW met1 ( 1246370 1684190 ) ( 1250510 1684190 )
-    NEW met2 ( 1250510 1684190 ) ( 1250510 1700340 0 )
-    NEW met2 ( 1245450 1397230 ) ( 1245450 1677220 )
-    NEW met1 ( 496570 1397230 ) ( 1245450 1397230 )
-    NEW met1 ( 496570 1397230 ) M1M2_PR
-    NEW met1 ( 490590 17510 ) M1M2_PR
-    NEW met1 ( 496570 17510 ) M1M2_PR
-    NEW met1 ( 1245450 1397230 ) M1M2_PR
-    NEW met1 ( 1246370 1684190 ) M1M2_PR
-    NEW met1 ( 1250510 1684190 ) M1M2_PR
+  + ROUTED met2 ( 487370 2380 0 ) ( 487370 15810 )
+    NEW met1 ( 487370 15810 ) ( 489670 15810 )
+    NEW met2 ( 489670 15810 ) ( 489670 50490 )
+    NEW met2 ( 1250050 1700340 ) ( 1250510 1700340 0 )
+    NEW met2 ( 1250050 50490 ) ( 1250050 1700340 )
+    NEW met1 ( 489670 50490 ) ( 1250050 50490 )
+    NEW met1 ( 487370 15810 ) M1M2_PR
+    NEW met1 ( 489670 15810 ) M1M2_PR
+    NEW met1 ( 489670 50490 ) M1M2_PR
+    NEW met1 ( 1250050 50490 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) 
-  + ROUTED met2 ( 508070 2380 0 ) ( 508070 15130 )
-    NEW met1 ( 508070 15130 ) ( 510370 15130 )
-    NEW met2 ( 510370 15130 ) ( 510370 50150 )
-    NEW met1 ( 1249590 1676710 ) ( 1253270 1676710 )
-    NEW met2 ( 1253270 1676710 ) ( 1253270 1700340 )
-    NEW met2 ( 1253270 1700340 ) ( 1254190 1700340 0 )
-    NEW met2 ( 1249590 50150 ) ( 1249590 1676710 )
-    NEW met1 ( 510370 50150 ) ( 1249590 50150 )
-    NEW met1 ( 508070 15130 ) M1M2_PR
-    NEW met1 ( 510370 15130 ) M1M2_PR
+  + ROUTED met2 ( 505310 2380 0 ) ( 505310 15810 )
+    NEW met1 ( 505310 15810 ) ( 510370 15810 )
+    NEW met2 ( 510370 15810 ) ( 510370 50150 )
+    NEW met2 ( 1252810 302940 ) ( 1253270 302940 )
+    NEW met2 ( 1252350 449140 ) ( 1252810 449140 )
+    NEW met2 ( 1252350 786420 ) ( 1252810 786420 )
+    NEW met2 ( 1252810 785740 ) ( 1252810 786420 )
+    NEW met2 ( 1252810 785740 ) ( 1253270 785740 )
+    NEW met2 ( 1252350 931940 ) ( 1252810 931940 )
+    NEW met2 ( 1252350 1028500 ) ( 1252810 1028500 )
+    NEW met2 ( 1252350 1318180 ) ( 1252810 1318180 )
+    NEW met1 ( 1252810 303790 ) ( 1252810 304130 )
+    NEW met1 ( 1252810 304130 ) ( 1253270 304130 )
+    NEW met2 ( 1252810 302940 ) ( 1252810 303790 )
+    NEW met2 ( 1252350 448460 ) ( 1252810 448460 )
+    NEW met2 ( 1252810 447780 ) ( 1252810 448460 )
+    NEW met2 ( 1252810 447780 ) ( 1253270 447780 )
+    NEW met2 ( 1252350 448460 ) ( 1252350 449140 )
+    NEW met2 ( 1253270 304130 ) ( 1253270 447780 )
+    NEW met1 ( 1252810 496910 ) ( 1252810 497250 )
+    NEW met1 ( 1252810 497250 ) ( 1253270 497250 )
+    NEW met2 ( 1252810 449140 ) ( 1252810 496910 )
+    NEW met2 ( 1252350 787100 ) ( 1253270 787100 )
+    NEW met2 ( 1252350 786420 ) ( 1252350 787100 )
+    NEW met2 ( 1252350 931260 ) ( 1253270 931260 )
+    NEW met2 ( 1252350 931260 ) ( 1252350 931940 )
+    NEW met2 ( 1253270 787100 ) ( 1253270 931260 )
+    NEW met2 ( 1252350 1027820 ) ( 1252810 1027820 )
+    NEW met2 ( 1252350 1027820 ) ( 1252350 1028500 )
+    NEW met2 ( 1252810 931940 ) ( 1252810 1027820 )
+    NEW met2 ( 1252350 1317500 ) ( 1253270 1317500 )
+    NEW met2 ( 1252350 1317500 ) ( 1252350 1318180 )
+    NEW met1 ( 510370 50150 ) ( 1252810 50150 )
+    NEW met2 ( 1252810 119340 ) ( 1253270 119340 )
+    NEW met2 ( 1252810 50150 ) ( 1252810 119340 )
+    NEW met2 ( 1253270 119340 ) ( 1253270 302940 )
+    NEW met2 ( 1253270 497250 ) ( 1253270 785740 )
+    NEW li1 ( 1252810 1055870 ) ( 1252810 1076610 )
+    NEW met2 ( 1252810 1076610 ) ( 1252810 1103980 )
+    NEW met2 ( 1252810 1103980 ) ( 1253270 1103980 )
+    NEW met2 ( 1252810 1028500 ) ( 1252810 1055870 )
+    NEW li1 ( 1253270 1171810 ) ( 1253270 1173510 )
+    NEW met2 ( 1253270 1173510 ) ( 1253270 1317500 )
+    NEW li1 ( 1252810 1345550 ) ( 1252810 1366290 )
+    NEW met2 ( 1252810 1366290 ) ( 1252810 1393660 )
+    NEW met2 ( 1252810 1393660 ) ( 1253270 1393660 )
+    NEW met2 ( 1252810 1318180 ) ( 1252810 1345550 )
+    NEW met2 ( 1253270 1467100 ) ( 1253730 1467100 )
+    NEW met2 ( 1253270 1683340 ) ( 1253730 1683340 )
+    NEW met1 ( 1253270 1104830 ) ( 1254190 1104830 )
+    NEW met2 ( 1254190 1104830 ) ( 1254190 1152260 )
+    NEW met3 ( 1253270 1152260 ) ( 1254190 1152260 )
+    NEW met2 ( 1253270 1103980 ) ( 1253270 1104830 )
+    NEW met2 ( 1253270 1152260 ) ( 1253270 1171810 )
+    NEW met1 ( 1253270 1393830 ) ( 1254190 1393830 )
+    NEW met2 ( 1254190 1393830 ) ( 1254190 1441940 )
+    NEW met3 ( 1253270 1441940 ) ( 1254190 1441940 )
+    NEW met2 ( 1253270 1393660 ) ( 1253270 1393830 )
+    NEW met2 ( 1253270 1441940 ) ( 1253270 1467100 )
+    NEW met1 ( 1253270 1491070 ) ( 1253730 1491070 )
+    NEW met2 ( 1253730 1467100 ) ( 1253730 1491070 )
+    NEW met2 ( 1253730 1700340 ) ( 1254190 1700340 0 )
+    NEW met2 ( 1253730 1683340 ) ( 1253730 1700340 )
+    NEW li1 ( 1253270 1491070 ) ( 1253270 1579810 )
+    NEW met1 ( 1253270 1628430 ) ( 1254190 1628430 )
+    NEW met2 ( 1254190 1628430 ) ( 1254190 1676540 )
+    NEW met3 ( 1253270 1676540 ) ( 1254190 1676540 )
+    NEW met2 ( 1253270 1579810 ) ( 1253270 1628430 )
+    NEW met2 ( 1253270 1676540 ) ( 1253270 1683340 )
+    NEW met1 ( 505310 15810 ) M1M2_PR
+    NEW met1 ( 510370 15810 ) M1M2_PR
     NEW met1 ( 510370 50150 ) M1M2_PR
-    NEW met1 ( 1249590 50150 ) M1M2_PR
-    NEW met1 ( 1249590 1676710 ) M1M2_PR
-    NEW met1 ( 1253270 1676710 ) M1M2_PR
+    NEW met1 ( 1252810 50150 ) M1M2_PR
+    NEW met1 ( 1252810 303790 ) M1M2_PR
+    NEW met1 ( 1253270 304130 ) M1M2_PR
+    NEW met1 ( 1252810 496910 ) M1M2_PR
+    NEW met1 ( 1253270 497250 ) M1M2_PR
+    NEW li1 ( 1252810 1055870 ) L1M1_PR_MR
+    NEW met1 ( 1252810 1055870 ) M1M2_PR
+    NEW li1 ( 1252810 1076610 ) L1M1_PR_MR
+    NEW met1 ( 1252810 1076610 ) M1M2_PR
+    NEW li1 ( 1253270 1171810 ) L1M1_PR_MR
+    NEW met1 ( 1253270 1171810 ) M1M2_PR
+    NEW li1 ( 1253270 1173510 ) L1M1_PR_MR
+    NEW met1 ( 1253270 1173510 ) M1M2_PR
+    NEW li1 ( 1252810 1345550 ) L1M1_PR_MR
+    NEW met1 ( 1252810 1345550 ) M1M2_PR
+    NEW li1 ( 1252810 1366290 ) L1M1_PR_MR
+    NEW met1 ( 1252810 1366290 ) M1M2_PR
+    NEW met1 ( 1253270 1104830 ) M1M2_PR
+    NEW met1 ( 1254190 1104830 ) M1M2_PR
+    NEW met2 ( 1254190 1152260 ) via2_FR
+    NEW met2 ( 1253270 1152260 ) via2_FR
+    NEW met1 ( 1253270 1393830 ) M1M2_PR
+    NEW met1 ( 1254190 1393830 ) M1M2_PR
+    NEW met2 ( 1254190 1441940 ) via2_FR
+    NEW met2 ( 1253270 1441940 ) via2_FR
+    NEW li1 ( 1253270 1491070 ) L1M1_PR_MR
+    NEW met1 ( 1253730 1491070 ) M1M2_PR
+    NEW li1 ( 1253270 1579810 ) L1M1_PR_MR
+    NEW met1 ( 1253270 1579810 ) M1M2_PR
+    NEW met1 ( 1253270 1628430 ) M1M2_PR
+    NEW met1 ( 1254190 1628430 ) M1M2_PR
+    NEW met2 ( 1254190 1676540 ) via2_FR
+    NEW met2 ( 1253270 1676540 ) via2_FR
+    NEW met1 ( 1252810 1055870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1252810 1076610 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1253270 1171810 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1253270 1173510 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1252810 1345550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1252810 1366290 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1253270 1393830 ) RECT ( -70 0 70 315 )
+    NEW met1 ( 1253270 1579810 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) 
-  + ROUTED met2 ( 526010 2380 0 ) ( 526010 14790 )
-    NEW met1 ( 526010 14790 ) ( 531070 14790 )
-    NEW met2 ( 531070 14790 ) ( 531070 49810 )
-    NEW met2 ( 1258330 1677900 ) ( 1259250 1677900 )
-    NEW met2 ( 1258330 1677900 ) ( 1258330 1700340 )
-    NEW met2 ( 1257870 1700340 0 ) ( 1258330 1700340 )
-    NEW met2 ( 1259250 49810 ) ( 1259250 1677900 )
-    NEW met1 ( 531070 49810 ) ( 1259250 49810 )
-    NEW met1 ( 526010 14790 ) M1M2_PR
-    NEW met1 ( 531070 14790 ) M1M2_PR
-    NEW met1 ( 531070 49810 ) M1M2_PR
-    NEW met1 ( 1259250 49810 ) M1M2_PR
+  + ROUTED met2 ( 522790 2380 0 ) ( 522790 17340 )
+    NEW met2 ( 522790 17340 ) ( 524170 17340 )
+    NEW met2 ( 524170 17340 ) ( 524170 49810 )
+    NEW met2 ( 1257870 49810 ) ( 1257870 1700340 0 )
+    NEW met1 ( 524170 49810 ) ( 1257870 49810 )
+    NEW met1 ( 524170 49810 ) M1M2_PR
+    NEW met1 ( 1257870 49810 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) 
-  + ROUTED met2 ( 543950 2380 0 ) ( 543950 17340 )
-    NEW met2 ( 543950 17340 ) ( 544870 17340 )
-    NEW met2 ( 544870 17340 ) ( 544870 49470 )
+  + ROUTED met2 ( 540730 2380 0 ) ( 540730 14790 )
+    NEW met1 ( 540730 14790 ) ( 544870 14790 )
+    NEW met2 ( 544870 14790 ) ( 544870 49470 )
     NEW met1 ( 1256950 1676710 ) ( 1260630 1676710 )
     NEW met2 ( 1260630 1676710 ) ( 1260630 1700340 )
     NEW met2 ( 1260630 1700340 ) ( 1261550 1700340 0 )
     NEW met2 ( 1256950 49470 ) ( 1256950 1676710 )
     NEW met1 ( 544870 49470 ) ( 1256950 49470 )
+    NEW met1 ( 540730 14790 ) M1M2_PR
+    NEW met1 ( 544870 14790 ) M1M2_PR
     NEW met1 ( 544870 49470 ) M1M2_PR
     NEW met1 ( 1256950 49470 ) M1M2_PR
     NEW met1 ( 1256950 1676710 ) M1M2_PR
     NEW met1 ( 1260630 1676710 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) 
-  + ROUTED met2 ( 561890 2380 0 ) ( 561890 14110 )
-    NEW met1 ( 561890 14110 ) ( 564190 14110 )
-    NEW met2 ( 564190 13940 ) ( 564190 14110 )
-    NEW met2 ( 564190 13940 ) ( 565570 13940 )
-    NEW met2 ( 565570 13940 ) ( 565570 48450 )
-    NEW li1 ( 1221990 48450 ) ( 1221990 50830 )
-    NEW met1 ( 1221990 50830 ) ( 1265230 50830 )
-    NEW met2 ( 1265230 50830 ) ( 1265230 1700340 0 )
-    NEW met1 ( 565570 48450 ) ( 1221990 48450 )
-    NEW met1 ( 561890 14110 ) M1M2_PR
-    NEW met1 ( 564190 14110 ) M1M2_PR
-    NEW met1 ( 565570 48450 ) M1M2_PR
-    NEW li1 ( 1221990 48450 ) L1M1_PR_MR
-    NEW li1 ( 1221990 50830 ) L1M1_PR_MR
-    NEW met1 ( 1265230 50830 ) M1M2_PR
+  + ROUTED met2 ( 558210 2380 0 ) ( 558210 17340 )
+    NEW met2 ( 558210 17340 ) ( 558670 17340 )
+    NEW met2 ( 558670 17340 ) ( 558670 48450 )
+    NEW li1 ( 1221530 48450 ) ( 1221530 51170 )
+    NEW met1 ( 1221530 51170 ) ( 1265230 51170 )
+    NEW met2 ( 1265230 51170 ) ( 1265230 1700340 0 )
+    NEW met1 ( 558670 48450 ) ( 1221530 48450 )
+    NEW met1 ( 558670 48450 ) M1M2_PR
+    NEW li1 ( 1221530 48450 ) L1M1_PR_MR
+    NEW li1 ( 1221530 51170 ) L1M1_PR_MR
+    NEW met1 ( 1265230 51170 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) 
-  + ROUTED met2 ( 579830 2380 0 ) ( 579830 17510 )
-    NEW met1 ( 579830 17510 ) ( 584890 17510 )
-    NEW met2 ( 584890 17510 ) ( 584890 18020 )
-    NEW met2 ( 584890 18020 ) ( 585810 18020 )
-    NEW met2 ( 585810 18020 ) ( 585810 55590 )
-    NEW met1 ( 615250 55590 ) ( 615250 55930 )
-    NEW met1 ( 585810 55590 ) ( 615250 55590 )
-    NEW li1 ( 642850 54910 ) ( 642850 55930 )
-    NEW met1 ( 642850 54910 ) ( 665390 54910 )
-    NEW met1 ( 665390 54910 ) ( 665390 55250 )
-    NEW met1 ( 665390 55250 ) ( 666770 55250 )
-    NEW li1 ( 666770 52190 ) ( 666770 55250 )
-    NEW met1 ( 615250 55930 ) ( 642850 55930 )
+  + ROUTED met2 ( 576150 2380 0 ) ( 576150 17510 )
+    NEW met1 ( 576150 17510 ) ( 579370 17510 )
+    NEW met2 ( 579370 17510 ) ( 579370 48110 )
     NEW met1 ( 1263390 1678750 ) ( 1267990 1678750 )
     NEW met2 ( 1267990 1678750 ) ( 1267990 1700340 )
     NEW met2 ( 1267990 1700340 ) ( 1268910 1700340 0 )
-    NEW met2 ( 1263390 52190 ) ( 1263390 1678750 )
-    NEW met1 ( 666770 52190 ) ( 1263390 52190 )
-    NEW met1 ( 579830 17510 ) M1M2_PR
-    NEW met1 ( 584890 17510 ) M1M2_PR
-    NEW met1 ( 585810 55590 ) M1M2_PR
-    NEW li1 ( 642850 55930 ) L1M1_PR_MR
-    NEW li1 ( 642850 54910 ) L1M1_PR_MR
-    NEW li1 ( 666770 55250 ) L1M1_PR_MR
-    NEW li1 ( 666770 52190 ) L1M1_PR_MR
-    NEW met1 ( 1263390 52190 ) M1M2_PR
+    NEW met2 ( 1263390 52530 ) ( 1263390 1678750 )
+    NEW li1 ( 641470 48110 ) ( 641470 52530 )
+    NEW met1 ( 579370 48110 ) ( 641470 48110 )
+    NEW met1 ( 641470 52530 ) ( 1263390 52530 )
+    NEW met1 ( 576150 17510 ) M1M2_PR
+    NEW met1 ( 579370 17510 ) M1M2_PR
+    NEW met1 ( 579370 48110 ) M1M2_PR
+    NEW met1 ( 1263390 52530 ) M1M2_PR
     NEW met1 ( 1263390 1678750 ) M1M2_PR
     NEW met1 ( 1267990 1678750 ) M1M2_PR
+    NEW li1 ( 641470 48110 ) L1M1_PR_MR
+    NEW li1 ( 641470 52530 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) 
-  + ROUTED met2 ( 86250 2380 0 ) ( 86250 39270 )
-    NEW met2 ( 979110 39100 ) ( 979110 39270 )
-    NEW met2 ( 1124930 39270 ) ( 1124930 39780 )
-    NEW met3 ( 1124930 39780 ) ( 1167710 39780 )
-    NEW met2 ( 1167250 1700340 0 ) ( 1167710 1700340 )
-    NEW met2 ( 1167710 39780 ) ( 1167710 1700340 )
-    NEW met1 ( 86250 39270 ) ( 979110 39270 )
-    NEW met3 ( 1077780 37740 ) ( 1077780 39100 )
-    NEW met3 ( 1077780 37740 ) ( 1124470 37740 )
-    NEW met2 ( 1124470 37740 ) ( 1124470 39270 )
-    NEW met3 ( 979110 39100 ) ( 1077780 39100 )
-    NEW met1 ( 1124470 39270 ) ( 1124930 39270 )
-    NEW met1 ( 86250 39270 ) M1M2_PR
-    NEW met1 ( 979110 39270 ) M1M2_PR
-    NEW met2 ( 979110 39100 ) via2_FR
-    NEW met1 ( 1124930 39270 ) M1M2_PR
+  + ROUTED met2 ( 85330 2380 0 ) ( 85330 38930 )
+    NEW met2 ( 1166790 1700340 ) ( 1167250 1700340 0 )
+    NEW met1 ( 932190 38590 ) ( 932190 38930 )
+    NEW met1 ( 932190 38590 ) ( 979110 38590 )
+    NEW li1 ( 979110 38590 ) ( 979110 42330 )
+    NEW met3 ( 1028100 37740 ) ( 1028100 39100 )
+    NEW met2 ( 1124930 38930 ) ( 1124930 39780 )
+    NEW met3 ( 1124930 39780 ) ( 1166790 39780 )
+    NEW met2 ( 1166790 39780 ) ( 1166790 1700340 )
+    NEW met1 ( 85330 38930 ) ( 932190 38930 )
+    NEW met2 ( 980030 39100 ) ( 980030 42330 )
+    NEW met1 ( 979110 42330 ) ( 980030 42330 )
+    NEW met3 ( 980030 39100 ) ( 1028100 39100 )
+    NEW met2 ( 1124470 37740 ) ( 1124470 38930 )
+    NEW met3 ( 1028100 37740 ) ( 1124470 37740 )
+    NEW met1 ( 1124470 38930 ) ( 1124930 38930 )
+    NEW met1 ( 85330 38930 ) M1M2_PR
+    NEW li1 ( 979110 38590 ) L1M1_PR_MR
+    NEW li1 ( 979110 42330 ) L1M1_PR_MR
+    NEW met1 ( 1124930 38930 ) M1M2_PR
     NEW met2 ( 1124930 39780 ) via2_FR
-    NEW met2 ( 1167710 39780 ) via2_FR
+    NEW met2 ( 1166790 39780 ) via2_FR
+    NEW met1 ( 980030 42330 ) M1M2_PR
+    NEW met2 ( 980030 39100 ) via2_FR
     NEW met2 ( 1124470 37740 ) via2_FR
-    NEW met1 ( 1124470 39270 ) M1M2_PR
+    NEW met1 ( 1124470 38930 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) 
-  + ROUTED met2 ( 597310 2380 0 ) ( 597310 17510 )
-    NEW met1 ( 597310 17510 ) ( 600070 17510 )
-    NEW met2 ( 600070 17510 ) ( 600070 52700 )
-    NEW met2 ( 615250 52700 ) ( 615250 52870 )
-    NEW met3 ( 600070 52700 ) ( 615250 52700 )
-    NEW met1 ( 615250 52870 ) ( 1272590 52870 )
-    NEW met2 ( 1272590 52870 ) ( 1272590 1700340 0 )
-    NEW met1 ( 597310 17510 ) M1M2_PR
-    NEW met1 ( 600070 17510 ) M1M2_PR
-    NEW met2 ( 600070 52700 ) via2_FR
-    NEW met2 ( 615250 52700 ) via2_FR
-    NEW met1 ( 615250 52870 ) M1M2_PR
-    NEW met1 ( 1272590 52870 ) M1M2_PR
+  + ROUTED met1 ( 594090 47770 ) ( 641010 47770 )
+    NEW li1 ( 641010 47770 ) ( 641010 52190 )
+    NEW met2 ( 594090 2380 0 ) ( 594090 47770 )
+    NEW met1 ( 641010 52190 ) ( 1272590 52190 )
+    NEW met2 ( 1272590 52190 ) ( 1272590 1700340 0 )
+    NEW met1 ( 594090 47770 ) M1M2_PR
+    NEW li1 ( 641010 47770 ) L1M1_PR_MR
+    NEW li1 ( 641010 52190 ) L1M1_PR_MR
+    NEW met1 ( 1272590 52190 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) 
-  + ROUTED met2 ( 615250 2380 0 ) ( 615250 17510 )
-    NEW met1 ( 615250 17510 ) ( 620770 17510 )
-    NEW met2 ( 620770 17510 ) ( 620770 48110 )
-    NEW met2 ( 688850 48110 ) ( 688850 49300 )
-    NEW met2 ( 688850 49300 ) ( 689770 49300 )
-    NEW met2 ( 689770 49300 ) ( 689770 52530 )
-    NEW met1 ( 620770 48110 ) ( 688850 48110 )
-    NEW met1 ( 689770 52530 ) ( 1270750 52530 )
-    NEW met1 ( 1270750 1678750 ) ( 1275350 1678750 )
-    NEW met2 ( 1275350 1678750 ) ( 1275350 1700340 )
+  + ROUTED met1 ( 611570 55930 ) ( 641470 55930 )
+    NEW li1 ( 641470 53210 ) ( 641470 55930 )
+    NEW met2 ( 611570 2380 0 ) ( 611570 55930 )
+    NEW met1 ( 641470 53210 ) ( 1270750 53210 )
+    NEW met1 ( 1270750 1677390 ) ( 1275350 1677390 )
+    NEW met2 ( 1275350 1677390 ) ( 1275350 1700340 )
     NEW met2 ( 1275350 1700340 ) ( 1276270 1700340 0 )
-    NEW met2 ( 1270750 52530 ) ( 1270750 1678750 )
-    NEW met1 ( 615250 17510 ) M1M2_PR
-    NEW met1 ( 620770 17510 ) M1M2_PR
-    NEW met1 ( 620770 48110 ) M1M2_PR
-    NEW met1 ( 688850 48110 ) M1M2_PR
-    NEW met1 ( 689770 52530 ) M1M2_PR
-    NEW met1 ( 1270750 52530 ) M1M2_PR
-    NEW met1 ( 1270750 1678750 ) M1M2_PR
-    NEW met1 ( 1275350 1678750 ) M1M2_PR
+    NEW met2 ( 1270750 53210 ) ( 1270750 1677390 )
+    NEW met1 ( 611570 55930 ) M1M2_PR
+    NEW li1 ( 641470 55930 ) L1M1_PR_MR
+    NEW li1 ( 641470 53210 ) L1M1_PR_MR
+    NEW met1 ( 1270750 53210 ) M1M2_PR
+    NEW met1 ( 1270750 1677390 ) M1M2_PR
+    NEW met1 ( 1275350 1677390 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) 
-  + ROUTED li1 ( 179630 45050 ) ( 179630 45730 )
-    NEW li1 ( 372830 45050 ) ( 372830 45730 )
-    NEW li1 ( 566030 45050 ) ( 566030 45730 )
-    NEW li1 ( 155250 44370 ) ( 155250 45050 )
-    NEW met1 ( 155250 45050 ) ( 179630 45050 )
-    NEW li1 ( 324530 45050 ) ( 324530 45730 )
-    NEW met1 ( 324530 45050 ) ( 372830 45050 )
-    NEW li1 ( 517730 45050 ) ( 517730 45730 )
-    NEW met1 ( 517730 45050 ) ( 566030 45050 )
-    NEW li1 ( 268870 44370 ) ( 268870 45730 )
-    NEW met1 ( 268870 45730 ) ( 324530 45730 )
-    NEW li1 ( 462070 44370 ) ( 462070 45730 )
-    NEW met1 ( 462070 45730 ) ( 517730 45730 )
-    NEW met1 ( 738530 44030 ) ( 738530 44370 )
-    NEW li1 ( 859050 43010 ) ( 859050 45050 )
-    NEW li1 ( 955650 43010 ) ( 955650 45050 )
-    NEW li1 ( 1052250 41650 ) ( 1052250 45050 )
-    NEW met1 ( 1166790 1677730 ) ( 1171390 1677730 )
-    NEW met2 ( 1171390 1677730 ) ( 1171390 1700340 )
+  + ROUTED met2 ( 109250 2380 0 ) ( 109250 39270 )
+    NEW met1 ( 1167710 1688610 ) ( 1171390 1688610 )
+    NEW met2 ( 1171390 1688610 ) ( 1171390 1700340 )
     NEW met2 ( 1171390 1700340 ) ( 1171850 1700340 0 )
-    NEW met2 ( 1166790 45050 ) ( 1166790 1677730 )
-    NEW li1 ( 698050 44370 ) ( 698050 45050 )
-    NEW li1 ( 698050 44370 ) ( 698970 44370 )
-    NEW met1 ( 698970 44370 ) ( 738530 44370 )
-    NEW li1 ( 810750 44030 ) ( 810750 45050 )
-    NEW met1 ( 738530 44030 ) ( 810750 44030 )
-    NEW met1 ( 810750 45050 ) ( 859050 45050 )
-    NEW li1 ( 907350 43010 ) ( 907350 45050 )
-    NEW met1 ( 859050 43010 ) ( 907350 43010 )
-    NEW met1 ( 907350 45050 ) ( 955650 45050 )
-    NEW li1 ( 1003950 43010 ) ( 1003950 45050 )
-    NEW met1 ( 955650 43010 ) ( 1003950 43010 )
-    NEW met1 ( 1003950 45050 ) ( 1052250 45050 )
-    NEW li1 ( 1077090 41650 ) ( 1077090 45050 )
-    NEW met1 ( 1052250 41650 ) ( 1077090 41650 )
-    NEW met1 ( 1077090 45050 ) ( 1166790 45050 )
-    NEW met2 ( 109710 2380 0 ) ( 109710 44370 )
-    NEW met1 ( 109710 44370 ) ( 155250 44370 )
-    NEW li1 ( 210910 44370 ) ( 210910 45730 )
-    NEW met1 ( 179630 45730 ) ( 210910 45730 )
-    NEW met1 ( 210910 44370 ) ( 268870 44370 )
-    NEW li1 ( 403650 44370 ) ( 403650 45730 )
-    NEW met1 ( 403650 44370 ) ( 427110 44370 )
-    NEW li1 ( 427110 44370 ) ( 427570 44370 )
-    NEW met1 ( 372830 45730 ) ( 403650 45730 )
-    NEW met1 ( 427570 44370 ) ( 462070 44370 )
-    NEW li1 ( 578910 45050 ) ( 578910 45730 )
-    NEW met1 ( 566030 45730 ) ( 578910 45730 )
-    NEW met1 ( 578910 45050 ) ( 698050 45050 )
-    NEW li1 ( 179630 45050 ) L1M1_PR_MR
-    NEW li1 ( 179630 45730 ) L1M1_PR_MR
-    NEW li1 ( 372830 45050 ) L1M1_PR_MR
-    NEW li1 ( 372830 45730 ) L1M1_PR_MR
-    NEW li1 ( 566030 45050 ) L1M1_PR_MR
-    NEW li1 ( 566030 45730 ) L1M1_PR_MR
-    NEW li1 ( 155250 44370 ) L1M1_PR_MR
-    NEW li1 ( 155250 45050 ) L1M1_PR_MR
-    NEW li1 ( 324530 45730 ) L1M1_PR_MR
-    NEW li1 ( 324530 45050 ) L1M1_PR_MR
-    NEW li1 ( 517730 45730 ) L1M1_PR_MR
-    NEW li1 ( 517730 45050 ) L1M1_PR_MR
-    NEW li1 ( 268870 44370 ) L1M1_PR_MR
-    NEW li1 ( 268870 45730 ) L1M1_PR_MR
-    NEW li1 ( 462070 44370 ) L1M1_PR_MR
-    NEW li1 ( 462070 45730 ) L1M1_PR_MR
-    NEW li1 ( 859050 45050 ) L1M1_PR_MR
-    NEW li1 ( 859050 43010 ) L1M1_PR_MR
-    NEW li1 ( 955650 45050 ) L1M1_PR_MR
-    NEW li1 ( 955650 43010 ) L1M1_PR_MR
-    NEW li1 ( 1052250 45050 ) L1M1_PR_MR
-    NEW li1 ( 1052250 41650 ) L1M1_PR_MR
-    NEW met1 ( 1166790 45050 ) M1M2_PR
-    NEW met1 ( 1166790 1677730 ) M1M2_PR
-    NEW met1 ( 1171390 1677730 ) M1M2_PR
-    NEW li1 ( 698050 45050 ) L1M1_PR_MR
-    NEW li1 ( 698970 44370 ) L1M1_PR_MR
-    NEW li1 ( 810750 44030 ) L1M1_PR_MR
-    NEW li1 ( 810750 45050 ) L1M1_PR_MR
-    NEW li1 ( 907350 43010 ) L1M1_PR_MR
-    NEW li1 ( 907350 45050 ) L1M1_PR_MR
-    NEW li1 ( 1003950 43010 ) L1M1_PR_MR
-    NEW li1 ( 1003950 45050 ) L1M1_PR_MR
-    NEW li1 ( 1077090 41650 ) L1M1_PR_MR
-    NEW li1 ( 1077090 45050 ) L1M1_PR_MR
-    NEW met1 ( 109710 44370 ) M1M2_PR
-    NEW li1 ( 210910 45730 ) L1M1_PR_MR
-    NEW li1 ( 210910 44370 ) L1M1_PR_MR
-    NEW li1 ( 403650 45730 ) L1M1_PR_MR
-    NEW li1 ( 403650 44370 ) L1M1_PR_MR
-    NEW li1 ( 427110 44370 ) L1M1_PR_MR
-    NEW li1 ( 427570 44370 ) L1M1_PR_MR
-    NEW li1 ( 578910 45730 ) L1M1_PR_MR
-    NEW li1 ( 578910 45050 ) L1M1_PR_MR
+    NEW li1 ( 931730 38590 ) ( 931730 41990 )
+    NEW met2 ( 1028790 39100 ) ( 1028790 41990 )
+    NEW met2 ( 1160810 38930 ) ( 1160810 39100 )
+    NEW met1 ( 1160810 38930 ) ( 1167710 38930 )
+    NEW met2 ( 1167710 38930 ) ( 1167710 1688610 )
+    NEW li1 ( 890330 38590 ) ( 890330 39270 )
+    NEW met1 ( 109250 39270 ) ( 890330 39270 )
+    NEW met1 ( 890330 38590 ) ( 931730 38590 )
+    NEW met1 ( 931730 41990 ) ( 1028790 41990 )
+    NEW met2 ( 1077090 38930 ) ( 1077090 39100 )
+    NEW met1 ( 1077090 38930 ) ( 1124010 38930 )
+    NEW met2 ( 1124010 38930 ) ( 1124010 39100 )
+    NEW met3 ( 1028790 39100 ) ( 1077090 39100 )
+    NEW met3 ( 1124010 39100 ) ( 1160810 39100 )
+    NEW met1 ( 109250 39270 ) M1M2_PR
+    NEW met1 ( 1167710 1688610 ) M1M2_PR
+    NEW met1 ( 1171390 1688610 ) M1M2_PR
+    NEW li1 ( 931730 38590 ) L1M1_PR_MR
+    NEW li1 ( 931730 41990 ) L1M1_PR_MR
+    NEW met1 ( 1028790 41990 ) M1M2_PR
+    NEW met2 ( 1028790 39100 ) via2_FR
+    NEW met2 ( 1160810 39100 ) via2_FR
+    NEW met1 ( 1160810 38930 ) M1M2_PR
+    NEW met1 ( 1167710 38930 ) M1M2_PR
+    NEW li1 ( 890330 39270 ) L1M1_PR_MR
+    NEW li1 ( 890330 38590 ) L1M1_PR_MR
+    NEW met2 ( 1077090 39100 ) via2_FR
+    NEW met1 ( 1077090 38930 ) M1M2_PR
+    NEW met1 ( 1124010 38930 ) M1M2_PR
+    NEW met2 ( 1124010 39100 ) via2_FR
 + USE SIGNAL ;
 - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) 
-  + ROUTED met2 ( 133630 2380 0 ) ( 133630 45390 )
-    NEW li1 ( 1171390 45390 ) ( 1171390 56270 )
-    NEW met1 ( 1171390 56270 ) ( 1174150 56270 )
-    NEW met1 ( 1174150 1677730 ) ( 1175990 1677730 )
-    NEW met2 ( 1175990 1677730 ) ( 1175990 1700340 )
+  + ROUTED met2 ( 132710 2380 0 ) ( 132710 45050 )
+    NEW met1 ( 1174150 1658690 ) ( 1175990 1658690 )
+    NEW met2 ( 1175990 1658690 ) ( 1175990 1700340 )
     NEW met2 ( 1175990 1700340 ) ( 1176910 1700340 0 )
-    NEW met2 ( 1174150 56270 ) ( 1174150 1677730 )
-    NEW met1 ( 133630 45390 ) ( 1171390 45390 )
-    NEW met1 ( 133630 45390 ) M1M2_PR
-    NEW li1 ( 1171390 45390 ) L1M1_PR_MR
-    NEW li1 ( 1171390 56270 ) L1M1_PR_MR
-    NEW met1 ( 1174150 56270 ) M1M2_PR
-    NEW met1 ( 1174150 1677730 ) M1M2_PR
-    NEW met1 ( 1175990 1677730 ) M1M2_PR
+    NEW met1 ( 1172310 45050 ) ( 1172310 45390 )
+    NEW met1 ( 1172310 45390 ) ( 1174610 45390 )
+    NEW met1 ( 132710 45050 ) ( 1172310 45050 )
+    NEW met2 ( 1174150 72420 ) ( 1174610 72420 )
+    NEW met2 ( 1174610 45390 ) ( 1174610 72420 )
+    NEW met2 ( 1174150 72420 ) ( 1174150 1658690 )
+    NEW met1 ( 132710 45050 ) M1M2_PR
+    NEW met1 ( 1174150 1658690 ) M1M2_PR
+    NEW met1 ( 1175990 1658690 ) M1M2_PR
+    NEW met1 ( 1174610 45390 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) 
-  + ROUTED met2 ( 151570 2380 0 ) ( 151570 17340 )
-    NEW met2 ( 151110 17340 ) ( 151570 17340 )
-    NEW met2 ( 151110 17340 ) ( 151110 51340 )
-    NEW met2 ( 1180590 51340 ) ( 1180590 1700340 0 )
-    NEW met3 ( 151110 51340 ) ( 1180590 51340 )
-    NEW met2 ( 151110 51340 ) via2_FR
-    NEW met2 ( 1180590 51340 ) via2_FR
+  + ROUTED met2 ( 150650 2380 0 ) ( 150650 45390 )
+    NEW met2 ( 1124930 45220 ) ( 1124930 45390 )
+    NEW met3 ( 1124930 45220 ) ( 1154370 45220 )
+    NEW met2 ( 1154370 45220 ) ( 1154370 45390 )
+    NEW met1 ( 1154370 45390 ) ( 1171850 45390 )
+    NEW met1 ( 150650 45390 ) ( 1124930 45390 )
+    NEW met1 ( 1171850 72590 ) ( 1180590 72590 )
+    NEW li1 ( 1171850 45390 ) ( 1171850 72590 )
+    NEW met2 ( 1180590 72590 ) ( 1180590 1700340 0 )
+    NEW met1 ( 150650 45390 ) M1M2_PR
+    NEW met1 ( 1124930 45390 ) M1M2_PR
+    NEW met2 ( 1124930 45220 ) via2_FR
+    NEW met2 ( 1154370 45220 ) via2_FR
+    NEW met1 ( 1154370 45390 ) M1M2_PR
+    NEW li1 ( 1171850 45390 ) L1M1_PR_MR
+    NEW li1 ( 1171850 72590 ) L1M1_PR_MR
+    NEW met1 ( 1180590 72590 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) 
-  + ROUTED met2 ( 169510 2380 0 ) ( 169510 17510 )
-    NEW met1 ( 169510 17510 ) ( 172270 17510 )
-    NEW met2 ( 172270 17510 ) ( 172270 52190 )
-    NEW li1 ( 665850 52190 ) ( 665850 54910 )
-    NEW met1 ( 172270 52190 ) ( 665850 52190 )
-    NEW met1 ( 665850 54910 ) ( 1181510 54910 )
-    NEW met1 ( 1181510 1677730 ) ( 1183350 1677730 )
+  + ROUTED met2 ( 168130 2380 0 ) ( 168130 45730 )
+    NEW li1 ( 1172310 45730 ) ( 1172770 45730 )
+    NEW li1 ( 1172770 45730 ) ( 1172770 47090 )
+    NEW met1 ( 168130 45730 ) ( 1172310 45730 )
+    NEW li1 ( 1173230 47090 ) ( 1173690 47090 )
+    NEW li1 ( 1173690 45730 ) ( 1173690 47090 )
+    NEW met1 ( 1173690 45730 ) ( 1181050 45730 )
+    NEW met1 ( 1172770 47090 ) ( 1173230 47090 )
+    NEW met1 ( 1181050 1677730 ) ( 1183350 1677730 )
     NEW met2 ( 1183350 1677730 ) ( 1183350 1700340 )
     NEW met2 ( 1183350 1700340 ) ( 1184270 1700340 0 )
-    NEW met2 ( 1181510 54910 ) ( 1181510 1677730 )
-    NEW met1 ( 169510 17510 ) M1M2_PR
-    NEW met1 ( 172270 17510 ) M1M2_PR
-    NEW met1 ( 172270 52190 ) M1M2_PR
-    NEW li1 ( 665850 52190 ) L1M1_PR_MR
-    NEW li1 ( 665850 54910 ) L1M1_PR_MR
-    NEW met1 ( 1181510 54910 ) M1M2_PR
-    NEW met1 ( 1181510 1677730 ) M1M2_PR
+    NEW met2 ( 1181050 45730 ) ( 1181050 1677730 )
+    NEW met1 ( 168130 45730 ) M1M2_PR
+    NEW li1 ( 1172310 45730 ) L1M1_PR_MR
+    NEW li1 ( 1172770 47090 ) L1M1_PR_MR
+    NEW li1 ( 1173230 47090 ) L1M1_PR_MR
+    NEW li1 ( 1173690 45730 ) L1M1_PR_MR
+    NEW met1 ( 1181050 45730 ) M1M2_PR
+    NEW met1 ( 1181050 1677730 ) M1M2_PR
     NEW met1 ( 1183350 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) 
-  + ROUTED met2 ( 186990 2380 0 ) ( 186990 17850 )
-    NEW met1 ( 186990 17850 ) ( 192970 17850 )
-    NEW met2 ( 192970 17850 ) ( 192970 52530 )
-    NEW li1 ( 614330 52530 ) ( 614330 55250 )
-    NEW met1 ( 614330 55250 ) ( 623530 55250 )
-    NEW met1 ( 623530 55250 ) ( 623530 55590 )
-    NEW met1 ( 192970 52530 ) ( 614330 52530 )
-    NEW li1 ( 642390 53890 ) ( 642390 55590 )
-    NEW met1 ( 623530 55590 ) ( 642390 55590 )
-    NEW met2 ( 739910 52700 ) ( 739910 53890 )
-    NEW met1 ( 739910 53890 ) ( 762910 53890 )
-    NEW met2 ( 762910 53210 ) ( 762910 53890 )
-    NEW li1 ( 858590 53210 ) ( 859510 53210 )
-    NEW li1 ( 859510 53210 ) ( 859510 53550 )
-    NEW met1 ( 859510 53550 ) ( 882050 53550 )
-    NEW li1 ( 882050 53550 ) ( 882050 55590 )
-    NEW met2 ( 933110 52700 ) ( 933110 53890 )
-    NEW met1 ( 933110 53890 ) ( 956110 53890 )
-    NEW met2 ( 956110 53210 ) ( 956110 53890 )
-    NEW li1 ( 1148390 53210 ) ( 1148390 53890 )
-    NEW met1 ( 1148390 53890 ) ( 1170930 53890 )
-    NEW met2 ( 1170930 52700 ) ( 1170930 53890 )
-    NEW met2 ( 736690 52700 ) ( 736690 53890 )
-    NEW met1 ( 642390 53890 ) ( 736690 53890 )
-    NEW met3 ( 736690 52700 ) ( 739910 52700 )
-    NEW met1 ( 762910 53210 ) ( 858590 53210 )
-    NEW met2 ( 883890 52700 ) ( 883890 55590 )
-    NEW met1 ( 882050 55590 ) ( 883890 55590 )
-    NEW met3 ( 883890 52700 ) ( 933110 52700 )
-    NEW met1 ( 956110 53210 ) ( 1148390 53210 )
-    NEW met2 ( 1174610 52700 ) ( 1174610 53210 )
-    NEW met1 ( 1174610 53210 ) ( 1188870 53210 )
-    NEW met3 ( 1170930 52700 ) ( 1174610 52700 )
-    NEW met2 ( 1187950 1700340 0 ) ( 1188870 1700340 )
-    NEW met2 ( 1188870 53210 ) ( 1188870 1700340 )
-    NEW met1 ( 186990 17850 ) M1M2_PR
-    NEW met1 ( 192970 17850 ) M1M2_PR
-    NEW met1 ( 192970 52530 ) M1M2_PR
-    NEW li1 ( 614330 52530 ) L1M1_PR_MR
-    NEW li1 ( 614330 55250 ) L1M1_PR_MR
-    NEW li1 ( 642390 55590 ) L1M1_PR_MR
-    NEW li1 ( 642390 53890 ) L1M1_PR_MR
-    NEW met2 ( 739910 52700 ) via2_FR
-    NEW met1 ( 739910 53890 ) M1M2_PR
-    NEW met1 ( 762910 53890 ) M1M2_PR
-    NEW met1 ( 762910 53210 ) M1M2_PR
-    NEW li1 ( 858590 53210 ) L1M1_PR_MR
-    NEW li1 ( 859510 53550 ) L1M1_PR_MR
-    NEW li1 ( 882050 53550 ) L1M1_PR_MR
-    NEW li1 ( 882050 55590 ) L1M1_PR_MR
-    NEW met2 ( 933110 52700 ) via2_FR
-    NEW met1 ( 933110 53890 ) M1M2_PR
-    NEW met1 ( 956110 53890 ) M1M2_PR
-    NEW met1 ( 956110 53210 ) M1M2_PR
-    NEW li1 ( 1148390 53210 ) L1M1_PR_MR
-    NEW li1 ( 1148390 53890 ) L1M1_PR_MR
-    NEW met1 ( 1170930 53890 ) M1M2_PR
-    NEW met2 ( 1170930 52700 ) via2_FR
-    NEW met1 ( 736690 53890 ) M1M2_PR
-    NEW met2 ( 736690 52700 ) via2_FR
-    NEW met1 ( 883890 55590 ) M1M2_PR
-    NEW met2 ( 883890 52700 ) via2_FR
-    NEW met2 ( 1174610 52700 ) via2_FR
-    NEW met1 ( 1174610 53210 ) M1M2_PR
-    NEW met1 ( 1188870 53210 ) M1M2_PR
+  + ROUTED met2 ( 186070 2380 0 ) ( 186070 52190 )
+    NEW met1 ( 642390 54910 ) ( 642390 55250 )
+    NEW li1 ( 640550 52190 ) ( 640550 55250 )
+    NEW met1 ( 186070 52190 ) ( 640550 52190 )
+    NEW met1 ( 640550 55250 ) ( 642390 55250 )
+    NEW met1 ( 642390 54910 ) ( 1187950 54910 )
+    NEW met2 ( 1187950 54910 ) ( 1187950 1700340 0 )
+    NEW met1 ( 186070 52190 ) M1M2_PR
+    NEW li1 ( 640550 52190 ) L1M1_PR_MR
+    NEW li1 ( 640550 55250 ) L1M1_PR_MR
+    NEW met1 ( 1187950 54910 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) 
-  + ROUTED met2 ( 204930 2380 0 ) ( 204930 16660 )
-    NEW met2 ( 204930 16660 ) ( 206770 16660 )
-    NEW met2 ( 206770 16660 ) ( 206770 52870 )
-    NEW met1 ( 614790 52530 ) ( 614790 52870 )
-    NEW met1 ( 206770 52870 ) ( 614790 52870 )
-    NEW li1 ( 666310 52530 ) ( 666310 53210 )
-    NEW met1 ( 614790 52530 ) ( 666310 52530 )
-    NEW li1 ( 739450 53550 ) ( 739450 55590 )
-    NEW met1 ( 739450 53550 ) ( 761990 53550 )
-    NEW li1 ( 761990 53550 ) ( 761990 53890 )
-    NEW li1 ( 761990 53890 ) ( 763370 53890 )
-    NEW li1 ( 932650 53550 ) ( 932650 55590 )
-    NEW met1 ( 932650 53550 ) ( 955190 53550 )
-    NEW li1 ( 955190 53550 ) ( 955190 53890 )
-    NEW li1 ( 955190 53890 ) ( 956570 53890 )
-    NEW li1 ( 1147930 53890 ) ( 1147930 54230 )
-    NEW li1 ( 1147930 54230 ) ( 1149310 54230 )
-    NEW li1 ( 1149310 53550 ) ( 1149310 54230 )
-    NEW met1 ( 1149310 53550 ) ( 1171850 53550 )
-    NEW met2 ( 1171850 53550 ) ( 1171850 54740 )
-    NEW met2 ( 1171850 54740 ) ( 1172310 54740 )
-    NEW met2 ( 1172310 54740 ) ( 1172310 55250 )
-    NEW met1 ( 714610 53210 ) ( 714610 53550 )
-    NEW met1 ( 714610 53550 ) ( 737150 53550 )
-    NEW li1 ( 737150 53550 ) ( 737150 55590 )
-    NEW met1 ( 666310 53210 ) ( 714610 53210 )
-    NEW met1 ( 737150 55590 ) ( 739450 55590 )
-    NEW met1 ( 956570 53890 ) ( 1147930 53890 )
-    NEW li1 ( 1175070 53890 ) ( 1175070 55250 )
-    NEW met1 ( 1175070 53890 ) ( 1188410 53890 )
-    NEW met1 ( 1172310 55250 ) ( 1175070 55250 )
-    NEW met1 ( 1188410 1677730 ) ( 1190710 1677730 )
-    NEW met2 ( 1190710 1677730 ) ( 1190710 1700340 )
+  + ROUTED met2 ( 203550 2380 0 ) ( 203550 17510 )
+    NEW met1 ( 203550 17510 ) ( 206770 17510 )
+    NEW met2 ( 206770 17510 ) ( 206770 52530 )
+    NEW met2 ( 642390 52700 ) ( 642390 52870 )
+    NEW met2 ( 1172310 52700 ) ( 1172310 52870 )
+    NEW met2 ( 640090 52530 ) ( 640090 52700 )
+    NEW met1 ( 206770 52530 ) ( 640090 52530 )
+    NEW met3 ( 640090 52700 ) ( 642390 52700 )
+    NEW met1 ( 642390 52870 ) ( 1172310 52870 )
+    NEW met2 ( 1173690 52700 ) ( 1173690 52870 )
+    NEW met1 ( 1173690 52870 ) ( 1187490 52870 )
+    NEW met3 ( 1172310 52700 ) ( 1173690 52700 )
+    NEW met1 ( 1187490 1678750 ) ( 1190710 1678750 )
+    NEW met2 ( 1190710 1678750 ) ( 1190710 1700340 )
     NEW met2 ( 1190710 1700340 ) ( 1191630 1700340 0 )
-    NEW met2 ( 1188410 53890 ) ( 1188410 1677730 )
-    NEW li1 ( 881130 53890 ) ( 881130 56610 )
-    NEW met1 ( 881130 56610 ) ( 893550 56610 )
-    NEW li1 ( 893550 55590 ) ( 893550 56610 )
-    NEW met1 ( 763370 53890 ) ( 881130 53890 )
-    NEW met1 ( 893550 55590 ) ( 932650 55590 )
-    NEW met1 ( 206770 52870 ) M1M2_PR
-    NEW li1 ( 666310 52530 ) L1M1_PR_MR
-    NEW li1 ( 666310 53210 ) L1M1_PR_MR
-    NEW li1 ( 739450 55590 ) L1M1_PR_MR
-    NEW li1 ( 739450 53550 ) L1M1_PR_MR
-    NEW li1 ( 761990 53550 ) L1M1_PR_MR
-    NEW li1 ( 763370 53890 ) L1M1_PR_MR
-    NEW li1 ( 932650 55590 ) L1M1_PR_MR
-    NEW li1 ( 932650 53550 ) L1M1_PR_MR
-    NEW li1 ( 955190 53550 ) L1M1_PR_MR
-    NEW li1 ( 956570 53890 ) L1M1_PR_MR
-    NEW li1 ( 1147930 53890 ) L1M1_PR_MR
-    NEW li1 ( 1149310 53550 ) L1M1_PR_MR
-    NEW met1 ( 1171850 53550 ) M1M2_PR
-    NEW met1 ( 1172310 55250 ) M1M2_PR
-    NEW li1 ( 737150 53550 ) L1M1_PR_MR
-    NEW li1 ( 737150 55590 ) L1M1_PR_MR
-    NEW li1 ( 1175070 55250 ) L1M1_PR_MR
-    NEW li1 ( 1175070 53890 ) L1M1_PR_MR
-    NEW met1 ( 1188410 53890 ) M1M2_PR
-    NEW met1 ( 1188410 1677730 ) M1M2_PR
-    NEW met1 ( 1190710 1677730 ) M1M2_PR
-    NEW li1 ( 881130 53890 ) L1M1_PR_MR
-    NEW li1 ( 881130 56610 ) L1M1_PR_MR
-    NEW li1 ( 893550 56610 ) L1M1_PR_MR
-    NEW li1 ( 893550 55590 ) L1M1_PR_MR
+    NEW met2 ( 1187490 52870 ) ( 1187490 1678750 )
+    NEW met1 ( 203550 17510 ) M1M2_PR
+    NEW met1 ( 206770 17510 ) M1M2_PR
+    NEW met1 ( 206770 52530 ) M1M2_PR
+    NEW met2 ( 642390 52700 ) via2_FR
+    NEW met1 ( 642390 52870 ) M1M2_PR
+    NEW met1 ( 1172310 52870 ) M1M2_PR
+    NEW met2 ( 1172310 52700 ) via2_FR
+    NEW met1 ( 640090 52530 ) M1M2_PR
+    NEW met2 ( 640090 52700 ) via2_FR
+    NEW met2 ( 1173690 52700 ) via2_FR
+    NEW met1 ( 1173690 52870 ) M1M2_PR
+    NEW met1 ( 1187490 52870 ) M1M2_PR
+    NEW met1 ( 1187490 1678750 ) M1M2_PR
+    NEW met1 ( 1190710 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) 
-  + ROUTED met2 ( 222870 2380 0 ) ( 222870 16830 )
-    NEW met1 ( 222870 16830 ) ( 227470 16830 )
-    NEW met2 ( 227470 16830 ) ( 227470 53210 )
-    NEW met1 ( 665850 53210 ) ( 665850 53550 )
-    NEW met1 ( 227470 53210 ) ( 665850 53210 )
-    NEW met1 ( 762450 53210 ) ( 762450 53550 )
-    NEW met1 ( 859050 53210 ) ( 859050 53550 )
-    NEW met1 ( 955650 53210 ) ( 955650 53550 )
-    NEW met1 ( 1148850 53210 ) ( 1148850 53550 )
-    NEW met1 ( 1193930 86530 ) ( 1195310 86530 )
-    NEW li1 ( 714150 53550 ) ( 715070 53550 )
-    NEW li1 ( 715070 53210 ) ( 715070 53550 )
-    NEW met1 ( 665850 53550 ) ( 714150 53550 )
-    NEW met1 ( 715070 53210 ) ( 762450 53210 )
-    NEW met1 ( 762450 53550 ) ( 859050 53550 )
-    NEW met1 ( 859050 53210 ) ( 955650 53210 )
-    NEW met1 ( 955650 53550 ) ( 1148850 53550 )
-    NEW met1 ( 1173690 53210 ) ( 1173690 53550 )
-    NEW met1 ( 1173690 53550 ) ( 1193930 53550 )
-    NEW met1 ( 1148850 53210 ) ( 1173690 53210 )
-    NEW met2 ( 1193930 53550 ) ( 1193930 86530 )
-    NEW met2 ( 1195310 86530 ) ( 1195310 1700340 0 )
-    NEW met1 ( 222870 16830 ) M1M2_PR
-    NEW met1 ( 227470 16830 ) M1M2_PR
-    NEW met1 ( 227470 53210 ) M1M2_PR
-    NEW met1 ( 1193930 86530 ) M1M2_PR
-    NEW met1 ( 1195310 86530 ) M1M2_PR
-    NEW li1 ( 714150 53550 ) L1M1_PR_MR
-    NEW li1 ( 715070 53210 ) L1M1_PR_MR
-    NEW met1 ( 1193930 53550 ) M1M2_PR
-+ USE SIGNAL ;
-- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) 
-  + ROUTED met2 ( 20470 2380 0 ) ( 20470 38590 )
-    NEW met2 ( 965770 38420 ) ( 965770 38590 )
-    NEW met2 ( 1125390 38420 ) ( 1125390 39270 )
-    NEW met1 ( 1125390 39270 ) ( 1153910 39270 )
-    NEW met2 ( 1153450 1700340 0 ) ( 1153910 1700340 )
-    NEW met2 ( 1153910 39270 ) ( 1153910 1700340 )
-    NEW met1 ( 20470 38590 ) ( 965770 38590 )
-    NEW met2 ( 1077090 38420 ) ( 1077090 39270 )
-    NEW met1 ( 1077090 39270 ) ( 1124010 39270 )
-    NEW met2 ( 1124010 38420 ) ( 1124010 39270 )
-    NEW met3 ( 965770 38420 ) ( 1077090 38420 )
-    NEW met3 ( 1124010 38420 ) ( 1125390 38420 )
-    NEW met1 ( 20470 38590 ) M1M2_PR
-    NEW met1 ( 965770 38590 ) M1M2_PR
-    NEW met2 ( 965770 38420 ) via2_FR
-    NEW met2 ( 1125390 38420 ) via2_FR
-    NEW met1 ( 1125390 39270 ) M1M2_PR
-    NEW met1 ( 1153910 39270 ) M1M2_PR
-    NEW met2 ( 1077090 38420 ) via2_FR
-    NEW met1 ( 1077090 39270 ) M1M2_PR
-    NEW met1 ( 1124010 39270 ) M1M2_PR
-    NEW met2 ( 1124010 38420 ) via2_FR
-+ USE SIGNAL ;
-- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) 
-  + ROUTED met2 ( 44390 2380 0 ) ( 44390 44710 )
-    NEW met1 ( 1154370 1677730 ) ( 1157590 1677730 )
-    NEW met2 ( 1157590 1677730 ) ( 1157590 1700340 )
-    NEW met2 ( 1157590 1700340 ) ( 1158510 1700340 0 )
-    NEW met2 ( 1154370 44710 ) ( 1154370 1677730 )
-    NEW li1 ( 338330 44030 ) ( 338330 44710 )
-    NEW li1 ( 89930 44710 ) ( 89930 45730 )
-    NEW met1 ( 89930 45730 ) ( 137770 45730 )
-    NEW li1 ( 137770 44710 ) ( 137770 45730 )
-    NEW met1 ( 44390 44710 ) ( 89930 44710 )
-    NEW li1 ( 186530 43010 ) ( 186530 44710 )
-    NEW met1 ( 186530 43010 ) ( 234370 43010 )
-    NEW li1 ( 234370 43010 ) ( 234370 44710 )
-    NEW met1 ( 137770 44710 ) ( 186530 44710 )
-    NEW li1 ( 283130 44030 ) ( 283130 44710 )
-    NEW met1 ( 283130 44030 ) ( 330970 44030 )
-    NEW li1 ( 330970 44030 ) ( 330970 44710 )
-    NEW met1 ( 234370 44710 ) ( 283130 44710 )
-    NEW met1 ( 330970 44710 ) ( 338330 44710 )
-    NEW li1 ( 426190 44030 ) ( 426190 45050 )
-    NEW li1 ( 426190 45050 ) ( 427570 45050 )
-    NEW met1 ( 427570 44710 ) ( 427570 45050 )
-    NEW met1 ( 338330 44030 ) ( 426190 44030 )
-    NEW li1 ( 572930 43010 ) ( 572930 44710 )
-    NEW met1 ( 572930 43010 ) ( 620770 43010 )
-    NEW li1 ( 620770 43010 ) ( 620770 44710 )
-    NEW met1 ( 427570 44710 ) ( 572930 44710 )
-    NEW li1 ( 669530 44030 ) ( 669530 44710 )
-    NEW met1 ( 669530 44030 ) ( 689770 44030 )
-    NEW li1 ( 689770 44030 ) ( 690230 44030 )
-    NEW li1 ( 690230 44030 ) ( 690230 44710 )
-    NEW met1 ( 620770 44710 ) ( 669530 44710 )
-    NEW met1 ( 690230 44710 ) ( 1154370 44710 )
-    NEW met1 ( 44390 44710 ) M1M2_PR
-    NEW met1 ( 1154370 44710 ) M1M2_PR
-    NEW met1 ( 1154370 1677730 ) M1M2_PR
-    NEW met1 ( 1157590 1677730 ) M1M2_PR
-    NEW li1 ( 338330 44710 ) L1M1_PR_MR
-    NEW li1 ( 338330 44030 ) L1M1_PR_MR
-    NEW li1 ( 89930 44710 ) L1M1_PR_MR
-    NEW li1 ( 89930 45730 ) L1M1_PR_MR
-    NEW li1 ( 137770 45730 ) L1M1_PR_MR
-    NEW li1 ( 137770 44710 ) L1M1_PR_MR
-    NEW li1 ( 186530 44710 ) L1M1_PR_MR
-    NEW li1 ( 186530 43010 ) L1M1_PR_MR
-    NEW li1 ( 234370 43010 ) L1M1_PR_MR
-    NEW li1 ( 234370 44710 ) L1M1_PR_MR
-    NEW li1 ( 283130 44710 ) L1M1_PR_MR
-    NEW li1 ( 283130 44030 ) L1M1_PR_MR
-    NEW li1 ( 330970 44030 ) L1M1_PR_MR
-    NEW li1 ( 330970 44710 ) L1M1_PR_MR
-    NEW li1 ( 426190 44030 ) L1M1_PR_MR
-    NEW li1 ( 427570 45050 ) L1M1_PR_MR
-    NEW li1 ( 572930 44710 ) L1M1_PR_MR
-    NEW li1 ( 572930 43010 ) L1M1_PR_MR
-    NEW li1 ( 620770 43010 ) L1M1_PR_MR
-    NEW li1 ( 620770 44710 ) L1M1_PR_MR
-    NEW li1 ( 669530 44710 ) L1M1_PR_MR
-    NEW li1 ( 669530 44030 ) L1M1_PR_MR
-    NEW li1 ( 689770 44030 ) L1M1_PR_MR
-    NEW li1 ( 690230 44710 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) 
-  + ROUTED met2 ( 246790 2380 0 ) ( 246790 16830 )
-    NEW met2 ( 246790 16830 ) ( 248170 16830 )
-    NEW met2 ( 248170 16830 ) ( 248170 53550 )
-    NEW li1 ( 665390 51170 ) ( 665390 53550 )
-    NEW met1 ( 248170 53550 ) ( 665390 53550 )
+  + ROUTED met2 ( 221490 2380 0 ) ( 221490 17510 )
+    NEW met1 ( 221490 17510 ) ( 227010 17510 )
+    NEW met2 ( 227010 17510 ) ( 227010 52870 )
+    NEW li1 ( 641930 52870 ) ( 642390 52870 )
+    NEW li1 ( 642390 51170 ) ( 642390 52870 )
+    NEW met1 ( 642390 51170 ) ( 689310 51170 )
+    NEW li1 ( 689310 51170 ) ( 689310 53550 )
     NEW li1 ( 738530 53550 ) ( 738530 55250 )
-    NEW met1 ( 738530 55250 ) ( 785910 55250 )
-    NEW li1 ( 785910 51170 ) ( 785910 55250 )
-    NEW li1 ( 835130 51170 ) ( 835590 51170 )
-    NEW li1 ( 835590 51170 ) ( 835590 55250 )
-    NEW met1 ( 835590 55250 ) ( 881590 55250 )
-    NEW li1 ( 881590 53210 ) ( 881590 55250 )
-    NEW li1 ( 881590 53210 ) ( 882510 53210 )
-    NEW li1 ( 882510 53210 ) ( 882510 53550 )
-    NEW met2 ( 931730 53380 ) ( 931730 53550 )
-    NEW met3 ( 931730 53380 ) ( 979570 53380 )
-    NEW met2 ( 979570 51170 ) ( 979570 53380 )
-    NEW met1 ( 1125390 50830 ) ( 1125390 51170 )
-    NEW met1 ( 1125390 50830 ) ( 1172310 50830 )
-    NEW li1 ( 1172310 50830 ) ( 1172310 53550 )
-    NEW li1 ( 713690 50490 ) ( 713690 51170 )
-    NEW li1 ( 713690 50490 ) ( 714610 50490 )
-    NEW met1 ( 714610 50490 ) ( 736690 50490 )
-    NEW li1 ( 736690 50490 ) ( 736690 51170 )
-    NEW li1 ( 736690 51170 ) ( 737610 51170 )
-    NEW li1 ( 737610 51170 ) ( 737610 53550 )
-    NEW met1 ( 665390 51170 ) ( 713690 51170 )
-    NEW met1 ( 737610 53550 ) ( 738530 53550 )
-    NEW met1 ( 785910 51170 ) ( 835130 51170 )
-    NEW met1 ( 882510 53550 ) ( 931730 53550 )
-    NEW met1 ( 979570 51170 ) ( 1125390 51170 )
-    NEW li1 ( 1173230 53550 ) ( 1174150 53550 )
-    NEW li1 ( 1174150 51170 ) ( 1174150 53550 )
-    NEW met1 ( 1174150 51170 ) ( 1195770 51170 )
-    NEW met1 ( 1172310 53550 ) ( 1173230 53550 )
-    NEW met1 ( 1195770 1677730 ) ( 1199450 1677730 )
-    NEW met2 ( 1199450 1677730 ) ( 1199450 1700340 )
-    NEW met2 ( 1199450 1700340 ) ( 1200370 1700340 0 )
-    NEW met2 ( 1195770 51170 ) ( 1195770 1677730 )
-    NEW met1 ( 248170 53550 ) M1M2_PR
-    NEW li1 ( 665390 53550 ) L1M1_PR_MR
-    NEW li1 ( 665390 51170 ) L1M1_PR_MR
+    NEW met1 ( 738530 55250 ) ( 786370 55250 )
+    NEW li1 ( 786370 53550 ) ( 786370 55250 )
+    NEW li1 ( 979570 53550 ) ( 979570 55250 )
+    NEW li1 ( 1028330 53550 ) ( 1028330 55250 )
+    NEW met1 ( 1028330 55250 ) ( 1076170 55250 )
+    NEW li1 ( 1076170 53550 ) ( 1076170 55250 )
+    NEW li1 ( 1125390 53550 ) ( 1125390 55250 )
+    NEW met1 ( 1125390 53550 ) ( 1172310 53550 )
+    NEW li1 ( 1172310 53550 ) ( 1172310 56270 )
+    NEW met1 ( 227010 52870 ) ( 641930 52870 )
+    NEW met1 ( 689310 53550 ) ( 738530 53550 )
+    NEW met1 ( 979570 53550 ) ( 1028330 53550 )
+    NEW li1 ( 1076630 53550 ) ( 1076630 55250 )
+    NEW met1 ( 1076170 53550 ) ( 1076630 53550 )
+    NEW met1 ( 1076630 55250 ) ( 1125390 55250 )
+    NEW li1 ( 1174150 53890 ) ( 1174150 56270 )
+    NEW met1 ( 1174150 53890 ) ( 1195310 53890 )
+    NEW met1 ( 1172310 56270 ) ( 1174150 56270 )
+    NEW met2 ( 1195310 53890 ) ( 1195310 1700340 0 )
+    NEW li1 ( 935410 53550 ) ( 935410 55250 )
+    NEW met1 ( 786370 53550 ) ( 935410 53550 )
+    NEW met1 ( 935410 55250 ) ( 979570 55250 )
+    NEW met1 ( 221490 17510 ) M1M2_PR
+    NEW met1 ( 227010 17510 ) M1M2_PR
+    NEW met1 ( 227010 52870 ) M1M2_PR
+    NEW li1 ( 641930 52870 ) L1M1_PR_MR
+    NEW li1 ( 642390 51170 ) L1M1_PR_MR
+    NEW li1 ( 689310 51170 ) L1M1_PR_MR
+    NEW li1 ( 689310 53550 ) L1M1_PR_MR
     NEW li1 ( 738530 53550 ) L1M1_PR_MR
     NEW li1 ( 738530 55250 ) L1M1_PR_MR
-    NEW li1 ( 785910 55250 ) L1M1_PR_MR
-    NEW li1 ( 785910 51170 ) L1M1_PR_MR
-    NEW li1 ( 835130 51170 ) L1M1_PR_MR
-    NEW li1 ( 835590 55250 ) L1M1_PR_MR
-    NEW li1 ( 881590 55250 ) L1M1_PR_MR
-    NEW li1 ( 882510 53550 ) L1M1_PR_MR
-    NEW met1 ( 931730 53550 ) M1M2_PR
-    NEW met2 ( 931730 53380 ) via2_FR
-    NEW met2 ( 979570 53380 ) via2_FR
-    NEW met1 ( 979570 51170 ) M1M2_PR
-    NEW li1 ( 1172310 50830 ) L1M1_PR_MR
+    NEW li1 ( 786370 55250 ) L1M1_PR_MR
+    NEW li1 ( 786370 53550 ) L1M1_PR_MR
+    NEW li1 ( 979570 55250 ) L1M1_PR_MR
+    NEW li1 ( 979570 53550 ) L1M1_PR_MR
+    NEW li1 ( 1028330 53550 ) L1M1_PR_MR
+    NEW li1 ( 1028330 55250 ) L1M1_PR_MR
+    NEW li1 ( 1076170 55250 ) L1M1_PR_MR
+    NEW li1 ( 1076170 53550 ) L1M1_PR_MR
+    NEW li1 ( 1125390 55250 ) L1M1_PR_MR
+    NEW li1 ( 1125390 53550 ) L1M1_PR_MR
     NEW li1 ( 1172310 53550 ) L1M1_PR_MR
-    NEW li1 ( 713690 51170 ) L1M1_PR_MR
-    NEW li1 ( 714610 50490 ) L1M1_PR_MR
-    NEW li1 ( 736690 50490 ) L1M1_PR_MR
-    NEW li1 ( 737610 53550 ) L1M1_PR_MR
-    NEW li1 ( 1173230 53550 ) L1M1_PR_MR
-    NEW li1 ( 1174150 51170 ) L1M1_PR_MR
-    NEW met1 ( 1195770 51170 ) M1M2_PR
-    NEW met1 ( 1195770 1677730 ) M1M2_PR
-    NEW met1 ( 1199450 1677730 ) M1M2_PR
+    NEW li1 ( 1172310 56270 ) L1M1_PR_MR
+    NEW li1 ( 1076630 53550 ) L1M1_PR_MR
+    NEW li1 ( 1076630 55250 ) L1M1_PR_MR
+    NEW li1 ( 1174150 56270 ) L1M1_PR_MR
+    NEW li1 ( 1174150 53890 ) L1M1_PR_MR
+    NEW met1 ( 1195310 53890 ) M1M2_PR
+    NEW li1 ( 935410 53550 ) L1M1_PR_MR
+    NEW li1 ( 935410 55250 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) 
+  + ROUTED met2 ( 20470 2380 0 ) ( 20470 44710 )
+    NEW met2 ( 1153450 1700340 0 ) ( 1153910 1700340 )
+    NEW li1 ( 1124930 44710 ) ( 1125390 44710 )
+    NEW li1 ( 1125390 44710 ) ( 1125390 45390 )
+    NEW met1 ( 1125390 45390 ) ( 1153910 45390 )
+    NEW met2 ( 1153910 45390 ) ( 1153910 1700340 )
+    NEW met1 ( 20470 44710 ) ( 1124930 44710 )
+    NEW met1 ( 20470 44710 ) M1M2_PR
+    NEW li1 ( 1124930 44710 ) L1M1_PR_MR
+    NEW li1 ( 1125390 45390 ) L1M1_PR_MR
+    NEW met1 ( 1153910 45390 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) 
+  + ROUTED met1 ( 1154370 1688610 ) ( 1157590 1688610 )
+    NEW met2 ( 1157590 1688610 ) ( 1157590 1700340 )
+    NEW met2 ( 1157590 1700340 ) ( 1158510 1700340 0 )
+    NEW met2 ( 43930 2380 0 ) ( 43930 17510 )
+    NEW met1 ( 43930 17510 ) ( 48070 17510 )
+    NEW met2 ( 48070 17510 ) ( 48070 51340 )
+    NEW met2 ( 1154370 51340 ) ( 1154370 1688610 )
+    NEW met3 ( 48070 51340 ) ( 1154370 51340 )
+    NEW met1 ( 1154370 1688610 ) M1M2_PR
+    NEW met1 ( 1157590 1688610 ) M1M2_PR
+    NEW met1 ( 43930 17510 ) M1M2_PR
+    NEW met1 ( 48070 17510 ) M1M2_PR
+    NEW met2 ( 48070 51340 ) via2_FR
+    NEW met2 ( 1154370 51340 ) via2_FR
++ USE SIGNAL ;
+- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) 
+  + ROUTED met2 ( 244950 2380 0 ) ( 244950 17510 )
+    NEW met1 ( 244950 17510 ) ( 248170 17510 )
+    NEW met2 ( 248170 17510 ) ( 248170 53210 )
+    NEW li1 ( 689770 53890 ) ( 689770 55590 )
+    NEW met2 ( 835130 53380 ) ( 835130 53890 )
+    NEW met1 ( 835130 53890 ) ( 882510 53890 )
+    NEW met2 ( 882510 53380 ) ( 882510 53890 )
+    NEW met2 ( 1172770 53550 ) ( 1172770 54740 )
+    NEW li1 ( 641010 53210 ) ( 641010 55590 )
+    NEW met1 ( 248170 53210 ) ( 641010 53210 )
+    NEW met1 ( 641010 55590 ) ( 689770 55590 )
+    NEW met2 ( 786830 53380 ) ( 786830 53890 )
+    NEW met1 ( 689770 53890 ) ( 786830 53890 )
+    NEW met3 ( 786830 53380 ) ( 835130 53380 )
+    NEW met2 ( 883890 51170 ) ( 883890 53380 )
+    NEW met1 ( 883890 51170 ) ( 930810 51170 )
+    NEW li1 ( 930810 51170 ) ( 930810 51510 )
+    NEW li1 ( 930810 51510 ) ( 931270 51510 )
+    NEW li1 ( 931270 51510 ) ( 931270 53890 )
+    NEW met3 ( 882510 53380 ) ( 883890 53380 )
+    NEW met2 ( 1123090 53890 ) ( 1123090 54740 )
+    NEW met1 ( 931270 53890 ) ( 1123090 53890 )
+    NEW met3 ( 1123090 54740 ) ( 1172770 54740 )
+    NEW met1 ( 1172770 53550 ) ( 1194850 53550 )
+    NEW met1 ( 1194850 1678750 ) ( 1199450 1678750 )
+    NEW met2 ( 1199450 1678750 ) ( 1199450 1700340 )
+    NEW met2 ( 1199450 1700340 ) ( 1200370 1700340 0 )
+    NEW met2 ( 1194850 53550 ) ( 1194850 1678750 )
+    NEW met1 ( 244950 17510 ) M1M2_PR
+    NEW met1 ( 248170 17510 ) M1M2_PR
+    NEW met1 ( 248170 53210 ) M1M2_PR
+    NEW li1 ( 689770 55590 ) L1M1_PR_MR
+    NEW li1 ( 689770 53890 ) L1M1_PR_MR
+    NEW met2 ( 835130 53380 ) via2_FR
+    NEW met1 ( 835130 53890 ) M1M2_PR
+    NEW met1 ( 882510 53890 ) M1M2_PR
+    NEW met2 ( 882510 53380 ) via2_FR
+    NEW met2 ( 1172770 54740 ) via2_FR
+    NEW met1 ( 1172770 53550 ) M1M2_PR
+    NEW li1 ( 641010 53210 ) L1M1_PR_MR
+    NEW li1 ( 641010 55590 ) L1M1_PR_MR
+    NEW met1 ( 786830 53890 ) M1M2_PR
+    NEW met2 ( 786830 53380 ) via2_FR
+    NEW met2 ( 883890 53380 ) via2_FR
+    NEW met1 ( 883890 51170 ) M1M2_PR
+    NEW li1 ( 930810 51170 ) L1M1_PR_MR
+    NEW li1 ( 931270 53890 ) L1M1_PR_MR
+    NEW met1 ( 1123090 53890 ) M1M2_PR
+    NEW met2 ( 1123090 54740 ) via2_FR
+    NEW met1 ( 1194850 53550 ) M1M2_PR
+    NEW met1 ( 1194850 1678750 ) M1M2_PR
+    NEW met1 ( 1199450 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) 
-  + ROUTED met2 ( 264270 2380 0 ) ( 264270 53890 )
-    NEW li1 ( 641930 50830 ) ( 641930 53890 )
-    NEW li1 ( 641930 50830 ) ( 642390 50830 )
-    NEW met1 ( 642390 50830 ) ( 664470 50830 )
-    NEW li1 ( 664470 50830 ) ( 665850 50830 )
-    NEW li1 ( 665850 50830 ) ( 665850 51850 )
-    NEW li1 ( 665850 51850 ) ( 667230 51850 )
-    NEW li1 ( 667230 51850 ) ( 667230 52530 )
-    NEW met1 ( 667230 52530 ) ( 689310 52530 )
-    NEW li1 ( 689310 52530 ) ( 689770 52530 )
-    NEW li1 ( 689770 50830 ) ( 689770 52530 )
-    NEW met1 ( 264270 53890 ) ( 641930 53890 )
-    NEW li1 ( 738990 53890 ) ( 738990 55930 )
-    NEW met1 ( 738990 55930 ) ( 786370 55930 )
-    NEW li1 ( 786370 50830 ) ( 786370 55930 )
-    NEW met2 ( 835590 50830 ) ( 835590 55930 )
-    NEW met1 ( 835590 55930 ) ( 882970 55930 )
-    NEW li1 ( 882970 53890 ) ( 882970 55930 )
-    NEW li1 ( 932190 53890 ) ( 932190 55250 )
-    NEW met1 ( 932190 55250 ) ( 978650 55250 )
-    NEW li1 ( 978650 50830 ) ( 978650 55250 )
-    NEW met2 ( 1124930 50830 ) ( 1124930 53380 )
-    NEW met3 ( 1124930 53380 ) ( 1172770 53380 )
-    NEW met2 ( 1172770 53380 ) ( 1172770 53890 )
-    NEW li1 ( 690230 50830 ) ( 690230 55250 )
-    NEW met1 ( 690230 55250 ) ( 738070 55250 )
-    NEW li1 ( 738070 53890 ) ( 738070 55250 )
-    NEW met1 ( 689770 50830 ) ( 690230 50830 )
-    NEW met1 ( 738070 53890 ) ( 738990 53890 )
-    NEW met1 ( 786370 50830 ) ( 835590 50830 )
-    NEW met1 ( 882970 53890 ) ( 932190 53890 )
-    NEW met1 ( 978650 50830 ) ( 1124930 50830 )
-    NEW li1 ( 1174610 50830 ) ( 1174610 53890 )
-    NEW met1 ( 1174610 50830 ) ( 1202210 50830 )
-    NEW met1 ( 1172770 53890 ) ( 1174610 53890 )
-    NEW met1 ( 1202210 1695410 ) ( 1204050 1695410 )
-    NEW met2 ( 1204050 1695410 ) ( 1204050 1700340 0 )
-    NEW met2 ( 1202210 50830 ) ( 1202210 1695410 )
-    NEW met1 ( 264270 53890 ) M1M2_PR
-    NEW li1 ( 641930 53890 ) L1M1_PR_MR
-    NEW li1 ( 642390 50830 ) L1M1_PR_MR
-    NEW li1 ( 664470 50830 ) L1M1_PR_MR
-    NEW li1 ( 667230 52530 ) L1M1_PR_MR
-    NEW li1 ( 689310 52530 ) L1M1_PR_MR
-    NEW li1 ( 689770 50830 ) L1M1_PR_MR
-    NEW li1 ( 738990 53890 ) L1M1_PR_MR
-    NEW li1 ( 738990 55930 ) L1M1_PR_MR
-    NEW li1 ( 786370 55930 ) L1M1_PR_MR
-    NEW li1 ( 786370 50830 ) L1M1_PR_MR
-    NEW met1 ( 835590 50830 ) M1M2_PR
-    NEW met1 ( 835590 55930 ) M1M2_PR
-    NEW li1 ( 882970 55930 ) L1M1_PR_MR
+  + ROUTED met2 ( 262890 2380 0 ) ( 262890 17510 )
+    NEW met1 ( 262890 17510 ) ( 268870 17510 )
+    NEW met2 ( 268870 17510 ) ( 268870 53550 )
+    NEW li1 ( 641930 53210 ) ( 641930 53550 )
+    NEW li1 ( 641930 53210 ) ( 642850 53210 )
+    NEW li1 ( 642850 53210 ) ( 642850 55250 )
+    NEW met1 ( 642850 55250 ) ( 688390 55250 )
+    NEW li1 ( 688390 50830 ) ( 688390 55250 )
+    NEW li1 ( 688390 50830 ) ( 689770 50830 )
+    NEW li1 ( 689770 50830 ) ( 689770 51170 )
+    NEW li1 ( 882970 53890 ) ( 882970 55250 )
+    NEW met2 ( 1172770 52020 ) ( 1172770 52870 )
+    NEW met1 ( 268870 53550 ) ( 641930 53550 )
+    NEW li1 ( 786830 51170 ) ( 786830 55250 )
+    NEW met1 ( 689770 51170 ) ( 786830 51170 )
+    NEW met1 ( 786830 55250 ) ( 882970 55250 )
+    NEW li1 ( 883430 53890 ) ( 883430 55250 )
+    NEW met1 ( 883430 55250 ) ( 930350 55250 )
+    NEW li1 ( 930350 50830 ) ( 930350 55250 )
+    NEW li1 ( 930350 50830 ) ( 931270 50830 )
+    NEW li1 ( 931270 50830 ) ( 931270 51170 )
+    NEW met1 ( 882970 53890 ) ( 883430 53890 )
+    NEW li1 ( 1076630 51170 ) ( 1077090 51170 )
+    NEW li1 ( 1077090 51170 ) ( 1077090 53550 )
+    NEW met1 ( 1077090 53550 ) ( 1123550 53550 )
+    NEW met2 ( 1123550 52020 ) ( 1123550 53550 )
+    NEW met1 ( 931270 51170 ) ( 1076630 51170 )
+    NEW met3 ( 1123550 52020 ) ( 1172770 52020 )
+    NEW met2 ( 1173230 52870 ) ( 1173230 55930 )
+    NEW met1 ( 1173230 55930 ) ( 1188410 55930 )
+    NEW met1 ( 1188410 54910 ) ( 1188410 55930 )
+    NEW met1 ( 1188410 54910 ) ( 1201750 54910 )
+    NEW met1 ( 1172770 52870 ) ( 1173230 52870 )
+    NEW met1 ( 1203130 1692350 ) ( 1204050 1692350 )
+    NEW met2 ( 1204050 1692350 ) ( 1204050 1700340 0 )
+    NEW met1 ( 1201750 1654610 ) ( 1203130 1654610 )
+    NEW met2 ( 1203130 1654610 ) ( 1203130 1692350 )
+    NEW met2 ( 1201750 54910 ) ( 1201750 1654610 )
+    NEW met1 ( 262890 17510 ) M1M2_PR
+    NEW met1 ( 268870 17510 ) M1M2_PR
+    NEW met1 ( 268870 53550 ) M1M2_PR
+    NEW li1 ( 641930 53550 ) L1M1_PR_MR
+    NEW li1 ( 642850 55250 ) L1M1_PR_MR
+    NEW li1 ( 688390 55250 ) L1M1_PR_MR
+    NEW li1 ( 689770 51170 ) L1M1_PR_MR
+    NEW li1 ( 882970 55250 ) L1M1_PR_MR
     NEW li1 ( 882970 53890 ) L1M1_PR_MR
-    NEW li1 ( 932190 53890 ) L1M1_PR_MR
-    NEW li1 ( 932190 55250 ) L1M1_PR_MR
-    NEW li1 ( 978650 55250 ) L1M1_PR_MR
-    NEW li1 ( 978650 50830 ) L1M1_PR_MR
-    NEW met1 ( 1124930 50830 ) M1M2_PR
-    NEW met2 ( 1124930 53380 ) via2_FR
-    NEW met2 ( 1172770 53380 ) via2_FR
-    NEW met1 ( 1172770 53890 ) M1M2_PR
-    NEW li1 ( 690230 50830 ) L1M1_PR_MR
-    NEW li1 ( 690230 55250 ) L1M1_PR_MR
-    NEW li1 ( 738070 55250 ) L1M1_PR_MR
-    NEW li1 ( 738070 53890 ) L1M1_PR_MR
-    NEW li1 ( 1174610 53890 ) L1M1_PR_MR
-    NEW li1 ( 1174610 50830 ) L1M1_PR_MR
-    NEW met1 ( 1202210 50830 ) M1M2_PR
-    NEW met1 ( 1202210 1695410 ) M1M2_PR
-    NEW met1 ( 1204050 1695410 ) M1M2_PR
+    NEW met2 ( 1172770 52020 ) via2_FR
+    NEW met1 ( 1172770 52870 ) M1M2_PR
+    NEW li1 ( 786830 51170 ) L1M1_PR_MR
+    NEW li1 ( 786830 55250 ) L1M1_PR_MR
+    NEW li1 ( 883430 53890 ) L1M1_PR_MR
+    NEW li1 ( 883430 55250 ) L1M1_PR_MR
+    NEW li1 ( 930350 55250 ) L1M1_PR_MR
+    NEW li1 ( 931270 51170 ) L1M1_PR_MR
+    NEW li1 ( 1076630 51170 ) L1M1_PR_MR
+    NEW li1 ( 1077090 53550 ) L1M1_PR_MR
+    NEW met1 ( 1123550 53550 ) M1M2_PR
+    NEW met2 ( 1123550 52020 ) via2_FR
+    NEW met1 ( 1173230 52870 ) M1M2_PR
+    NEW met1 ( 1173230 55930 ) M1M2_PR
+    NEW met1 ( 1201750 54910 ) M1M2_PR
+    NEW met1 ( 1203130 1692350 ) M1M2_PR
+    NEW met1 ( 1204050 1692350 ) M1M2_PR
+    NEW met1 ( 1201750 1654610 ) M1M2_PR
+    NEW met1 ( 1203130 1654610 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) 
-  + ROUTED met2 ( 282210 2380 0 ) ( 282210 54910 )
-    NEW met1 ( 642390 54910 ) ( 642390 55250 )
-    NEW met1 ( 642390 55250 ) ( 643310 55250 )
-    NEW met1 ( 643310 55250 ) ( 643310 55590 )
-    NEW met1 ( 643310 55590 ) ( 688850 55590 )
-    NEW li1 ( 688850 50490 ) ( 688850 55590 )
-    NEW li1 ( 688850 50490 ) ( 689310 50490 )
-    NEW met1 ( 282210 54910 ) ( 642390 54910 )
-    NEW met1 ( 785450 50830 ) ( 785450 51170 )
-    NEW met1 ( 785450 50830 ) ( 785910 50830 )
-    NEW met1 ( 785910 50490 ) ( 785910 50830 )
-    NEW met1 ( 836050 50490 ) ( 836050 51170 )
-    NEW met1 ( 978190 50490 ) ( 978190 51170 )
-    NEW li1 ( 1125390 50490 ) ( 1125390 55590 )
-    NEW met2 ( 714150 50490 ) ( 714150 51170 )
-    NEW met1 ( 689310 50490 ) ( 714150 50490 )
-    NEW met1 ( 714150 51170 ) ( 785450 51170 )
-    NEW met1 ( 785910 50490 ) ( 836050 50490 )
-    NEW met1 ( 836050 51170 ) ( 978190 51170 )
-    NEW met1 ( 978190 50490 ) ( 1125390 50490 )
-    NEW met1 ( 1181970 54910 ) ( 1181970 55590 )
-    NEW met1 ( 1181970 54910 ) ( 1209110 54910 )
-    NEW met1 ( 1125390 55590 ) ( 1181970 55590 )
-    NEW met1 ( 1207730 1677390 ) ( 1209110 1677390 )
-    NEW met2 ( 1207730 1677390 ) ( 1207730 1700340 0 )
-    NEW met2 ( 1209110 54910 ) ( 1209110 1677390 )
-    NEW met1 ( 282210 54910 ) M1M2_PR
-    NEW li1 ( 688850 55590 ) L1M1_PR_MR
-    NEW li1 ( 689310 50490 ) L1M1_PR_MR
-    NEW li1 ( 1125390 50490 ) L1M1_PR_MR
-    NEW li1 ( 1125390 55590 ) L1M1_PR_MR
-    NEW met1 ( 714150 50490 ) M1M2_PR
-    NEW met1 ( 714150 51170 ) M1M2_PR
-    NEW met1 ( 1209110 54910 ) M1M2_PR
-    NEW met1 ( 1209110 1677390 ) M1M2_PR
-    NEW met1 ( 1207730 1677390 ) M1M2_PR
+  + ROUTED met2 ( 280370 2380 0 ) ( 280370 17850 )
+    NEW met1 ( 280370 17850 ) ( 282670 17850 )
+    NEW met2 ( 282670 17850 ) ( 282670 53890 )
+    NEW met2 ( 687930 53890 ) ( 687930 54060 )
+    NEW met2 ( 1028790 54060 ) ( 1028790 55930 )
+    NEW met1 ( 282670 53890 ) ( 687930 53890 )
+    NEW met2 ( 834670 51170 ) ( 834670 54060 )
+    NEW met3 ( 687930 54060 ) ( 834670 54060 )
+    NEW met2 ( 883430 51170 ) ( 883430 54060 )
+    NEW met1 ( 834670 51170 ) ( 883430 51170 )
+    NEW met3 ( 883430 54060 ) ( 1028790 54060 )
+    NEW li1 ( 1124470 53890 ) ( 1124470 55930 )
+    NEW met1 ( 1028790 55930 ) ( 1124470 55930 )
+    NEW met2 ( 1173690 53380 ) ( 1173690 53890 )
+    NEW met3 ( 1173690 53380 ) ( 1187950 53380 )
+    NEW met2 ( 1187950 52870 ) ( 1187950 53380 )
+    NEW met1 ( 1187950 52870 ) ( 1209570 52870 )
+    NEW met1 ( 1124470 53890 ) ( 1173690 53890 )
+    NEW met1 ( 1207730 1695410 ) ( 1209570 1695410 )
+    NEW met2 ( 1207730 1695410 ) ( 1207730 1700340 0 )
+    NEW met2 ( 1209570 52870 ) ( 1209570 1695410 )
+    NEW met1 ( 280370 17850 ) M1M2_PR
+    NEW met1 ( 282670 17850 ) M1M2_PR
+    NEW met1 ( 282670 53890 ) M1M2_PR
+    NEW met1 ( 687930 53890 ) M1M2_PR
+    NEW met2 ( 687930 54060 ) via2_FR
+    NEW met2 ( 1028790 54060 ) via2_FR
+    NEW met1 ( 1028790 55930 ) M1M2_PR
+    NEW met2 ( 834670 54060 ) via2_FR
+    NEW met1 ( 834670 51170 ) M1M2_PR
+    NEW met1 ( 883430 51170 ) M1M2_PR
+    NEW met2 ( 883430 54060 ) via2_FR
+    NEW li1 ( 1124470 55930 ) L1M1_PR_MR
+    NEW li1 ( 1124470 53890 ) L1M1_PR_MR
+    NEW met1 ( 1173690 53890 ) M1M2_PR
+    NEW met2 ( 1173690 53380 ) via2_FR
+    NEW met2 ( 1187950 53380 ) via2_FR
+    NEW met1 ( 1187950 52870 ) M1M2_PR
+    NEW met1 ( 1209570 52870 ) M1M2_PR
+    NEW met1 ( 1209570 1695410 ) M1M2_PR
+    NEW met1 ( 1207730 1695410 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) 
-  + ROUTED met2 ( 300150 2380 0 ) ( 300150 51170 )
-    NEW met1 ( 664930 50830 ) ( 664930 51170 )
-    NEW met1 ( 664930 50830 ) ( 688390 50830 )
-    NEW li1 ( 688390 48110 ) ( 688390 50830 )
-    NEW li1 ( 688390 48110 ) ( 689310 48110 )
-    NEW met1 ( 300150 51170 ) ( 664930 51170 )
-    NEW met2 ( 784990 50660 ) ( 784990 50830 )
-    NEW met2 ( 836970 50830 ) ( 836970 52020 )
-    NEW met2 ( 977270 50660 ) ( 977270 50830 )
-    NEW met2 ( 1029250 50660 ) ( 1029250 52020 )
-    NEW met2 ( 1125850 51170 ) ( 1125850 52020 )
-    NEW met1 ( 690690 47770 ) ( 690690 48110 )
-    NEW met1 ( 690690 47770 ) ( 738070 47770 )
-    NEW li1 ( 738070 47770 ) ( 738070 50830 )
-    NEW met1 ( 689310 48110 ) ( 690690 48110 )
-    NEW met1 ( 738070 50830 ) ( 784990 50830 )
-    NEW met2 ( 786830 50660 ) ( 786830 52020 )
-    NEW met3 ( 784990 50660 ) ( 786830 50660 )
-    NEW met3 ( 786830 52020 ) ( 836970 52020 )
-    NEW met1 ( 836970 50830 ) ( 977270 50830 )
-    NEW met2 ( 980030 50660 ) ( 980030 52020 )
-    NEW met3 ( 977270 50660 ) ( 980030 50660 )
-    NEW met3 ( 980030 52020 ) ( 1029250 52020 )
-    NEW met2 ( 1078470 50660 ) ( 1078470 52020 )
-    NEW met3 ( 1029250 50660 ) ( 1078470 50660 )
-    NEW met3 ( 1078470 52020 ) ( 1125850 52020 )
-    NEW met1 ( 1209570 1677730 ) ( 1210490 1677730 )
+  + ROUTED met2 ( 298310 2380 0 ) ( 298310 15130 )
+    NEW met1 ( 298310 15130 ) ( 303370 15130 )
+    NEW met2 ( 303370 15130 ) ( 303370 54910 )
+    NEW li1 ( 641930 54910 ) ( 642390 54910 )
+    NEW li1 ( 642390 53550 ) ( 642390 54910 )
+    NEW li1 ( 1124930 53550 ) ( 1124930 55930 )
+    NEW met1 ( 303370 54910 ) ( 641930 54910 )
+    NEW li1 ( 787290 53890 ) ( 787290 56270 )
+    NEW met1 ( 787290 53890 ) ( 834670 53890 )
+    NEW li1 ( 834670 53890 ) ( 834670 55590 )
+    NEW li1 ( 1124010 53550 ) ( 1124010 56610 )
+    NEW met1 ( 1124010 53550 ) ( 1124930 53550 )
+    NEW met1 ( 1209110 1677730 ) ( 1210490 1677730 )
     NEW met2 ( 1210490 1677730 ) ( 1210490 1700340 )
     NEW met2 ( 1210490 1700340 ) ( 1211410 1700340 0 )
-    NEW li1 ( 1159430 51170 ) ( 1159890 51170 )
-    NEW met2 ( 1159890 49980 ) ( 1159890 51170 )
-    NEW met3 ( 1159890 49980 ) ( 1207270 49980 )
-    NEW met2 ( 1207270 49980 ) ( 1207270 50490 )
-    NEW met1 ( 1125850 51170 ) ( 1159430 51170 )
-    NEW met1 ( 1207270 50490 ) ( 1209570 50490 )
-    NEW met2 ( 1209570 50490 ) ( 1209570 1677730 )
-    NEW met1 ( 300150 51170 ) M1M2_PR
-    NEW li1 ( 688390 50830 ) L1M1_PR_MR
-    NEW li1 ( 689310 48110 ) L1M1_PR_MR
-    NEW met1 ( 784990 50830 ) M1M2_PR
-    NEW met2 ( 784990 50660 ) via2_FR
-    NEW met2 ( 836970 52020 ) via2_FR
-    NEW met1 ( 836970 50830 ) M1M2_PR
-    NEW met1 ( 977270 50830 ) M1M2_PR
-    NEW met2 ( 977270 50660 ) via2_FR
-    NEW met2 ( 1029250 52020 ) via2_FR
-    NEW met2 ( 1029250 50660 ) via2_FR
-    NEW met2 ( 1125850 52020 ) via2_FR
-    NEW met1 ( 1125850 51170 ) M1M2_PR
-    NEW li1 ( 738070 47770 ) L1M1_PR_MR
-    NEW li1 ( 738070 50830 ) L1M1_PR_MR
-    NEW met2 ( 786830 50660 ) via2_FR
-    NEW met2 ( 786830 52020 ) via2_FR
-    NEW met2 ( 980030 50660 ) via2_FR
-    NEW met2 ( 980030 52020 ) via2_FR
-    NEW met2 ( 1078470 50660 ) via2_FR
-    NEW met2 ( 1078470 52020 ) via2_FR
-    NEW met1 ( 1209570 50490 ) M1M2_PR
-    NEW met1 ( 1209570 1677730 ) M1M2_PR
+    NEW met2 ( 1209110 51170 ) ( 1209110 1677730 )
+    NEW li1 ( 688850 53550 ) ( 688850 56610 )
+    NEW met1 ( 642390 53550 ) ( 688850 53550 )
+    NEW li1 ( 884810 53890 ) ( 884810 55590 )
+    NEW met1 ( 834670 55590 ) ( 884810 55590 )
+    NEW li1 ( 1172770 51170 ) ( 1172770 55930 )
+    NEW li1 ( 1172770 51170 ) ( 1173690 51170 )
+    NEW met1 ( 1124930 55930 ) ( 1172770 55930 )
+    NEW met1 ( 1173690 51170 ) ( 1209110 51170 )
+    NEW met1 ( 748650 56270 ) ( 748650 56610 )
+    NEW met1 ( 688850 56610 ) ( 748650 56610 )
+    NEW met1 ( 748650 56270 ) ( 787290 56270 )
+    NEW li1 ( 919770 53890 ) ( 919770 56610 )
+    NEW met1 ( 884810 53890 ) ( 919770 53890 )
+    NEW met1 ( 1014530 56270 ) ( 1014530 56610 )
+    NEW li1 ( 1014530 56610 ) ( 1014530 57630 )
+    NEW met1 ( 1014530 57630 ) ( 1062370 57630 )
+    NEW li1 ( 1062370 56610 ) ( 1062370 57630 )
+    NEW met1 ( 1062370 56610 ) ( 1124010 56610 )
+    NEW li1 ( 959330 55590 ) ( 959330 56610 )
+    NEW met1 ( 959330 55590 ) ( 1007170 55590 )
+    NEW li1 ( 1007170 55590 ) ( 1007170 56270 )
+    NEW met1 ( 919770 56610 ) ( 959330 56610 )
+    NEW met1 ( 1007170 56270 ) ( 1014530 56270 )
+    NEW met1 ( 298310 15130 ) M1M2_PR
+    NEW met1 ( 303370 15130 ) M1M2_PR
+    NEW met1 ( 303370 54910 ) M1M2_PR
+    NEW li1 ( 641930 54910 ) L1M1_PR_MR
+    NEW li1 ( 642390 53550 ) L1M1_PR_MR
+    NEW li1 ( 1124930 53550 ) L1M1_PR_MR
+    NEW li1 ( 1124930 55930 ) L1M1_PR_MR
+    NEW li1 ( 787290 56270 ) L1M1_PR_MR
+    NEW li1 ( 787290 53890 ) L1M1_PR_MR
+    NEW li1 ( 834670 53890 ) L1M1_PR_MR
+    NEW li1 ( 834670 55590 ) L1M1_PR_MR
+    NEW li1 ( 1124010 56610 ) L1M1_PR_MR
+    NEW li1 ( 1124010 53550 ) L1M1_PR_MR
+    NEW met1 ( 1209110 51170 ) M1M2_PR
+    NEW met1 ( 1209110 1677730 ) M1M2_PR
     NEW met1 ( 1210490 1677730 ) M1M2_PR
-    NEW li1 ( 1159430 51170 ) L1M1_PR_MR
-    NEW li1 ( 1159890 51170 ) L1M1_PR_MR
-    NEW met1 ( 1159890 51170 ) M1M2_PR
-    NEW met2 ( 1159890 49980 ) via2_FR
-    NEW met2 ( 1207270 49980 ) via2_FR
-    NEW met1 ( 1207270 50490 ) M1M2_PR
-    NEW met1 ( 1159890 51170 ) RECT ( 0 -70 355 70 )
+    NEW li1 ( 688850 53550 ) L1M1_PR_MR
+    NEW li1 ( 688850 56610 ) L1M1_PR_MR
+    NEW li1 ( 884810 55590 ) L1M1_PR_MR
+    NEW li1 ( 884810 53890 ) L1M1_PR_MR
+    NEW li1 ( 1172770 55930 ) L1M1_PR_MR
+    NEW li1 ( 1173690 51170 ) L1M1_PR_MR
+    NEW li1 ( 919770 53890 ) L1M1_PR_MR
+    NEW li1 ( 919770 56610 ) L1M1_PR_MR
+    NEW li1 ( 1014530 56610 ) L1M1_PR_MR
+    NEW li1 ( 1014530 57630 ) L1M1_PR_MR
+    NEW li1 ( 1062370 57630 ) L1M1_PR_MR
+    NEW li1 ( 1062370 56610 ) L1M1_PR_MR
+    NEW li1 ( 959330 56610 ) L1M1_PR_MR
+    NEW li1 ( 959330 55590 ) L1M1_PR_MR
+    NEW li1 ( 1007170 55590 ) L1M1_PR_MR
+    NEW li1 ( 1007170 56270 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) 
-  + ROUTED met2 ( 318090 2380 0 ) ( 318090 16830 )
-    NEW met1 ( 318090 16830 ) ( 323610 16830 )
-    NEW met2 ( 323610 16830 ) ( 323610 17340 )
-    NEW met2 ( 323610 17340 ) ( 324070 17340 )
-    NEW met2 ( 324070 17340 ) ( 324070 50830 )
-    NEW met2 ( 641930 47770 ) ( 641930 50830 )
-    NEW met1 ( 324070 50830 ) ( 641930 50830 )
-    NEW met2 ( 785450 49980 ) ( 785450 50490 )
-    NEW met2 ( 836510 50490 ) ( 836510 50660 )
-    NEW met2 ( 977730 49980 ) ( 977730 50490 )
-    NEW met3 ( 1028100 49300 ) ( 1028100 50660 )
-    NEW li1 ( 690230 47770 ) ( 690230 48110 )
-    NEW li1 ( 690230 48110 ) ( 691150 48110 )
-    NEW met1 ( 691150 48110 ) ( 737150 48110 )
-    NEW li1 ( 737150 48110 ) ( 737150 50490 )
-    NEW met1 ( 641930 47770 ) ( 690230 47770 )
-    NEW met1 ( 737150 50490 ) ( 785450 50490 )
-    NEW met3 ( 788900 49980 ) ( 788900 50660 )
-    NEW met3 ( 785450 49980 ) ( 788900 49980 )
-    NEW met3 ( 788900 50660 ) ( 836510 50660 )
-    NEW met1 ( 836510 50490 ) ( 977730 50490 )
-    NEW met3 ( 981180 49980 ) ( 981180 50660 )
-    NEW met3 ( 977730 49980 ) ( 981180 49980 )
-    NEW met3 ( 981180 50660 ) ( 1028100 50660 )
-    NEW met2 ( 1077550 49300 ) ( 1077550 55930 )
-    NEW met3 ( 1028100 49300 ) ( 1077550 49300 )
-    NEW met2 ( 1215550 1677900 ) ( 1216470 1677900 )
-    NEW met2 ( 1215550 1677900 ) ( 1215550 1700340 )
+  + ROUTED met2 ( 316250 2380 0 ) ( 316250 2890 )
+    NEW met1 ( 316250 2890 ) ( 317170 2890 )
+    NEW met2 ( 317170 2890 ) ( 317170 51170 )
+    NEW li1 ( 641930 48110 ) ( 641930 51170 )
+    NEW met2 ( 740370 48110 ) ( 740370 50660 )
+    NEW met2 ( 931730 50660 ) ( 931730 55590 )
+    NEW met2 ( 1029250 50660 ) ( 1029250 52020 )
+    NEW met1 ( 317170 51170 ) ( 641930 51170 )
+    NEW met1 ( 641930 48110 ) ( 740370 48110 )
+    NEW met2 ( 787290 50660 ) ( 787290 51170 )
+    NEW met1 ( 787290 51170 ) ( 834210 51170 )
+    NEW met2 ( 834210 50660 ) ( 834210 51170 )
+    NEW met3 ( 740370 50660 ) ( 787290 50660 )
+    NEW met2 ( 885270 50660 ) ( 885270 55590 )
+    NEW met3 ( 834210 50660 ) ( 885270 50660 )
+    NEW met1 ( 885270 55590 ) ( 931730 55590 )
+    NEW met2 ( 981870 50660 ) ( 981870 52020 )
+    NEW met3 ( 931730 50660 ) ( 981870 50660 )
+    NEW met3 ( 981870 52020 ) ( 1029250 52020 )
+    NEW met2 ( 1077090 50660 ) ( 1077090 51170 )
+    NEW met3 ( 1029250 50660 ) ( 1077090 50660 )
+    NEW met2 ( 1173230 51170 ) ( 1173230 51340 )
+    NEW met3 ( 1173230 51340 ) ( 1195770 51340 )
+    NEW met2 ( 1195770 51340 ) ( 1195770 53550 )
+    NEW met1 ( 1195770 53550 ) ( 1215550 53550 )
+    NEW met1 ( 1077090 51170 ) ( 1173230 51170 )
     NEW met2 ( 1215090 1700340 0 ) ( 1215550 1700340 )
-    NEW met2 ( 1216470 53210 ) ( 1216470 1677900 )
-    NEW li1 ( 1189330 53210 ) ( 1189330 55930 )
-    NEW met1 ( 1077550 55930 ) ( 1189330 55930 )
-    NEW met1 ( 1189330 53210 ) ( 1216470 53210 )
-    NEW met1 ( 318090 16830 ) M1M2_PR
-    NEW met1 ( 323610 16830 ) M1M2_PR
-    NEW met1 ( 324070 50830 ) M1M2_PR
-    NEW met1 ( 641930 50830 ) M1M2_PR
-    NEW met1 ( 641930 47770 ) M1M2_PR
-    NEW met1 ( 785450 50490 ) M1M2_PR
-    NEW met2 ( 785450 49980 ) via2_FR
-    NEW met2 ( 836510 50660 ) via2_FR
-    NEW met1 ( 836510 50490 ) M1M2_PR
-    NEW met1 ( 977730 50490 ) M1M2_PR
-    NEW met2 ( 977730 49980 ) via2_FR
-    NEW li1 ( 690230 47770 ) L1M1_PR_MR
-    NEW li1 ( 691150 48110 ) L1M1_PR_MR
-    NEW li1 ( 737150 48110 ) L1M1_PR_MR
-    NEW li1 ( 737150 50490 ) L1M1_PR_MR
-    NEW met2 ( 1077550 49300 ) via2_FR
-    NEW met1 ( 1077550 55930 ) M1M2_PR
-    NEW met1 ( 1216470 53210 ) M1M2_PR
-    NEW li1 ( 1189330 55930 ) L1M1_PR_MR
-    NEW li1 ( 1189330 53210 ) L1M1_PR_MR
+    NEW met2 ( 1215550 53550 ) ( 1215550 1700340 )
+    NEW met1 ( 316250 2890 ) M1M2_PR
+    NEW met1 ( 317170 2890 ) M1M2_PR
+    NEW met1 ( 317170 51170 ) M1M2_PR
+    NEW li1 ( 641930 51170 ) L1M1_PR_MR
+    NEW li1 ( 641930 48110 ) L1M1_PR_MR
+    NEW met1 ( 740370 48110 ) M1M2_PR
+    NEW met2 ( 740370 50660 ) via2_FR
+    NEW met1 ( 931730 55590 ) M1M2_PR
+    NEW met2 ( 931730 50660 ) via2_FR
+    NEW met2 ( 1029250 52020 ) via2_FR
+    NEW met2 ( 1029250 50660 ) via2_FR
+    NEW met2 ( 787290 50660 ) via2_FR
+    NEW met1 ( 787290 51170 ) M1M2_PR
+    NEW met1 ( 834210 51170 ) M1M2_PR
+    NEW met2 ( 834210 50660 ) via2_FR
+    NEW met2 ( 885270 50660 ) via2_FR
+    NEW met1 ( 885270 55590 ) M1M2_PR
+    NEW met2 ( 981870 50660 ) via2_FR
+    NEW met2 ( 981870 52020 ) via2_FR
+    NEW met2 ( 1077090 50660 ) via2_FR
+    NEW met1 ( 1077090 51170 ) M1M2_PR
+    NEW met1 ( 1173230 51170 ) M1M2_PR
+    NEW met2 ( 1173230 51340 ) via2_FR
+    NEW met2 ( 1195770 51340 ) via2_FR
+    NEW met1 ( 1195770 53550 ) M1M2_PR
+    NEW met1 ( 1215550 53550 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) 
-  + ROUTED met2 ( 336030 2380 0 ) ( 336030 3060 )
-    NEW met2 ( 336030 3060 ) ( 337870 3060 )
-    NEW met2 ( 337870 3060 ) ( 337870 50490 )
-    NEW met2 ( 688850 50490 ) ( 688850 50660 )
-    NEW met1 ( 337870 50490 ) ( 688850 50490 )
-    NEW li1 ( 738990 44370 ) ( 738990 48110 )
-    NEW met2 ( 835130 49980 ) ( 835130 55250 )
-    NEW met2 ( 956570 45050 ) ( 956570 50660 )
-    NEW met2 ( 1125850 49980 ) ( 1125850 50490 )
-    NEW met2 ( 690690 50660 ) ( 690690 50830 )
-    NEW met1 ( 690690 50830 ) ( 737610 50830 )
-    NEW li1 ( 737610 48110 ) ( 737610 50830 )
-    NEW met3 ( 688850 50660 ) ( 690690 50660 )
-    NEW met1 ( 737610 48110 ) ( 738990 48110 )
-    NEW li1 ( 786830 44370 ) ( 786830 55250 )
-    NEW met1 ( 738990 44370 ) ( 786830 44370 )
-    NEW met1 ( 786830 55250 ) ( 835130 55250 )
-    NEW li1 ( 980490 45050 ) ( 980490 55250 )
-    NEW met1 ( 956570 45050 ) ( 980490 45050 )
-    NEW met2 ( 1076630 49980 ) ( 1076630 55250 )
-    NEW met1 ( 980490 55250 ) ( 1076630 55250 )
-    NEW met3 ( 1076630 49980 ) ( 1125850 49980 )
-    NEW met2 ( 1218310 1700340 ) ( 1218770 1700340 0 )
-    NEW met2 ( 1218310 53890 ) ( 1218310 1700340 )
-    NEW met4 ( 882740 49300 ) ( 882740 49980 )
-    NEW met4 ( 882740 49300 ) ( 883660 49300 )
-    NEW met4 ( 883660 49300 ) ( 883660 50660 )
-    NEW met3 ( 835130 49980 ) ( 882740 49980 )
-    NEW met3 ( 883660 50660 ) ( 956570 50660 )
-    NEW met2 ( 1172770 50490 ) ( 1172770 50660 )
-    NEW met3 ( 1172770 50660 ) ( 1190250 50660 )
-    NEW met2 ( 1190250 50660 ) ( 1190250 53890 )
-    NEW met1 ( 1125850 50490 ) ( 1172770 50490 )
-    NEW met1 ( 1190250 53890 ) ( 1218310 53890 )
-    NEW met1 ( 337870 50490 ) M1M2_PR
-    NEW met1 ( 688850 50490 ) M1M2_PR
-    NEW met2 ( 688850 50660 ) via2_FR
-    NEW li1 ( 738990 48110 ) L1M1_PR_MR
-    NEW li1 ( 738990 44370 ) L1M1_PR_MR
-    NEW met1 ( 835130 55250 ) M1M2_PR
-    NEW met2 ( 835130 49980 ) via2_FR
-    NEW met2 ( 956570 50660 ) via2_FR
-    NEW met1 ( 956570 45050 ) M1M2_PR
-    NEW met2 ( 1125850 49980 ) via2_FR
-    NEW met1 ( 1125850 50490 ) M1M2_PR
-    NEW met2 ( 690690 50660 ) via2_FR
-    NEW met1 ( 690690 50830 ) M1M2_PR
-    NEW li1 ( 737610 50830 ) L1M1_PR_MR
-    NEW li1 ( 737610 48110 ) L1M1_PR_MR
-    NEW li1 ( 786830 44370 ) L1M1_PR_MR
-    NEW li1 ( 786830 55250 ) L1M1_PR_MR
-    NEW li1 ( 980490 45050 ) L1M1_PR_MR
-    NEW li1 ( 980490 55250 ) L1M1_PR_MR
-    NEW met1 ( 1076630 55250 ) M1M2_PR
-    NEW met2 ( 1076630 49980 ) via2_FR
-    NEW met1 ( 1218310 53890 ) M1M2_PR
-    NEW met3 ( 882740 49980 ) M3M4_PR_M
-    NEW met3 ( 883660 50660 ) M3M4_PR_M
-    NEW met1 ( 1172770 50490 ) M1M2_PR
-    NEW met2 ( 1172770 50660 ) via2_FR
-    NEW met2 ( 1190250 50660 ) via2_FR
-    NEW met1 ( 1190250 53890 ) M1M2_PR
+  + ROUTED met2 ( 333730 2380 0 ) ( 333730 16830 )
+    NEW met1 ( 333730 16830 ) ( 337870 16830 )
+    NEW met2 ( 337870 16830 ) ( 337870 58650 )
+    NEW met1 ( 337870 58650 ) ( 1218770 58650 )
+    NEW met2 ( 1218770 58650 ) ( 1218770 1700340 0 )
+    NEW met1 ( 333730 16830 ) M1M2_PR
+    NEW met1 ( 337870 16830 ) M1M2_PR
+    NEW met1 ( 337870 58650 ) M1M2_PR
+    NEW met1 ( 1218770 58650 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) 
-  + ROUTED met2 ( 353510 2380 0 ) ( 353510 15810 )
-    NEW met1 ( 353510 15810 ) ( 358570 15810 )
-    NEW met2 ( 358570 15810 ) ( 358570 58650 )
-    NEW met2 ( 1222450 58650 ) ( 1222450 1700340 0 )
-    NEW met1 ( 358570 58650 ) ( 1222450 58650 )
-    NEW met1 ( 353510 15810 ) M1M2_PR
-    NEW met1 ( 358570 15810 ) M1M2_PR
-    NEW met1 ( 358570 58650 ) M1M2_PR
-    NEW met1 ( 1222450 58650 ) M1M2_PR
+  + ROUTED met2 ( 351670 2380 0 ) ( 351670 17340 )
+    NEW met2 ( 351210 17340 ) ( 351670 17340 )
+    NEW met2 ( 351210 17340 ) ( 351210 58990 )
+    NEW met2 ( 1221990 1700340 ) ( 1222450 1700340 0 )
+    NEW met1 ( 351210 58990 ) ( 1222910 58990 )
+    NEW li1 ( 1221990 1655630 ) ( 1221990 1682830 )
+    NEW met1 ( 1221990 1655630 ) ( 1222910 1655630 )
+    NEW met2 ( 1221990 1682830 ) ( 1221990 1700340 )
+    NEW li1 ( 1222910 282370 ) ( 1222910 283390 )
+    NEW met2 ( 1222910 58990 ) ( 1222910 282370 )
+    NEW met2 ( 1222910 283390 ) ( 1222910 1655630 )
+    NEW met1 ( 351210 58990 ) M1M2_PR
+    NEW met1 ( 1222910 58990 ) M1M2_PR
+    NEW li1 ( 1221990 1682830 ) L1M1_PR_MR
+    NEW met1 ( 1221990 1682830 ) M1M2_PR
+    NEW li1 ( 1221990 1655630 ) L1M1_PR_MR
+    NEW met1 ( 1222910 1655630 ) M1M2_PR
+    NEW li1 ( 1222910 282370 ) L1M1_PR_MR
+    NEW met1 ( 1222910 282370 ) M1M2_PR
+    NEW li1 ( 1222910 283390 ) L1M1_PR_MR
+    NEW met1 ( 1222910 283390 ) M1M2_PR
+    NEW met1 ( 1221990 1682830 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1222910 282370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1222910 283390 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) 
-  + ROUTED met2 ( 371450 2380 0 ) ( 371450 2890 )
-    NEW met1 ( 371450 2890 ) ( 372370 2890 )
-    NEW met2 ( 372370 2890 ) ( 372370 58990 )
-    NEW met1 ( 1221990 1677730 ) ( 1225210 1677730 )
-    NEW met2 ( 1225210 1677730 ) ( 1225210 1700340 )
-    NEW met2 ( 1225210 1700340 ) ( 1225670 1700340 0 )
-    NEW met2 ( 1221990 58990 ) ( 1221990 1677730 )
-    NEW met1 ( 372370 58990 ) ( 1221990 58990 )
-    NEW met1 ( 371450 2890 ) M1M2_PR
-    NEW met1 ( 372370 2890 ) M1M2_PR
-    NEW met1 ( 372370 58990 ) M1M2_PR
-    NEW met1 ( 1221990 58990 ) M1M2_PR
-    NEW met1 ( 1221990 1677730 ) M1M2_PR
-    NEW met1 ( 1225210 1677730 ) M1M2_PR
+  + ROUTED met2 ( 369150 2380 0 ) ( 369150 16830 )
+    NEW met1 ( 369150 16830 ) ( 372370 16830 )
+    NEW met2 ( 372370 16830 ) ( 372370 59330 )
+    NEW met1 ( 372370 59330 ) ( 1223830 59330 )
+    NEW met1 ( 1223830 1691330 ) ( 1225670 1691330 )
+    NEW met2 ( 1225670 1691330 ) ( 1225670 1700340 0 )
+    NEW met2 ( 1223830 59330 ) ( 1223830 1691330 )
+    NEW met1 ( 369150 16830 ) M1M2_PR
+    NEW met1 ( 372370 16830 ) M1M2_PR
+    NEW met1 ( 372370 59330 ) M1M2_PR
+    NEW met1 ( 1223830 59330 ) M1M2_PR
+    NEW met1 ( 1223830 1691330 ) M1M2_PR
+    NEW met1 ( 1225670 1691330 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) 
-  + ROUTED met2 ( 389390 2380 0 ) ( 389390 15470 )
-    NEW met1 ( 389390 15470 ) ( 393070 15470 )
-    NEW met2 ( 393070 15470 ) ( 393070 59330 )
-    NEW met2 ( 1228890 1700340 ) ( 1229350 1700340 0 )
-    NEW met2 ( 1228890 59330 ) ( 1228890 1700340 )
-    NEW met1 ( 393070 59330 ) ( 1228890 59330 )
-    NEW met1 ( 389390 15470 ) M1M2_PR
-    NEW met1 ( 393070 15470 ) M1M2_PR
-    NEW met1 ( 393070 59330 ) M1M2_PR
-    NEW met1 ( 1228890 59330 ) M1M2_PR
+  + ROUTED met2 ( 387090 2380 0 ) ( 387090 16830 )
+    NEW met1 ( 387090 16830 ) ( 393070 16830 )
+    NEW met2 ( 393070 16830 ) ( 393070 60350 )
+    NEW met2 ( 1229350 60350 ) ( 1229350 1700340 0 )
+    NEW met1 ( 393070 60350 ) ( 1229350 60350 )
+    NEW met1 ( 387090 16830 ) M1M2_PR
+    NEW met1 ( 393070 16830 ) M1M2_PR
+    NEW met1 ( 393070 60350 ) M1M2_PR
+    NEW met1 ( 1229350 60350 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) 
-  + ROUTED met2 ( 407330 2380 0 ) ( 407330 17510 )
-    NEW met1 ( 407330 17510 ) ( 412850 17510 )
-    NEW met2 ( 412850 17510 ) ( 412850 18020 )
-    NEW met2 ( 412850 18020 ) ( 413310 18020 )
-    NEW met2 ( 413310 18020 ) ( 413310 60350 )
-    NEW met2 ( 1231190 62220 ) ( 1231650 62220 )
-    NEW met2 ( 1231190 351900 ) ( 1231650 351900 )
-    NEW met2 ( 1231190 642260 ) ( 1231650 642260 )
-    NEW met2 ( 1231190 738820 ) ( 1231650 738820 )
-    NEW met2 ( 1231190 60350 ) ( 1231190 62220 )
-    NEW met2 ( 1231190 351220 ) ( 1231650 351220 )
-    NEW met2 ( 1231190 351220 ) ( 1231190 351900 )
-    NEW met2 ( 1231190 641580 ) ( 1231650 641580 )
-    NEW met2 ( 1231650 640900 ) ( 1231650 641580 )
-    NEW met2 ( 1231650 640900 ) ( 1232110 640900 )
-    NEW met2 ( 1231190 641580 ) ( 1231190 642260 )
-    NEW met2 ( 1231190 738140 ) ( 1232110 738140 )
-    NEW met2 ( 1231190 738140 ) ( 1231190 738820 )
-    NEW met2 ( 1231190 1317500 ) ( 1231650 1317500 )
-    NEW met2 ( 1231650 1666340 ) ( 1232570 1666340 )
-    NEW met2 ( 1232570 1666340 ) ( 1232570 1700340 )
+  + ROUTED met2 ( 404570 2380 0 ) ( 404570 16830 )
+    NEW met1 ( 404570 16830 ) ( 406870 16830 )
+    NEW met2 ( 406870 16830 ) ( 406870 1459110 )
+    NEW met1 ( 1229810 1677730 ) ( 1232570 1677730 )
+    NEW met2 ( 1232570 1677730 ) ( 1232570 1700340 )
     NEW met2 ( 1232570 1700340 ) ( 1233030 1700340 0 )
-    NEW met1 ( 413310 60350 ) ( 1231190 60350 )
-    NEW met3 ( 1231420 483140 ) ( 1231650 483140 )
-    NEW met3 ( 1231420 483140 ) ( 1231420 483820 )
-    NEW met3 ( 1231420 483820 ) ( 1232110 483820 )
-    NEW met2 ( 1231650 351900 ) ( 1231650 483140 )
-    NEW met2 ( 1232110 483820 ) ( 1232110 640900 )
-    NEW met1 ( 1231650 689690 ) ( 1231650 690370 )
-    NEW met1 ( 1231650 690370 ) ( 1232110 690370 )
-    NEW met2 ( 1231650 642260 ) ( 1231650 689690 )
-    NEW met2 ( 1232110 690370 ) ( 1232110 738140 )
-    NEW li1 ( 1231650 785570 ) ( 1231650 797470 )
-    NEW met1 ( 1231650 797470 ) ( 1232110 797470 )
-    NEW met2 ( 1231650 738820 ) ( 1231650 785570 )
-    NEW met1 ( 1231190 145010 ) ( 1231190 145350 )
-    NEW met1 ( 1231190 145350 ) ( 1232110 145350 )
-    NEW met2 ( 1231190 109140 ) ( 1231650 109140 )
-    NEW met2 ( 1231190 109140 ) ( 1231190 145010 )
-    NEW met2 ( 1231650 62220 ) ( 1231650 109140 )
-    NEW met2 ( 1231650 301580 ) ( 1232570 301580 )
-    NEW met2 ( 1232570 300220 ) ( 1232570 301580 )
-    NEW met2 ( 1232110 300220 ) ( 1232570 300220 )
-    NEW met2 ( 1231650 301580 ) ( 1231650 351220 )
-    NEW met1 ( 1231190 1365950 ) ( 1231190 1366630 )
-    NEW met1 ( 1231190 1366630 ) ( 1231650 1366630 )
-    NEW met2 ( 1231190 1317500 ) ( 1231190 1365950 )
-    NEW li1 ( 1232110 227970 ) ( 1232110 275910 )
-    NEW met2 ( 1232110 145350 ) ( 1232110 227970 )
-    NEW met2 ( 1232110 275910 ) ( 1232110 300220 )
-    NEW li1 ( 1232110 807330 ) ( 1232110 846430 )
-    NEW met1 ( 1232110 846430 ) ( 1232570 846430 )
-    NEW met2 ( 1232110 797470 ) ( 1232110 807330 )
-    NEW met1 ( 1231650 1110270 ) ( 1231650 1110950 )
-    NEW met2 ( 1231650 1110950 ) ( 1231650 1317500 )
-    NEW met1 ( 1231190 969510 ) ( 1232570 969510 )
-    NEW met2 ( 1232570 846430 ) ( 1232570 969510 )
-    NEW met2 ( 1231190 1042100 ) ( 1231650 1042100 )
-    NEW met2 ( 1231650 1042100 ) ( 1231650 1110270 )
-    NEW met2 ( 1231650 1366630 ) ( 1231650 1666340 )
-    NEW met2 ( 1231190 969510 ) ( 1231190 1042100 )
-    NEW met1 ( 407330 17510 ) M1M2_PR
-    NEW met1 ( 412850 17510 ) M1M2_PR
-    NEW met1 ( 413310 60350 ) M1M2_PR
-    NEW met1 ( 1231190 60350 ) M1M2_PR
-    NEW met2 ( 1231650 483140 ) via2_FR
-    NEW met2 ( 1232110 483820 ) via2_FR
-    NEW met1 ( 1231650 689690 ) M1M2_PR
-    NEW met1 ( 1232110 690370 ) M1M2_PR
-    NEW li1 ( 1231650 785570 ) L1M1_PR_MR
-    NEW met1 ( 1231650 785570 ) M1M2_PR
-    NEW li1 ( 1231650 797470 ) L1M1_PR_MR
-    NEW met1 ( 1232110 797470 ) M1M2_PR
-    NEW met1 ( 1231190 145010 ) M1M2_PR
-    NEW met1 ( 1232110 145350 ) M1M2_PR
-    NEW met1 ( 1231190 1365950 ) M1M2_PR
-    NEW met1 ( 1231650 1366630 ) M1M2_PR
-    NEW li1 ( 1232110 227970 ) L1M1_PR_MR
-    NEW met1 ( 1232110 227970 ) M1M2_PR
-    NEW li1 ( 1232110 275910 ) L1M1_PR_MR
-    NEW met1 ( 1232110 275910 ) M1M2_PR
-    NEW li1 ( 1232110 807330 ) L1M1_PR_MR
-    NEW met1 ( 1232110 807330 ) M1M2_PR
-    NEW li1 ( 1232110 846430 ) L1M1_PR_MR
-    NEW met1 ( 1232570 846430 ) M1M2_PR
-    NEW met1 ( 1231650 1110270 ) M1M2_PR
-    NEW met1 ( 1231650 1110950 ) M1M2_PR
-    NEW met1 ( 1231190 969510 ) M1M2_PR
-    NEW met1 ( 1232570 969510 ) M1M2_PR
-    NEW met1 ( 1231650 785570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1232110 227970 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1232110 275910 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1232110 807330 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1229810 1459110 ) ( 1229810 1677730 )
+    NEW met1 ( 406870 1459110 ) ( 1229810 1459110 )
+    NEW met1 ( 404570 16830 ) M1M2_PR
+    NEW met1 ( 406870 16830 ) M1M2_PR
+    NEW met1 ( 406870 1459110 ) M1M2_PR
+    NEW met1 ( 1229810 1459110 ) M1M2_PR
+    NEW met1 ( 1229810 1677730 ) M1M2_PR
+    NEW met1 ( 1232570 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) 
-  + ROUTED met2 ( 68310 2380 0 ) ( 68310 3060 )
-    NEW met2 ( 68310 3060 ) ( 68770 3060 )
-    NEW met2 ( 68770 3060 ) ( 68770 1562810 )
-    NEW met1 ( 68770 1562810 ) ( 1163110 1562810 )
-    NEW met2 ( 1163110 1700340 ) ( 1163570 1700340 0 )
-    NEW met2 ( 1163110 1562810 ) ( 1163110 1700340 )
-    NEW met1 ( 68770 1562810 ) M1M2_PR
-    NEW met1 ( 1163110 1562810 ) M1M2_PR
+  + ROUTED met2 ( 1163110 1700340 ) ( 1163570 1700340 0 )
+    NEW met2 ( 67850 2380 0 ) ( 67850 2890 )
+    NEW met1 ( 67850 2890 ) ( 68770 2890 )
+    NEW met2 ( 68770 2890 ) ( 68770 1431570 )
+    NEW met2 ( 1163110 1431570 ) ( 1163110 1700340 )
+    NEW met1 ( 68770 1431570 ) ( 1163110 1431570 )
+    NEW met1 ( 67850 2890 ) M1M2_PR
+    NEW met1 ( 68770 2890 ) M1M2_PR
+    NEW met1 ( 68770 1431570 ) M1M2_PR
+    NEW met1 ( 1163110 1431570 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) 
-  + ROUTED met2 ( 424810 2380 0 ) ( 424810 15130 )
-    NEW met1 ( 424810 15130 ) ( 427570 15130 )
-    NEW met2 ( 427570 15130 ) ( 427570 60690 )
-    NEW met2 ( 1237630 1677900 ) ( 1238550 1677900 )
-    NEW met2 ( 1237630 1677900 ) ( 1237630 1700340 )
-    NEW met2 ( 1236710 1700340 0 ) ( 1237630 1700340 )
-    NEW met2 ( 1238550 60690 ) ( 1238550 1677900 )
-    NEW met1 ( 427570 60690 ) ( 1238550 60690 )
-    NEW met1 ( 424810 15130 ) M1M2_PR
-    NEW met1 ( 427570 15130 ) M1M2_PR
-    NEW met1 ( 427570 60690 ) M1M2_PR
-    NEW met1 ( 1238550 60690 ) M1M2_PR
+  + ROUTED met2 ( 422510 2380 0 ) ( 422510 16830 )
+    NEW met1 ( 422510 16830 ) ( 427570 16830 )
+    NEW met2 ( 427570 16830 ) ( 427570 1417970 )
+    NEW met2 ( 1236710 1417970 ) ( 1236710 1700340 0 )
+    NEW met1 ( 427570 1417970 ) ( 1236710 1417970 )
+    NEW met1 ( 422510 16830 ) M1M2_PR
+    NEW met1 ( 427570 16830 ) M1M2_PR
+    NEW met1 ( 427570 1417970 ) M1M2_PR
+    NEW met1 ( 1236710 1417970 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) 
-  + ROUTED met2 ( 442750 2380 0 ) ( 442750 15130 )
-    NEW met1 ( 442750 15130 ) ( 448270 15130 )
-    NEW met2 ( 448270 15130 ) ( 448270 1383290 )
+  + ROUTED met2 ( 439990 2380 0 ) ( 439990 16660 )
+    NEW met2 ( 439990 16660 ) ( 441370 16660 )
+    NEW met2 ( 441370 16660 ) ( 441370 1397230 )
     NEW met1 ( 1236250 1677730 ) ( 1239930 1677730 )
     NEW met2 ( 1239930 1677730 ) ( 1239930 1700340 )
     NEW met2 ( 1239930 1700340 ) ( 1240390 1700340 0 )
-    NEW met2 ( 1236250 1383290 ) ( 1236250 1677730 )
-    NEW met1 ( 448270 1383290 ) ( 1236250 1383290 )
-    NEW met1 ( 442750 15130 ) M1M2_PR
-    NEW met1 ( 448270 15130 ) M1M2_PR
-    NEW met1 ( 448270 1383290 ) M1M2_PR
-    NEW met1 ( 1236250 1383290 ) M1M2_PR
+    NEW met2 ( 1236250 1397230 ) ( 1236250 1677730 )
+    NEW met1 ( 441370 1397230 ) ( 1236250 1397230 )
+    NEW met1 ( 441370 1397230 ) M1M2_PR
+    NEW met1 ( 1236250 1397230 ) M1M2_PR
     NEW met1 ( 1236250 1677730 ) M1M2_PR
     NEW met1 ( 1239930 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) 
-  + ROUTED met2 ( 460690 2380 0 ) ( 460690 61030 )
-    NEW met2 ( 1244070 61030 ) ( 1244070 1700340 0 )
-    NEW met1 ( 460690 61030 ) ( 1244070 61030 )
-    NEW met1 ( 460690 61030 ) M1M2_PR
-    NEW met1 ( 1244070 61030 ) M1M2_PR
+  + ROUTED met2 ( 457930 2380 0 ) ( 457930 16830 )
+    NEW met1 ( 457930 16830 ) ( 462070 16830 )
+    NEW met2 ( 462070 16830 ) ( 462070 1632510 )
+    NEW met1 ( 462070 1632510 ) ( 1242230 1632510 )
+    NEW met1 ( 1242230 1695410 ) ( 1244070 1695410 )
+    NEW met2 ( 1244070 1695410 ) ( 1244070 1700340 0 )
+    NEW met2 ( 1242230 1632510 ) ( 1242230 1695410 )
+    NEW met1 ( 457930 16830 ) M1M2_PR
+    NEW met1 ( 462070 16830 ) M1M2_PR
+    NEW met1 ( 462070 1632510 ) M1M2_PR
+    NEW met1 ( 1242230 1632510 ) M1M2_PR
+    NEW met1 ( 1242230 1695410 ) M1M2_PR
+    NEW met1 ( 1244070 1695410 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) 
-  + ROUTED met1 ( 478630 17510 ) ( 482770 17510 )
-    NEW met2 ( 478630 2380 0 ) ( 478630 17510 )
-    NEW met2 ( 482770 17510 ) ( 482770 444890 )
-    NEW met1 ( 1242690 1677730 ) ( 1247290 1677730 )
-    NEW met2 ( 1247290 1677730 ) ( 1247290 1700340 )
-    NEW met2 ( 1247290 1700340 ) ( 1247750 1700340 0 )
-    NEW met2 ( 1242690 444890 ) ( 1242690 1677730 )
-    NEW met1 ( 482770 444890 ) ( 1242690 444890 )
-    NEW met1 ( 482770 444890 ) M1M2_PR
-    NEW met1 ( 478630 17510 ) M1M2_PR
-    NEW met1 ( 482770 17510 ) M1M2_PR
-    NEW met1 ( 1242690 444890 ) M1M2_PR
-    NEW met1 ( 1242690 1677730 ) M1M2_PR
-    NEW met1 ( 1247290 1677730 ) M1M2_PR
+  + ROUTED met2 ( 475870 2380 0 ) ( 475870 1383290 )
+    NEW met2 ( 1245910 1677220 ) ( 1247750 1677220 )
+    NEW met2 ( 1247750 1677220 ) ( 1247750 1700340 0 )
+    NEW met1 ( 475870 1383290 ) ( 1244990 1383290 )
+    NEW met2 ( 1245450 1521500 ) ( 1245910 1521500 )
+    NEW met2 ( 1245910 1521500 ) ( 1245910 1677220 )
+    NEW met1 ( 1244990 1393830 ) ( 1245450 1393830 )
+    NEW met2 ( 1244990 1383290 ) ( 1244990 1393830 )
+    NEW met2 ( 1245450 1393830 ) ( 1245450 1521500 )
+    NEW met1 ( 475870 1383290 ) M1M2_PR
+    NEW met1 ( 1244990 1383290 ) M1M2_PR
+    NEW met1 ( 1244990 1393830 ) M1M2_PR
+    NEW met1 ( 1245450 1393830 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) 
-  + ROUTED met1 ( 495190 15130 ) ( 496570 15130 )
-    NEW met2 ( 495190 15130 ) ( 495190 61370 )
-    NEW met2 ( 496570 2380 0 ) ( 496570 15130 )
-    NEW met1 ( 1250050 1676370 ) ( 1251430 1676370 )
-    NEW met2 ( 1251430 1676370 ) ( 1251430 1700340 0 )
-    NEW met2 ( 1250050 61370 ) ( 1250050 1676370 )
-    NEW met1 ( 495190 61370 ) ( 1250050 61370 )
-    NEW met1 ( 496570 15130 ) M1M2_PR
-    NEW met1 ( 495190 15130 ) M1M2_PR
-    NEW met1 ( 495190 61370 ) M1M2_PR
-    NEW met1 ( 1250050 61370 ) M1M2_PR
-    NEW met1 ( 1250050 1676370 ) M1M2_PR
-    NEW met1 ( 1251430 1676370 ) M1M2_PR
+  + ROUTED met2 ( 493350 2380 0 ) ( 493350 15810 )
+    NEW met1 ( 493350 15810 ) ( 496570 15810 )
+    NEW met2 ( 496570 15810 ) ( 496570 1548870 )
+    NEW met1 ( 1245450 1684190 ) ( 1251430 1684190 )
+    NEW met2 ( 1251430 1684190 ) ( 1251430 1700340 0 )
+    NEW met2 ( 1245450 1548870 ) ( 1245450 1684190 )
+    NEW met1 ( 496570 1548870 ) ( 1245450 1548870 )
+    NEW met1 ( 493350 15810 ) M1M2_PR
+    NEW met1 ( 496570 15810 ) M1M2_PR
+    NEW met1 ( 496570 1548870 ) M1M2_PR
+    NEW met1 ( 1245450 1548870 ) M1M2_PR
+    NEW met1 ( 1245450 1684190 ) M1M2_PR
+    NEW met1 ( 1251430 1684190 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) 
-  + ROUTED met2 ( 514050 2380 0 ) ( 514050 14450 )
-    NEW met1 ( 514050 14450 ) ( 517270 14450 )
-    NEW met2 ( 517270 14450 ) ( 517270 1535270 )
-    NEW met2 ( 1252350 1675860 ) ( 1254650 1675860 )
-    NEW met2 ( 1254650 1675860 ) ( 1254650 1700340 )
+  + ROUTED met2 ( 511290 2380 0 ) ( 511290 14790 )
+    NEW met1 ( 511290 14790 ) ( 517270 14790 )
+    NEW met2 ( 517270 14790 ) ( 517270 1569950 )
+    NEW met1 ( 1250970 1677730 ) ( 1254650 1677730 )
+    NEW met2 ( 1254650 1677730 ) ( 1254650 1700340 )
     NEW met2 ( 1254650 1700340 ) ( 1255110 1700340 0 )
-    NEW met1 ( 517270 1535270 ) ( 1252350 1535270 )
-    NEW met2 ( 1252350 1535270 ) ( 1252350 1675860 )
-    NEW met1 ( 514050 14450 ) M1M2_PR
-    NEW met1 ( 517270 14450 ) M1M2_PR
-    NEW met1 ( 517270 1535270 ) M1M2_PR
-    NEW met1 ( 1252350 1535270 ) M1M2_PR
+    NEW met2 ( 1250970 1569950 ) ( 1250970 1677730 )
+    NEW met1 ( 517270 1569950 ) ( 1250970 1569950 )
+    NEW met1 ( 511290 14790 ) M1M2_PR
+    NEW met1 ( 517270 14790 ) M1M2_PR
+    NEW met1 ( 517270 1569950 ) M1M2_PR
+    NEW met1 ( 1250970 1569950 ) M1M2_PR
+    NEW met1 ( 1250970 1677730 ) M1M2_PR
+    NEW met1 ( 1254650 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) 
-  + ROUTED met2 ( 531990 2380 0 ) ( 531990 14790 )
-    NEW met1 ( 531990 14790 ) ( 537510 14790 )
-    NEW met2 ( 537510 14790 ) ( 537510 61710 )
-    NEW met1 ( 1257410 1676370 ) ( 1259710 1676370 )
-    NEW met2 ( 1259710 1676370 ) ( 1259710 1700340 )
-    NEW met2 ( 1258790 1700340 0 ) ( 1259710 1700340 )
-    NEW met2 ( 1257410 61710 ) ( 1257410 1676370 )
-    NEW met1 ( 537510 61710 ) ( 1257410 61710 )
-    NEW met1 ( 531990 14790 ) M1M2_PR
-    NEW met1 ( 537510 14790 ) M1M2_PR
-    NEW met1 ( 537510 61710 ) M1M2_PR
-    NEW met1 ( 1257410 61710 ) M1M2_PR
-    NEW met1 ( 1257410 1676370 ) M1M2_PR
-    NEW met1 ( 1259710 1676370 ) M1M2_PR
+  + ROUTED met2 ( 528770 2380 0 ) ( 528770 14790 )
+    NEW met1 ( 528770 14790 ) ( 531070 14790 )
+    NEW met2 ( 531070 14790 ) ( 531070 1673310 )
+    NEW met2 ( 1258790 1673310 ) ( 1258790 1700340 0 )
+    NEW met1 ( 531070 1673310 ) ( 1258790 1673310 )
+    NEW met1 ( 528770 14790 ) M1M2_PR
+    NEW met1 ( 531070 14790 ) M1M2_PR
+    NEW met1 ( 531070 1673310 ) M1M2_PR
+    NEW met1 ( 1258790 1673310 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) 
-  + ROUTED met2 ( 549930 2380 0 ) ( 549930 17340 )
-    NEW met2 ( 549930 17340 ) ( 551770 17340 )
-    NEW met2 ( 551770 17340 ) ( 551770 1604290 )
+  + ROUTED met2 ( 546710 2380 0 ) ( 546710 14790 )
+    NEW met1 ( 546710 14790 ) ( 551770 14790 )
+    NEW met2 ( 551770 14790 ) ( 551770 1556350 )
+    NEW met1 ( 1257410 1677730 ) ( 1262010 1677730 )
+    NEW met2 ( 1262010 1677730 ) ( 1262010 1700340 )
     NEW met2 ( 1262010 1700340 ) ( 1262470 1700340 0 )
-    NEW met1 ( 551770 1604290 ) ( 1260170 1604290 )
-    NEW met1 ( 1260170 1642370 ) ( 1262010 1642370 )
-    NEW met2 ( 1260170 1604290 ) ( 1260170 1642370 )
-    NEW met2 ( 1262010 1642370 ) ( 1262010 1700340 )
-    NEW met1 ( 551770 1604290 ) M1M2_PR
-    NEW met1 ( 1260170 1604290 ) M1M2_PR
-    NEW met1 ( 1260170 1642370 ) M1M2_PR
-    NEW met1 ( 1262010 1642370 ) M1M2_PR
+    NEW met2 ( 1257410 1556350 ) ( 1257410 1677730 )
+    NEW met1 ( 551770 1556350 ) ( 1257410 1556350 )
+    NEW met1 ( 546710 14790 ) M1M2_PR
+    NEW met1 ( 551770 14790 ) M1M2_PR
+    NEW met1 ( 551770 1556350 ) M1M2_PR
+    NEW met1 ( 1257410 1556350 ) M1M2_PR
+    NEW met1 ( 1257410 1677730 ) M1M2_PR
+    NEW met1 ( 1262010 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) 
-  + ROUTED met2 ( 567870 2380 0 ) ( 567870 17510 )
-    NEW met1 ( 567870 17510 ) ( 572470 17510 )
-    NEW met2 ( 572470 17510 ) ( 572470 62050 )
+  + ROUTED met2 ( 564190 2380 0 ) ( 564190 17340 )
+    NEW met2 ( 564190 17340 ) ( 565570 17340 )
+    NEW met2 ( 565570 17340 ) ( 565570 1355750 )
     NEW met1 ( 1264310 1677730 ) ( 1265690 1677730 )
     NEW met2 ( 1265690 1677730 ) ( 1265690 1700340 )
     NEW met2 ( 1265690 1700340 ) ( 1266150 1700340 0 )
-    NEW met2 ( 1264310 62050 ) ( 1264310 1677730 )
-    NEW met1 ( 572470 62050 ) ( 1264310 62050 )
-    NEW met1 ( 567870 17510 ) M1M2_PR
-    NEW met1 ( 572470 17510 ) M1M2_PR
-    NEW met1 ( 572470 62050 ) M1M2_PR
-    NEW met1 ( 1264310 62050 ) M1M2_PR
+    NEW met2 ( 1264310 1355750 ) ( 1264310 1677730 )
+    NEW met1 ( 565570 1355750 ) ( 1264310 1355750 )
+    NEW met1 ( 565570 1355750 ) M1M2_PR
+    NEW met1 ( 1264310 1355750 ) M1M2_PR
     NEW met1 ( 1264310 1677730 ) M1M2_PR
     NEW met1 ( 1265690 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) 
-  + ROUTED met2 ( 585810 2380 0 ) ( 585810 17340 )
-    NEW met2 ( 585810 17340 ) ( 586270 17340 )
-    NEW met2 ( 586270 17340 ) ( 586270 1659710 )
+  + ROUTED met2 ( 582130 2380 0 ) ( 582130 17510 )
+    NEW met1 ( 582130 17510 ) ( 586270 17510 )
+    NEW met2 ( 586270 17510 ) ( 586270 1659710 )
     NEW met2 ( 1269830 1659710 ) ( 1269830 1700340 0 )
     NEW met1 ( 586270 1659710 ) ( 1269830 1659710 )
+    NEW met1 ( 582130 17510 ) M1M2_PR
+    NEW met1 ( 586270 17510 ) M1M2_PR
     NEW met1 ( 586270 1659710 ) M1M2_PR
     NEW met1 ( 1269830 1659710 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) 
-  + ROUTED met2 ( 91770 2380 0 ) ( 91770 17510 )
-    NEW met1 ( 91770 17510 ) ( 96370 17510 )
-    NEW met2 ( 96370 17510 ) ( 96370 1369690 )
-    NEW met1 ( 96370 1369690 ) ( 1168170 1369690 )
-    NEW met2 ( 1168170 1369690 ) ( 1168170 1700340 0 )
-    NEW met1 ( 91770 17510 ) M1M2_PR
+  + ROUTED met2 ( 91310 2380 0 ) ( 91310 17510 )
+    NEW met1 ( 91310 17510 ) ( 96370 17510 )
+    NEW met2 ( 96370 17510 ) ( 96370 1486990 )
+    NEW met2 ( 1168170 1486990 ) ( 1168170 1700340 0 )
+    NEW met1 ( 96370 1486990 ) ( 1168170 1486990 )
+    NEW met1 ( 91310 17510 ) M1M2_PR
     NEW met1 ( 96370 17510 ) M1M2_PR
-    NEW met1 ( 96370 1369690 ) M1M2_PR
-    NEW met1 ( 1168170 1369690 ) M1M2_PR
+    NEW met1 ( 96370 1486990 ) M1M2_PR
+    NEW met1 ( 1168170 1486990 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) 
-  + ROUTED met2 ( 603290 2380 0 ) ( 603290 17510 )
-    NEW met1 ( 603290 17510 ) ( 606970 17510 )
-    NEW met2 ( 606970 17510 ) ( 606970 1583550 )
-    NEW met1 ( 606970 1583550 ) ( 1271670 1583550 )
-    NEW met1 ( 1271670 1692690 ) ( 1273510 1692690 )
-    NEW met2 ( 1273510 1692690 ) ( 1273510 1700340 0 )
-    NEW met2 ( 1271670 1583550 ) ( 1271670 1692690 )
-    NEW met1 ( 603290 17510 ) M1M2_PR
-    NEW met1 ( 606970 17510 ) M1M2_PR
-    NEW met1 ( 606970 1583550 ) M1M2_PR
-    NEW met1 ( 1271670 1583550 ) M1M2_PR
-    NEW met1 ( 1271670 1692690 ) M1M2_PR
-    NEW met1 ( 1273510 1692690 ) M1M2_PR
+  + ROUTED met1 ( 1271670 1677730 ) ( 1273050 1677730 )
+    NEW met2 ( 1273050 1677730 ) ( 1273050 1700340 )
+    NEW met2 ( 1273050 1700340 ) ( 1273510 1700340 0 )
+    NEW met2 ( 1271670 1535270 ) ( 1271670 1677730 )
+    NEW met3 ( 599150 96900 ) ( 600070 96900 )
+    NEW met2 ( 599150 96900 ) ( 599150 144670 )
+    NEW met1 ( 599150 144670 ) ( 600070 144670 )
+    NEW met1 ( 600070 1159570 ) ( 600530 1159570 )
+    NEW met2 ( 600530 1159570 ) ( 600530 1207340 )
+    NEW met2 ( 600070 1207340 ) ( 600530 1207340 )
+    NEW met2 ( 599150 61540 ) ( 599610 61540 )
+    NEW met2 ( 599150 61540 ) ( 599150 96390 )
+    NEW met1 ( 599150 96390 ) ( 600070 96390 )
+    NEW met2 ( 599610 2380 0 ) ( 599610 61540 )
+    NEW met2 ( 600070 96390 ) ( 600070 96900 )
+    NEW li1 ( 600070 241570 ) ( 600070 289510 )
+    NEW met2 ( 600070 144670 ) ( 600070 241570 )
+    NEW met3 ( 600070 434860 ) ( 600300 434860 )
+    NEW met3 ( 600300 434860 ) ( 600300 435540 )
+    NEW met3 ( 600300 435540 ) ( 600990 435540 )
+    NEW met2 ( 600990 435540 ) ( 600990 482970 )
+    NEW met1 ( 600070 482970 ) ( 600990 482970 )
+    NEW met2 ( 600070 289510 ) ( 600070 434860 )
+    NEW met2 ( 600070 627980 ) ( 600530 627980 )
+    NEW met2 ( 600530 627980 ) ( 600530 676090 )
+    NEW met1 ( 600070 676090 ) ( 600530 676090 )
+    NEW met2 ( 600070 482970 ) ( 600070 627980 )
+    NEW met3 ( 600070 821100 ) ( 600300 821100 )
+    NEW met4 ( 600300 821100 ) ( 600300 869380 )
+    NEW met3 ( 600070 869380 ) ( 600300 869380 )
+    NEW met2 ( 600070 676090 ) ( 600070 821100 )
+    NEW met1 ( 600070 917830 ) ( 600990 917830 )
+    NEW met2 ( 600990 917830 ) ( 600990 965940 )
+    NEW met3 ( 600070 965940 ) ( 600990 965940 )
+    NEW met2 ( 600070 869380 ) ( 600070 917830 )
+    NEW met1 ( 600070 1110950 ) ( 600990 1110950 )
+    NEW met2 ( 600990 1110950 ) ( 600990 1159060 )
+    NEW met3 ( 600070 1159060 ) ( 600990 1159060 )
+    NEW met2 ( 600070 965940 ) ( 600070 1110950 )
+    NEW met2 ( 600070 1159060 ) ( 600070 1159570 )
+    NEW li1 ( 600070 1304410 ) ( 600070 1352350 )
+    NEW met2 ( 600070 1207340 ) ( 600070 1304410 )
+    NEW li1 ( 600070 1401310 ) ( 600070 1448570 )
+    NEW met2 ( 600070 1352350 ) ( 600070 1401310 )
+    NEW li1 ( 600070 1497530 ) ( 600070 1535270 )
+    NEW met2 ( 600070 1448570 ) ( 600070 1497530 )
+    NEW met1 ( 600070 1535270 ) ( 1271670 1535270 )
+    NEW met1 ( 1271670 1535270 ) M1M2_PR
+    NEW met1 ( 1271670 1677730 ) M1M2_PR
+    NEW met1 ( 1273050 1677730 ) M1M2_PR
+    NEW met2 ( 600070 96900 ) via2_FR
+    NEW met2 ( 599150 96900 ) via2_FR
+    NEW met1 ( 599150 144670 ) M1M2_PR
+    NEW met1 ( 600070 144670 ) M1M2_PR
+    NEW met1 ( 600070 1159570 ) M1M2_PR
+    NEW met1 ( 600530 1159570 ) M1M2_PR
+    NEW met1 ( 599150 96390 ) M1M2_PR
+    NEW met1 ( 600070 96390 ) M1M2_PR
+    NEW li1 ( 600070 241570 ) L1M1_PR_MR
+    NEW met1 ( 600070 241570 ) M1M2_PR
+    NEW li1 ( 600070 289510 ) L1M1_PR_MR
+    NEW met1 ( 600070 289510 ) M1M2_PR
+    NEW met2 ( 600070 434860 ) via2_FR
+    NEW met2 ( 600990 435540 ) via2_FR
+    NEW met1 ( 600990 482970 ) M1M2_PR
+    NEW met1 ( 600070 482970 ) M1M2_PR
+    NEW met1 ( 600530 676090 ) M1M2_PR
+    NEW met1 ( 600070 676090 ) M1M2_PR
+    NEW met2 ( 600070 821100 ) via2_FR
+    NEW met3 ( 600300 821100 ) M3M4_PR_M
+    NEW met3 ( 600300 869380 ) M3M4_PR_M
+    NEW met2 ( 600070 869380 ) via2_FR
+    NEW met1 ( 600070 917830 ) M1M2_PR
+    NEW met1 ( 600990 917830 ) M1M2_PR
+    NEW met2 ( 600990 965940 ) via2_FR
+    NEW met2 ( 600070 965940 ) via2_FR
+    NEW met1 ( 600070 1110950 ) M1M2_PR
+    NEW met1 ( 600990 1110950 ) M1M2_PR
+    NEW met2 ( 600990 1159060 ) via2_FR
+    NEW met2 ( 600070 1159060 ) via2_FR
+    NEW li1 ( 600070 1304410 ) L1M1_PR_MR
+    NEW met1 ( 600070 1304410 ) M1M2_PR
+    NEW li1 ( 600070 1352350 ) L1M1_PR_MR
+    NEW met1 ( 600070 1352350 ) M1M2_PR
+    NEW li1 ( 600070 1401310 ) L1M1_PR_MR
+    NEW met1 ( 600070 1401310 ) M1M2_PR
+    NEW li1 ( 600070 1448570 ) L1M1_PR_MR
+    NEW met1 ( 600070 1448570 ) M1M2_PR
+    NEW li1 ( 600070 1497530 ) L1M1_PR_MR
+    NEW met1 ( 600070 1497530 ) M1M2_PR
+    NEW li1 ( 600070 1535270 ) L1M1_PR_MR
+    NEW met1 ( 600070 241570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 600070 289510 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 600300 821100 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 600300 869380 ) RECT ( 0 -150 390 150 )
+    NEW met1 ( 600070 1304410 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 600070 1352350 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 600070 1401310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 600070 1448570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 600070 1497530 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) 
-  + ROUTED met2 ( 621230 2380 0 ) ( 621230 17510 )
-    NEW met1 ( 621230 17510 ) ( 626750 17510 )
-    NEW met2 ( 626750 17510 ) ( 626750 18020 )
-    NEW met2 ( 626750 18020 ) ( 627210 18020 )
-    NEW met2 ( 627210 18020 ) ( 627210 1355750 )
-    NEW met1 ( 627210 1355750 ) ( 1277190 1355750 )
-    NEW met2 ( 1277190 1355750 ) ( 1277190 1700340 0 )
-    NEW met1 ( 621230 17510 ) M1M2_PR
-    NEW met1 ( 626750 17510 ) M1M2_PR
-    NEW met1 ( 627210 1355750 ) M1M2_PR
-    NEW met1 ( 1277190 1355750 ) M1M2_PR
+  + ROUTED met2 ( 1277190 1341810 ) ( 1277190 1700340 0 )
+    NEW li1 ( 617550 55250 ) ( 617550 68850 )
+    NEW met1 ( 617550 68850 ) ( 620770 68850 )
+    NEW met2 ( 617550 2380 0 ) ( 617550 55250 )
+    NEW met2 ( 620310 145180 ) ( 620770 145180 )
+    NEW met2 ( 621690 724540 ) ( 621690 726580 )
+    NEW met3 ( 619850 821780 ) ( 620770 821780 )
+    NEW met2 ( 619850 821780 ) ( 619850 869380 )
+    NEW met3 ( 619850 869380 ) ( 620770 869380 )
+    NEW met2 ( 620310 1304580 ) ( 620770 1304580 )
+    NEW met2 ( 620310 1304580 ) ( 620310 1341810 )
+    NEW met1 ( 620310 1341810 ) ( 1277190 1341810 )
+    NEW li1 ( 620770 90270 ) ( 620770 137870 )
+    NEW met2 ( 620770 68850 ) ( 620770 90270 )
+    NEW met2 ( 620770 137870 ) ( 620770 145180 )
+    NEW met1 ( 619390 186490 ) ( 620310 186490 )
+    NEW met2 ( 619390 186490 ) ( 619390 233580 )
+    NEW met3 ( 619390 233580 ) ( 619620 233580 )
+    NEW met2 ( 620310 145180 ) ( 620310 186490 )
+    NEW met1 ( 620770 289510 ) ( 621230 289510 )
+    NEW met1 ( 619390 379610 ) ( 620770 379610 )
+    NEW met1 ( 620770 482970 ) ( 621230 482970 )
+    NEW met1 ( 619390 572730 ) ( 620770 572730 )
+    NEW met1 ( 620310 717570 ) ( 620770 717570 )
+    NEW li1 ( 620770 766190 ) ( 620770 813790 )
+    NEW met2 ( 620770 813790 ) ( 620770 821780 )
+    NEW met1 ( 619850 1248990 ) ( 620770 1248990 )
+    NEW met2 ( 619850 1248990 ) ( 619850 1297100 )
+    NEW met3 ( 619850 1297100 ) ( 620770 1297100 )
+    NEW met2 ( 620770 1297100 ) ( 620770 1304580 )
+    NEW met3 ( 619620 234940 ) ( 620770 234940 )
+    NEW met2 ( 620770 234940 ) ( 620770 241060 )
+    NEW met3 ( 619620 233580 ) ( 619620 234940 )
+    NEW met1 ( 618930 621010 ) ( 619390 621010 )
+    NEW met2 ( 619390 572730 ) ( 619390 621010 )
+    NEW met2 ( 620770 717570 ) ( 620770 724540 )
+    NEW met2 ( 620770 726580 ) ( 620770 766190 )
+    NEW met3 ( 620770 724540 ) ( 621690 724540 )
+    NEW met2 ( 620770 726580 ) ( 621690 726580 )
+    NEW met2 ( 621230 241060 ) ( 621230 241230 )
+    NEW met1 ( 621230 241230 ) ( 621690 241230 )
+    NEW li1 ( 621690 241230 ) ( 621690 282370 )
+    NEW met1 ( 621230 282370 ) ( 621690 282370 )
+    NEW met2 ( 620770 241060 ) ( 621230 241060 )
+    NEW met2 ( 621230 282370 ) ( 621230 289510 )
+    NEW li1 ( 618930 662490 ) ( 618930 710430 )
+    NEW met1 ( 618930 710430 ) ( 620310 710430 )
+    NEW met2 ( 618930 621010 ) ( 618930 662490 )
+    NEW met2 ( 620310 710430 ) ( 620310 717570 )
+    NEW met3 ( 620540 976140 ) ( 621230 976140 )
+    NEW met1 ( 620770 1193570 ) ( 621230 1193570 )
+    NEW li1 ( 620770 289510 ) ( 620770 348670 )
+    NEW met2 ( 620770 348670 ) ( 620770 379610 )
+    NEW li1 ( 619390 421090 ) ( 619390 441150 )
+    NEW met1 ( 619390 441150 ) ( 621230 441150 )
+    NEW met2 ( 619390 379610 ) ( 619390 421090 )
+    NEW met2 ( 621230 441150 ) ( 621230 482970 )
+    NEW li1 ( 620770 482970 ) ( 620770 541790 )
+    NEW met2 ( 620770 541790 ) ( 620770 572730 )
+    NEW li1 ( 620770 917490 ) ( 620770 951490 )
+    NEW met1 ( 620770 951490 ) ( 621230 951490 )
+    NEW met2 ( 620770 869380 ) ( 620770 917490 )
+    NEW met2 ( 621230 951490 ) ( 621230 976140 )
+    NEW met3 ( 620540 1024420 ) ( 620770 1024420 )
+    NEW met4 ( 620540 976140 ) ( 620540 1024420 )
+    NEW met3 ( 619850 1097180 ) ( 620770 1097180 )
+    NEW met2 ( 620770 1024420 ) ( 620770 1097180 )
+    NEW met2 ( 620770 1193570 ) ( 620770 1248990 )
+    NEW li1 ( 619850 1138830 ) ( 619850 1186770 )
+    NEW met1 ( 619850 1186770 ) ( 621230 1186770 )
+    NEW met2 ( 619850 1097180 ) ( 619850 1138830 )
+    NEW met2 ( 621230 1186770 ) ( 621230 1193570 )
+    NEW met1 ( 1277190 1341810 ) M1M2_PR
+    NEW li1 ( 617550 55250 ) L1M1_PR_MR
+    NEW met1 ( 617550 55250 ) M1M2_PR
+    NEW li1 ( 617550 68850 ) L1M1_PR_MR
+    NEW met1 ( 620770 68850 ) M1M2_PR
+    NEW met1 ( 621230 289510 ) M1M2_PR
+    NEW met1 ( 621230 482970 ) M1M2_PR
+    NEW met2 ( 621690 724540 ) via2_FR
+    NEW met2 ( 620770 821780 ) via2_FR
+    NEW met2 ( 619850 821780 ) via2_FR
+    NEW met2 ( 619850 869380 ) via2_FR
+    NEW met2 ( 620770 869380 ) via2_FR
+    NEW met1 ( 620310 1341810 ) M1M2_PR
+    NEW li1 ( 620770 90270 ) L1M1_PR_MR
+    NEW met1 ( 620770 90270 ) M1M2_PR
+    NEW li1 ( 620770 137870 ) L1M1_PR_MR
+    NEW met1 ( 620770 137870 ) M1M2_PR
+    NEW met1 ( 620310 186490 ) M1M2_PR
+    NEW met1 ( 619390 186490 ) M1M2_PR
+    NEW met2 ( 619390 233580 ) via2_FR
+    NEW li1 ( 620770 289510 ) L1M1_PR_MR
+    NEW met1 ( 620770 379610 ) M1M2_PR
+    NEW met1 ( 619390 379610 ) M1M2_PR
+    NEW li1 ( 620770 482970 ) L1M1_PR_MR
+    NEW met1 ( 620770 572730 ) M1M2_PR
+    NEW met1 ( 619390 572730 ) M1M2_PR
+    NEW met1 ( 620310 717570 ) M1M2_PR
+    NEW met1 ( 620770 717570 ) M1M2_PR
+    NEW li1 ( 620770 766190 ) L1M1_PR_MR
+    NEW met1 ( 620770 766190 ) M1M2_PR
+    NEW li1 ( 620770 813790 ) L1M1_PR_MR
+    NEW met1 ( 620770 813790 ) M1M2_PR
+    NEW met1 ( 620770 1248990 ) M1M2_PR
+    NEW met1 ( 619850 1248990 ) M1M2_PR
+    NEW met2 ( 619850 1297100 ) via2_FR
+    NEW met2 ( 620770 1297100 ) via2_FR
+    NEW met2 ( 620770 234940 ) via2_FR
+    NEW met1 ( 619390 621010 ) M1M2_PR
+    NEW met1 ( 618930 621010 ) M1M2_PR
+    NEW met2 ( 620770 724540 ) via2_FR
+    NEW met1 ( 621230 241230 ) M1M2_PR
+    NEW li1 ( 621690 241230 ) L1M1_PR_MR
+    NEW li1 ( 621690 282370 ) L1M1_PR_MR
+    NEW met1 ( 621230 282370 ) M1M2_PR
+    NEW li1 ( 618930 662490 ) L1M1_PR_MR
+    NEW met1 ( 618930 662490 ) M1M2_PR
+    NEW li1 ( 618930 710430 ) L1M1_PR_MR
+    NEW met1 ( 620310 710430 ) M1M2_PR
+    NEW met3 ( 620540 976140 ) M3M4_PR_M
+    NEW met2 ( 621230 976140 ) via2_FR
+    NEW met1 ( 620770 1193570 ) M1M2_PR
+    NEW met1 ( 621230 1193570 ) M1M2_PR
+    NEW li1 ( 620770 348670 ) L1M1_PR_MR
+    NEW met1 ( 620770 348670 ) M1M2_PR
+    NEW li1 ( 619390 421090 ) L1M1_PR_MR
+    NEW met1 ( 619390 421090 ) M1M2_PR
+    NEW li1 ( 619390 441150 ) L1M1_PR_MR
+    NEW met1 ( 621230 441150 ) M1M2_PR
+    NEW li1 ( 620770 541790 ) L1M1_PR_MR
+    NEW met1 ( 620770 541790 ) M1M2_PR
+    NEW li1 ( 620770 917490 ) L1M1_PR_MR
+    NEW met1 ( 620770 917490 ) M1M2_PR
+    NEW li1 ( 620770 951490 ) L1M1_PR_MR
+    NEW met1 ( 621230 951490 ) M1M2_PR
+    NEW met3 ( 620540 1024420 ) M3M4_PR_M
+    NEW met2 ( 620770 1024420 ) via2_FR
+    NEW met2 ( 620770 1097180 ) via2_FR
+    NEW met2 ( 619850 1097180 ) via2_FR
+    NEW li1 ( 619850 1138830 ) L1M1_PR_MR
+    NEW met1 ( 619850 1138830 ) M1M2_PR
+    NEW li1 ( 619850 1186770 ) L1M1_PR_MR
+    NEW met1 ( 621230 1186770 ) M1M2_PR
+    NEW met1 ( 617550 55250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 90270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 137870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 766190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 813790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 618930 662490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 348670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 619390 421090 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 541790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 620770 917490 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 620540 1024420 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 619850 1138830 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) 
-  + ROUTED met2 ( 115690 2380 0 ) ( 115690 2890 )
-    NEW met1 ( 115690 2890 ) ( 117070 2890 )
-    NEW met2 ( 117070 2890 ) ( 117070 1652570 )
-    NEW met1 ( 117070 1652570 ) ( 1173230 1652570 )
-    NEW met2 ( 1173230 1652570 ) ( 1173230 1700340 0 )
-    NEW met1 ( 115690 2890 ) M1M2_PR
-    NEW met1 ( 117070 2890 ) M1M2_PR
-    NEW met1 ( 117070 1652570 ) M1M2_PR
-    NEW met1 ( 1173230 1652570 ) M1M2_PR
+  + ROUTED met2 ( 115230 2380 0 ) ( 115230 17340 )
+    NEW met2 ( 115230 17340 ) ( 117070 17340 )
+    NEW met2 ( 117070 17340 ) ( 117070 1680110 )
+    NEW met2 ( 1173230 1680110 ) ( 1173230 1700340 0 )
+    NEW met1 ( 117070 1680110 ) ( 1173230 1680110 )
+    NEW met1 ( 117070 1680110 ) M1M2_PR
+    NEW met1 ( 1173230 1680110 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) 
-  + ROUTED met2 ( 139610 2380 0 ) ( 139610 15810 )
-    NEW met1 ( 139610 15810 ) ( 144670 15810 )
-    NEW met2 ( 144670 15810 ) ( 144670 1486990 )
-    NEW met2 ( 1176450 1677900 ) ( 1177830 1677900 )
-    NEW met2 ( 1177830 1677900 ) ( 1177830 1700340 )
-    NEW met2 ( 1177830 1700340 ) ( 1178290 1700340 0 )
-    NEW li1 ( 1175990 1486990 ) ( 1175990 1497190 )
-    NEW met1 ( 144670 1486990 ) ( 1175990 1486990 )
-    NEW met1 ( 1175990 1593410 ) ( 1177370 1593410 )
-    NEW met2 ( 1177370 1545980 ) ( 1177370 1593410 )
-    NEW met2 ( 1176450 1545980 ) ( 1177370 1545980 )
-    NEW met1 ( 1175990 1510790 ) ( 1175990 1511470 )
-    NEW met1 ( 1175990 1511470 ) ( 1176450 1511470 )
-    NEW met2 ( 1175990 1497190 ) ( 1175990 1510790 )
-    NEW met2 ( 1176450 1511470 ) ( 1176450 1545980 )
-    NEW li1 ( 1175990 1594430 ) ( 1175990 1609730 )
-    NEW met1 ( 1175990 1609730 ) ( 1176450 1609730 )
-    NEW met2 ( 1175990 1593410 ) ( 1175990 1594430 )
-    NEW met2 ( 1176450 1609730 ) ( 1176450 1677900 )
-    NEW met1 ( 139610 15810 ) M1M2_PR
-    NEW met1 ( 144670 15810 ) M1M2_PR
-    NEW met1 ( 144670 1486990 ) M1M2_PR
-    NEW li1 ( 1175990 1486990 ) L1M1_PR_MR
-    NEW li1 ( 1175990 1497190 ) L1M1_PR_MR
-    NEW met1 ( 1175990 1497190 ) M1M2_PR
-    NEW met1 ( 1175990 1593410 ) M1M2_PR
-    NEW met1 ( 1177370 1593410 ) M1M2_PR
-    NEW met1 ( 1175990 1510790 ) M1M2_PR
-    NEW met1 ( 1176450 1511470 ) M1M2_PR
-    NEW li1 ( 1175990 1594430 ) L1M1_PR_MR
-    NEW met1 ( 1175990 1594430 ) M1M2_PR
-    NEW li1 ( 1175990 1609730 ) L1M1_PR_MR
-    NEW met1 ( 1176450 1609730 ) M1M2_PR
-    NEW met1 ( 1175990 1497190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175990 1594430 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 138690 2380 0 ) ( 138690 17510 )
+    NEW met1 ( 138690 17510 ) ( 148350 17510 )
+    NEW met2 ( 148350 17510 ) ( 148350 1576410 )
+    NEW met1 ( 148350 1576410 ) ( 1175990 1576410 )
+    NEW met2 ( 1177370 1700340 ) ( 1178290 1700340 0 )
+    NEW met1 ( 1175990 1655970 ) ( 1177830 1655970 )
+    NEW met2 ( 1177830 1655970 ) ( 1177830 1656140 )
+    NEW met2 ( 1177370 1656140 ) ( 1177830 1656140 )
+    NEW met2 ( 1177370 1656140 ) ( 1177370 1700340 )
+    NEW met1 ( 1175990 1586610 ) ( 1175990 1587290 )
+    NEW met2 ( 1175990 1576410 ) ( 1175990 1586610 )
+    NEW met2 ( 1175990 1587290 ) ( 1175990 1655970 )
+    NEW met1 ( 138690 17510 ) M1M2_PR
+    NEW met1 ( 148350 17510 ) M1M2_PR
+    NEW met1 ( 148350 1576410 ) M1M2_PR
+    NEW met1 ( 1175990 1576410 ) M1M2_PR
+    NEW met1 ( 1175990 1655970 ) M1M2_PR
+    NEW met1 ( 1177830 1655970 ) M1M2_PR
+    NEW met1 ( 1175990 1586610 ) M1M2_PR
+    NEW met1 ( 1175990 1587290 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) 
-  + ROUTED met2 ( 157550 2380 0 ) ( 157550 20060 )
-    NEW met3 ( 157550 20060 ) ( 1182430 20060 )
-    NEW met2 ( 1181970 1700340 0 ) ( 1182430 1700340 )
-    NEW met2 ( 1182430 20060 ) ( 1182430 1700340 )
-    NEW met2 ( 157550 20060 ) via2_FR
-    NEW met2 ( 1182430 20060 ) via2_FR
+  + ROUTED met2 ( 156630 2380 0 ) ( 156630 17340 )
+    NEW met2 ( 156630 17340 ) ( 158470 17340 )
+    NEW met2 ( 158470 17340 ) ( 158470 1328210 )
+    NEW met2 ( 1169550 1328210 ) ( 1169550 1684870 )
+    NEW met1 ( 158470 1328210 ) ( 1169550 1328210 )
+    NEW met2 ( 1181970 1684870 ) ( 1181970 1700340 0 )
+    NEW met1 ( 1169550 1684870 ) ( 1181970 1684870 )
+    NEW met1 ( 1169550 1684870 ) M1M2_PR
+    NEW met1 ( 158470 1328210 ) M1M2_PR
+    NEW met1 ( 1169550 1328210 ) M1M2_PR
+    NEW met1 ( 1181970 1684870 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) 
-  + ROUTED li1 ( 179630 15810 ) ( 179630 17510 )
-    NEW met1 ( 179630 15810 ) ( 227470 15810 )
-    NEW li1 ( 227470 15810 ) ( 227470 17510 )
-    NEW li1 ( 324070 15810 ) ( 324070 17510 )
-    NEW li1 ( 372830 14790 ) ( 372830 17510 )
-    NEW met1 ( 372830 14790 ) ( 420670 14790 )
-    NEW li1 ( 420670 14790 ) ( 420670 17510 )
-    NEW met1 ( 511290 14450 ) ( 511290 14790 )
-    NEW met1 ( 511290 14790 ) ( 516810 14790 )
-    NEW li1 ( 516810 14790 ) ( 517270 14790 )
-    NEW li1 ( 517270 14790 ) ( 517270 17510 )
-    NEW met1 ( 567410 17170 ) ( 567410 17510 )
-    NEW met2 ( 175030 2380 0 ) ( 175030 17510 )
-    NEW met1 ( 175030 17510 ) ( 179630 17510 )
-    NEW met1 ( 324070 17510 ) ( 372830 17510 )
-    NEW met1 ( 517270 17510 ) ( 567410 17510 )
-    NEW li1 ( 278990 15810 ) ( 278990 17510 )
-    NEW met1 ( 227470 17510 ) ( 278990 17510 )
-    NEW met1 ( 278990 15810 ) ( 324070 15810 )
-    NEW li1 ( 466210 14450 ) ( 466210 17510 )
-    NEW met1 ( 420670 17510 ) ( 466210 17510 )
-    NEW met1 ( 466210 14450 ) ( 511290 14450 )
-    NEW met2 ( 641930 17170 ) ( 641930 21250 )
-    NEW met1 ( 641930 21250 ) ( 669530 21250 )
-    NEW met1 ( 669530 20910 ) ( 669530 21250 )
-    NEW met1 ( 567410 17170 ) ( 641930 17170 )
-    NEW met2 ( 785910 15980 ) ( 785910 17510 )
-    NEW met2 ( 933570 15810 ) ( 933570 15980 )
-    NEW met1 ( 933570 15810 ) ( 978650 15810 )
-    NEW met2 ( 978650 15810 ) ( 978650 15980 )
-    NEW met2 ( 1028790 15980 ) ( 1028790 17510 )
-    NEW li1 ( 737150 20910 ) ( 737150 21250 )
-    NEW li1 ( 737150 21250 ) ( 738070 21250 )
-    NEW li1 ( 738070 17510 ) ( 738070 21250 )
-    NEW met1 ( 669530 20910 ) ( 737150 20910 )
-    NEW met1 ( 738070 17510 ) ( 785910 17510 )
-    NEW met2 ( 788210 15980 ) ( 788210 17170 )
-    NEW met3 ( 785910 15980 ) ( 788210 15980 )
-    NEW met2 ( 930350 15980 ) ( 930350 17170 )
-    NEW met1 ( 788210 17170 ) ( 930350 17170 )
-    NEW met3 ( 930350 15980 ) ( 933570 15980 )
-    NEW met2 ( 981410 15810 ) ( 981410 15980 )
-    NEW met1 ( 981410 15810 ) ( 1026950 15810 )
-    NEW met2 ( 1026950 15810 ) ( 1026950 15980 )
-    NEW met3 ( 978650 15980 ) ( 981410 15980 )
-    NEW met3 ( 1026950 15980 ) ( 1028790 15980 )
-    NEW met1 ( 1028790 17510 ) ( 1181050 17510 )
-    NEW met1 ( 1181050 1667870 ) ( 1184730 1667870 )
-    NEW met2 ( 1184730 1667870 ) ( 1184730 1700340 )
+  + ROUTED met2 ( 182850 20570 ) ( 182850 1590350 )
+    NEW met2 ( 174110 2380 0 ) ( 174110 20570 )
+    NEW met1 ( 174110 20570 ) ( 182850 20570 )
+    NEW met1 ( 182850 1590350 ) ( 1181510 1590350 )
+    NEW met1 ( 1181510 1678750 ) ( 1184730 1678750 )
+    NEW met2 ( 1184730 1678750 ) ( 1184730 1700340 )
     NEW met2 ( 1184730 1700340 ) ( 1185650 1700340 0 )
-    NEW met2 ( 1181050 17510 ) ( 1181050 1667870 )
-    NEW li1 ( 179630 17510 ) L1M1_PR_MR
-    NEW li1 ( 179630 15810 ) L1M1_PR_MR
-    NEW li1 ( 227470 15810 ) L1M1_PR_MR
-    NEW li1 ( 227470 17510 ) L1M1_PR_MR
-    NEW li1 ( 324070 15810 ) L1M1_PR_MR
-    NEW li1 ( 324070 17510 ) L1M1_PR_MR
-    NEW li1 ( 372830 17510 ) L1M1_PR_MR
-    NEW li1 ( 372830 14790 ) L1M1_PR_MR
-    NEW li1 ( 420670 14790 ) L1M1_PR_MR
-    NEW li1 ( 420670 17510 ) L1M1_PR_MR
-    NEW li1 ( 516810 14790 ) L1M1_PR_MR
-    NEW li1 ( 517270 17510 ) L1M1_PR_MR
-    NEW met1 ( 175030 17510 ) M1M2_PR
-    NEW li1 ( 278990 17510 ) L1M1_PR_MR
-    NEW li1 ( 278990 15810 ) L1M1_PR_MR
-    NEW li1 ( 466210 17510 ) L1M1_PR_MR
-    NEW li1 ( 466210 14450 ) L1M1_PR_MR
-    NEW met1 ( 641930 17170 ) M1M2_PR
-    NEW met1 ( 641930 21250 ) M1M2_PR
-    NEW met1 ( 785910 17510 ) M1M2_PR
-    NEW met2 ( 785910 15980 ) via2_FR
-    NEW met2 ( 933570 15980 ) via2_FR
-    NEW met1 ( 933570 15810 ) M1M2_PR
-    NEW met1 ( 978650 15810 ) M1M2_PR
-    NEW met2 ( 978650 15980 ) via2_FR
-    NEW met2 ( 1028790 15980 ) via2_FR
-    NEW met1 ( 1028790 17510 ) M1M2_PR
-    NEW li1 ( 737150 20910 ) L1M1_PR_MR
-    NEW li1 ( 738070 17510 ) L1M1_PR_MR
-    NEW met2 ( 788210 15980 ) via2_FR
-    NEW met1 ( 788210 17170 ) M1M2_PR
-    NEW met1 ( 930350 17170 ) M1M2_PR
-    NEW met2 ( 930350 15980 ) via2_FR
-    NEW met2 ( 981410 15980 ) via2_FR
-    NEW met1 ( 981410 15810 ) M1M2_PR
-    NEW met1 ( 1026950 15810 ) M1M2_PR
-    NEW met2 ( 1026950 15980 ) via2_FR
-    NEW met1 ( 1181050 17510 ) M1M2_PR
-    NEW met1 ( 1181050 1667870 ) M1M2_PR
-    NEW met1 ( 1184730 1667870 ) M1M2_PR
+    NEW met2 ( 1181510 1590350 ) ( 1181510 1678750 )
+    NEW met1 ( 182850 20570 ) M1M2_PR
+    NEW met1 ( 182850 1590350 ) M1M2_PR
+    NEW met1 ( 174110 20570 ) M1M2_PR
+    NEW met1 ( 1181510 1590350 ) M1M2_PR
+    NEW met1 ( 1181510 1678750 ) M1M2_PR
+    NEW met1 ( 1184730 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) 
-  + ROUTED met2 ( 192970 2380 0 ) ( 192970 9180 )
-    NEW met2 ( 192510 9180 ) ( 192970 9180 )
-    NEW met2 ( 192510 9180 ) ( 192510 18190 )
-    NEW met1 ( 192510 18190 ) ( 221030 18190 )
-    NEW met1 ( 221030 17850 ) ( 221030 18190 )
-    NEW met1 ( 1125390 17850 ) ( 1125390 18190 )
-    NEW met1 ( 1125390 18190 ) ( 1171850 18190 )
-    NEW met2 ( 1171850 16660 ) ( 1171850 18190 )
-    NEW li1 ( 903670 17850 ) ( 905050 17850 )
-    NEW met1 ( 221030 17850 ) ( 903670 17850 )
-    NEW met1 ( 905050 17850 ) ( 1125390 17850 )
-    NEW met2 ( 1174610 16660 ) ( 1174610 17850 )
-    NEW met1 ( 1174610 17850 ) ( 1189790 17850 )
-    NEW met2 ( 1189790 17850 ) ( 1189790 53890 )
-    NEW met2 ( 1189330 53890 ) ( 1189790 53890 )
-    NEW met3 ( 1171850 16660 ) ( 1174610 16660 )
-    NEW met2 ( 1189330 53890 ) ( 1189330 1700340 0 )
-    NEW met1 ( 192510 18190 ) M1M2_PR
-    NEW met1 ( 1171850 18190 ) M1M2_PR
-    NEW met2 ( 1171850 16660 ) via2_FR
-    NEW li1 ( 903670 17850 ) L1M1_PR_MR
-    NEW li1 ( 905050 17850 ) L1M1_PR_MR
-    NEW met2 ( 1174610 16660 ) via2_FR
-    NEW met1 ( 1174610 17850 ) M1M2_PR
-    NEW met1 ( 1189790 17850 ) M1M2_PR
+  + ROUTED met2 ( 192050 2380 0 ) ( 192050 17340 )
+    NEW met2 ( 192050 17340 ) ( 192970 17340 )
+    NEW met2 ( 192970 17340 ) ( 192970 1507390 )
+    NEW met1 ( 192970 1507390 ) ( 1183350 1507390 )
+    NEW li1 ( 1183350 1642370 ) ( 1183350 1656990 )
+    NEW met1 ( 1183350 1656990 ) ( 1189330 1656990 )
+    NEW met2 ( 1183350 1507390 ) ( 1183350 1642370 )
+    NEW met2 ( 1189330 1656990 ) ( 1189330 1700340 0 )
+    NEW met1 ( 192970 1507390 ) M1M2_PR
+    NEW met1 ( 1183350 1507390 ) M1M2_PR
+    NEW li1 ( 1183350 1642370 ) L1M1_PR_MR
+    NEW met1 ( 1183350 1642370 ) M1M2_PR
+    NEW li1 ( 1183350 1656990 ) L1M1_PR_MR
+    NEW met1 ( 1189330 1656990 ) M1M2_PR
+    NEW met1 ( 1183350 1642370 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) 
-  + ROUTED met2 ( 210910 2380 0 ) ( 210910 18530 )
-    NEW li1 ( 1149310 18530 ) ( 1149310 19890 )
-    NEW met1 ( 210910 18530 ) ( 1149310 18530 )
-    NEW met1 ( 1187030 1677390 ) ( 1192090 1677390 )
-    NEW met2 ( 1192090 1677390 ) ( 1192090 1700340 )
+  + ROUTED met2 ( 209530 2380 0 ) ( 209530 17510 )
+    NEW met1 ( 209530 17510 ) ( 213670 17510 )
+    NEW met2 ( 213670 17510 ) ( 213670 1500590 )
+    NEW met1 ( 213670 1500590 ) ( 1188410 1500590 )
+    NEW met1 ( 1188410 1677730 ) ( 1192090 1677730 )
+    NEW met2 ( 1192090 1677730 ) ( 1192090 1700340 )
     NEW met2 ( 1192090 1700340 ) ( 1193010 1700340 0 )
-    NEW li1 ( 1162190 19890 ) ( 1162190 39270 )
-    NEW met1 ( 1162190 39270 ) ( 1187030 39270 )
-    NEW met1 ( 1149310 19890 ) ( 1162190 19890 )
-    NEW met2 ( 1187030 39270 ) ( 1187030 1677390 )
-    NEW met1 ( 210910 18530 ) M1M2_PR
-    NEW li1 ( 1149310 18530 ) L1M1_PR_MR
-    NEW li1 ( 1149310 19890 ) L1M1_PR_MR
-    NEW met1 ( 1187030 1677390 ) M1M2_PR
-    NEW met1 ( 1192090 1677390 ) M1M2_PR
-    NEW li1 ( 1162190 19890 ) L1M1_PR_MR
-    NEW li1 ( 1162190 39270 ) L1M1_PR_MR
-    NEW met1 ( 1187030 39270 ) M1M2_PR
+    NEW met2 ( 1188410 1500590 ) ( 1188410 1677730 )
+    NEW met1 ( 209530 17510 ) M1M2_PR
+    NEW met1 ( 213670 17510 ) M1M2_PR
+    NEW met1 ( 213670 1500590 ) M1M2_PR
+    NEW met1 ( 1188410 1500590 ) M1M2_PR
+    NEW met1 ( 1188410 1677730 ) M1M2_PR
+    NEW met1 ( 1192090 1677730 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) 
-  + ROUTED met2 ( 228850 2380 0 ) ( 228850 19550 )
-    NEW li1 ( 1172310 19550 ) ( 1172770 19550 )
-    NEW li1 ( 1172770 17850 ) ( 1172770 19550 )
-    NEW met1 ( 228850 19550 ) ( 1172310 19550 )
-    NEW li1 ( 1174150 17850 ) ( 1174150 18530 )
-    NEW met1 ( 1174150 18530 ) ( 1196230 18530 )
-    NEW met1 ( 1172770 17850 ) ( 1174150 17850 )
-    NEW met2 ( 1196230 1700340 ) ( 1196690 1700340 0 )
-    NEW met2 ( 1196230 18530 ) ( 1196230 1700340 )
-    NEW met1 ( 228850 19550 ) M1M2_PR
-    NEW li1 ( 1172310 19550 ) L1M1_PR_MR
-    NEW li1 ( 1172770 17850 ) L1M1_PR_MR
-    NEW li1 ( 1174150 17850 ) L1M1_PR_MR
-    NEW li1 ( 1174150 18530 ) L1M1_PR_MR
-    NEW met1 ( 1196230 18530 ) M1M2_PR
+  + ROUTED met2 ( 227470 2380 0 ) ( 227470 1617890 )
+    NEW met1 ( 227470 1617890 ) ( 1195770 1617890 )
+    NEW met2 ( 1195770 1700340 ) ( 1196690 1700340 0 )
+    NEW met2 ( 1195770 1617890 ) ( 1195770 1700340 )
+    NEW met1 ( 227470 1617890 ) M1M2_PR
+    NEW met1 ( 1195770 1617890 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) 
-  + ROUTED li1 ( 83030 1685890 ) ( 83030 1686910 )
-    NEW met1 ( 83030 1685890 ) ( 130870 1685890 )
-    NEW met2 ( 130870 1685890 ) ( 130870 1686910 )
-    NEW met2 ( 179630 1685210 ) ( 179630 1686910 )
-    NEW met1 ( 179630 1685210 ) ( 227470 1685210 )
-    NEW met2 ( 227470 1685210 ) ( 227470 1686910 )
-    NEW met2 ( 276230 1685210 ) ( 276230 1686910 )
-    NEW met1 ( 276230 1685210 ) ( 324070 1685210 )
-    NEW met2 ( 324070 1685210 ) ( 324070 1686910 )
-    NEW met2 ( 372830 1684190 ) ( 372830 1686910 )
-    NEW met1 ( 372830 1684190 ) ( 420670 1684190 )
-    NEW met2 ( 420670 1684190 ) ( 420670 1686910 )
-    NEW met2 ( 469430 1686910 ) ( 469430 1687420 )
-    NEW met3 ( 469430 1687420 ) ( 517270 1687420 )
-    NEW met2 ( 517270 1686910 ) ( 517270 1687420 )
-    NEW li1 ( 566030 1686910 ) ( 566490 1686910 )
-    NEW li1 ( 566490 1686910 ) ( 566490 1687930 )
-    NEW met2 ( 710470 1686060 ) ( 710470 1686910 )
-    NEW met2 ( 759230 1686060 ) ( 759230 1686910 )
-    NEW met3 ( 759230 1686060 ) ( 807070 1686060 )
-    NEW met2 ( 807070 1686060 ) ( 807070 1686910 )
-    NEW met2 ( 855830 1686060 ) ( 855830 1686910 )
-    NEW met3 ( 855830 1686060 ) ( 903670 1686060 )
-    NEW met2 ( 903670 1686060 ) ( 903670 1686910 )
-    NEW met2 ( 952430 1686060 ) ( 952430 1686910 )
-    NEW met3 ( 952430 1686060 ) ( 1000270 1686060 )
-    NEW met2 ( 1000270 1686060 ) ( 1000270 1686910 )
-    NEW met2 ( 1049030 1686060 ) ( 1049030 1686910 )
-    NEW met3 ( 1049030 1686060 ) ( 1096870 1686060 )
-    NEW met2 ( 1096870 1686060 ) ( 1096870 1686910 )
-    NEW met2 ( 50370 2380 0 ) ( 50370 17510 )
-    NEW met1 ( 50370 17510 ) ( 54970 17510 )
-    NEW met2 ( 54970 17510 ) ( 54970 1686910 )
-    NEW met1 ( 54970 1686910 ) ( 83030 1686910 )
-    NEW met1 ( 130870 1686910 ) ( 179630 1686910 )
-    NEW met1 ( 227470 1686910 ) ( 276230 1686910 )
-    NEW met1 ( 324070 1686910 ) ( 372830 1686910 )
-    NEW met1 ( 420670 1686910 ) ( 469430 1686910 )
-    NEW met1 ( 517270 1686910 ) ( 566030 1686910 )
-    NEW met1 ( 710470 1686910 ) ( 759230 1686910 )
-    NEW met1 ( 807070 1686910 ) ( 855830 1686910 )
-    NEW met1 ( 903670 1686910 ) ( 952430 1686910 )
-    NEW met1 ( 1000270 1686910 ) ( 1049030 1686910 )
-    NEW met2 ( 689310 1686060 ) ( 689310 1686910 )
-    NEW met3 ( 689310 1686060 ) ( 710470 1686060 )
-    NEW li1 ( 1131370 1686910 ) ( 1131370 1690650 )
-    NEW met1 ( 1131370 1690650 ) ( 1159430 1690650 )
-    NEW met2 ( 1159430 1690650 ) ( 1159430 1700340 )
+  + ROUTED met2 ( 120750 17850 ) ( 120750 1687250 )
+    NEW met2 ( 1159430 1687250 ) ( 1159430 1700340 )
     NEW met2 ( 1159430 1700340 ) ( 1159890 1700340 0 )
-    NEW met1 ( 1096870 1686910 ) ( 1131370 1686910 )
-    NEW li1 ( 617550 1686910 ) ( 617550 1687930 )
-    NEW met1 ( 566490 1687930 ) ( 617550 1687930 )
-    NEW met1 ( 617550 1686910 ) ( 689310 1686910 )
-    NEW li1 ( 83030 1686910 ) L1M1_PR_MR
-    NEW li1 ( 83030 1685890 ) L1M1_PR_MR
-    NEW met1 ( 130870 1685890 ) M1M2_PR
-    NEW met1 ( 130870 1686910 ) M1M2_PR
-    NEW met1 ( 179630 1686910 ) M1M2_PR
-    NEW met1 ( 179630 1685210 ) M1M2_PR
-    NEW met1 ( 227470 1685210 ) M1M2_PR
-    NEW met1 ( 227470 1686910 ) M1M2_PR
-    NEW met1 ( 276230 1686910 ) M1M2_PR
-    NEW met1 ( 276230 1685210 ) M1M2_PR
-    NEW met1 ( 324070 1685210 ) M1M2_PR
-    NEW met1 ( 324070 1686910 ) M1M2_PR
-    NEW met1 ( 372830 1686910 ) M1M2_PR
-    NEW met1 ( 372830 1684190 ) M1M2_PR
-    NEW met1 ( 420670 1684190 ) M1M2_PR
-    NEW met1 ( 420670 1686910 ) M1M2_PR
-    NEW met1 ( 469430 1686910 ) M1M2_PR
-    NEW met2 ( 469430 1687420 ) via2_FR
-    NEW met2 ( 517270 1687420 ) via2_FR
-    NEW met1 ( 517270 1686910 ) M1M2_PR
-    NEW li1 ( 566030 1686910 ) L1M1_PR_MR
-    NEW li1 ( 566490 1687930 ) L1M1_PR_MR
-    NEW met2 ( 710470 1686060 ) via2_FR
-    NEW met1 ( 710470 1686910 ) M1M2_PR
-    NEW met1 ( 759230 1686910 ) M1M2_PR
-    NEW met2 ( 759230 1686060 ) via2_FR
-    NEW met2 ( 807070 1686060 ) via2_FR
-    NEW met1 ( 807070 1686910 ) M1M2_PR
-    NEW met1 ( 855830 1686910 ) M1M2_PR
-    NEW met2 ( 855830 1686060 ) via2_FR
-    NEW met2 ( 903670 1686060 ) via2_FR
-    NEW met1 ( 903670 1686910 ) M1M2_PR
-    NEW met1 ( 952430 1686910 ) M1M2_PR
-    NEW met2 ( 952430 1686060 ) via2_FR
-    NEW met2 ( 1000270 1686060 ) via2_FR
-    NEW met1 ( 1000270 1686910 ) M1M2_PR
-    NEW met1 ( 1049030 1686910 ) M1M2_PR
-    NEW met2 ( 1049030 1686060 ) via2_FR
-    NEW met2 ( 1096870 1686060 ) via2_FR
-    NEW met1 ( 1096870 1686910 ) M1M2_PR
-    NEW met1 ( 50370 17510 ) M1M2_PR
-    NEW met1 ( 54970 17510 ) M1M2_PR
-    NEW met1 ( 54970 1686910 ) M1M2_PR
-    NEW met1 ( 689310 1686910 ) M1M2_PR
-    NEW met2 ( 689310 1686060 ) via2_FR
-    NEW li1 ( 1131370 1686910 ) L1M1_PR_MR
-    NEW li1 ( 1131370 1690650 ) L1M1_PR_MR
-    NEW met1 ( 1159430 1690650 ) M1M2_PR
-    NEW li1 ( 617550 1687930 ) L1M1_PR_MR
-    NEW li1 ( 617550 1686910 ) L1M1_PR_MR
+    NEW met2 ( 49910 2380 0 ) ( 49910 17850 )
+    NEW met1 ( 49910 17850 ) ( 120750 17850 )
+    NEW met1 ( 120750 1687250 ) ( 1159430 1687250 )
+    NEW met1 ( 120750 17850 ) M1M2_PR
+    NEW met1 ( 120750 1687250 ) M1M2_PR
+    NEW met1 ( 1159430 1687250 ) M1M2_PR
+    NEW met1 ( 49910 17850 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) 
-  + ROUTED met2 ( 252770 2380 0 ) ( 252770 20230 )
-    NEW met2 ( 1138270 18020 ) ( 1138270 20230 )
-    NEW met3 ( 1138270 18020 ) ( 1149770 18020 )
-    NEW met2 ( 1149770 18020 ) ( 1149770 18530 )
-    NEW met1 ( 252770 20230 ) ( 1138270 20230 )
-    NEW met2 ( 1173690 18020 ) ( 1173690 18530 )
-    NEW met3 ( 1173690 18020 ) ( 1181510 18020 )
-    NEW met2 ( 1181510 17510 ) ( 1181510 18020 )
-    NEW met1 ( 1181510 17510 ) ( 1203130 17510 )
-    NEW met1 ( 1149770 18530 ) ( 1173690 18530 )
-    NEW met1 ( 1201750 1677730 ) ( 1203130 1677730 )
-    NEW met2 ( 1201750 1677730 ) ( 1201750 1700340 )
-    NEW met2 ( 1201290 1700340 0 ) ( 1201750 1700340 )
-    NEW met2 ( 1203130 17510 ) ( 1203130 1677730 )
-    NEW met1 ( 252770 20230 ) M1M2_PR
-    NEW met1 ( 1138270 20230 ) M1M2_PR
-    NEW met2 ( 1138270 18020 ) via2_FR
-    NEW met2 ( 1149770 18020 ) via2_FR
-    NEW met1 ( 1149770 18530 ) M1M2_PR
-    NEW met1 ( 1173690 18530 ) M1M2_PR
-    NEW met2 ( 1173690 18020 ) via2_FR
-    NEW met2 ( 1181510 18020 ) via2_FR
-    NEW met1 ( 1181510 17510 ) M1M2_PR
-    NEW met1 ( 1203130 17510 ) M1M2_PR
-    NEW met1 ( 1203130 1677730 ) M1M2_PR
-    NEW met1 ( 1201750 1677730 ) M1M2_PR
+  + ROUTED met2 ( 250930 2380 0 ) ( 250930 17510 )
+    NEW met1 ( 250930 17510 ) ( 258750 17510 )
+    NEW met2 ( 258750 17510 ) ( 258750 1314270 )
+    NEW met1 ( 258750 1314270 ) ( 1202210 1314270 )
+    NEW met1 ( 1200830 1689970 ) ( 1202210 1689970 )
+    NEW met2 ( 1200830 1689970 ) ( 1200830 1700340 )
+    NEW met2 ( 1200830 1700340 ) ( 1201290 1700340 0 )
+    NEW met2 ( 1202210 1314270 ) ( 1202210 1689970 )
+    NEW met1 ( 250930 17510 ) M1M2_PR
+    NEW met1 ( 258750 17510 ) M1M2_PR
+    NEW met1 ( 258750 1314270 ) M1M2_PR
+    NEW met1 ( 1202210 1314270 ) M1M2_PR
+    NEW met1 ( 1202210 1689970 ) M1M2_PR
+    NEW met1 ( 1200830 1689970 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) 
-  + ROUTED met1 ( 270250 14790 ) ( 275770 14790 )
-    NEW met2 ( 270250 2380 0 ) ( 270250 14790 )
-    NEW met2 ( 275770 14790 ) ( 275770 1689970 )
-    NEW li1 ( 1148390 1689970 ) ( 1148390 1691330 )
-    NEW met1 ( 1148390 1691330 ) ( 1171390 1691330 )
-    NEW li1 ( 1171390 1688610 ) ( 1171390 1691330 )
-    NEW met1 ( 275770 1689970 ) ( 1148390 1689970 )
-    NEW met2 ( 1204970 1688610 ) ( 1204970 1700340 0 )
-    NEW met1 ( 1171390 1688610 ) ( 1204970 1688610 )
-    NEW met1 ( 275770 1689970 ) M1M2_PR
-    NEW met1 ( 270250 14790 ) M1M2_PR
-    NEW met1 ( 275770 14790 ) M1M2_PR
-    NEW li1 ( 1148390 1689970 ) L1M1_PR_MR
-    NEW li1 ( 1148390 1691330 ) L1M1_PR_MR
-    NEW li1 ( 1171390 1691330 ) L1M1_PR_MR
-    NEW li1 ( 1171390 1688610 ) L1M1_PR_MR
-    NEW met1 ( 1204970 1688610 ) M1M2_PR
+  + ROUTED met2 ( 286350 19890 ) ( 286350 1690310 )
+    NEW met2 ( 268870 2380 0 ) ( 268870 9860 )
+    NEW met2 ( 268410 9860 ) ( 268870 9860 )
+    NEW met2 ( 268410 9860 ) ( 268410 19890 )
+    NEW met1 ( 268410 19890 ) ( 286350 19890 )
+    NEW met2 ( 1204970 1690310 ) ( 1204970 1700340 0 )
+    NEW met1 ( 286350 1690310 ) ( 1204970 1690310 )
+    NEW met1 ( 286350 19890 ) M1M2_PR
+    NEW met1 ( 286350 1690310 ) M1M2_PR
+    NEW met1 ( 268410 19890 ) M1M2_PR
+    NEW met1 ( 1204970 1690310 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) 
-  + ROUTED met2 ( 288190 2380 0 ) ( 288190 20570 )
-    NEW met2 ( 1173230 20570 ) ( 1173230 20740 )
-    NEW met3 ( 1173230 20740 ) ( 1187950 20740 )
-    NEW met2 ( 1187950 20570 ) ( 1187950 20740 )
-    NEW met1 ( 1187950 20570 ) ( 1211410 20570 )
-    NEW met1 ( 288190 20570 ) ( 1173230 20570 )
-    NEW met2 ( 1209570 1678580 ) ( 1210030 1678580 )
-    NEW met3 ( 1210030 483140 ) ( 1210950 483140 )
-    NEW met1 ( 1210030 1545810 ) ( 1210490 1545810 )
-    NEW met3 ( 1210030 724540 ) ( 1210260 724540 )
-    NEW met1 ( 1210030 1497530 ) ( 1210490 1497530 )
-    NEW met2 ( 1210030 1497530 ) ( 1210030 1545810 )
-    NEW met2 ( 1210030 1607180 ) ( 1210490 1607180 )
-    NEW met2 ( 1210030 1607180 ) ( 1210030 1678580 )
-    NEW met2 ( 1210490 1545810 ) ( 1210490 1607180 )
-    NEW met2 ( 1208650 1700340 0 ) ( 1209570 1700340 )
-    NEW met2 ( 1209570 1678580 ) ( 1209570 1700340 )
-    NEW li1 ( 1210490 113390 ) ( 1210490 137870 )
-    NEW met2 ( 1210490 90100 ) ( 1210490 113390 )
-    NEW met3 ( 1210490 90100 ) ( 1211410 90100 )
-    NEW met2 ( 1211410 20570 ) ( 1211410 90100 )
-    NEW met2 ( 1210490 209100 ) ( 1210950 209100 )
-    NEW li1 ( 1210030 426530 ) ( 1210030 427550 )
-    NEW met1 ( 1210030 426530 ) ( 1210490 426530 )
-    NEW met1 ( 1210490 596870 ) ( 1212330 596870 )
-    NEW met1 ( 1210030 717570 ) ( 1210490 717570 )
-    NEW met2 ( 1210030 717570 ) ( 1210030 724540 )
-    NEW met2 ( 1210490 596870 ) ( 1210490 717570 )
-    NEW li1 ( 1210030 789650 ) ( 1210030 814130 )
-    NEW met2 ( 1210030 789140 ) ( 1210030 789650 )
-    NEW met3 ( 1210030 789140 ) ( 1210260 789140 )
-    NEW met4 ( 1210260 724540 ) ( 1210260 789140 )
-    NEW met3 ( 1210260 910180 ) ( 1211180 910180 )
-    NEW met1 ( 1210490 144670 ) ( 1210490 145350 )
-    NEW met1 ( 1210490 145350 ) ( 1210950 145350 )
-    NEW met2 ( 1210490 137870 ) ( 1210490 144670 )
-    NEW met2 ( 1210950 145350 ) ( 1210950 209100 )
-    NEW li1 ( 1210030 434350 ) ( 1210030 436050 )
-    NEW met2 ( 1210030 427550 ) ( 1210030 434350 )
-    NEW met2 ( 1210030 436050 ) ( 1210030 483140 )
-    NEW li1 ( 1210950 524450 ) ( 1210950 555730 )
-    NEW met1 ( 1210950 555730 ) ( 1212330 555730 )
-    NEW met2 ( 1210950 483140 ) ( 1210950 524450 )
-    NEW met2 ( 1212330 555730 ) ( 1212330 596870 )
-    NEW met1 ( 1210030 814470 ) ( 1210490 814470 )
-    NEW met2 ( 1210490 814470 ) ( 1210490 814980 )
-    NEW met3 ( 1210260 814980 ) ( 1210490 814980 )
-    NEW met1 ( 1210030 814130 ) ( 1210030 814470 )
-    NEW met4 ( 1210260 814980 ) ( 1210260 910180 )
-    NEW met3 ( 1210030 910860 ) ( 1211180 910860 )
-    NEW met3 ( 1211180 910180 ) ( 1211180 910860 )
-    NEW met1 ( 1210030 1338750 ) ( 1210030 1339090 )
-    NEW met1 ( 1210030 1339090 ) ( 1210490 1339090 )
-    NEW met1 ( 1210030 227970 ) ( 1210490 227970 )
-    NEW met2 ( 1210490 209100 ) ( 1210490 227970 )
-    NEW met1 ( 1210030 324530 ) ( 1210030 324870 )
-    NEW met1 ( 1210030 324870 ) ( 1210490 324870 )
-    NEW met2 ( 1210490 324870 ) ( 1210490 426530 )
-    NEW met2 ( 1210030 1323620 ) ( 1210490 1323620 )
-    NEW met2 ( 1210030 1323620 ) ( 1210030 1338750 )
-    NEW li1 ( 1210030 227970 ) ( 1210030 317390 )
-    NEW met2 ( 1210030 317390 ) ( 1210030 324530 )
-    NEW li1 ( 1210030 945370 ) ( 1210030 993310 )
-    NEW met1 ( 1210030 993310 ) ( 1210490 993310 )
-    NEW met2 ( 1210030 910860 ) ( 1210030 945370 )
-    NEW li1 ( 1210030 1138830 ) ( 1210030 1186770 )
-    NEW met1 ( 1210030 1138830 ) ( 1211870 1138830 )
-    NEW met1 ( 1210030 1006910 ) ( 1210030 1007590 )
-    NEW met1 ( 1210030 1006910 ) ( 1210490 1006910 )
-    NEW met2 ( 1210490 993310 ) ( 1210490 1006910 )
-    NEW met3 ( 1209340 1090380 ) ( 1210030 1090380 )
-    NEW met3 ( 1209340 1090380 ) ( 1209340 1091060 )
-    NEW met3 ( 1209340 1091060 ) ( 1211870 1091060 )
-    NEW met2 ( 1211870 1091060 ) ( 1211870 1138830 )
-    NEW met2 ( 1210030 1210060 ) ( 1210950 1210060 )
-    NEW met2 ( 1210030 1186770 ) ( 1210030 1210060 )
-    NEW met2 ( 1210490 1339090 ) ( 1210490 1497530 )
-    NEW li1 ( 1210030 1035130 ) ( 1210030 1083070 )
-    NEW met2 ( 1210030 1007590 ) ( 1210030 1035130 )
-    NEW met2 ( 1210030 1083070 ) ( 1210030 1090380 )
-    NEW li1 ( 1210490 1228250 ) ( 1210490 1276190 )
-    NEW met1 ( 1210490 1228250 ) ( 1210950 1228250 )
-    NEW met2 ( 1210490 1276190 ) ( 1210490 1323620 )
-    NEW met2 ( 1210950 1210060 ) ( 1210950 1228250 )
-    NEW met1 ( 288190 20570 ) M1M2_PR
-    NEW met1 ( 1173230 20570 ) M1M2_PR
-    NEW met2 ( 1173230 20740 ) via2_FR
-    NEW met2 ( 1187950 20740 ) via2_FR
-    NEW met1 ( 1187950 20570 ) M1M2_PR
-    NEW met1 ( 1211410 20570 ) M1M2_PR
-    NEW met2 ( 1210030 483140 ) via2_FR
-    NEW met2 ( 1210950 483140 ) via2_FR
-    NEW met1 ( 1210030 1545810 ) M1M2_PR
-    NEW met1 ( 1210490 1545810 ) M1M2_PR
-    NEW met2 ( 1210030 724540 ) via2_FR
-    NEW met3 ( 1210260 724540 ) M3M4_PR_M
-    NEW met1 ( 1210030 1497530 ) M1M2_PR
-    NEW met1 ( 1210490 1497530 ) M1M2_PR
-    NEW li1 ( 1210490 137870 ) L1M1_PR_MR
-    NEW met1 ( 1210490 137870 ) M1M2_PR
-    NEW li1 ( 1210490 113390 ) L1M1_PR_MR
-    NEW met1 ( 1210490 113390 ) M1M2_PR
-    NEW met2 ( 1210490 90100 ) via2_FR
-    NEW met2 ( 1211410 90100 ) via2_FR
-    NEW li1 ( 1210030 427550 ) L1M1_PR_MR
-    NEW met1 ( 1210030 427550 ) M1M2_PR
-    NEW li1 ( 1210030 426530 ) L1M1_PR_MR
-    NEW met1 ( 1210490 426530 ) M1M2_PR
-    NEW met1 ( 1210490 596870 ) M1M2_PR
-    NEW met1 ( 1212330 596870 ) M1M2_PR
-    NEW met1 ( 1210030 717570 ) M1M2_PR
-    NEW met1 ( 1210490 717570 ) M1M2_PR
-    NEW li1 ( 1210030 814130 ) L1M1_PR_MR
-    NEW li1 ( 1210030 789650 ) L1M1_PR_MR
-    NEW met1 ( 1210030 789650 ) M1M2_PR
-    NEW met2 ( 1210030 789140 ) via2_FR
-    NEW met3 ( 1210260 789140 ) M3M4_PR_M
-    NEW met3 ( 1210260 910180 ) M3M4_PR_M
-    NEW met1 ( 1210490 144670 ) M1M2_PR
-    NEW met1 ( 1210950 145350 ) M1M2_PR
-    NEW li1 ( 1210030 434350 ) L1M1_PR_MR
-    NEW met1 ( 1210030 434350 ) M1M2_PR
-    NEW li1 ( 1210030 436050 ) L1M1_PR_MR
-    NEW met1 ( 1210030 436050 ) M1M2_PR
-    NEW li1 ( 1210950 524450 ) L1M1_PR_MR
-    NEW met1 ( 1210950 524450 ) M1M2_PR
-    NEW li1 ( 1210950 555730 ) L1M1_PR_MR
-    NEW met1 ( 1212330 555730 ) M1M2_PR
-    NEW met1 ( 1210490 814470 ) M1M2_PR
-    NEW met2 ( 1210490 814980 ) via2_FR
-    NEW met3 ( 1210260 814980 ) M3M4_PR_M
-    NEW met2 ( 1210030 910860 ) via2_FR
-    NEW met1 ( 1210030 1338750 ) M1M2_PR
-    NEW met1 ( 1210490 1339090 ) M1M2_PR
-    NEW li1 ( 1210030 227970 ) L1M1_PR_MR
-    NEW met1 ( 1210490 227970 ) M1M2_PR
-    NEW met1 ( 1210030 324530 ) M1M2_PR
-    NEW met1 ( 1210490 324870 ) M1M2_PR
-    NEW li1 ( 1210030 317390 ) L1M1_PR_MR
-    NEW met1 ( 1210030 317390 ) M1M2_PR
-    NEW li1 ( 1210030 945370 ) L1M1_PR_MR
-    NEW met1 ( 1210030 945370 ) M1M2_PR
-    NEW li1 ( 1210030 993310 ) L1M1_PR_MR
-    NEW met1 ( 1210490 993310 ) M1M2_PR
-    NEW li1 ( 1210030 1186770 ) L1M1_PR_MR
-    NEW met1 ( 1210030 1186770 ) M1M2_PR
-    NEW li1 ( 1210030 1138830 ) L1M1_PR_MR
-    NEW met1 ( 1211870 1138830 ) M1M2_PR
-    NEW met1 ( 1210030 1007590 ) M1M2_PR
-    NEW met1 ( 1210490 1006910 ) M1M2_PR
-    NEW met2 ( 1210030 1090380 ) via2_FR
-    NEW met2 ( 1211870 1091060 ) via2_FR
-    NEW li1 ( 1210030 1035130 ) L1M1_PR_MR
-    NEW met1 ( 1210030 1035130 ) M1M2_PR
-    NEW li1 ( 1210030 1083070 ) L1M1_PR_MR
-    NEW met1 ( 1210030 1083070 ) M1M2_PR
-    NEW li1 ( 1210490 1276190 ) L1M1_PR_MR
-    NEW met1 ( 1210490 1276190 ) M1M2_PR
-    NEW li1 ( 1210490 1228250 ) L1M1_PR_MR
-    NEW met1 ( 1210950 1228250 ) M1M2_PR
-    NEW met3 ( 1210030 724540 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1210490 137870 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210490 113390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 427550 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 789650 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1210030 789140 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1210030 434350 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 436050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210950 524450 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1210490 814980 ) RECT ( 0 -150 390 150 )
-    NEW met1 ( 1210030 317390 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 945370 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 1186770 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 1035130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210030 1083070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1210490 1276190 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 286350 2380 0 ) ( 286350 15810 )
+    NEW met1 ( 286350 15810 ) ( 293250 15810 )
+    NEW met2 ( 293250 15810 ) ( 293250 1652570 )
+    NEW met1 ( 293250 1652570 ) ( 1208650 1652570 )
+    NEW met2 ( 1208650 1652570 ) ( 1208650 1700340 0 )
+    NEW met1 ( 286350 15810 ) M1M2_PR
+    NEW met1 ( 293250 15810 ) M1M2_PR
+    NEW met1 ( 293250 1652570 ) M1M2_PR
+    NEW met1 ( 1208650 1652570 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) 
-  + ROUTED met2 ( 306130 2380 0 ) ( 306130 16830 )
-    NEW met1 ( 306130 16830 ) ( 310270 16830 )
-    NEW met2 ( 310270 16830 ) ( 310270 1690310 )
-    NEW met2 ( 1212330 1690310 ) ( 1212330 1700340 0 )
-    NEW met1 ( 310270 1690310 ) ( 1212330 1690310 )
-    NEW met1 ( 306130 16830 ) M1M2_PR
-    NEW met1 ( 310270 16830 ) M1M2_PR
-    NEW met1 ( 310270 1690310 ) M1M2_PR
-    NEW met1 ( 1212330 1690310 ) M1M2_PR
+  + ROUTED met2 ( 304290 2380 0 ) ( 304290 17850 )
+    NEW met2 ( 1210490 521220 ) ( 1210950 521220 )
+    NEW met1 ( 1173230 17850 ) ( 1173230 18530 )
+    NEW met1 ( 1173230 18530 ) ( 1183350 18530 )
+    NEW met2 ( 1183350 18530 ) ( 1183350 18700 )
+    NEW met1 ( 304290 17850 ) ( 1173230 17850 )
+    NEW met2 ( 1211870 1690820 ) ( 1212330 1690820 )
+    NEW met2 ( 1212330 1690820 ) ( 1212330 1700340 0 )
+    NEW met2 ( 1210950 596700 ) ( 1211870 596700 )
+    NEW met2 ( 1210950 521220 ) ( 1210950 596700 )
+    NEW met2 ( 1211410 693260 ) ( 1212330 693260 )
+    NEW met2 ( 1210950 1658860 ) ( 1211870 1658860 )
+    NEW met2 ( 1211870 1658860 ) ( 1211870 1690820 )
+    NEW met1 ( 1211410 1393830 ) ( 1212330 1393830 )
+    NEW met3 ( 1183350 18700 ) ( 1210950 18700 )
+    NEW met1 ( 1210490 469710 ) ( 1211410 469710 )
+    NEW met1 ( 1211410 469370 ) ( 1211410 469710 )
+    NEW met2 ( 1210490 469710 ) ( 1210490 521220 )
+    NEW met1 ( 1211410 855610 ) ( 1211870 855610 )
+    NEW met2 ( 1210950 1263780 ) ( 1211410 1263780 )
+    NEW met1 ( 1210950 1459450 ) ( 1212790 1459450 )
+    NEW met2 ( 1212790 1441940 ) ( 1212790 1459450 )
+    NEW met2 ( 1212330 1441940 ) ( 1212790 1441940 )
+    NEW met2 ( 1210950 1459450 ) ( 1210950 1658860 )
+    NEW met2 ( 1212330 1393830 ) ( 1212330 1441940 )
+    NEW met2 ( 1210950 35020 ) ( 1211410 35020 )
+    NEW met2 ( 1210950 18700 ) ( 1210950 35020 )
+    NEW met1 ( 1211870 131410 ) ( 1211870 131750 )
+    NEW met1 ( 1211870 131750 ) ( 1212330 131750 )
+    NEW met3 ( 1210260 324700 ) ( 1210950 324700 )
+    NEW met3 ( 1210260 324700 ) ( 1210260 325380 )
+    NEW met3 ( 1210260 325380 ) ( 1212330 325380 )
+    NEW met2 ( 1211870 638180 ) ( 1212330 638180 )
+    NEW met2 ( 1211870 596700 ) ( 1211870 638180 )
+    NEW met2 ( 1212330 638180 ) ( 1212330 693260 )
+    NEW met2 ( 1211410 693260 ) ( 1211410 855610 )
+    NEW met2 ( 1211410 96220 ) ( 1212330 96220 )
+    NEW met2 ( 1212330 96220 ) ( 1212330 96900 )
+    NEW met2 ( 1211870 96900 ) ( 1212330 96900 )
+    NEW met2 ( 1211410 35020 ) ( 1211410 96220 )
+    NEW met2 ( 1211870 96900 ) ( 1211870 131410 )
+    NEW met1 ( 1210950 317390 ) ( 1212330 317390 )
+    NEW met2 ( 1210950 317390 ) ( 1210950 324700 )
+    NEW met2 ( 1212330 131750 ) ( 1212330 317390 )
+    NEW li1 ( 1211410 366010 ) ( 1211410 413950 )
+    NEW met1 ( 1211410 366010 ) ( 1212330 366010 )
+    NEW met2 ( 1211410 413950 ) ( 1211410 469370 )
+    NEW met2 ( 1212330 325380 ) ( 1212330 366010 )
+    NEW met1 ( 1211410 1365950 ) ( 1211410 1366630 )
+    NEW met2 ( 1211410 1263780 ) ( 1211410 1365950 )
+    NEW met2 ( 1211410 1366630 ) ( 1211410 1393830 )
+    NEW met1 ( 1210950 944690 ) ( 1210950 945030 )
+    NEW met1 ( 1210950 944690 ) ( 1211870 944690 )
+    NEW met2 ( 1211870 855610 ) ( 1211870 944690 )
+    NEW met2 ( 1210490 1114180 ) ( 1210950 1114180 )
+    NEW met2 ( 1210490 1112820 ) ( 1210490 1114180 )
+    NEW met2 ( 1210490 1112820 ) ( 1211410 1112820 )
+    NEW li1 ( 1210950 1035130 ) ( 1210950 1077630 )
+    NEW met1 ( 1210950 1077630 ) ( 1211410 1077630 )
+    NEW met2 ( 1210950 945030 ) ( 1210950 1035130 )
+    NEW met2 ( 1211410 1077630 ) ( 1211410 1112820 )
+    NEW met1 ( 1210950 1179630 ) ( 1211410 1179630 )
+    NEW met2 ( 1210950 1114180 ) ( 1210950 1179630 )
+    NEW met2 ( 1210950 1221620 ) ( 1211870 1221620 )
+    NEW met2 ( 1211870 1203940 ) ( 1211870 1221620 )
+    NEW met2 ( 1211410 1203940 ) ( 1211870 1203940 )
+    NEW met2 ( 1210950 1221620 ) ( 1210950 1263780 )
+    NEW met2 ( 1211410 1179630 ) ( 1211410 1203940 )
+    NEW met1 ( 304290 17850 ) M1M2_PR
+    NEW met1 ( 1183350 18530 ) M1M2_PR
+    NEW met2 ( 1183350 18700 ) via2_FR
+    NEW met1 ( 1211410 1393830 ) M1M2_PR
+    NEW met1 ( 1212330 1393830 ) M1M2_PR
+    NEW met2 ( 1210950 18700 ) via2_FR
+    NEW met1 ( 1210490 469710 ) M1M2_PR
+    NEW met1 ( 1211410 469370 ) M1M2_PR
+    NEW met1 ( 1211410 855610 ) M1M2_PR
+    NEW met1 ( 1211870 855610 ) M1M2_PR
+    NEW met1 ( 1210950 1459450 ) M1M2_PR
+    NEW met1 ( 1212790 1459450 ) M1M2_PR
+    NEW met1 ( 1211870 131410 ) M1M2_PR
+    NEW met1 ( 1212330 131750 ) M1M2_PR
+    NEW met2 ( 1210950 324700 ) via2_FR
+    NEW met2 ( 1212330 325380 ) via2_FR
+    NEW met1 ( 1210950 317390 ) M1M2_PR
+    NEW met1 ( 1212330 317390 ) M1M2_PR
+    NEW li1 ( 1211410 413950 ) L1M1_PR_MR
+    NEW met1 ( 1211410 413950 ) M1M2_PR
+    NEW li1 ( 1211410 366010 ) L1M1_PR_MR
+    NEW met1 ( 1212330 366010 ) M1M2_PR
+    NEW met1 ( 1211410 1365950 ) M1M2_PR
+    NEW met1 ( 1211410 1366630 ) M1M2_PR
+    NEW met1 ( 1210950 945030 ) M1M2_PR
+    NEW met1 ( 1211870 944690 ) M1M2_PR
+    NEW li1 ( 1210950 1035130 ) L1M1_PR_MR
+    NEW met1 ( 1210950 1035130 ) M1M2_PR
+    NEW li1 ( 1210950 1077630 ) L1M1_PR_MR
+    NEW met1 ( 1211410 1077630 ) M1M2_PR
+    NEW met1 ( 1210950 1179630 ) M1M2_PR
+    NEW met1 ( 1211410 1179630 ) M1M2_PR
+    NEW met1 ( 1211410 413950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1210950 1035130 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) 
-  + ROUTED met2 ( 324070 2380 0 ) ( 324070 16830 )
-    NEW met2 ( 1173230 16830 ) ( 1173230 17340 )
-    NEW met3 ( 1173230 17340 ) ( 1183350 17340 )
-    NEW met2 ( 1183350 16830 ) ( 1183350 17340 )
-    NEW met1 ( 1183350 16830 ) ( 1216930 16830 )
-    NEW met1 ( 324070 16830 ) ( 1173230 16830 )
-    NEW met2 ( 1216010 1700340 0 ) ( 1216930 1700340 )
-    NEW met2 ( 1216930 16830 ) ( 1216930 1700340 )
-    NEW met1 ( 324070 16830 ) M1M2_PR
-    NEW met1 ( 1173230 16830 ) M1M2_PR
-    NEW met2 ( 1173230 17340 ) via2_FR
-    NEW met2 ( 1183350 17340 ) via2_FR
-    NEW met1 ( 1183350 16830 ) M1M2_PR
-    NEW met1 ( 1216930 16830 ) M1M2_PR
+  + ROUTED met2 ( 321770 2380 0 ) ( 321770 18190 )
+    NEW met2 ( 1216010 1700340 0 ) ( 1216470 1700340 )
+    NEW met2 ( 1216470 19550 ) ( 1216470 1700340 )
+    NEW met2 ( 1172770 18020 ) ( 1172770 18190 )
+    NEW met3 ( 1172770 18020 ) ( 1197610 18020 )
+    NEW met2 ( 1197610 18020 ) ( 1197610 19550 )
+    NEW met1 ( 321770 18190 ) ( 1172770 18190 )
+    NEW met1 ( 1197610 19550 ) ( 1216470 19550 )
+    NEW met1 ( 321770 18190 ) M1M2_PR
+    NEW met1 ( 1216470 19550 ) M1M2_PR
+    NEW met1 ( 1172770 18190 ) M1M2_PR
+    NEW met2 ( 1172770 18020 ) via2_FR
+    NEW met2 ( 1197610 18020 ) via2_FR
+    NEW met1 ( 1197610 19550 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) 
-  + ROUTED met2 ( 341550 2380 0 ) ( 341550 15810 )
-    NEW met1 ( 341550 15810 ) ( 344770 15810 )
-    NEW met2 ( 344770 15810 ) ( 344770 1685890 )
-    NEW met2 ( 1219690 1685890 ) ( 1219690 1700340 0 )
-    NEW met1 ( 344770 1685890 ) ( 1219690 1685890 )
-    NEW met1 ( 341550 15810 ) M1M2_PR
-    NEW met1 ( 344770 15810 ) M1M2_PR
-    NEW met1 ( 344770 1685890 ) M1M2_PR
-    NEW met1 ( 1219690 1685890 ) M1M2_PR
+  + ROUTED met2 ( 339710 2380 0 ) ( 339710 16830 )
+    NEW met1 ( 339710 16830 ) ( 352130 16830 )
+    NEW li1 ( 352130 16830 ) ( 352130 18530 )
+    NEW met2 ( 1211410 17850 ) ( 1211410 18020 )
+    NEW met1 ( 1211410 17850 ) ( 1218310 17850 )
+    NEW met1 ( 1218310 1678750 ) ( 1219230 1678750 )
+    NEW met2 ( 1219230 1678750 ) ( 1219230 1700340 )
+    NEW met2 ( 1219230 1700340 ) ( 1219690 1700340 0 )
+    NEW met2 ( 1218310 17850 ) ( 1218310 1678750 )
+    NEW li1 ( 483230 18530 ) ( 483230 21250 )
+    NEW met1 ( 483230 21250 ) ( 530610 21250 )
+    NEW li1 ( 530610 19550 ) ( 530610 21250 )
+    NEW li1 ( 530610 19550 ) ( 531070 19550 )
+    NEW li1 ( 531070 18530 ) ( 531070 19550 )
+    NEW met1 ( 352130 18530 ) ( 483230 18530 )
+    NEW li1 ( 579830 18530 ) ( 579830 21250 )
+    NEW met1 ( 579830 21250 ) ( 626750 21250 )
+    NEW li1 ( 626750 18530 ) ( 626750 21250 )
+    NEW li1 ( 626750 18530 ) ( 627670 18530 )
+    NEW met1 ( 531070 18530 ) ( 579830 18530 )
+    NEW li1 ( 773030 18530 ) ( 773490 18530 )
+    NEW li1 ( 773490 18530 ) ( 773490 21250 )
+    NEW met1 ( 773490 21250 ) ( 820410 21250 )
+    NEW li1 ( 820410 20230 ) ( 820410 21250 )
+    NEW li1 ( 820410 20230 ) ( 820870 20230 )
+    NEW li1 ( 820870 18530 ) ( 820870 20230 )
+    NEW met1 ( 627670 18530 ) ( 773030 18530 )
+    NEW met2 ( 1166790 16660 ) ( 1166790 18530 )
+    NEW met3 ( 1166790 16660 ) ( 1198300 16660 )
+    NEW met3 ( 1198300 16660 ) ( 1198300 18020 )
+    NEW met1 ( 820870 18530 ) ( 1166790 18530 )
+    NEW met3 ( 1198300 18020 ) ( 1211410 18020 )
+    NEW met1 ( 339710 16830 ) M1M2_PR
+    NEW li1 ( 352130 16830 ) L1M1_PR_MR
+    NEW li1 ( 352130 18530 ) L1M1_PR_MR
+    NEW met2 ( 1211410 18020 ) via2_FR
+    NEW met1 ( 1211410 17850 ) M1M2_PR
+    NEW met1 ( 1218310 17850 ) M1M2_PR
+    NEW met1 ( 1218310 1678750 ) M1M2_PR
+    NEW met1 ( 1219230 1678750 ) M1M2_PR
+    NEW li1 ( 483230 18530 ) L1M1_PR_MR
+    NEW li1 ( 483230 21250 ) L1M1_PR_MR
+    NEW li1 ( 530610 21250 ) L1M1_PR_MR
+    NEW li1 ( 531070 18530 ) L1M1_PR_MR
+    NEW li1 ( 579830 18530 ) L1M1_PR_MR
+    NEW li1 ( 579830 21250 ) L1M1_PR_MR
+    NEW li1 ( 626750 21250 ) L1M1_PR_MR
+    NEW li1 ( 627670 18530 ) L1M1_PR_MR
+    NEW li1 ( 773030 18530 ) L1M1_PR_MR
+    NEW li1 ( 773490 21250 ) L1M1_PR_MR
+    NEW li1 ( 820410 21250 ) L1M1_PR_MR
+    NEW li1 ( 820870 18530 ) L1M1_PR_MR
+    NEW met1 ( 1166790 18530 ) M1M2_PR
+    NEW met2 ( 1166790 16660 ) via2_FR
 + USE SIGNAL ;
 - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) 
-  + ROUTED met2 ( 359490 2380 0 ) ( 359490 15810 )
-    NEW met1 ( 932650 15470 ) ( 932650 15810 )
-    NEW met1 ( 932650 15470 ) ( 979110 15470 )
-    NEW met1 ( 979110 15470 ) ( 979110 15810 )
-    NEW met2 ( 1223370 15810 ) ( 1223370 1700340 0 )
-    NEW met1 ( 359490 15810 ) ( 932650 15810 )
-    NEW met1 ( 980490 15470 ) ( 980490 15810 )
-    NEW met1 ( 980490 15470 ) ( 1027410 15470 )
-    NEW met1 ( 1027410 15470 ) ( 1027410 15810 )
-    NEW met1 ( 979110 15810 ) ( 980490 15810 )
-    NEW met1 ( 1027410 15810 ) ( 1223370 15810 )
-    NEW met1 ( 359490 15810 ) M1M2_PR
-    NEW met1 ( 1223370 15810 ) M1M2_PR
+  + ROUTED met2 ( 357650 2380 0 ) ( 357650 19550 )
+    NEW li1 ( 625830 19550 ) ( 625830 21590 )
+    NEW li1 ( 625830 21590 ) ( 627210 21590 )
+    NEW li1 ( 627210 19550 ) ( 627210 21590 )
+    NEW met1 ( 357650 19550 ) ( 625830 19550 )
+    NEW met2 ( 1197150 19550 ) ( 1197150 20060 )
+    NEW met2 ( 1197150 20060 ) ( 1198070 20060 )
+    NEW met2 ( 1198070 16830 ) ( 1198070 20060 )
+    NEW met1 ( 1198070 16830 ) ( 1222450 16830 )
+    NEW met2 ( 1221990 579700 ) ( 1222450 579700 )
+    NEW met2 ( 1221990 555220 ) ( 1222450 555220 )
+    NEW met2 ( 1222450 555220 ) ( 1222450 579700 )
+    NEW met3 ( 1221070 627980 ) ( 1221990 627980 )
+    NEW met2 ( 1221990 579700 ) ( 1221990 627980 )
+    NEW li1 ( 959790 19550 ) ( 960250 19550 )
+    NEW met1 ( 627210 19550 ) ( 959790 19550 )
+    NEW met1 ( 960250 19550 ) ( 1197150 19550 )
+    NEW met1 ( 1221070 670650 ) ( 1221990 670650 )
+    NEW met2 ( 1221070 627980 ) ( 1221070 670650 )
+    NEW met2 ( 1221990 1656820 ) ( 1222450 1656820 )
+    NEW met1 ( 1222450 234770 ) ( 1223370 234770 )
+    NEW met2 ( 1222450 16830 ) ( 1222450 234770 )
+    NEW met1 ( 1221990 331330 ) ( 1222450 331330 )
+    NEW met1 ( 1221070 452030 ) ( 1221990 452030 )
+    NEW met2 ( 1222450 1692180 ) ( 1222910 1692180 )
+    NEW met2 ( 1222910 1692180 ) ( 1222910 1700340 )
+    NEW met2 ( 1222910 1700340 ) ( 1223370 1700340 0 )
+    NEW met2 ( 1222450 1656820 ) ( 1222450 1692180 )
+    NEW li1 ( 1221990 282030 ) ( 1221990 303790 )
+    NEW met1 ( 1221990 282030 ) ( 1223370 282030 )
+    NEW met2 ( 1221990 303790 ) ( 1221990 331330 )
+    NEW met2 ( 1223370 234770 ) ( 1223370 282030 )
+    NEW met2 ( 1221990 399500 ) ( 1222450 399500 )
+    NEW met2 ( 1221990 399500 ) ( 1221990 452030 )
+    NEW met2 ( 1222450 331330 ) ( 1222450 399500 )
+    NEW met1 ( 1221070 493510 ) ( 1221990 493510 )
+    NEW met2 ( 1221070 452030 ) ( 1221070 493510 )
+    NEW met2 ( 1221990 493510 ) ( 1221990 555220 )
+    NEW met1 ( 1221990 1483590 ) ( 1222450 1483590 )
+    NEW met1 ( 1221990 1379890 ) ( 1222450 1379890 )
+    NEW met1 ( 1221990 1538670 ) ( 1221990 1539010 )
+    NEW met1 ( 1221990 1539010 ) ( 1222450 1539010 )
+    NEW met2 ( 1222450 1539010 ) ( 1222450 1539860 )
+    NEW met2 ( 1221990 1539860 ) ( 1222450 1539860 )
+    NEW met2 ( 1221990 1483590 ) ( 1221990 1538670 )
+    NEW met2 ( 1221990 1539860 ) ( 1221990 1656820 )
+    NEW met2 ( 1221990 1403860 ) ( 1222450 1403860 )
+    NEW met2 ( 1221990 1379890 ) ( 1221990 1403860 )
+    NEW met2 ( 1222450 1403860 ) ( 1222450 1483590 )
+    NEW met1 ( 1221990 889950 ) ( 1222450 889950 )
+    NEW met1 ( 1221990 1083070 ) ( 1223370 1083070 )
+    NEW met3 ( 1222450 1324980 ) ( 1223370 1324980 )
+    NEW met2 ( 1223370 1324980 ) ( 1223370 1373090 )
+    NEW met1 ( 1222450 1373090 ) ( 1223370 1373090 )
+    NEW met2 ( 1222450 1373090 ) ( 1222450 1379890 )
+    NEW met1 ( 1221990 703290 ) ( 1221990 703970 )
+    NEW met2 ( 1221990 670650 ) ( 1221990 703290 )
+    NEW met1 ( 1221990 896410 ) ( 1221990 897090 )
+    NEW met1 ( 1221990 896410 ) ( 1222450 896410 )
+    NEW met2 ( 1222450 889950 ) ( 1222450 896410 )
+    NEW met2 ( 1221990 987020 ) ( 1222450 987020 )
+    NEW met2 ( 1222450 987020 ) ( 1222450 993310 )
+    NEW met1 ( 1222450 993310 ) ( 1223370 993310 )
+    NEW met2 ( 1221990 1083070 ) ( 1221990 1107550 )
+    NEW li1 ( 1221990 1107550 ) ( 1221990 1155490 )
+    NEW met2 ( 1221990 1155490 ) ( 1221990 1201730 )
+    NEW met2 ( 1221990 1276700 ) ( 1222450 1276700 )
+    NEW met2 ( 1221990 1276700 ) ( 1221990 1277380 )
+    NEW met2 ( 1221990 1277380 ) ( 1222450 1277380 )
+    NEW met2 ( 1222450 1277380 ) ( 1222450 1324980 )
+    NEW met2 ( 1221990 703970 ) ( 1221990 835550 )
+    NEW li1 ( 1221990 835550 ) ( 1221990 889950 )
+    NEW li1 ( 1221990 931770 ) ( 1221990 979710 )
+    NEW met2 ( 1221990 897090 ) ( 1221990 931770 )
+    NEW met2 ( 1221990 979710 ) ( 1221990 987020 )
+    NEW met1 ( 1223370 1034790 ) ( 1223830 1034790 )
+    NEW li1 ( 1223830 1034790 ) ( 1223830 1076270 )
+    NEW met1 ( 1223370 1076270 ) ( 1223830 1076270 )
+    NEW met2 ( 1223370 993310 ) ( 1223370 1034790 )
+    NEW met2 ( 1223370 1076270 ) ( 1223370 1083070 )
+    NEW met1 ( 1221990 1269390 ) ( 1222450 1269390 )
+    NEW li1 ( 1221990 1201730 ) ( 1221990 1269390 )
+    NEW met2 ( 1222450 1269390 ) ( 1222450 1276700 )
+    NEW met1 ( 357650 19550 ) M1M2_PR
+    NEW met1 ( 1222450 16830 ) M1M2_PR
+    NEW li1 ( 625830 19550 ) L1M1_PR_MR
+    NEW li1 ( 627210 19550 ) L1M1_PR_MR
+    NEW met1 ( 1197150 19550 ) M1M2_PR
+    NEW met1 ( 1198070 16830 ) M1M2_PR
+    NEW met2 ( 1221990 627980 ) via2_FR
+    NEW met2 ( 1221070 627980 ) via2_FR
+    NEW li1 ( 959790 19550 ) L1M1_PR_MR
+    NEW li1 ( 960250 19550 ) L1M1_PR_MR
+    NEW met1 ( 1221070 670650 ) M1M2_PR
+    NEW met1 ( 1221990 670650 ) M1M2_PR
+    NEW met1 ( 1222450 234770 ) M1M2_PR
+    NEW met1 ( 1223370 234770 ) M1M2_PR
+    NEW met1 ( 1221990 331330 ) M1M2_PR
+    NEW met1 ( 1222450 331330 ) M1M2_PR
+    NEW met1 ( 1221070 452030 ) M1M2_PR
+    NEW met1 ( 1221990 452030 ) M1M2_PR
+    NEW li1 ( 1221990 303790 ) L1M1_PR_MR
+    NEW met1 ( 1221990 303790 ) M1M2_PR
+    NEW li1 ( 1221990 282030 ) L1M1_PR_MR
+    NEW met1 ( 1223370 282030 ) M1M2_PR
+    NEW met1 ( 1221070 493510 ) M1M2_PR
+    NEW met1 ( 1221990 493510 ) M1M2_PR
+    NEW met1 ( 1222450 1483590 ) M1M2_PR
+    NEW met1 ( 1221990 1483590 ) M1M2_PR
+    NEW met1 ( 1221990 1379890 ) M1M2_PR
+    NEW met1 ( 1222450 1379890 ) M1M2_PR
+    NEW met1 ( 1221990 1538670 ) M1M2_PR
+    NEW met1 ( 1222450 1539010 ) M1M2_PR
+    NEW li1 ( 1221990 889950 ) L1M1_PR_MR
+    NEW met1 ( 1222450 889950 ) M1M2_PR
+    NEW met1 ( 1221990 1083070 ) M1M2_PR
+    NEW met1 ( 1223370 1083070 ) M1M2_PR
+    NEW li1 ( 1221990 1155490 ) L1M1_PR_MR
+    NEW met1 ( 1221990 1155490 ) M1M2_PR
+    NEW met2 ( 1222450 1324980 ) via2_FR
+    NEW met2 ( 1223370 1324980 ) via2_FR
+    NEW met1 ( 1223370 1373090 ) M1M2_PR
+    NEW met1 ( 1222450 1373090 ) M1M2_PR
+    NEW met1 ( 1221990 703290 ) M1M2_PR
+    NEW met1 ( 1221990 703970 ) M1M2_PR
+    NEW met1 ( 1221990 897090 ) M1M2_PR
+    NEW met1 ( 1222450 896410 ) M1M2_PR
+    NEW met1 ( 1222450 993310 ) M1M2_PR
+    NEW met1 ( 1223370 993310 ) M1M2_PR
+    NEW li1 ( 1221990 1107550 ) L1M1_PR_MR
+    NEW met1 ( 1221990 1107550 ) M1M2_PR
+    NEW li1 ( 1221990 1201730 ) L1M1_PR_MR
+    NEW met1 ( 1221990 1201730 ) M1M2_PR
+    NEW li1 ( 1221990 835550 ) L1M1_PR_MR
+    NEW met1 ( 1221990 835550 ) M1M2_PR
+    NEW li1 ( 1221990 931770 ) L1M1_PR_MR
+    NEW met1 ( 1221990 931770 ) M1M2_PR
+    NEW li1 ( 1221990 979710 ) L1M1_PR_MR
+    NEW met1 ( 1221990 979710 ) M1M2_PR
+    NEW met1 ( 1223370 1034790 ) M1M2_PR
+    NEW li1 ( 1223830 1034790 ) L1M1_PR_MR
+    NEW li1 ( 1223830 1076270 ) L1M1_PR_MR
+    NEW met1 ( 1223370 1076270 ) M1M2_PR
+    NEW li1 ( 1221990 1269390 ) L1M1_PR_MR
+    NEW met1 ( 1222450 1269390 ) M1M2_PR
+    NEW met1 ( 1221990 303790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 1155490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 1107550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 1201730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 835550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 931770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1221990 979710 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) 
-  + ROUTED met2 ( 377430 2380 0 ) ( 377430 16660 )
-    NEW met2 ( 377430 16660 ) ( 379270 16660 )
-    NEW met2 ( 379270 16660 ) ( 379270 1685550 )
-    NEW met2 ( 1227050 1685550 ) ( 1227050 1700340 0 )
-    NEW met1 ( 379270 1685550 ) ( 1227050 1685550 )
-    NEW met1 ( 379270 1685550 ) M1M2_PR
-    NEW met1 ( 1227050 1685550 ) M1M2_PR
+  + ROUTED met2 ( 375130 2380 0 ) ( 375130 19890 )
+    NEW met1 ( 1221530 1677730 ) ( 1226130 1677730 )
+    NEW met2 ( 1226130 1677730 ) ( 1226130 1700340 )
+    NEW met2 ( 1226130 1700340 ) ( 1227050 1700340 0 )
+    NEW met2 ( 603750 19890 ) ( 603750 20740 )
+    NEW met3 ( 603750 20740 ) ( 627670 20740 )
+    NEW met2 ( 627670 19890 ) ( 627670 20740 )
+    NEW met1 ( 375130 19890 ) ( 603750 19890 )
+    NEW met2 ( 959330 18700 ) ( 959330 19890 )
+    NEW met2 ( 959330 18700 ) ( 961170 18700 )
+    NEW met2 ( 961170 18700 ) ( 961170 19890 )
+    NEW met1 ( 627670 19890 ) ( 959330 19890 )
+    NEW met1 ( 961170 19890 ) ( 1221530 19890 )
+    NEW met2 ( 1221530 19890 ) ( 1221530 1677730 )
+    NEW met1 ( 375130 19890 ) M1M2_PR
+    NEW met1 ( 1221530 19890 ) M1M2_PR
+    NEW met1 ( 1221530 1677730 ) M1M2_PR
+    NEW met1 ( 1226130 1677730 ) M1M2_PR
+    NEW met1 ( 603750 19890 ) M1M2_PR
+    NEW met2 ( 603750 20740 ) via2_FR
+    NEW met2 ( 627670 20740 ) via2_FR
+    NEW met1 ( 627670 19890 ) M1M2_PR
+    NEW met1 ( 959330 19890 ) M1M2_PR
+    NEW met1 ( 961170 19890 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) 
-  + ROUTED met2 ( 395370 2380 0 ) ( 395370 15130 )
-    NEW met1 ( 424350 15130 ) ( 424350 15470 )
-    NEW met1 ( 395370 15130 ) ( 424350 15130 )
-    NEW li1 ( 932190 15470 ) ( 932650 15470 )
-    NEW li1 ( 932650 15130 ) ( 932650 15470 )
-    NEW met1 ( 424350 15470 ) ( 932190 15470 )
-    NEW li1 ( 1027410 15130 ) ( 1027410 15470 )
-    NEW li1 ( 1027410 15470 ) ( 1027870 15470 )
-    NEW met1 ( 1027870 15470 ) ( 1230730 15470 )
-    NEW li1 ( 978650 15130 ) ( 980490 15130 )
-    NEW met1 ( 932650 15130 ) ( 978650 15130 )
-    NEW met1 ( 980490 15130 ) ( 1027410 15130 )
-    NEW met1 ( 1230730 496570 ) ( 1230730 497250 )
-    NEW met1 ( 1230270 627470 ) ( 1230270 627810 )
-    NEW met1 ( 1230270 627470 ) ( 1230730 627470 )
-    NEW met1 ( 1230270 820590 ) ( 1230270 820930 )
-    NEW met1 ( 1230270 820590 ) ( 1230730 820590 )
-    NEW met1 ( 1230730 1159230 ) ( 1231190 1159230 )
-    NEW met1 ( 1230270 145010 ) ( 1230730 145010 )
-    NEW li1 ( 1230270 442170 ) ( 1230270 450330 )
-    NEW met1 ( 1230270 450330 ) ( 1230730 450330 )
-    NEW met2 ( 1230730 450330 ) ( 1230730 496570 )
-    NEW met2 ( 1230730 545020 ) ( 1231190 545020 )
-    NEW met2 ( 1231190 545020 ) ( 1231190 545700 )
-    NEW met2 ( 1230730 545700 ) ( 1231190 545700 )
-    NEW met2 ( 1230730 497250 ) ( 1230730 545020 )
-    NEW met1 ( 1230270 737970 ) ( 1230270 738650 )
-    NEW met1 ( 1230270 738650 ) ( 1230730 738650 )
-    NEW met2 ( 1230270 1690820 ) ( 1230730 1690820 )
-    NEW met2 ( 1230270 1690820 ) ( 1230270 1691500 )
-    NEW met2 ( 1230270 1691500 ) ( 1230730 1691500 )
-    NEW met2 ( 1230730 1691500 ) ( 1230730 1700340 0 )
-    NEW met1 ( 1230270 137870 ) ( 1230730 137870 )
-    NEW met2 ( 1230270 137870 ) ( 1230270 145010 )
-    NEW met2 ( 1230730 15470 ) ( 1230730 137870 )
-    NEW met2 ( 1230270 234260 ) ( 1230730 234260 )
-    NEW met2 ( 1230730 145010 ) ( 1230730 234260 )
-    NEW met2 ( 1230270 412420 ) ( 1231190 412420 )
-    NEW met2 ( 1230270 412420 ) ( 1230270 442170 )
-    NEW met2 ( 1230730 545700 ) ( 1230730 627470 )
-    NEW met1 ( 1230270 675750 ) ( 1230270 676430 )
-    NEW met2 ( 1230270 627810 ) ( 1230270 675750 )
-    NEW met2 ( 1230270 676430 ) ( 1230270 737970 )
-    NEW li1 ( 1230730 766190 ) ( 1230730 814130 )
-    NEW met2 ( 1230730 738650 ) ( 1230730 766190 )
-    NEW met2 ( 1230730 814130 ) ( 1230730 820590 )
-    NEW met1 ( 1230270 910690 ) ( 1230730 910690 )
-    NEW met2 ( 1230270 820930 ) ( 1230270 910690 )
-    NEW li1 ( 1230270 1248990 ) ( 1230270 1270750 )
-    NEW met1 ( 1230270 1270750 ) ( 1230730 1270750 )
-    NEW met1 ( 1230270 1635570 ) ( 1231190 1635570 )
-    NEW met2 ( 1231190 1635570 ) ( 1231190 1642540 )
-    NEW met2 ( 1230730 1642540 ) ( 1231190 1642540 )
-    NEW met2 ( 1230730 1642540 ) ( 1230730 1690820 )
-    NEW li1 ( 1231190 331330 ) ( 1231190 352410 )
-    NEW met2 ( 1231190 352410 ) ( 1231190 412420 )
-    NEW met2 ( 1229810 1248820 ) ( 1230270 1248820 )
-    NEW met2 ( 1229810 1207170 ) ( 1229810 1248820 )
-    NEW met1 ( 1229810 1207170 ) ( 1231190 1207170 )
-    NEW met2 ( 1230270 1248820 ) ( 1230270 1248990 )
-    NEW met2 ( 1231190 1159230 ) ( 1231190 1207170 )
-    NEW met2 ( 1230270 288660 ) ( 1231190 288660 )
-    NEW met2 ( 1230270 234260 ) ( 1230270 288660 )
-    NEW met2 ( 1231190 288660 ) ( 1231190 331330 )
-    NEW met1 ( 1230270 1110610 ) ( 1230270 1111290 )
-    NEW met1 ( 1230270 1111290 ) ( 1230730 1111290 )
-    NEW met2 ( 1230730 1111290 ) ( 1230730 1159230 )
-    NEW met1 ( 1229810 945370 ) ( 1230730 945370 )
-    NEW met2 ( 1229810 945370 ) ( 1229810 992460 )
-    NEW met3 ( 1229810 992460 ) ( 1230500 992460 )
-    NEW met2 ( 1230730 910690 ) ( 1230730 945370 )
-    NEW met2 ( 1229810 1065220 ) ( 1230270 1065220 )
-    NEW met2 ( 1230270 1065220 ) ( 1230270 1110610 )
-    NEW met3 ( 1230270 1429020 ) ( 1230500 1429020 )
-    NEW met3 ( 1230500 1428340 ) ( 1230500 1429020 )
-    NEW met3 ( 1230500 1428340 ) ( 1230730 1428340 )
-    NEW met2 ( 1230270 1429020 ) ( 1230270 1635570 )
-    NEW met2 ( 1229350 1017620 ) ( 1229810 1017620 )
-    NEW met2 ( 1229350 993820 ) ( 1229350 1017620 )
-    NEW met3 ( 1229350 993820 ) ( 1230500 993820 )
-    NEW met2 ( 1229810 1017620 ) ( 1229810 1065220 )
-    NEW met3 ( 1230500 992460 ) ( 1230500 993820 )
-    NEW li1 ( 1230730 1386690 ) ( 1230730 1394170 )
-    NEW met2 ( 1230730 1270750 ) ( 1230730 1386690 )
-    NEW met2 ( 1230730 1394170 ) ( 1230730 1428340 )
-    NEW met1 ( 395370 15130 ) M1M2_PR
-    NEW li1 ( 932190 15470 ) L1M1_PR_MR
-    NEW li1 ( 932650 15130 ) L1M1_PR_MR
-    NEW met1 ( 1230730 15470 ) M1M2_PR
-    NEW li1 ( 1027410 15130 ) L1M1_PR_MR
-    NEW li1 ( 1027870 15470 ) L1M1_PR_MR
-    NEW li1 ( 978650 15130 ) L1M1_PR_MR
-    NEW li1 ( 980490 15130 ) L1M1_PR_MR
-    NEW met1 ( 1230730 497250 ) M1M2_PR
-    NEW met1 ( 1230730 496570 ) M1M2_PR
-    NEW met1 ( 1230270 627810 ) M1M2_PR
-    NEW met1 ( 1230730 627470 ) M1M2_PR
-    NEW met1 ( 1230270 820930 ) M1M2_PR
-    NEW met1 ( 1230730 820590 ) M1M2_PR
-    NEW met1 ( 1231190 1159230 ) M1M2_PR
-    NEW met1 ( 1230730 1159230 ) M1M2_PR
-    NEW met1 ( 1230270 145010 ) M1M2_PR
-    NEW met1 ( 1230730 145010 ) M1M2_PR
-    NEW li1 ( 1230270 442170 ) L1M1_PR_MR
-    NEW met1 ( 1230270 442170 ) M1M2_PR
-    NEW li1 ( 1230270 450330 ) L1M1_PR_MR
-    NEW met1 ( 1230730 450330 ) M1M2_PR
-    NEW met1 ( 1230270 737970 ) M1M2_PR
-    NEW met1 ( 1230730 738650 ) M1M2_PR
-    NEW met1 ( 1230270 137870 ) M1M2_PR
-    NEW met1 ( 1230730 137870 ) M1M2_PR
-    NEW met1 ( 1230270 675750 ) M1M2_PR
-    NEW met1 ( 1230270 676430 ) M1M2_PR
-    NEW li1 ( 1230730 766190 ) L1M1_PR_MR
-    NEW met1 ( 1230730 766190 ) M1M2_PR
-    NEW li1 ( 1230730 814130 ) L1M1_PR_MR
-    NEW met1 ( 1230730 814130 ) M1M2_PR
-    NEW met1 ( 1230270 910690 ) M1M2_PR
-    NEW met1 ( 1230730 910690 ) M1M2_PR
-    NEW li1 ( 1230270 1248990 ) L1M1_PR_MR
-    NEW met1 ( 1230270 1248990 ) M1M2_PR
-    NEW li1 ( 1230270 1270750 ) L1M1_PR_MR
-    NEW met1 ( 1230730 1270750 ) M1M2_PR
-    NEW met1 ( 1230270 1635570 ) M1M2_PR
-    NEW met1 ( 1231190 1635570 ) M1M2_PR
-    NEW li1 ( 1231190 331330 ) L1M1_PR_MR
-    NEW met1 ( 1231190 331330 ) M1M2_PR
-    NEW li1 ( 1231190 352410 ) L1M1_PR_MR
-    NEW met1 ( 1231190 352410 ) M1M2_PR
-    NEW met1 ( 1229810 1207170 ) M1M2_PR
-    NEW met1 ( 1231190 1207170 ) M1M2_PR
-    NEW met1 ( 1230270 1110610 ) M1M2_PR
-    NEW met1 ( 1230730 1111290 ) M1M2_PR
-    NEW met1 ( 1230730 945370 ) M1M2_PR
-    NEW met1 ( 1229810 945370 ) M1M2_PR
-    NEW met2 ( 1229810 992460 ) via2_FR
-    NEW met2 ( 1230270 1429020 ) via2_FR
-    NEW met2 ( 1230730 1428340 ) via2_FR
-    NEW met2 ( 1229350 993820 ) via2_FR
-    NEW li1 ( 1230730 1386690 ) L1M1_PR_MR
-    NEW met1 ( 1230730 1386690 ) M1M2_PR
-    NEW li1 ( 1230730 1394170 ) L1M1_PR_MR
-    NEW met1 ( 1230730 1394170 ) M1M2_PR
-    NEW met1 ( 1230270 442170 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1230730 766190 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1230730 814130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1230270 1248990 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1231190 331330 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1231190 352410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1230730 1386690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1230730 1394170 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 393070 2380 0 ) ( 393070 15810 )
+    NEW met1 ( 393070 15810 ) ( 407330 15810 )
+    NEW li1 ( 407330 15810 ) ( 407330 16830 )
+    NEW li1 ( 422050 16830 ) ( 422050 20230 )
+    NEW met1 ( 407330 16830 ) ( 422050 16830 )
+    NEW met2 ( 1230730 15810 ) ( 1230730 1700340 0 )
+    NEW li1 ( 1197610 15810 ) ( 1197610 20230 )
+    NEW met1 ( 1197610 15810 ) ( 1230730 15810 )
+    NEW met1 ( 626750 19890 ) ( 626750 20230 )
+    NEW met1 ( 626750 19890 ) ( 627210 19890 )
+    NEW met1 ( 627210 19890 ) ( 627210 20230 )
+    NEW met1 ( 422050 20230 ) ( 626750 20230 )
+    NEW met1 ( 627210 20230 ) ( 1197610 20230 )
+    NEW met1 ( 393070 15810 ) M1M2_PR
+    NEW li1 ( 407330 15810 ) L1M1_PR_MR
+    NEW li1 ( 407330 16830 ) L1M1_PR_MR
+    NEW li1 ( 422050 16830 ) L1M1_PR_MR
+    NEW li1 ( 422050 20230 ) L1M1_PR_MR
+    NEW met1 ( 1230730 15810 ) M1M2_PR
+    NEW li1 ( 1197610 20230 ) L1M1_PR_MR
+    NEW li1 ( 1197610 15810 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) 
-  + ROUTED met2 ( 413310 2380 0 ) ( 413310 17340 )
-    NEW met2 ( 413310 17340 ) ( 413770 17340 )
-    NEW met2 ( 413770 17340 ) ( 413770 1685210 )
-    NEW met2 ( 1234410 1685210 ) ( 1234410 1700340 0 )
-    NEW met1 ( 413770 1685210 ) ( 1234410 1685210 )
-    NEW met1 ( 413770 1685210 ) M1M2_PR
-    NEW met1 ( 1234410 1685210 ) M1M2_PR
+  + ROUTED met2 ( 410550 2380 0 ) ( 410550 20230 )
+    NEW met1 ( 421590 20230 ) ( 421590 20570 )
+    NEW met1 ( 410550 20230 ) ( 421590 20230 )
+    NEW met1 ( 1228890 1678750 ) ( 1233490 1678750 )
+    NEW met2 ( 1233490 1678750 ) ( 1233490 1700340 )
+    NEW met2 ( 1233490 1700340 ) ( 1234410 1700340 0 )
+    NEW met2 ( 626750 20570 ) ( 626750 20740 )
+    NEW met2 ( 626750 20740 ) ( 627210 20740 )
+    NEW met2 ( 627210 20570 ) ( 627210 20740 )
+    NEW met2 ( 773030 20570 ) ( 773030 20740 )
+    NEW met3 ( 773030 20740 ) ( 820410 20740 )
+    NEW met2 ( 820410 20060 ) ( 820410 20740 )
+    NEW li1 ( 434930 20570 ) ( 434930 21250 )
+    NEW met1 ( 434930 21250 ) ( 482770 21250 )
+    NEW li1 ( 482770 20570 ) ( 482770 21250 )
+    NEW met1 ( 421590 20570 ) ( 434930 20570 )
+    NEW li1 ( 531530 20570 ) ( 531530 22270 )
+    NEW met1 ( 531530 22270 ) ( 579370 22270 )
+    NEW li1 ( 579370 20570 ) ( 579370 22270 )
+    NEW met1 ( 482770 20570 ) ( 531530 20570 )
+    NEW met1 ( 579370 20570 ) ( 626750 20570 )
+    NEW met1 ( 627210 20570 ) ( 773030 20570 )
+    NEW met2 ( 821330 20060 ) ( 821330 20740 )
+    NEW met2 ( 820410 20060 ) ( 821330 20060 )
+    NEW met2 ( 1111130 20060 ) ( 1111130 20570 )
+    NEW met2 ( 1111130 20060 ) ( 1112050 20060 )
+    NEW met2 ( 1112050 20060 ) ( 1112050 22100 )
+    NEW met2 ( 910110 20570 ) ( 910110 20740 )
+    NEW li1 ( 910110 20570 ) ( 910570 20570 )
+    NEW met3 ( 821330 20740 ) ( 910110 20740 )
+    NEW met1 ( 1055930 20570 ) ( 1055930 20910 )
+    NEW li1 ( 1055930 20910 ) ( 1056390 20910 )
+    NEW met2 ( 1056390 20910 ) ( 1056390 22100 )
+    NEW met3 ( 1056390 22100 ) ( 1102850 22100 )
+    NEW met2 ( 1102850 20060 ) ( 1102850 22100 )
+    NEW met2 ( 1102850 20060 ) ( 1103770 20060 )
+    NEW met2 ( 1103770 20060 ) ( 1103770 20570 )
+    NEW met1 ( 1103770 20570 ) ( 1111130 20570 )
+    NEW li1 ( 911030 20570 ) ( 911030 22610 )
+    NEW met1 ( 911030 22610 ) ( 958870 22610 )
+    NEW li1 ( 958870 20570 ) ( 958870 22610 )
+    NEW met1 ( 910570 20570 ) ( 911030 20570 )
+    NEW li1 ( 1007630 20570 ) ( 1008090 20570 )
+    NEW met2 ( 1008090 20570 ) ( 1008090 20740 )
+    NEW met3 ( 1008090 20740 ) ( 1054550 20740 )
+    NEW met2 ( 1054550 20570 ) ( 1054550 20740 )
+    NEW li1 ( 1054550 20570 ) ( 1055010 20570 )
+    NEW met1 ( 958870 20570 ) ( 1007630 20570 )
+    NEW met1 ( 1055010 20570 ) ( 1055930 20570 )
+    NEW met3 ( 1112050 22100 ) ( 1228890 22100 )
+    NEW met2 ( 1228890 22100 ) ( 1228890 1678750 )
+    NEW met1 ( 410550 20230 ) M1M2_PR
+    NEW met1 ( 1228890 1678750 ) M1M2_PR
+    NEW met1 ( 1233490 1678750 ) M1M2_PR
+    NEW met1 ( 626750 20570 ) M1M2_PR
+    NEW met1 ( 627210 20570 ) M1M2_PR
+    NEW met1 ( 773030 20570 ) M1M2_PR
+    NEW met2 ( 773030 20740 ) via2_FR
+    NEW met2 ( 820410 20740 ) via2_FR
+    NEW li1 ( 434930 20570 ) L1M1_PR_MR
+    NEW li1 ( 434930 21250 ) L1M1_PR_MR
+    NEW li1 ( 482770 21250 ) L1M1_PR_MR
+    NEW li1 ( 482770 20570 ) L1M1_PR_MR
+    NEW li1 ( 531530 20570 ) L1M1_PR_MR
+    NEW li1 ( 531530 22270 ) L1M1_PR_MR
+    NEW li1 ( 579370 22270 ) L1M1_PR_MR
+    NEW li1 ( 579370 20570 ) L1M1_PR_MR
+    NEW met2 ( 821330 20740 ) via2_FR
+    NEW met1 ( 1111130 20570 ) M1M2_PR
+    NEW met2 ( 1112050 22100 ) via2_FR
+    NEW met2 ( 910110 20740 ) via2_FR
+    NEW li1 ( 910110 20570 ) L1M1_PR_MR
+    NEW met1 ( 910110 20570 ) M1M2_PR
+    NEW li1 ( 910570 20570 ) L1M1_PR_MR
+    NEW li1 ( 1055930 20910 ) L1M1_PR_MR
+    NEW li1 ( 1056390 20910 ) L1M1_PR_MR
+    NEW met1 ( 1056390 20910 ) M1M2_PR
+    NEW met2 ( 1056390 22100 ) via2_FR
+    NEW met2 ( 1102850 22100 ) via2_FR
+    NEW met1 ( 1103770 20570 ) M1M2_PR
+    NEW li1 ( 911030 20570 ) L1M1_PR_MR
+    NEW li1 ( 911030 22610 ) L1M1_PR_MR
+    NEW li1 ( 958870 22610 ) L1M1_PR_MR
+    NEW li1 ( 958870 20570 ) L1M1_PR_MR
+    NEW li1 ( 1007630 20570 ) L1M1_PR_MR
+    NEW li1 ( 1008090 20570 ) L1M1_PR_MR
+    NEW met1 ( 1008090 20570 ) M1M2_PR
+    NEW met2 ( 1008090 20740 ) via2_FR
+    NEW met2 ( 1054550 20740 ) via2_FR
+    NEW li1 ( 1054550 20570 ) L1M1_PR_MR
+    NEW met1 ( 1054550 20570 ) M1M2_PR
+    NEW li1 ( 1055010 20570 ) L1M1_PR_MR
+    NEW met2 ( 1228890 22100 ) via2_FR
+    NEW met1 ( 910110 20570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1056390 20910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1008090 20570 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 1054550 20570 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) 
-  + ROUTED met2 ( 74290 2380 0 ) ( 74290 17340 )
-    NEW met3 ( 1136660 16660 ) ( 1136660 17340 )
-    NEW met3 ( 1136660 16660 ) ( 1161270 16660 )
-    NEW met1 ( 1161270 1677390 ) ( 1164030 1677390 )
-    NEW met2 ( 1164030 1677390 ) ( 1164030 1700340 )
+  + ROUTED met1 ( 1161270 1688270 ) ( 1164030 1688270 )
+    NEW met2 ( 1164030 1688270 ) ( 1164030 1700340 )
     NEW met2 ( 1164030 1700340 ) ( 1164490 1700340 0 )
-    NEW met2 ( 1161270 16660 ) ( 1161270 1677390 )
-    NEW met2 ( 288650 17340 ) ( 288650 18020 )
-    NEW met2 ( 288650 18020 ) ( 289570 18020 )
-    NEW met2 ( 289570 17340 ) ( 289570 18020 )
-    NEW met3 ( 74290 17340 ) ( 288650 17340 )
-    NEW met3 ( 289570 17340 ) ( 1136660 17340 )
-    NEW met2 ( 74290 17340 ) via2_FR
-    NEW met2 ( 1161270 16660 ) via2_FR
-    NEW met1 ( 1161270 1677390 ) M1M2_PR
-    NEW met1 ( 1164030 1677390 ) M1M2_PR
-    NEW met2 ( 288650 17340 ) via2_FR
-    NEW met2 ( 289570 17340 ) via2_FR
+    NEW met2 ( 73830 2380 0 ) ( 73830 17340 )
+    NEW met2 ( 1160350 17340 ) ( 1160350 35700 )
+    NEW met2 ( 1160350 35700 ) ( 1161270 35700 )
+    NEW met2 ( 1161270 35700 ) ( 1161270 1688270 )
+    NEW met3 ( 73830 17340 ) ( 1160350 17340 )
+    NEW met1 ( 1161270 1688270 ) M1M2_PR
+    NEW met1 ( 1164030 1688270 ) M1M2_PR
+    NEW met2 ( 73830 17340 ) via2_FR
+    NEW met2 ( 1160350 17340 ) via2_FR
 + USE SIGNAL ;
 - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) 
-  + ROUTED met2 ( 430790 2380 0 ) ( 430790 15130 )
-    NEW met1 ( 430790 15130 ) ( 434470 15130 )
-    NEW met2 ( 434470 15130 ) ( 434470 1684870 )
-    NEW met2 ( 1238090 1684870 ) ( 1238090 1700340 0 )
-    NEW met1 ( 434470 1684870 ) ( 1238090 1684870 )
-    NEW met1 ( 430790 15130 ) M1M2_PR
-    NEW met1 ( 434470 15130 ) M1M2_PR
-    NEW met1 ( 434470 1684870 ) M1M2_PR
-    NEW met1 ( 1238090 1684870 ) M1M2_PR
+  + ROUTED met2 ( 428490 2380 0 ) ( 428490 15810 )
+    NEW met1 ( 428490 15810 ) ( 462530 15810 )
+    NEW li1 ( 462530 15810 ) ( 462530 16830 )
+    NEW met2 ( 1237170 1700340 ) ( 1238090 1700340 0 )
+    NEW li1 ( 1177370 16830 ) ( 1177370 18190 )
+    NEW met1 ( 462530 16830 ) ( 1177370 16830 )
+    NEW met1 ( 1177370 18190 ) ( 1237170 18190 )
+    NEW li1 ( 1237170 578850 ) ( 1237170 579870 )
+    NEW met2 ( 1237170 18190 ) ( 1237170 578850 )
+    NEW met1 ( 1237170 702950 ) ( 1237170 703970 )
+    NEW met2 ( 1237170 579870 ) ( 1237170 702950 )
+    NEW met2 ( 1237170 703970 ) ( 1237170 1700340 )
+    NEW met1 ( 428490 15810 ) M1M2_PR
+    NEW li1 ( 462530 15810 ) L1M1_PR_MR
+    NEW li1 ( 462530 16830 ) L1M1_PR_MR
+    NEW met1 ( 1237170 18190 ) M1M2_PR
+    NEW li1 ( 1177370 16830 ) L1M1_PR_MR
+    NEW li1 ( 1177370 18190 ) L1M1_PR_MR
+    NEW li1 ( 1237170 578850 ) L1M1_PR_MR
+    NEW met1 ( 1237170 578850 ) M1M2_PR
+    NEW li1 ( 1237170 579870 ) L1M1_PR_MR
+    NEW met1 ( 1237170 579870 ) M1M2_PR
+    NEW met1 ( 1237170 702950 ) M1M2_PR
+    NEW met1 ( 1237170 703970 ) M1M2_PR
+    NEW met1 ( 1237170 578850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237170 579870 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) 
-  + ROUTED met1 ( 510830 14790 ) ( 510830 15130 )
-    NEW met2 ( 448730 2380 0 ) ( 448730 14790 )
-    NEW met1 ( 448730 14790 ) ( 510830 14790 )
-    NEW met2 ( 931730 15130 ) ( 931730 15300 )
-    NEW met2 ( 931730 15300 ) ( 933110 15300 )
-    NEW met2 ( 933110 14790 ) ( 933110 15300 )
-    NEW met1 ( 1237170 1678750 ) ( 1240850 1678750 )
-    NEW met2 ( 1240850 1678750 ) ( 1240850 1700340 )
-    NEW met2 ( 1240850 1700340 ) ( 1241770 1700340 0 )
-    NEW met2 ( 1237170 15130 ) ( 1237170 1678750 )
-    NEW met1 ( 510830 15130 ) ( 931730 15130 )
-    NEW met2 ( 977270 14620 ) ( 977270 14790 )
-    NEW met1 ( 933110 14790 ) ( 977270 14790 )
-    NEW met2 ( 1036150 14620 ) ( 1036150 15130 )
-    NEW met3 ( 977270 14620 ) ( 1036150 14620 )
-    NEW met1 ( 1036150 15130 ) ( 1237170 15130 )
-    NEW met1 ( 448730 14790 ) M1M2_PR
-    NEW met1 ( 931730 15130 ) M1M2_PR
-    NEW met1 ( 933110 14790 ) M1M2_PR
-    NEW met1 ( 1237170 15130 ) M1M2_PR
-    NEW met1 ( 1237170 1678750 ) M1M2_PR
-    NEW met1 ( 1240850 1678750 ) M1M2_PR
-    NEW met1 ( 977270 14790 ) M1M2_PR
-    NEW met2 ( 977270 14620 ) via2_FR
-    NEW met2 ( 1036150 14620 ) via2_FR
-    NEW met1 ( 1036150 15130 ) M1M2_PR
+  + ROUTED li1 ( 510370 15130 ) ( 510830 15130 )
+    NEW li1 ( 510830 15130 ) ( 510830 15810 )
+    NEW met2 ( 445970 2380 0 ) ( 445970 15130 )
+    NEW met1 ( 445970 15130 ) ( 510370 15130 )
+    NEW met2 ( 1237630 18530 ) ( 1237630 21420 )
+    NEW met2 ( 1237630 21420 ) ( 1238090 21420 )
+    NEW li1 ( 1196690 15810 ) ( 1196690 18530 )
+    NEW met1 ( 510830 15810 ) ( 1196690 15810 )
+    NEW met1 ( 1196690 18530 ) ( 1237630 18530 )
+    NEW met3 ( 1236710 531420 ) ( 1237630 531420 )
+    NEW met2 ( 1240850 1690820 ) ( 1241310 1690820 )
+    NEW met2 ( 1241310 1690820 ) ( 1241310 1700340 )
+    NEW met2 ( 1241310 1700340 ) ( 1241770 1700340 0 )
+    NEW met1 ( 1236710 578510 ) ( 1238090 578510 )
+    NEW met2 ( 1238090 578510 ) ( 1238090 579700 )
+    NEW met2 ( 1237630 579700 ) ( 1238090 579700 )
+    NEW met2 ( 1236710 531420 ) ( 1236710 578510 )
+    NEW met1 ( 1237630 427890 ) ( 1238090 427890 )
+    NEW li1 ( 1237630 276250 ) ( 1237630 324190 )
+    NEW met1 ( 1237630 276250 ) ( 1238550 276250 )
+    NEW met1 ( 1237630 420750 ) ( 1238550 420750 )
+    NEW met2 ( 1238550 372980 ) ( 1238550 420750 )
+    NEW met2 ( 1238090 372980 ) ( 1238550 372980 )
+    NEW met2 ( 1237630 420750 ) ( 1237630 427890 )
+    NEW met1 ( 1237630 517310 ) ( 1238090 517310 )
+    NEW met2 ( 1237630 517310 ) ( 1237630 531420 )
+    NEW li1 ( 1238090 427890 ) ( 1238090 517310 )
+    NEW met1 ( 1237630 234430 ) ( 1237630 235110 )
+    NEW met1 ( 1237630 235110 ) ( 1238550 235110 )
+    NEW met2 ( 1238550 235110 ) ( 1238550 276250 )
+    NEW met1 ( 1237630 330990 ) ( 1237630 331330 )
+    NEW met1 ( 1237630 331330 ) ( 1238090 331330 )
+    NEW met2 ( 1237630 324190 ) ( 1237630 330990 )
+    NEW met2 ( 1238090 331330 ) ( 1238090 372980 )
+    NEW met1 ( 1236710 614210 ) ( 1237630 614210 )
+    NEW met2 ( 1237630 579700 ) ( 1237630 614210 )
+    NEW met2 ( 1236250 661980 ) ( 1236710 661980 )
+    NEW met2 ( 1236710 614210 ) ( 1236710 661980 )
+    NEW li1 ( 1237630 1138830 ) ( 1237630 1186770 )
+    NEW met2 ( 1237630 1138660 ) ( 1237630 1138830 )
+    NEW met2 ( 1237630 1138660 ) ( 1238090 1138660 )
+    NEW met1 ( 1238090 1241850 ) ( 1238090 1242530 )
+    NEW met1 ( 1238090 1242530 ) ( 1238550 1242530 )
+    NEW met1 ( 1238550 1538330 ) ( 1238550 1539010 )
+    NEW met2 ( 1238550 1539010 ) ( 1238550 1548870 )
+    NEW met2 ( 1238550 1548870 ) ( 1239010 1548870 )
+    NEW li1 ( 1237630 124270 ) ( 1237630 164390 )
+    NEW met1 ( 1237630 124270 ) ( 1238090 124270 )
+    NEW met2 ( 1237630 164390 ) ( 1237630 234430 )
+    NEW met2 ( 1238090 21420 ) ( 1238090 124270 )
+    NEW met2 ( 1237630 800700 ) ( 1238090 800700 )
+    NEW met2 ( 1237630 1096500 ) ( 1238550 1096500 )
+    NEW met2 ( 1238550 1096500 ) ( 1238550 1097860 )
+    NEW met2 ( 1238090 1097860 ) ( 1238550 1097860 )
+    NEW met2 ( 1238090 1097860 ) ( 1238090 1138660 )
+    NEW met3 ( 1237630 1186940 ) ( 1239470 1186940 )
+    NEW met2 ( 1239470 1186940 ) ( 1239470 1234540 )
+    NEW met3 ( 1238090 1234540 ) ( 1239470 1234540 )
+    NEW met2 ( 1237630 1186770 ) ( 1237630 1186940 )
+    NEW met2 ( 1238090 1234540 ) ( 1238090 1241850 )
+    NEW met1 ( 1239010 1586950 ) ( 1239470 1586950 )
+    NEW met1 ( 1239470 1586950 ) ( 1239470 1587630 )
+    NEW met2 ( 1239010 1548870 ) ( 1239010 1586950 )
+    NEW li1 ( 1237630 769250 ) ( 1237630 793390 )
+    NEW met2 ( 1237630 793390 ) ( 1237630 800700 )
+    NEW li1 ( 1237630 1041250 ) ( 1237630 1083070 )
+    NEW met1 ( 1237630 1041250 ) ( 1238550 1041250 )
+    NEW met2 ( 1237630 1083070 ) ( 1237630 1096500 )
+    NEW met1 ( 1238090 1469650 ) ( 1238550 1469650 )
+    NEW met3 ( 1239470 1614660 ) ( 1240850 1614660 )
+    NEW met2 ( 1239470 1587630 ) ( 1239470 1614660 )
+    NEW met2 ( 1240850 1614660 ) ( 1240850 1690820 )
+    NEW met1 ( 1236250 708730 ) ( 1237630 708730 )
+    NEW met2 ( 1236250 661980 ) ( 1236250 708730 )
+    NEW met2 ( 1237630 708730 ) ( 1237630 769250 )
+    NEW li1 ( 1237630 986850 ) ( 1237630 1034790 )
+    NEW met1 ( 1237630 1034790 ) ( 1238550 1034790 )
+    NEW met2 ( 1238550 1034790 ) ( 1238550 1041250 )
+    NEW met1 ( 1238550 1276530 ) ( 1239010 1276530 )
+    NEW met2 ( 1238550 1242530 ) ( 1238550 1276530 )
+    NEW met3 ( 1237630 1373260 ) ( 1239010 1373260 )
+    NEW met2 ( 1239010 1276530 ) ( 1239010 1373260 )
+    NEW met2 ( 1238550 1469650 ) ( 1238550 1538330 )
+    NEW met2 ( 1237630 931940 ) ( 1238090 931940 )
+    NEW met2 ( 1237630 931940 ) ( 1237630 986850 )
+    NEW li1 ( 1237630 1414910 ) ( 1237630 1462510 )
+    NEW met1 ( 1237630 1462510 ) ( 1238090 1462510 )
+    NEW met2 ( 1237630 1373260 ) ( 1237630 1414910 )
+    NEW met2 ( 1238090 1462510 ) ( 1238090 1469650 )
+    NEW li1 ( 1238090 883490 ) ( 1238090 931430 )
+    NEW met2 ( 1238090 800700 ) ( 1238090 883490 )
+    NEW met2 ( 1238090 931430 ) ( 1238090 931940 )
+    NEW li1 ( 510370 15130 ) L1M1_PR_MR
+    NEW li1 ( 510830 15810 ) L1M1_PR_MR
+    NEW met1 ( 445970 15130 ) M1M2_PR
+    NEW met1 ( 1237630 18530 ) M1M2_PR
+    NEW li1 ( 1196690 15810 ) L1M1_PR_MR
+    NEW li1 ( 1196690 18530 ) L1M1_PR_MR
+    NEW met2 ( 1237630 531420 ) via2_FR
+    NEW met2 ( 1236710 531420 ) via2_FR
+    NEW met1 ( 1236710 578510 ) M1M2_PR
+    NEW met1 ( 1238090 578510 ) M1M2_PR
+    NEW met1 ( 1237630 427890 ) M1M2_PR
+    NEW li1 ( 1238090 427890 ) L1M1_PR_MR
+    NEW li1 ( 1237630 324190 ) L1M1_PR_MR
+    NEW met1 ( 1237630 324190 ) M1M2_PR
+    NEW li1 ( 1237630 276250 ) L1M1_PR_MR
+    NEW met1 ( 1238550 276250 ) M1M2_PR
+    NEW met1 ( 1237630 420750 ) M1M2_PR
+    NEW met1 ( 1238550 420750 ) M1M2_PR
+    NEW met1 ( 1237630 517310 ) M1M2_PR
+    NEW li1 ( 1238090 517310 ) L1M1_PR_MR
+    NEW met1 ( 1237630 234430 ) M1M2_PR
+    NEW met1 ( 1238550 235110 ) M1M2_PR
+    NEW met1 ( 1237630 330990 ) M1M2_PR
+    NEW met1 ( 1238090 331330 ) M1M2_PR
+    NEW met1 ( 1237630 614210 ) M1M2_PR
+    NEW met1 ( 1236710 614210 ) M1M2_PR
+    NEW li1 ( 1237630 1186770 ) L1M1_PR_MR
+    NEW met1 ( 1237630 1186770 ) M1M2_PR
+    NEW li1 ( 1237630 1138830 ) L1M1_PR_MR
+    NEW met1 ( 1237630 1138830 ) M1M2_PR
+    NEW met1 ( 1238090 1241850 ) M1M2_PR
+    NEW met1 ( 1238550 1242530 ) M1M2_PR
+    NEW met1 ( 1238550 1538330 ) M1M2_PR
+    NEW met1 ( 1238550 1539010 ) M1M2_PR
+    NEW li1 ( 1237630 164390 ) L1M1_PR_MR
+    NEW met1 ( 1237630 164390 ) M1M2_PR
+    NEW li1 ( 1237630 124270 ) L1M1_PR_MR
+    NEW met1 ( 1238090 124270 ) M1M2_PR
+    NEW met2 ( 1237630 1186940 ) via2_FR
+    NEW met2 ( 1239470 1186940 ) via2_FR
+    NEW met2 ( 1239470 1234540 ) via2_FR
+    NEW met2 ( 1238090 1234540 ) via2_FR
+    NEW met1 ( 1239010 1586950 ) M1M2_PR
+    NEW met1 ( 1239470 1587630 ) M1M2_PR
+    NEW li1 ( 1237630 769250 ) L1M1_PR_MR
+    NEW met1 ( 1237630 769250 ) M1M2_PR
+    NEW li1 ( 1237630 793390 ) L1M1_PR_MR
+    NEW met1 ( 1237630 793390 ) M1M2_PR
+    NEW li1 ( 1237630 1083070 ) L1M1_PR_MR
+    NEW met1 ( 1237630 1083070 ) M1M2_PR
+    NEW li1 ( 1237630 1041250 ) L1M1_PR_MR
+    NEW met1 ( 1238550 1041250 ) M1M2_PR
+    NEW met1 ( 1238090 1469650 ) M1M2_PR
+    NEW met1 ( 1238550 1469650 ) M1M2_PR
+    NEW met2 ( 1239470 1614660 ) via2_FR
+    NEW met2 ( 1240850 1614660 ) via2_FR
+    NEW met1 ( 1236250 708730 ) M1M2_PR
+    NEW met1 ( 1237630 708730 ) M1M2_PR
+    NEW li1 ( 1237630 986850 ) L1M1_PR_MR
+    NEW met1 ( 1237630 986850 ) M1M2_PR
+    NEW li1 ( 1237630 1034790 ) L1M1_PR_MR
+    NEW met1 ( 1238550 1034790 ) M1M2_PR
+    NEW met1 ( 1238550 1276530 ) M1M2_PR
+    NEW met1 ( 1239010 1276530 ) M1M2_PR
+    NEW met2 ( 1237630 1373260 ) via2_FR
+    NEW met2 ( 1239010 1373260 ) via2_FR
+    NEW li1 ( 1237630 1414910 ) L1M1_PR_MR
+    NEW met1 ( 1237630 1414910 ) M1M2_PR
+    NEW li1 ( 1237630 1462510 ) L1M1_PR_MR
+    NEW met1 ( 1238090 1462510 ) M1M2_PR
+    NEW li1 ( 1238090 883490 ) L1M1_PR_MR
+    NEW met1 ( 1238090 883490 ) M1M2_PR
+    NEW li1 ( 1238090 931430 ) L1M1_PR_MR
+    NEW met1 ( 1238090 931430 ) M1M2_PR
+    NEW met1 ( 1237630 324190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 1186770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 1138830 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 164390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 769250 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 793390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 1083070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 986850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1237630 1414910 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1238090 883490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1238090 931430 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) 
-  + ROUTED met1 ( 466670 17510 ) ( 468970 17510 )
-    NEW met2 ( 466670 2380 0 ) ( 466670 17510 )
-    NEW met2 ( 468970 17510 ) ( 468970 1684530 )
-    NEW met2 ( 1245450 1684530 ) ( 1245450 1700340 0 )
-    NEW met1 ( 468970 1684530 ) ( 1245450 1684530 )
-    NEW met1 ( 468970 1684530 ) M1M2_PR
-    NEW met1 ( 466670 17510 ) M1M2_PR
-    NEW met1 ( 468970 17510 ) M1M2_PR
-    NEW met1 ( 1245450 1684530 ) M1M2_PR
+  + ROUTED met2 ( 463910 2380 0 ) ( 463910 15470 )
+    NEW met2 ( 1244530 1700340 ) ( 1245450 1700340 0 )
+    NEW met2 ( 1244530 15470 ) ( 1244530 1700340 )
+    NEW met1 ( 463910 15470 ) ( 1244530 15470 )
+    NEW met1 ( 463910 15470 ) M1M2_PR
+    NEW met1 ( 1244530 15470 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) 
-  + ROUTED met1 ( 541190 14110 ) ( 541190 14790 )
-    NEW met2 ( 484610 2380 0 ) ( 484610 14110 )
-    NEW met1 ( 484610 14110 ) ( 541190 14110 )
-    NEW met1 ( 932650 14450 ) ( 932650 14790 )
-    NEW met2 ( 1249590 1677220 ) ( 1250970 1677220 )
-    NEW met2 ( 1249590 1677220 ) ( 1249590 1700340 )
+  + ROUTED met2 ( 481390 2380 0 ) ( 481390 14790 )
+    NEW met1 ( 481390 14790 ) ( 510830 14790 )
+    NEW met1 ( 510830 14790 ) ( 510830 15130 )
+    NEW met1 ( 1249590 1677050 ) ( 1251890 1677050 )
+    NEW met2 ( 1249590 1677050 ) ( 1249590 1700340 )
     NEW met2 ( 1249130 1700340 0 ) ( 1249590 1700340 )
-    NEW met2 ( 1250970 14790 ) ( 1250970 1677220 )
-    NEW met1 ( 541190 14790 ) ( 932650 14790 )
-    NEW li1 ( 978650 13090 ) ( 978650 14450 )
-    NEW met1 ( 978650 13090 ) ( 990150 13090 )
-    NEW li1 ( 990150 13090 ) ( 990150 14790 )
-    NEW met1 ( 932650 14450 ) ( 978650 14450 )
-    NEW li1 ( 1026950 14790 ) ( 1028330 14790 )
-    NEW met1 ( 990150 14790 ) ( 1026950 14790 )
-    NEW met1 ( 1028330 14790 ) ( 1250970 14790 )
-    NEW met1 ( 484610 14110 ) M1M2_PR
-    NEW met1 ( 1250970 14790 ) M1M2_PR
-    NEW li1 ( 978650 14450 ) L1M1_PR_MR
-    NEW li1 ( 978650 13090 ) L1M1_PR_MR
-    NEW li1 ( 990150 13090 ) L1M1_PR_MR
-    NEW li1 ( 990150 14790 ) L1M1_PR_MR
-    NEW li1 ( 1026950 14790 ) L1M1_PR_MR
-    NEW li1 ( 1028330 14790 ) L1M1_PR_MR
+    NEW met2 ( 1251890 15130 ) ( 1251890 1677050 )
+    NEW met1 ( 510830 15130 ) ( 1251890 15130 )
+    NEW met1 ( 481390 14790 ) M1M2_PR
+    NEW met1 ( 1251890 15130 ) M1M2_PR
+    NEW met1 ( 1251890 1677050 ) M1M2_PR
+    NEW met1 ( 1249590 1677050 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) 
-  + ROUTED met2 ( 502550 2380 0 ) ( 502550 16660 )
-    NEW met2 ( 502550 16660 ) ( 503470 16660 )
-    NEW met2 ( 503470 16660 ) ( 503470 1684190 )
-    NEW li1 ( 1224290 1684190 ) ( 1224290 1685890 )
-    NEW met1 ( 1224290 1685890 ) ( 1252810 1685890 )
-    NEW met2 ( 1252810 1685890 ) ( 1252810 1700340 0 )
-    NEW met1 ( 503470 1684190 ) ( 1224290 1684190 )
-    NEW met1 ( 503470 1684190 ) M1M2_PR
-    NEW li1 ( 1224290 1684190 ) L1M1_PR_MR
-    NEW li1 ( 1224290 1685890 ) L1M1_PR_MR
-    NEW met1 ( 1252810 1685890 ) M1M2_PR
+  + ROUTED met2 ( 499330 2380 0 ) ( 499330 14110 )
+    NEW li1 ( 552230 14110 ) ( 552230 14790 )
+    NEW met1 ( 499330 14110 ) ( 552230 14110 )
+    NEW met1 ( 1249590 1676370 ) ( 1252350 1676370 )
+    NEW met2 ( 1252350 1676370 ) ( 1252350 1700340 )
+    NEW met2 ( 1252350 1700340 ) ( 1252810 1700340 0 )
+    NEW met2 ( 1249590 14790 ) ( 1249590 1676370 )
+    NEW met1 ( 552230 14790 ) ( 1249590 14790 )
+    NEW met1 ( 499330 14110 ) M1M2_PR
+    NEW li1 ( 552230 14110 ) L1M1_PR_MR
+    NEW li1 ( 552230 14790 ) L1M1_PR_MR
+    NEW met1 ( 1249590 14790 ) M1M2_PR
+    NEW met1 ( 1249590 1676370 ) M1M2_PR
+    NEW met1 ( 1252350 1676370 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) 
-  + ROUTED met2 ( 520030 2380 0 ) ( 520030 14450 )
-    NEW met1 ( 520030 14450 ) ( 540730 14450 )
-    NEW li1 ( 540730 14110 ) ( 540730 14450 )
-    NEW li1 ( 540730 14110 ) ( 541650 14110 )
-    NEW met1 ( 541650 14110 ) ( 561430 14110 )
-    NEW li1 ( 561430 14110 ) ( 564650 14110 )
-    NEW met1 ( 564650 14110 ) ( 565570 14110 )
-    NEW met1 ( 565570 14110 ) ( 565570 14450 )
-    NEW li1 ( 931730 14110 ) ( 931730 14450 )
-    NEW li1 ( 931730 14110 ) ( 932650 14110 )
-    NEW met2 ( 1257410 1677220 ) ( 1258330 1677220 )
-    NEW met2 ( 1257410 1677220 ) ( 1257410 1700340 )
+  + ROUTED met2 ( 516810 2380 0 ) ( 516810 14450 )
+    NEW met1 ( 1257410 1678750 ) ( 1258330 1678750 )
+    NEW met2 ( 1257410 1678750 ) ( 1257410 1700340 )
     NEW met2 ( 1256490 1700340 0 ) ( 1257410 1700340 )
-    NEW met2 ( 1258330 14450 ) ( 1258330 1677220 )
-    NEW met1 ( 565570 14450 ) ( 931730 14450 )
-    NEW li1 ( 979110 14110 ) ( 979110 14450 )
-    NEW li1 ( 979110 14450 ) ( 980030 14450 )
-    NEW met1 ( 932650 14110 ) ( 979110 14110 )
-    NEW met1 ( 980030 14450 ) ( 1258330 14450 )
-    NEW met1 ( 520030 14450 ) M1M2_PR
-    NEW li1 ( 540730 14450 ) L1M1_PR_MR
-    NEW li1 ( 541650 14110 ) L1M1_PR_MR
-    NEW li1 ( 561430 14110 ) L1M1_PR_MR
-    NEW li1 ( 564650 14110 ) L1M1_PR_MR
-    NEW li1 ( 931730 14450 ) L1M1_PR_MR
-    NEW li1 ( 932650 14110 ) L1M1_PR_MR
+    NEW met2 ( 1258330 14450 ) ( 1258330 1678750 )
+    NEW met1 ( 516810 14450 ) ( 1258330 14450 )
+    NEW met1 ( 516810 14450 ) M1M2_PR
     NEW met1 ( 1258330 14450 ) M1M2_PR
-    NEW li1 ( 979110 14110 ) L1M1_PR_MR
-    NEW li1 ( 980030 14450 ) L1M1_PR_MR
+    NEW met1 ( 1258330 1678750 ) M1M2_PR
+    NEW met1 ( 1257410 1678750 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) 
-  + ROUTED li1 ( 566950 1683170 ) ( 566950 1686910 )
-    NEW met2 ( 709090 1687420 ) ( 709550 1687420 )
-    NEW met2 ( 709550 1687250 ) ( 709550 1687420 )
-    NEW met2 ( 760150 1687250 ) ( 760150 1687420 )
-    NEW met2 ( 760150 1687420 ) ( 760610 1687420 )
-    NEW met3 ( 760610 1687420 ) ( 805690 1687420 )
-    NEW met2 ( 805690 1687420 ) ( 806150 1687420 )
-    NEW met2 ( 806150 1687250 ) ( 806150 1687420 )
-    NEW met2 ( 856750 1687250 ) ( 856750 1687420 )
-    NEW met2 ( 856750 1687420 ) ( 857210 1687420 )
-    NEW met3 ( 857210 1687420 ) ( 902290 1687420 )
-    NEW met2 ( 902290 1687420 ) ( 902750 1687420 )
-    NEW met2 ( 902750 1687250 ) ( 902750 1687420 )
-    NEW met2 ( 953350 1687250 ) ( 953350 1687420 )
-    NEW met2 ( 953350 1687420 ) ( 953810 1687420 )
-    NEW met3 ( 953810 1687420 ) ( 998890 1687420 )
-    NEW met2 ( 998890 1687420 ) ( 999350 1687420 )
-    NEW met2 ( 999350 1687250 ) ( 999350 1687420 )
-    NEW met2 ( 1049950 1687250 ) ( 1049950 1687420 )
-    NEW met2 ( 1049950 1687420 ) ( 1050410 1687420 )
-    NEW met3 ( 1050410 1687420 ) ( 1095490 1687420 )
-    NEW met2 ( 1095490 1687420 ) ( 1095950 1687420 )
-    NEW met2 ( 1095950 1687250 ) ( 1095950 1687420 )
-    NEW met2 ( 537970 2380 0 ) ( 537970 1683170 )
-    NEW met1 ( 537970 1683170 ) ( 566950 1683170 )
-    NEW met1 ( 709550 1687250 ) ( 760150 1687250 )
-    NEW met1 ( 806150 1687250 ) ( 856750 1687250 )
-    NEW met1 ( 902750 1687250 ) ( 953350 1687250 )
-    NEW met1 ( 999350 1687250 ) ( 1049950 1687250 )
-    NEW met2 ( 643770 1688100 ) ( 643770 1690650 )
-    NEW met3 ( 643770 1688100 ) ( 652740 1688100 )
-    NEW met3 ( 652740 1687420 ) ( 652740 1688100 )
-    NEW met3 ( 652740 1687420 ) ( 709090 1687420 )
-    NEW met1 ( 1131830 1686910 ) ( 1131830 1687250 )
-    NEW met1 ( 1095950 1687250 ) ( 1131830 1687250 )
-    NEW met2 ( 1260170 1686910 ) ( 1260170 1700340 0 )
-    NEW li1 ( 593630 1686910 ) ( 593630 1691330 )
-    NEW met1 ( 593630 1691330 ) ( 641470 1691330 )
-    NEW met1 ( 641470 1690650 ) ( 641470 1691330 )
-    NEW met1 ( 566950 1686910 ) ( 593630 1686910 )
-    NEW met1 ( 641470 1690650 ) ( 643770 1690650 )
-    NEW met1 ( 1131830 1686910 ) ( 1260170 1686910 )
-    NEW li1 ( 566950 1683170 ) L1M1_PR_MR
-    NEW li1 ( 566950 1686910 ) L1M1_PR_MR
-    NEW met2 ( 709090 1687420 ) via2_FR
-    NEW met1 ( 709550 1687250 ) M1M2_PR
-    NEW met1 ( 760150 1687250 ) M1M2_PR
-    NEW met2 ( 760610 1687420 ) via2_FR
-    NEW met2 ( 805690 1687420 ) via2_FR
-    NEW met1 ( 806150 1687250 ) M1M2_PR
-    NEW met1 ( 856750 1687250 ) M1M2_PR
-    NEW met2 ( 857210 1687420 ) via2_FR
-    NEW met2 ( 902290 1687420 ) via2_FR
-    NEW met1 ( 902750 1687250 ) M1M2_PR
-    NEW met1 ( 953350 1687250 ) M1M2_PR
-    NEW met2 ( 953810 1687420 ) via2_FR
-    NEW met2 ( 998890 1687420 ) via2_FR
-    NEW met1 ( 999350 1687250 ) M1M2_PR
-    NEW met1 ( 1049950 1687250 ) M1M2_PR
-    NEW met2 ( 1050410 1687420 ) via2_FR
-    NEW met2 ( 1095490 1687420 ) via2_FR
-    NEW met1 ( 1095950 1687250 ) M1M2_PR
-    NEW met1 ( 537970 1683170 ) M1M2_PR
-    NEW met1 ( 643770 1690650 ) M1M2_PR
-    NEW met2 ( 643770 1688100 ) via2_FR
-    NEW met1 ( 1260170 1686910 ) M1M2_PR
-    NEW li1 ( 593630 1686910 ) L1M1_PR_MR
-    NEW li1 ( 593630 1691330 ) L1M1_PR_MR
+  + ROUTED met2 ( 534750 2380 0 ) ( 534750 14790 )
+    NEW met1 ( 534750 14790 ) ( 537970 14790 )
+    NEW met2 ( 537970 14790 ) ( 537970 1685890 )
+    NEW met2 ( 1260170 1685890 ) ( 1260170 1700340 0 )
+    NEW li1 ( 625830 1685890 ) ( 627210 1685890 )
+    NEW met1 ( 537970 1685890 ) ( 625830 1685890 )
+    NEW met2 ( 1060530 1685890 ) ( 1060530 1686740 )
+    NEW met2 ( 1060530 1686740 ) ( 1062370 1686740 )
+    NEW met2 ( 1062370 1685890 ) ( 1062370 1686740 )
+    NEW met1 ( 627210 1685890 ) ( 1060530 1685890 )
+    NEW met1 ( 1062370 1685890 ) ( 1260170 1685890 )
+    NEW met1 ( 534750 14790 ) M1M2_PR
+    NEW met1 ( 537970 14790 ) M1M2_PR
+    NEW met1 ( 537970 1685890 ) M1M2_PR
+    NEW met1 ( 1260170 1685890 ) M1M2_PR
+    NEW li1 ( 625830 1685890 ) L1M1_PR_MR
+    NEW li1 ( 627210 1685890 ) L1M1_PR_MR
+    NEW met1 ( 1060530 1685890 ) M1M2_PR
+    NEW met1 ( 1062370 1685890 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) 
-  + ROUTED li1 ( 566030 13090 ) ( 566030 14110 )
-    NEW met2 ( 555910 2380 0 ) ( 555910 14450 )
-    NEW met1 ( 555910 14450 ) ( 565110 14450 )
-    NEW li1 ( 565110 13090 ) ( 565110 14450 )
-    NEW met1 ( 565110 13090 ) ( 566030 13090 )
-    NEW met1 ( 932190 14110 ) ( 932190 14450 )
-    NEW li1 ( 932190 14450 ) ( 933110 14450 )
-    NEW li1 ( 933110 14450 ) ( 933110 17170 )
+  + ROUTED met2 ( 552690 2380 0 ) ( 552690 14110 )
     NEW met2 ( 1263850 1700340 0 ) ( 1264770 1700340 )
     NEW met2 ( 1264770 14110 ) ( 1264770 1700340 )
-    NEW met1 ( 566030 14110 ) ( 932190 14110 )
-    NEW met2 ( 979110 14110 ) ( 979110 17170 )
-    NEW met2 ( 979110 14110 ) ( 979570 14110 )
-    NEW met1 ( 933110 17170 ) ( 979110 17170 )
-    NEW met1 ( 979570 14110 ) ( 1264770 14110 )
-    NEW li1 ( 566030 13090 ) L1M1_PR_MR
-    NEW li1 ( 566030 14110 ) L1M1_PR_MR
-    NEW met1 ( 555910 14450 ) M1M2_PR
-    NEW li1 ( 565110 14450 ) L1M1_PR_MR
-    NEW li1 ( 565110 13090 ) L1M1_PR_MR
-    NEW li1 ( 932190 14450 ) L1M1_PR_MR
-    NEW li1 ( 933110 17170 ) L1M1_PR_MR
+    NEW met1 ( 552690 14110 ) ( 1264770 14110 )
+    NEW met1 ( 552690 14110 ) M1M2_PR
     NEW met1 ( 1264770 14110 ) M1M2_PR
-    NEW met1 ( 979110 17170 ) M1M2_PR
-    NEW met1 ( 979570 14110 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) 
-  + ROUTED met2 ( 573850 2380 0 ) ( 573850 17510 )
-    NEW met1 ( 573850 17510 ) ( 579370 17510 )
-    NEW met2 ( 579370 17510 ) ( 579370 1687590 )
-    NEW met2 ( 642390 1687420 ) ( 642390 1688100 )
-    NEW met2 ( 642390 1687420 ) ( 643310 1687420 )
-    NEW met2 ( 643310 1687420 ) ( 643310 1687590 )
-    NEW met2 ( 1267530 1687590 ) ( 1267530 1700340 0 )
-    NEW met2 ( 640550 1687590 ) ( 640550 1688100 )
-    NEW met1 ( 579370 1687590 ) ( 640550 1687590 )
-    NEW met3 ( 640550 1688100 ) ( 642390 1688100 )
-    NEW met1 ( 643310 1687590 ) ( 1267530 1687590 )
-    NEW met1 ( 573850 17510 ) M1M2_PR
-    NEW met1 ( 579370 17510 ) M1M2_PR
-    NEW met1 ( 579370 1687590 ) M1M2_PR
-    NEW met2 ( 642390 1688100 ) via2_FR
-    NEW met1 ( 643310 1687590 ) M1M2_PR
-    NEW met1 ( 1267530 1687590 ) M1M2_PR
-    NEW met1 ( 640550 1687590 ) M1M2_PR
-    NEW met2 ( 640550 1688100 ) via2_FR
+  + ROUTED met2 ( 570170 2380 0 ) ( 570170 17510 )
+    NEW met1 ( 570170 17510 ) ( 572470 17510 )
+    NEW met2 ( 572470 17510 ) ( 572470 1685550 )
+    NEW met2 ( 1267530 1685550 ) ( 1267530 1700340 0 )
+    NEW met2 ( 603750 1685380 ) ( 603750 1685550 )
+    NEW met3 ( 603750 1685380 ) ( 627670 1685380 )
+    NEW met2 ( 627670 1685380 ) ( 627670 1685550 )
+    NEW met1 ( 572470 1685550 ) ( 603750 1685550 )
+    NEW li1 ( 773030 1685550 ) ( 773950 1685550 )
+    NEW met1 ( 627670 1685550 ) ( 773030 1685550 )
+    NEW li1 ( 869630 1685550 ) ( 870550 1685550 )
+    NEW met1 ( 773950 1685550 ) ( 869630 1685550 )
+    NEW li1 ( 966230 1685550 ) ( 967150 1685550 )
+    NEW met1 ( 870550 1685550 ) ( 966230 1685550 )
+    NEW li1 ( 1061450 1685550 ) ( 1061910 1685550 )
+    NEW met1 ( 967150 1685550 ) ( 1061450 1685550 )
+    NEW met1 ( 1061910 1685550 ) ( 1267530 1685550 )
+    NEW met1 ( 570170 17510 ) M1M2_PR
+    NEW met1 ( 572470 17510 ) M1M2_PR
+    NEW met1 ( 572470 1685550 ) M1M2_PR
+    NEW met1 ( 1267530 1685550 ) M1M2_PR
+    NEW met1 ( 603750 1685550 ) M1M2_PR
+    NEW met2 ( 603750 1685380 ) via2_FR
+    NEW met2 ( 627670 1685380 ) via2_FR
+    NEW met1 ( 627670 1685550 ) M1M2_PR
+    NEW li1 ( 773030 1685550 ) L1M1_PR_MR
+    NEW li1 ( 773950 1685550 ) L1M1_PR_MR
+    NEW li1 ( 869630 1685550 ) L1M1_PR_MR
+    NEW li1 ( 870550 1685550 ) L1M1_PR_MR
+    NEW li1 ( 966230 1685550 ) L1M1_PR_MR
+    NEW li1 ( 967150 1685550 ) L1M1_PR_MR
+    NEW li1 ( 1061450 1685550 ) L1M1_PR_MR
+    NEW li1 ( 1061910 1685550 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) 
-  + ROUTED met2 ( 591330 2380 0 ) ( 591330 12750 )
-    NEW met1 ( 759230 12750 ) ( 807070 12750 )
-    NEW met1 ( 952430 12750 ) ( 1000270 12750 )
-    NEW met1 ( 1000730 12750 ) ( 1048570 12750 )
-    NEW met1 ( 591330 12750 ) ( 662170 12750 )
-    NEW li1 ( 662170 14110 ) ( 664010 14110 )
-    NEW li1 ( 662170 12750 ) ( 662170 14110 )
-    NEW li1 ( 664010 12750 ) ( 664010 14110 )
-    NEW li1 ( 759230 12750 ) ( 759230 17170 )
-    NEW li1 ( 952430 12750 ) ( 952430 21250 )
-    NEW li1 ( 1048570 12750 ) ( 1048570 17170 )
-    NEW met1 ( 664010 12750 ) ( 710470 12750 )
-    NEW li1 ( 710470 12750 ) ( 710470 17170 )
-    NEW met1 ( 710470 17170 ) ( 759230 17170 )
-    NEW li1 ( 807070 12750 ) ( 807070 17510 )
-    NEW li1 ( 883430 17510 ) ( 883430 21250 )
-    NEW li1 ( 883430 21250 ) ( 884810 21250 )
-    NEW met1 ( 807070 17510 ) ( 883430 17510 )
-    NEW met1 ( 884810 21250 ) ( 952430 21250 )
-    NEW li1 ( 1000270 14110 ) ( 1000730 14110 )
-    NEW li1 ( 1000270 12750 ) ( 1000270 14110 )
-    NEW li1 ( 1000730 12750 ) ( 1000730 14110 )
-    NEW met1 ( 1048570 17170 ) ( 1124470 17170 )
-    NEW li1 ( 1124470 17170 ) ( 1124930 17170 )
-    NEW met2 ( 1271210 17170 ) ( 1271210 46580 )
-    NEW met2 ( 1270290 46580 ) ( 1271210 46580 )
-    NEW met1 ( 1124930 17170 ) ( 1271210 17170 )
-    NEW met2 ( 1270290 1700340 ) ( 1271210 1700340 0 )
-    NEW met2 ( 1270290 46580 ) ( 1270290 1700340 )
-    NEW met1 ( 591330 12750 ) M1M2_PR
-    NEW li1 ( 759230 12750 ) L1M1_PR_MR
-    NEW li1 ( 807070 12750 ) L1M1_PR_MR
-    NEW li1 ( 952430 12750 ) L1M1_PR_MR
-    NEW li1 ( 1000270 12750 ) L1M1_PR_MR
-    NEW li1 ( 1000730 12750 ) L1M1_PR_MR
-    NEW li1 ( 1048570 12750 ) L1M1_PR_MR
-    NEW li1 ( 664010 12750 ) L1M1_PR_MR
-    NEW li1 ( 662170 12750 ) L1M1_PR_MR
-    NEW li1 ( 759230 17170 ) L1M1_PR_MR
-    NEW li1 ( 952430 21250 ) L1M1_PR_MR
-    NEW li1 ( 1048570 17170 ) L1M1_PR_MR
-    NEW li1 ( 1124930 17170 ) L1M1_PR_MR
-    NEW li1 ( 710470 12750 ) L1M1_PR_MR
-    NEW li1 ( 710470 17170 ) L1M1_PR_MR
-    NEW li1 ( 807070 17510 ) L1M1_PR_MR
-    NEW li1 ( 883430 17510 ) L1M1_PR_MR
-    NEW li1 ( 884810 21250 ) L1M1_PR_MR
-    NEW li1 ( 1124470 17170 ) L1M1_PR_MR
-    NEW met1 ( 1271210 17170 ) M1M2_PR
+  + ROUTED met2 ( 588110 2380 0 ) ( 588110 12750 )
+    NEW met1 ( 588110 12750 ) ( 641930 12750 )
+    NEW li1 ( 641930 15130 ) ( 642390 15130 )
+    NEW li1 ( 642390 15130 ) ( 642390 17510 )
+    NEW li1 ( 642390 17510 ) ( 643310 17510 )
+    NEW li1 ( 643310 17170 ) ( 643310 17510 )
+    NEW met1 ( 643310 17170 ) ( 658490 17170 )
+    NEW met2 ( 658490 15980 ) ( 658490 17170 )
+    NEW met3 ( 658490 15980 ) ( 689770 15980 )
+    NEW met2 ( 689770 15980 ) ( 689770 17170 )
+    NEW li1 ( 641930 12750 ) ( 641930 15130 )
+    NEW met1 ( 1228430 16830 ) ( 1228430 17170 )
+    NEW met1 ( 1228430 16830 ) ( 1272130 16830 )
+    NEW met2 ( 1271210 1700340 0 ) ( 1272130 1700340 )
+    NEW met2 ( 1272130 16830 ) ( 1272130 1700340 )
+    NEW met1 ( 1183350 16830 ) ( 1183350 17170 )
+    NEW met1 ( 1183350 16830 ) ( 1197150 16830 )
+    NEW met1 ( 1197150 16830 ) ( 1197150 17170 )
+    NEW met1 ( 689770 17170 ) ( 1183350 17170 )
+    NEW met1 ( 1197150 17170 ) ( 1228430 17170 )
+    NEW met1 ( 588110 12750 ) M1M2_PR
+    NEW li1 ( 641930 12750 ) L1M1_PR_MR
+    NEW li1 ( 643310 17170 ) L1M1_PR_MR
+    NEW met1 ( 658490 17170 ) M1M2_PR
+    NEW met2 ( 658490 15980 ) via2_FR
+    NEW met2 ( 689770 15980 ) via2_FR
+    NEW met1 ( 689770 17170 ) M1M2_PR
+    NEW met1 ( 1272130 16830 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) 
-  + ROUTED met2 ( 97750 2380 0 ) ( 97750 17510 )
-    NEW met1 ( 97750 17510 ) ( 103270 17510 )
-    NEW met2 ( 103270 17510 ) ( 103270 1687250 )
-    NEW met2 ( 710010 1687420 ) ( 710010 1687930 )
-    NEW met2 ( 759690 1687420 ) ( 759690 1687930 )
-    NEW met1 ( 759690 1687930 ) ( 806610 1687930 )
-    NEW met2 ( 806610 1687420 ) ( 806610 1687930 )
-    NEW met2 ( 856290 1687420 ) ( 856290 1687930 )
-    NEW met1 ( 856290 1687930 ) ( 903210 1687930 )
-    NEW met2 ( 903210 1687420 ) ( 903210 1687930 )
-    NEW met2 ( 952890 1687420 ) ( 952890 1687930 )
-    NEW met1 ( 952890 1687930 ) ( 999810 1687930 )
-    NEW met2 ( 999810 1687420 ) ( 999810 1687930 )
-    NEW met2 ( 1049490 1687420 ) ( 1049490 1687930 )
-    NEW met1 ( 1049490 1687930 ) ( 1096410 1687930 )
-    NEW met2 ( 1096410 1687420 ) ( 1096410 1687930 )
-    NEW met2 ( 711390 1687420 ) ( 711390 1687930 )
-    NEW met1 ( 711390 1687930 ) ( 758310 1687930 )
-    NEW met2 ( 758310 1687420 ) ( 758310 1687930 )
-    NEW met3 ( 710010 1687420 ) ( 711390 1687420 )
-    NEW met3 ( 758310 1687420 ) ( 759690 1687420 )
-    NEW met2 ( 807990 1687420 ) ( 807990 1687930 )
-    NEW met1 ( 807990 1687930 ) ( 854910 1687930 )
-    NEW met2 ( 854910 1687420 ) ( 854910 1687930 )
-    NEW met3 ( 806610 1687420 ) ( 807990 1687420 )
-    NEW met3 ( 854910 1687420 ) ( 856290 1687420 )
-    NEW met2 ( 904590 1687420 ) ( 904590 1687930 )
-    NEW met1 ( 904590 1687930 ) ( 951510 1687930 )
-    NEW met2 ( 951510 1687420 ) ( 951510 1687930 )
-    NEW met3 ( 903210 1687420 ) ( 904590 1687420 )
-    NEW met3 ( 951510 1687420 ) ( 952890 1687420 )
-    NEW met2 ( 1001190 1687420 ) ( 1001190 1687930 )
-    NEW met1 ( 1001190 1687930 ) ( 1048110 1687930 )
-    NEW met2 ( 1048110 1687420 ) ( 1048110 1687930 )
-    NEW met3 ( 999810 1687420 ) ( 1001190 1687420 )
-    NEW met3 ( 1048110 1687420 ) ( 1049490 1687420 )
-    NEW met2 ( 1097790 1687420 ) ( 1097790 1687930 )
-    NEW met3 ( 1096410 1687420 ) ( 1097790 1687420 )
-    NEW li1 ( 663550 1687250 ) ( 663550 1687930 )
-    NEW met1 ( 663550 1687930 ) ( 710010 1687930 )
-    NEW li1 ( 1124930 1687930 ) ( 1124930 1690990 )
-    NEW met1 ( 1124930 1690990 ) ( 1168630 1690990 )
-    NEW met2 ( 1168630 1690990 ) ( 1168630 1700340 )
-    NEW met2 ( 1168630 1700340 ) ( 1169550 1700340 0 )
-    NEW met1 ( 1097790 1687930 ) ( 1124930 1687930 )
-    NEW met1 ( 103270 1687250 ) ( 663550 1687250 )
-    NEW met1 ( 97750 17510 ) M1M2_PR
-    NEW met1 ( 103270 17510 ) M1M2_PR
-    NEW met1 ( 103270 1687250 ) M1M2_PR
-    NEW met1 ( 710010 1687930 ) M1M2_PR
-    NEW met2 ( 710010 1687420 ) via2_FR
-    NEW met2 ( 759690 1687420 ) via2_FR
-    NEW met1 ( 759690 1687930 ) M1M2_PR
-    NEW met1 ( 806610 1687930 ) M1M2_PR
-    NEW met2 ( 806610 1687420 ) via2_FR
-    NEW met2 ( 856290 1687420 ) via2_FR
-    NEW met1 ( 856290 1687930 ) M1M2_PR
-    NEW met1 ( 903210 1687930 ) M1M2_PR
-    NEW met2 ( 903210 1687420 ) via2_FR
-    NEW met2 ( 952890 1687420 ) via2_FR
-    NEW met1 ( 952890 1687930 ) M1M2_PR
-    NEW met1 ( 999810 1687930 ) M1M2_PR
-    NEW met2 ( 999810 1687420 ) via2_FR
-    NEW met2 ( 1049490 1687420 ) via2_FR
-    NEW met1 ( 1049490 1687930 ) M1M2_PR
-    NEW met1 ( 1096410 1687930 ) M1M2_PR
-    NEW met2 ( 1096410 1687420 ) via2_FR
-    NEW met2 ( 711390 1687420 ) via2_FR
-    NEW met1 ( 711390 1687930 ) M1M2_PR
-    NEW met1 ( 758310 1687930 ) M1M2_PR
-    NEW met2 ( 758310 1687420 ) via2_FR
-    NEW met2 ( 807990 1687420 ) via2_FR
-    NEW met1 ( 807990 1687930 ) M1M2_PR
-    NEW met1 ( 854910 1687930 ) M1M2_PR
-    NEW met2 ( 854910 1687420 ) via2_FR
-    NEW met2 ( 904590 1687420 ) via2_FR
-    NEW met1 ( 904590 1687930 ) M1M2_PR
-    NEW met1 ( 951510 1687930 ) M1M2_PR
-    NEW met2 ( 951510 1687420 ) via2_FR
-    NEW met2 ( 1001190 1687420 ) via2_FR
-    NEW met1 ( 1001190 1687930 ) M1M2_PR
-    NEW met1 ( 1048110 1687930 ) M1M2_PR
-    NEW met2 ( 1048110 1687420 ) via2_FR
-    NEW met2 ( 1097790 1687420 ) via2_FR
-    NEW met1 ( 1097790 1687930 ) M1M2_PR
-    NEW li1 ( 663550 1687250 ) L1M1_PR_MR
-    NEW li1 ( 663550 1687930 ) L1M1_PR_MR
-    NEW li1 ( 1124930 1687930 ) L1M1_PR_MR
-    NEW li1 ( 1124930 1690990 ) L1M1_PR_MR
-    NEW met1 ( 1168630 1690990 ) M1M2_PR
+  + ROUTED met2 ( 97290 2380 0 ) ( 97290 14450 )
+    NEW met1 ( 97290 14450 ) ( 127650 14450 )
+    NEW met2 ( 127650 14450 ) ( 127650 1687590 )
+    NEW met2 ( 1169550 1687590 ) ( 1169550 1700340 0 )
+    NEW met1 ( 127650 1687590 ) ( 1169550 1687590 )
+    NEW met1 ( 97290 14450 ) M1M2_PR
+    NEW met1 ( 127650 14450 ) M1M2_PR
+    NEW met1 ( 127650 1687590 ) M1M2_PR
+    NEW met1 ( 1169550 1687590 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) 
-  + ROUTED met2 ( 609270 2380 0 ) ( 609270 13090 )
-    NEW li1 ( 614330 13090 ) ( 614330 20910 )
-    NEW met1 ( 609270 13090 ) ( 614330 13090 )
-    NEW li1 ( 642390 17510 ) ( 642390 20910 )
-    NEW met1 ( 642390 17510 ) ( 689310 17510 )
-    NEW li1 ( 689310 17510 ) ( 689770 17510 )
-    NEW li1 ( 689770 17510 ) ( 689770 19890 )
-    NEW met1 ( 614330 20910 ) ( 642390 20910 )
-    NEW met1 ( 1146090 20230 ) ( 1148390 20230 )
-    NEW met2 ( 1148390 20230 ) ( 1148390 20740 )
-    NEW met3 ( 1148390 20740 ) ( 1172310 20740 )
-    NEW met2 ( 1172310 18190 ) ( 1172310 20740 )
-    NEW li1 ( 1146090 12750 ) ( 1146090 20230 )
-    NEW li1 ( 1245450 17510 ) ( 1245450 18530 )
-    NEW met1 ( 1097330 12750 ) ( 1146090 12750 )
-    NEW met2 ( 1271670 302940 ) ( 1272130 302940 )
-    NEW met2 ( 1271670 399500 ) ( 1272130 399500 )
-    NEW met2 ( 1271670 449140 ) ( 1272130 449140 )
-    NEW met2 ( 1271670 642260 ) ( 1272130 642260 )
-    NEW met2 ( 1271670 785740 ) ( 1272130 785740 )
-    NEW met2 ( 1271670 835380 ) ( 1272130 835380 )
-    NEW met2 ( 1271670 979540 ) ( 1272130 979540 )
-    NEW met1 ( 1271210 1655970 ) ( 1272130 1655970 )
-    NEW met2 ( 1076630 19890 ) ( 1076630 20740 )
-    NEW met3 ( 1076630 20740 ) ( 1096410 20740 )
-    NEW met2 ( 1096410 19890 ) ( 1096410 20740 )
-    NEW met1 ( 1096410 19890 ) ( 1096870 19890 )
-    NEW li1 ( 1096870 17850 ) ( 1096870 19890 )
-    NEW li1 ( 1096870 17850 ) ( 1097330 17850 )
-    NEW met1 ( 689770 19890 ) ( 1076630 19890 )
-    NEW li1 ( 1097330 12750 ) ( 1097330 17850 )
-    NEW met1 ( 1203590 17510 ) ( 1203590 18190 )
-    NEW met1 ( 1172310 18190 ) ( 1203590 18190 )
-    NEW met1 ( 1203590 17510 ) ( 1245450 17510 )
-    NEW met1 ( 1245450 18530 ) ( 1271670 18530 )
-    NEW met2 ( 1271670 303620 ) ( 1272130 303620 )
-    NEW met2 ( 1271670 302940 ) ( 1271670 303620 )
-    NEW met2 ( 1272130 303620 ) ( 1272130 399500 )
-    NEW met2 ( 1271670 399500 ) ( 1271670 449140 )
-    NEW met2 ( 1271670 545020 ) ( 1272130 545020 )
-    NEW met2 ( 1272130 449140 ) ( 1272130 545020 )
-    NEW met2 ( 1271670 545020 ) ( 1271670 642260 )
-    NEW met2 ( 1272130 642260 ) ( 1272130 785740 )
-    NEW met2 ( 1271670 785740 ) ( 1271670 835380 )
-    NEW met2 ( 1272130 835380 ) ( 1272130 979540 )
-    NEW met2 ( 1271670 1366460 ) ( 1272130 1366460 )
-    NEW met2 ( 1271670 979540 ) ( 1271670 1366460 )
-    NEW met2 ( 1272130 1366460 ) ( 1272130 1655970 )
-    NEW met1 ( 1271210 1677730 ) ( 1273970 1677730 )
-    NEW met2 ( 1273970 1677730 ) ( 1273970 1700340 )
+  + ROUTED li1 ( 641930 17510 ) ( 641930 20910 )
+    NEW met1 ( 641930 17510 ) ( 688850 17510 )
+    NEW met2 ( 688850 17510 ) ( 689310 17510 )
+    NEW met2 ( 689310 17510 ) ( 689310 20910 )
+    NEW met1 ( 1245450 17170 ) ( 1245450 17510 )
+    NEW met2 ( 1076630 17510 ) ( 1076630 18020 )
+    NEW met2 ( 1076630 18020 ) ( 1077550 18020 )
+    NEW met2 ( 1077550 16660 ) ( 1077550 18020 )
+    NEW met2 ( 1271670 17170 ) ( 1271670 49300 )
+    NEW met2 ( 1270290 49300 ) ( 1271670 49300 )
+    NEW met1 ( 1245450 17170 ) ( 1271670 17170 )
+    NEW met1 ( 1270290 1678750 ) ( 1273970 1678750 )
+    NEW met2 ( 1273970 1678750 ) ( 1273970 1700340 )
     NEW met2 ( 1273970 1700340 ) ( 1274890 1700340 0 )
-    NEW met2 ( 1271210 1655970 ) ( 1271210 1677730 )
-    NEW li1 ( 1271670 106930 ) ( 1271670 144670 )
-    NEW met1 ( 1271670 144670 ) ( 1272130 144670 )
-    NEW met2 ( 1271670 18530 ) ( 1271670 106930 )
-    NEW met2 ( 1272130 144670 ) ( 1272130 302940 )
-    NEW met1 ( 609270 13090 ) M1M2_PR
-    NEW li1 ( 614330 13090 ) L1M1_PR_MR
-    NEW li1 ( 614330 20910 ) L1M1_PR_MR
-    NEW li1 ( 1146090 12750 ) L1M1_PR_MR
-    NEW li1 ( 642390 20910 ) L1M1_PR_MR
-    NEW li1 ( 642390 17510 ) L1M1_PR_MR
-    NEW li1 ( 689310 17510 ) L1M1_PR_MR
-    NEW li1 ( 689770 19890 ) L1M1_PR_MR
-    NEW li1 ( 1146090 20230 ) L1M1_PR_MR
-    NEW met1 ( 1148390 20230 ) M1M2_PR
-    NEW met2 ( 1148390 20740 ) via2_FR
-    NEW met2 ( 1172310 20740 ) via2_FR
-    NEW met1 ( 1172310 18190 ) M1M2_PR
-    NEW li1 ( 1245450 17510 ) L1M1_PR_MR
-    NEW li1 ( 1245450 18530 ) L1M1_PR_MR
-    NEW li1 ( 1097330 12750 ) L1M1_PR_MR
-    NEW met1 ( 1271210 1655970 ) M1M2_PR
-    NEW met1 ( 1272130 1655970 ) M1M2_PR
-    NEW met1 ( 1076630 19890 ) M1M2_PR
-    NEW met2 ( 1076630 20740 ) via2_FR
-    NEW met2 ( 1096410 20740 ) via2_FR
-    NEW met1 ( 1096410 19890 ) M1M2_PR
-    NEW li1 ( 1096870 19890 ) L1M1_PR_MR
-    NEW met1 ( 1271670 18530 ) M1M2_PR
-    NEW met1 ( 1271210 1677730 ) M1M2_PR
-    NEW met1 ( 1273970 1677730 ) M1M2_PR
-    NEW li1 ( 1271670 106930 ) L1M1_PR_MR
-    NEW met1 ( 1271670 106930 ) M1M2_PR
-    NEW li1 ( 1271670 144670 ) L1M1_PR_MR
-    NEW met1 ( 1272130 144670 ) M1M2_PR
-    NEW met1 ( 1271670 106930 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1270290 49300 ) ( 1270290 1678750 )
+    NEW met2 ( 605590 2380 0 ) ( 605590 20910 )
+    NEW met1 ( 605590 20910 ) ( 641930 20910 )
+    NEW met3 ( 1161500 16660 ) ( 1161500 17340 )
+    NEW met3 ( 1161500 17340 ) ( 1197610 17340 )
+    NEW met2 ( 1197610 17340 ) ( 1197610 17510 )
+    NEW met3 ( 1077550 16660 ) ( 1161500 16660 )
+    NEW met1 ( 1197610 17510 ) ( 1245450 17510 )
+    NEW li1 ( 726110 17510 ) ( 726110 20910 )
+    NEW met1 ( 689310 20910 ) ( 726110 20910 )
+    NEW met1 ( 726110 17510 ) ( 1076630 17510 )
+    NEW li1 ( 641930 20910 ) L1M1_PR_MR
+    NEW li1 ( 641930 17510 ) L1M1_PR_MR
+    NEW met1 ( 688850 17510 ) M1M2_PR
+    NEW met1 ( 689310 20910 ) M1M2_PR
+    NEW met1 ( 1076630 17510 ) M1M2_PR
+    NEW met2 ( 1077550 16660 ) via2_FR
+    NEW met1 ( 1271670 17170 ) M1M2_PR
+    NEW met1 ( 1270290 1678750 ) M1M2_PR
+    NEW met1 ( 1273970 1678750 ) M1M2_PR
+    NEW met1 ( 605590 20910 ) M1M2_PR
+    NEW met2 ( 1197610 17340 ) via2_FR
+    NEW met1 ( 1197610 17510 ) M1M2_PR
+    NEW li1 ( 726110 20910 ) L1M1_PR_MR
+    NEW li1 ( 726110 17510 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) 
-  + ROUTED li1 ( 709550 1683170 ) ( 709550 1688270 )
-    NEW li1 ( 760150 1683170 ) ( 760150 1688270 )
-    NEW met1 ( 760150 1688270 ) ( 806150 1688270 )
-    NEW li1 ( 806150 1683170 ) ( 806150 1688270 )
-    NEW li1 ( 856750 1683170 ) ( 856750 1688270 )
-    NEW met1 ( 856750 1688270 ) ( 902750 1688270 )
-    NEW li1 ( 902750 1683170 ) ( 902750 1688270 )
-    NEW li1 ( 953350 1683170 ) ( 953350 1688270 )
-    NEW met1 ( 953350 1688270 ) ( 999350 1688270 )
-    NEW li1 ( 999350 1683170 ) ( 999350 1688270 )
-    NEW li1 ( 1049950 1683170 ) ( 1049950 1688270 )
-    NEW met1 ( 1049950 1688270 ) ( 1095950 1688270 )
-    NEW li1 ( 1095950 1683170 ) ( 1095950 1688270 )
-    NEW met2 ( 627210 2380 0 ) ( 627210 17340 )
-    NEW met2 ( 627210 17340 ) ( 627670 17340 )
-    NEW li1 ( 711850 1683170 ) ( 711850 1688270 )
-    NEW met1 ( 711850 1688270 ) ( 757850 1688270 )
-    NEW li1 ( 757850 1683170 ) ( 757850 1688270 )
-    NEW met1 ( 709550 1683170 ) ( 711850 1683170 )
-    NEW met1 ( 757850 1683170 ) ( 760150 1683170 )
-    NEW li1 ( 808450 1683170 ) ( 808450 1688270 )
-    NEW met1 ( 808450 1688270 ) ( 854450 1688270 )
-    NEW li1 ( 854450 1683170 ) ( 854450 1688270 )
-    NEW met1 ( 806150 1683170 ) ( 808450 1683170 )
-    NEW met1 ( 854450 1683170 ) ( 856750 1683170 )
-    NEW li1 ( 905050 1683170 ) ( 905050 1688270 )
-    NEW met1 ( 905050 1688270 ) ( 951050 1688270 )
-    NEW li1 ( 951050 1683170 ) ( 951050 1688270 )
-    NEW met1 ( 902750 1683170 ) ( 905050 1683170 )
-    NEW met1 ( 951050 1683170 ) ( 953350 1683170 )
-    NEW li1 ( 1001650 1683170 ) ( 1001650 1688270 )
-    NEW met1 ( 1001650 1688270 ) ( 1047650 1688270 )
-    NEW li1 ( 1047650 1683170 ) ( 1047650 1688270 )
-    NEW met1 ( 999350 1683170 ) ( 1001650 1683170 )
-    NEW met1 ( 1047650 1683170 ) ( 1049950 1683170 )
-    NEW li1 ( 1098250 1683170 ) ( 1098250 1688270 )
-    NEW met1 ( 1095950 1683170 ) ( 1098250 1683170 )
-    NEW met2 ( 641930 1687590 ) ( 641930 1689460 )
-    NEW met3 ( 641930 1689460 ) ( 689770 1689460 )
-    NEW met2 ( 689770 1688270 ) ( 689770 1689460 )
-    NEW met1 ( 689770 1688270 ) ( 709550 1688270 )
-    NEW met2 ( 1147930 1687250 ) ( 1147930 1688270 )
-    NEW met1 ( 1098250 1688270 ) ( 1147930 1688270 )
-    NEW met1 ( 627670 1687930 ) ( 641010 1687930 )
-    NEW met1 ( 641010 1687590 ) ( 641010 1687930 )
-    NEW met2 ( 627670 17340 ) ( 627670 1687930 )
-    NEW met1 ( 641010 1687590 ) ( 641930 1687590 )
-    NEW met2 ( 1278570 1687250 ) ( 1278570 1700340 0 )
-    NEW met1 ( 1147930 1687250 ) ( 1278570 1687250 )
-    NEW li1 ( 709550 1688270 ) L1M1_PR_MR
-    NEW li1 ( 709550 1683170 ) L1M1_PR_MR
-    NEW li1 ( 760150 1683170 ) L1M1_PR_MR
-    NEW li1 ( 760150 1688270 ) L1M1_PR_MR
-    NEW li1 ( 806150 1688270 ) L1M1_PR_MR
-    NEW li1 ( 806150 1683170 ) L1M1_PR_MR
-    NEW li1 ( 856750 1683170 ) L1M1_PR_MR
-    NEW li1 ( 856750 1688270 ) L1M1_PR_MR
-    NEW li1 ( 902750 1688270 ) L1M1_PR_MR
-    NEW li1 ( 902750 1683170 ) L1M1_PR_MR
-    NEW li1 ( 953350 1683170 ) L1M1_PR_MR
-    NEW li1 ( 953350 1688270 ) L1M1_PR_MR
-    NEW li1 ( 999350 1688270 ) L1M1_PR_MR
-    NEW li1 ( 999350 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1049950 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1049950 1688270 ) L1M1_PR_MR
-    NEW li1 ( 1095950 1688270 ) L1M1_PR_MR
-    NEW li1 ( 1095950 1683170 ) L1M1_PR_MR
-    NEW li1 ( 711850 1683170 ) L1M1_PR_MR
-    NEW li1 ( 711850 1688270 ) L1M1_PR_MR
-    NEW li1 ( 757850 1688270 ) L1M1_PR_MR
-    NEW li1 ( 757850 1683170 ) L1M1_PR_MR
-    NEW li1 ( 808450 1683170 ) L1M1_PR_MR
-    NEW li1 ( 808450 1688270 ) L1M1_PR_MR
-    NEW li1 ( 854450 1688270 ) L1M1_PR_MR
-    NEW li1 ( 854450 1683170 ) L1M1_PR_MR
-    NEW li1 ( 905050 1683170 ) L1M1_PR_MR
-    NEW li1 ( 905050 1688270 ) L1M1_PR_MR
-    NEW li1 ( 951050 1688270 ) L1M1_PR_MR
-    NEW li1 ( 951050 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1001650 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1001650 1688270 ) L1M1_PR_MR
-    NEW li1 ( 1047650 1688270 ) L1M1_PR_MR
-    NEW li1 ( 1047650 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1098250 1683170 ) L1M1_PR_MR
-    NEW li1 ( 1098250 1688270 ) L1M1_PR_MR
-    NEW met1 ( 641930 1687590 ) M1M2_PR
-    NEW met2 ( 641930 1689460 ) via2_FR
-    NEW met2 ( 689770 1689460 ) via2_FR
-    NEW met1 ( 689770 1688270 ) M1M2_PR
-    NEW met1 ( 1147930 1688270 ) M1M2_PR
-    NEW met1 ( 1147930 1687250 ) M1M2_PR
-    NEW met1 ( 627670 1687930 ) M1M2_PR
-    NEW met1 ( 1278570 1687250 ) M1M2_PR
+  + ROUTED met2 ( 1278570 1685210 ) ( 1278570 1700340 0 )
+    NEW met2 ( 623530 2380 0 ) ( 623530 23630 )
+    NEW met1 ( 623530 23630 ) ( 627670 23630 )
+    NEW met1 ( 627210 1684190 ) ( 627670 1684190 )
+    NEW met1 ( 627210 1684190 ) ( 627210 1684870 )
+    NEW met1 ( 627210 1684870 ) ( 627670 1684870 )
+    NEW met1 ( 627670 1684870 ) ( 627670 1685210 )
+    NEW met2 ( 627670 23630 ) ( 627670 1684190 )
+    NEW li1 ( 676430 1683170 ) ( 676430 1685210 )
+    NEW met1 ( 676430 1683170 ) ( 700350 1683170 )
+    NEW li1 ( 700350 1683170 ) ( 700350 1684870 )
+    NEW met1 ( 627670 1685210 ) ( 676430 1685210 )
+    NEW li1 ( 786370 1684870 ) ( 786830 1684870 )
+    NEW li1 ( 786830 1684870 ) ( 786830 1685210 )
+    NEW met1 ( 786830 1685210 ) ( 820870 1685210 )
+    NEW met1 ( 820870 1684870 ) ( 820870 1685210 )
+    NEW li1 ( 870090 1684530 ) ( 870090 1685210 )
+    NEW li1 ( 966690 1684530 ) ( 966690 1685210 )
+    NEW li1 ( 724730 1684190 ) ( 724730 1684870 )
+    NEW met1 ( 724730 1684190 ) ( 748650 1684190 )
+    NEW li1 ( 748650 1684190 ) ( 748650 1684870 )
+    NEW met1 ( 700350 1684870 ) ( 724730 1684870 )
+    NEW met1 ( 748650 1684870 ) ( 786370 1684870 )
+    NEW met1 ( 821330 1684530 ) ( 821330 1684870 )
+    NEW li1 ( 821330 1683170 ) ( 821330 1684530 )
+    NEW met1 ( 821330 1683170 ) ( 845250 1683170 )
+    NEW li1 ( 845250 1683170 ) ( 845250 1685210 )
+    NEW met1 ( 820870 1684870 ) ( 821330 1684870 )
+    NEW met1 ( 845250 1685210 ) ( 870090 1685210 )
+    NEW li1 ( 917930 1684530 ) ( 917930 1685210 )
+    NEW met1 ( 917930 1685210 ) ( 931270 1685210 )
+    NEW li1 ( 931270 1685210 ) ( 931730 1685210 )
+    NEW met1 ( 870090 1684530 ) ( 917930 1684530 )
+    NEW met1 ( 931730 1685210 ) ( 966690 1685210 )
+    NEW met1 ( 1030170 1684530 ) ( 1030170 1684870 )
+    NEW met1 ( 966690 1684530 ) ( 1030170 1684530 )
+    NEW li1 ( 1124470 1684870 ) ( 1124930 1684870 )
+    NEW li1 ( 1124930 1684870 ) ( 1124930 1685210 )
+    NEW met1 ( 1124930 1685210 ) ( 1278570 1685210 )
+    NEW li1 ( 1055930 1684190 ) ( 1055930 1684870 )
+    NEW met1 ( 1055930 1684190 ) ( 1103770 1684190 )
+    NEW li1 ( 1103770 1684190 ) ( 1103770 1684870 )
+    NEW met1 ( 1030170 1684870 ) ( 1055930 1684870 )
+    NEW met1 ( 1103770 1684870 ) ( 1124470 1684870 )
+    NEW met1 ( 1278570 1685210 ) M1M2_PR
+    NEW met1 ( 623530 23630 ) M1M2_PR
+    NEW met1 ( 627670 23630 ) M1M2_PR
+    NEW met1 ( 627670 1684190 ) M1M2_PR
+    NEW li1 ( 676430 1685210 ) L1M1_PR_MR
+    NEW li1 ( 676430 1683170 ) L1M1_PR_MR
+    NEW li1 ( 700350 1683170 ) L1M1_PR_MR
+    NEW li1 ( 700350 1684870 ) L1M1_PR_MR
+    NEW li1 ( 786370 1684870 ) L1M1_PR_MR
+    NEW li1 ( 786830 1685210 ) L1M1_PR_MR
+    NEW li1 ( 870090 1685210 ) L1M1_PR_MR
+    NEW li1 ( 870090 1684530 ) L1M1_PR_MR
+    NEW li1 ( 966690 1685210 ) L1M1_PR_MR
+    NEW li1 ( 966690 1684530 ) L1M1_PR_MR
+    NEW li1 ( 724730 1684870 ) L1M1_PR_MR
+    NEW li1 ( 724730 1684190 ) L1M1_PR_MR
+    NEW li1 ( 748650 1684190 ) L1M1_PR_MR
+    NEW li1 ( 748650 1684870 ) L1M1_PR_MR
+    NEW li1 ( 821330 1684530 ) L1M1_PR_MR
+    NEW li1 ( 821330 1683170 ) L1M1_PR_MR
+    NEW li1 ( 845250 1683170 ) L1M1_PR_MR
+    NEW li1 ( 845250 1685210 ) L1M1_PR_MR
+    NEW li1 ( 917930 1684530 ) L1M1_PR_MR
+    NEW li1 ( 917930 1685210 ) L1M1_PR_MR
+    NEW li1 ( 931270 1685210 ) L1M1_PR_MR
+    NEW li1 ( 931730 1685210 ) L1M1_PR_MR
+    NEW li1 ( 1124470 1684870 ) L1M1_PR_MR
+    NEW li1 ( 1124930 1685210 ) L1M1_PR_MR
+    NEW li1 ( 1055930 1684870 ) L1M1_PR_MR
+    NEW li1 ( 1055930 1684190 ) L1M1_PR_MR
+    NEW li1 ( 1103770 1684190 ) L1M1_PR_MR
+    NEW li1 ( 1103770 1684870 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) 
-  + ROUTED met2 ( 121670 2380 0 ) ( 121670 18700 )
-    NEW met3 ( 121670 18700 ) ( 1175530 18700 )
-    NEW met2 ( 1174610 1677220 ) ( 1175530 1677220 )
-    NEW met2 ( 1174610 1677220 ) ( 1174610 1700340 0 )
-    NEW met1 ( 1175070 109990 ) ( 1175070 110670 )
-    NEW met1 ( 1175070 109990 ) ( 1175530 109990 )
-    NEW met2 ( 1175530 18700 ) ( 1175530 109990 )
-    NEW met3 ( 1174380 241060 ) ( 1174610 241060 )
-    NEW met3 ( 1174380 240380 ) ( 1174380 241060 )
-    NEW met3 ( 1174380 240380 ) ( 1175990 240380 )
-    NEW met2 ( 1175990 193460 ) ( 1175990 240380 )
-    NEW met2 ( 1175530 193460 ) ( 1175990 193460 )
-    NEW met1 ( 1175070 303110 ) ( 1175070 303790 )
-    NEW met1 ( 1175070 303110 ) ( 1175530 303110 )
-    NEW met2 ( 1175070 399500 ) ( 1175530 399500 )
-    NEW li1 ( 1175070 483310 ) ( 1175070 531250 )
-    NEW met2 ( 1175070 483140 ) ( 1175070 483310 )
-    NEW met2 ( 1175070 483140 ) ( 1175530 483140 )
-    NEW met2 ( 1175070 592620 ) ( 1175530 592620 )
-    NEW met1 ( 1175070 689690 ) ( 1175070 690030 )
-    NEW met1 ( 1175070 689690 ) ( 1175530 689690 )
-    NEW li1 ( 1175070 785570 ) ( 1175070 796450 )
-    NEW met1 ( 1175070 785570 ) ( 1175530 785570 )
-    NEW met2 ( 1175070 1014220 ) ( 1175530 1014220 )
-    NEW met2 ( 1175530 1014050 ) ( 1175530 1014220 )
-    NEW li1 ( 1175530 978690 ) ( 1175530 1014050 )
-    NEW li1 ( 1175530 1269050 ) ( 1175530 1304070 )
-    NEW met1 ( 1175070 1449250 ) ( 1175530 1449250 )
-    NEW li1 ( 1175070 1559070 ) ( 1175070 1593410 )
-    NEW met1 ( 1175070 1559070 ) ( 1175530 1559070 )
-    NEW li1 ( 1175070 145010 ) ( 1175070 158950 )
-    NEW met2 ( 1175070 158950 ) ( 1175070 192780 )
-    NEW met2 ( 1175070 192780 ) ( 1175530 192780 )
-    NEW met2 ( 1175070 110670 ) ( 1175070 145010 )
-    NEW met2 ( 1175530 192780 ) ( 1175530 193460 )
-    NEW li1 ( 1174610 241570 ) ( 1174610 289510 )
-    NEW met1 ( 1174610 289510 ) ( 1175530 289510 )
-    NEW met2 ( 1174610 241060 ) ( 1174610 241570 )
-    NEW met2 ( 1175530 289510 ) ( 1175530 303110 )
-    NEW li1 ( 1175070 338130 ) ( 1175070 385730 )
-    NEW met1 ( 1175070 385730 ) ( 1175530 385730 )
-    NEW met2 ( 1175070 303790 ) ( 1175070 338130 )
-    NEW met2 ( 1175530 385730 ) ( 1175530 399500 )
-    NEW met2 ( 1175070 434860 ) ( 1175530 434860 )
-    NEW met2 ( 1175530 434860 ) ( 1175530 448290 )
-    NEW li1 ( 1175530 448290 ) ( 1175530 482970 )
-    NEW met2 ( 1175070 399500 ) ( 1175070 434860 )
-    NEW met2 ( 1175530 482970 ) ( 1175530 483140 )
-    NEW met2 ( 1175070 531420 ) ( 1175530 531420 )
-    NEW met2 ( 1175530 531420 ) ( 1175530 544850 )
-    NEW li1 ( 1175530 544850 ) ( 1175530 578850 )
-    NEW met2 ( 1175070 531250 ) ( 1175070 531420 )
-    NEW met2 ( 1175530 578850 ) ( 1175530 592620 )
-    NEW met2 ( 1175070 627980 ) ( 1175530 627980 )
-    NEW met3 ( 1175530 627980 ) ( 1176450 627980 )
-    NEW met2 ( 1176450 627980 ) ( 1176450 676090 )
-    NEW met1 ( 1175530 676090 ) ( 1176450 676090 )
-    NEW met2 ( 1175070 592620 ) ( 1175070 627980 )
-    NEW met2 ( 1175530 676090 ) ( 1175530 689690 )
-    NEW met3 ( 1175070 724540 ) ( 1176910 724540 )
-    NEW met2 ( 1176910 724540 ) ( 1176910 772140 )
-    NEW met3 ( 1175530 772140 ) ( 1176910 772140 )
-    NEW met2 ( 1175070 690030 ) ( 1175070 724540 )
-    NEW met2 ( 1175530 772140 ) ( 1175530 785570 )
-    NEW li1 ( 1175070 834530 ) ( 1175070 835890 )
-    NEW met1 ( 1175070 835890 ) ( 1175530 835890 )
-    NEW met2 ( 1175070 796450 ) ( 1175070 834530 )
-    NEW li1 ( 1175070 1015070 ) ( 1175070 1028670 )
-    NEW met2 ( 1175070 1014220 ) ( 1175070 1015070 )
-    NEW met3 ( 1175070 1208020 ) ( 1175300 1208020 )
-    NEW met4 ( 1175300 1208020 ) ( 1175300 1221620 )
-    NEW met3 ( 1175300 1221620 ) ( 1175530 1221620 )
-    NEW met2 ( 1175530 1221620 ) ( 1175530 1269050 )
-    NEW met1 ( 1175530 1317330 ) ( 1175530 1318350 )
-    NEW met2 ( 1175530 1304070 ) ( 1175530 1317330 )
-    NEW li1 ( 1175070 1413890 ) ( 1175070 1426470 )
-    NEW met1 ( 1175070 1413890 ) ( 1175530 1413890 )
-    NEW met2 ( 1175070 1426470 ) ( 1175070 1449250 )
-    NEW met2 ( 1175530 1449250 ) ( 1175530 1559070 )
-    NEW li1 ( 1175070 1594430 ) ( 1175070 1642030 )
-    NEW met1 ( 1175070 1642030 ) ( 1175530 1642030 )
-    NEW met2 ( 1175070 1593410 ) ( 1175070 1594430 )
-    NEW met2 ( 1175530 1642030 ) ( 1175530 1677220 )
-    NEW met1 ( 1175070 882810 ) ( 1175070 883490 )
-    NEW met1 ( 1175070 882810 ) ( 1175530 882810 )
-    NEW met2 ( 1175530 835890 ) ( 1175530 882810 )
-    NEW met1 ( 1174610 1055870 ) ( 1175070 1055870 )
-    NEW met2 ( 1174610 1055870 ) ( 1174610 1103980 )
-    NEW met3 ( 1174610 1103980 ) ( 1175530 1103980 )
-    NEW met2 ( 1175070 1028670 ) ( 1175070 1055870 )
-    NEW li1 ( 1175070 1152430 ) ( 1175070 1200370 )
-    NEW met1 ( 1175070 1152430 ) ( 1175990 1152430 )
-    NEW met2 ( 1175070 1200370 ) ( 1175070 1208020 )
-    NEW met1 ( 1174610 1345550 ) ( 1175530 1345550 )
-    NEW met2 ( 1174610 1345550 ) ( 1174610 1393660 )
-    NEW met3 ( 1174610 1393660 ) ( 1175530 1393660 )
-    NEW met2 ( 1175530 1318350 ) ( 1175530 1345550 )
-    NEW met2 ( 1175530 1393660 ) ( 1175530 1413890 )
-    NEW met2 ( 1175070 910860 ) ( 1175530 910860 )
-    NEW met3 ( 1175530 910860 ) ( 1176450 910860 )
-    NEW met2 ( 1176450 910860 ) ( 1176450 958970 )
-    NEW met1 ( 1175530 958970 ) ( 1176450 958970 )
-    NEW met2 ( 1175070 883490 ) ( 1175070 910860 )
-    NEW met2 ( 1175530 958970 ) ( 1175530 978690 )
-    NEW met3 ( 1175300 1104660 ) ( 1175530 1104660 )
-    NEW met3 ( 1175300 1104660 ) ( 1175300 1105340 )
-    NEW met3 ( 1175300 1105340 ) ( 1175990 1105340 )
-    NEW met2 ( 1175530 1103980 ) ( 1175530 1104660 )
-    NEW met2 ( 1175990 1105340 ) ( 1175990 1152430 )
-    NEW met2 ( 121670 18700 ) via2_FR
-    NEW met2 ( 1175530 18700 ) via2_FR
-    NEW met1 ( 1175070 110670 ) M1M2_PR
-    NEW met1 ( 1175530 109990 ) M1M2_PR
-    NEW met2 ( 1174610 241060 ) via2_FR
-    NEW met2 ( 1175990 240380 ) via2_FR
-    NEW met1 ( 1175070 303790 ) M1M2_PR
-    NEW met1 ( 1175530 303110 ) M1M2_PR
-    NEW li1 ( 1175070 531250 ) L1M1_PR_MR
-    NEW met1 ( 1175070 531250 ) M1M2_PR
-    NEW li1 ( 1175070 483310 ) L1M1_PR_MR
-    NEW met1 ( 1175070 483310 ) M1M2_PR
-    NEW met1 ( 1175070 690030 ) M1M2_PR
-    NEW met1 ( 1175530 689690 ) M1M2_PR
-    NEW li1 ( 1175070 796450 ) L1M1_PR_MR
-    NEW met1 ( 1175070 796450 ) M1M2_PR
-    NEW li1 ( 1175070 785570 ) L1M1_PR_MR
-    NEW met1 ( 1175530 785570 ) M1M2_PR
-    NEW li1 ( 1175530 1014050 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1014050 ) M1M2_PR
-    NEW li1 ( 1175530 978690 ) L1M1_PR_MR
-    NEW met1 ( 1175530 978690 ) M1M2_PR
-    NEW li1 ( 1175530 1304070 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1304070 ) M1M2_PR
-    NEW li1 ( 1175530 1269050 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1269050 ) M1M2_PR
-    NEW met1 ( 1175070 1449250 ) M1M2_PR
-    NEW met1 ( 1175530 1449250 ) M1M2_PR
-    NEW li1 ( 1175070 1593410 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1593410 ) M1M2_PR
-    NEW li1 ( 1175070 1559070 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1559070 ) M1M2_PR
-    NEW li1 ( 1175070 145010 ) L1M1_PR_MR
-    NEW met1 ( 1175070 145010 ) M1M2_PR
-    NEW li1 ( 1175070 158950 ) L1M1_PR_MR
-    NEW met1 ( 1175070 158950 ) M1M2_PR
-    NEW li1 ( 1174610 241570 ) L1M1_PR_MR
-    NEW met1 ( 1174610 241570 ) M1M2_PR
-    NEW li1 ( 1174610 289510 ) L1M1_PR_MR
-    NEW met1 ( 1175530 289510 ) M1M2_PR
-    NEW li1 ( 1175070 338130 ) L1M1_PR_MR
-    NEW met1 ( 1175070 338130 ) M1M2_PR
-    NEW li1 ( 1175070 385730 ) L1M1_PR_MR
-    NEW met1 ( 1175530 385730 ) M1M2_PR
-    NEW li1 ( 1175530 448290 ) L1M1_PR_MR
-    NEW met1 ( 1175530 448290 ) M1M2_PR
-    NEW li1 ( 1175530 482970 ) L1M1_PR_MR
-    NEW met1 ( 1175530 482970 ) M1M2_PR
-    NEW li1 ( 1175530 544850 ) L1M1_PR_MR
-    NEW met1 ( 1175530 544850 ) M1M2_PR
-    NEW li1 ( 1175530 578850 ) L1M1_PR_MR
-    NEW met1 ( 1175530 578850 ) M1M2_PR
-    NEW met2 ( 1175530 627980 ) via2_FR
-    NEW met2 ( 1176450 627980 ) via2_FR
-    NEW met1 ( 1176450 676090 ) M1M2_PR
-    NEW met1 ( 1175530 676090 ) M1M2_PR
-    NEW met2 ( 1175070 724540 ) via2_FR
-    NEW met2 ( 1176910 724540 ) via2_FR
-    NEW met2 ( 1176910 772140 ) via2_FR
-    NEW met2 ( 1175530 772140 ) via2_FR
-    NEW li1 ( 1175070 834530 ) L1M1_PR_MR
-    NEW met1 ( 1175070 834530 ) M1M2_PR
-    NEW li1 ( 1175070 835890 ) L1M1_PR_MR
-    NEW met1 ( 1175530 835890 ) M1M2_PR
-    NEW li1 ( 1175070 1015070 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1015070 ) M1M2_PR
-    NEW li1 ( 1175070 1028670 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1028670 ) M1M2_PR
-    NEW met2 ( 1175070 1208020 ) via2_FR
-    NEW met3 ( 1175300 1208020 ) M3M4_PR_M
-    NEW met3 ( 1175300 1221620 ) M3M4_PR_M
-    NEW met2 ( 1175530 1221620 ) via2_FR
-    NEW met1 ( 1175530 1317330 ) M1M2_PR
-    NEW met1 ( 1175530 1318350 ) M1M2_PR
-    NEW li1 ( 1175070 1426470 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1426470 ) M1M2_PR
-    NEW li1 ( 1175070 1413890 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1413890 ) M1M2_PR
-    NEW li1 ( 1175070 1594430 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1594430 ) M1M2_PR
-    NEW li1 ( 1175070 1642030 ) L1M1_PR_MR
-    NEW met1 ( 1175530 1642030 ) M1M2_PR
-    NEW met1 ( 1175070 883490 ) M1M2_PR
-    NEW met1 ( 1175530 882810 ) M1M2_PR
-    NEW met1 ( 1175070 1055870 ) M1M2_PR
-    NEW met1 ( 1174610 1055870 ) M1M2_PR
-    NEW met2 ( 1174610 1103980 ) via2_FR
-    NEW met2 ( 1175530 1103980 ) via2_FR
-    NEW li1 ( 1175070 1200370 ) L1M1_PR_MR
-    NEW met1 ( 1175070 1200370 ) M1M2_PR
-    NEW li1 ( 1175070 1152430 ) L1M1_PR_MR
-    NEW met1 ( 1175990 1152430 ) M1M2_PR
-    NEW met1 ( 1175530 1345550 ) M1M2_PR
-    NEW met1 ( 1174610 1345550 ) M1M2_PR
-    NEW met2 ( 1174610 1393660 ) via2_FR
-    NEW met2 ( 1175530 1393660 ) via2_FR
-    NEW met2 ( 1175530 910860 ) via2_FR
-    NEW met2 ( 1176450 910860 ) via2_FR
-    NEW met1 ( 1176450 958970 ) M1M2_PR
-    NEW met1 ( 1175530 958970 ) M1M2_PR
-    NEW met2 ( 1175530 1104660 ) via2_FR
-    NEW met2 ( 1175990 1105340 ) via2_FR
-    NEW met1 ( 1175070 531250 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 483310 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 796450 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 1014050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 978690 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 1304070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 1269050 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 1593410 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 145010 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 158950 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1174610 241570 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 338130 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 448290 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 482970 ) RECT ( -355 -70 0 70 )
-    NEW met2 ( 1175530 482970 ) RECT ( -70 -315 70 0 )
-    NEW met1 ( 1175530 544850 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175530 578850 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 834530 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 1015070 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 1028670 ) RECT ( -355 -70 0 70 )
-    NEW met3 ( 1175300 1208020 ) RECT ( 0 -150 390 150 )
-    NEW met3 ( 1175300 1221620 ) RECT ( -390 -150 0 150 )
-    NEW met1 ( 1175070 1426470 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 1594430 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 1175070 1200370 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 121210 2380 0 ) ( 121210 18700 )
+    NEW met3 ( 121210 18700 ) ( 1174150 18700 )
+    NEW met2 ( 1173690 1700340 ) ( 1174610 1700340 0 )
+    NEW met2 ( 1173690 61540 ) ( 1174150 61540 )
+    NEW met2 ( 1173690 61540 ) ( 1173690 1700340 )
+    NEW met2 ( 1174150 18700 ) ( 1174150 61540 )
+    NEW met2 ( 121210 18700 ) via2_FR
+    NEW met2 ( 1174150 18700 ) via2_FR
 + USE SIGNAL ;
 - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) 
-  + ROUTED met1 ( 710010 1688270 ) ( 710010 1688610 )
-    NEW met1 ( 759690 1688270 ) ( 759690 1688610 )
-    NEW met1 ( 759690 1688610 ) ( 806610 1688610 )
-    NEW met1 ( 806610 1688270 ) ( 806610 1688610 )
-    NEW met1 ( 856290 1688270 ) ( 856290 1688610 )
-    NEW met1 ( 856290 1688610 ) ( 903210 1688610 )
-    NEW met1 ( 903210 1688270 ) ( 903210 1688610 )
-    NEW met1 ( 952890 1688270 ) ( 952890 1688610 )
-    NEW met1 ( 952890 1688610 ) ( 999810 1688610 )
-    NEW met1 ( 999810 1688270 ) ( 999810 1688610 )
-    NEW met1 ( 1049490 1688270 ) ( 1049490 1688610 )
-    NEW met1 ( 1049490 1688610 ) ( 1096410 1688610 )
-    NEW met1 ( 1096410 1688270 ) ( 1096410 1688610 )
-    NEW met2 ( 145590 2380 0 ) ( 145590 17850 )
-    NEW met1 ( 145590 17850 ) ( 151570 17850 )
-    NEW met2 ( 151570 17850 ) ( 151570 1688270 )
-    NEW met1 ( 711390 1688270 ) ( 711390 1688610 )
-    NEW met1 ( 711390 1688610 ) ( 758310 1688610 )
-    NEW met1 ( 758310 1688270 ) ( 758310 1688610 )
-    NEW met1 ( 710010 1688270 ) ( 711390 1688270 )
-    NEW met1 ( 758310 1688270 ) ( 759690 1688270 )
-    NEW met1 ( 807990 1688270 ) ( 807990 1688610 )
-    NEW met1 ( 807990 1688610 ) ( 854910 1688610 )
-    NEW met1 ( 854910 1688270 ) ( 854910 1688610 )
-    NEW met1 ( 806610 1688270 ) ( 807990 1688270 )
-    NEW met1 ( 854910 1688270 ) ( 856290 1688270 )
-    NEW met1 ( 904590 1688270 ) ( 904590 1688610 )
-    NEW met1 ( 904590 1688610 ) ( 951510 1688610 )
-    NEW met1 ( 951510 1688270 ) ( 951510 1688610 )
-    NEW met1 ( 903210 1688270 ) ( 904590 1688270 )
-    NEW met1 ( 951510 1688270 ) ( 952890 1688270 )
-    NEW met1 ( 1001190 1688270 ) ( 1001190 1688610 )
-    NEW met1 ( 1001190 1688610 ) ( 1048110 1688610 )
-    NEW met1 ( 1048110 1688270 ) ( 1048110 1688610 )
-    NEW met1 ( 999810 1688270 ) ( 1001190 1688270 )
-    NEW met1 ( 1048110 1688270 ) ( 1049490 1688270 )
-    NEW met1 ( 1097790 1688270 ) ( 1097790 1688610 )
-    NEW met1 ( 1096410 1688270 ) ( 1097790 1688270 )
-    NEW met1 ( 648830 1688270 ) ( 648830 1688610 )
-    NEW met1 ( 648830 1688610 ) ( 710010 1688610 )
-    NEW li1 ( 1148850 1688610 ) ( 1148850 1689970 )
-    NEW met1 ( 1097790 1688610 ) ( 1148850 1688610 )
-    NEW met1 ( 151570 1688270 ) ( 648830 1688270 )
-    NEW met2 ( 1179210 1689970 ) ( 1179210 1700340 0 )
-    NEW met1 ( 1148850 1689970 ) ( 1179210 1689970 )
-    NEW met1 ( 145590 17850 ) M1M2_PR
-    NEW met1 ( 151570 17850 ) M1M2_PR
-    NEW met1 ( 151570 1688270 ) M1M2_PR
-    NEW li1 ( 1148850 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1148850 1689970 ) L1M1_PR_MR
-    NEW met1 ( 1179210 1689970 ) M1M2_PR
+  + ROUTED li1 ( 1153450 1688270 ) ( 1153450 1689630 )
+    NEW met2 ( 144670 2380 0 ) ( 144670 17850 )
+    NEW met1 ( 144670 17850 ) ( 162150 17850 )
+    NEW met2 ( 162150 17850 ) ( 162150 1688270 )
+    NEW met1 ( 162150 1688270 ) ( 1153450 1688270 )
+    NEW met2 ( 1179210 1689630 ) ( 1179210 1700340 0 )
+    NEW met1 ( 1153450 1689630 ) ( 1179210 1689630 )
+    NEW li1 ( 1153450 1688270 ) L1M1_PR_MR
+    NEW li1 ( 1153450 1689630 ) L1M1_PR_MR
+    NEW met1 ( 144670 17850 ) M1M2_PR
+    NEW met1 ( 162150 17850 ) M1M2_PR
+    NEW met1 ( 162150 1688270 ) M1M2_PR
+    NEW met1 ( 1179210 1689630 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) 
-  + ROUTED li1 ( 198490 15470 ) ( 198490 16830 )
-    NEW met1 ( 198490 15470 ) ( 227010 15470 )
-    NEW li1 ( 227010 15470 ) ( 227010 17170 )
-    NEW li1 ( 323610 15470 ) ( 323610 17510 )
-    NEW met1 ( 323610 17170 ) ( 323610 17510 )
-    NEW li1 ( 373290 14450 ) ( 373290 17170 )
-    NEW met1 ( 373290 14450 ) ( 420210 14450 )
-    NEW li1 ( 420210 14450 ) ( 420210 17170 )
-    NEW met2 ( 516810 15980 ) ( 516810 17170 )
-    NEW met2 ( 566950 15980 ) ( 566950 17170 )
-    NEW met2 ( 163530 2380 0 ) ( 163530 16830 )
-    NEW met1 ( 163530 16830 ) ( 198490 16830 )
-    NEW met1 ( 323610 17170 ) ( 373290 17170 )
-    NEW met1 ( 516810 17170 ) ( 566950 17170 )
-    NEW met2 ( 628130 15980 ) ( 628130 17510 )
-    NEW met1 ( 628130 17510 ) ( 638710 17510 )
-    NEW met3 ( 566950 15980 ) ( 628130 15980 )
-    NEW met1 ( 758310 13090 ) ( 760150 13090 )
-    NEW met1 ( 805690 13090 ) ( 807990 13090 )
-    NEW met1 ( 854910 13090 ) ( 856290 13090 )
-    NEW met1 ( 903210 13090 ) ( 904590 13090 )
-    NEW met1 ( 951510 13090 ) ( 952890 13090 )
-    NEW met1 ( 998890 13090 ) ( 1001190 13090 )
-    NEW met1 ( 1047190 13090 ) ( 1049490 13090 )
-    NEW met1 ( 661710 13090 ) ( 664470 13090 )
-    NEW li1 ( 279450 15470 ) ( 279450 17170 )
-    NEW met1 ( 227010 17170 ) ( 279450 17170 )
-    NEW met1 ( 279450 15470 ) ( 323610 15470 )
-    NEW met2 ( 496110 15980 ) ( 496110 17170 )
-    NEW met1 ( 420210 17170 ) ( 496110 17170 )
-    NEW met3 ( 496110 15980 ) ( 516810 15980 )
-    NEW li1 ( 641930 17170 ) ( 641930 17510 )
-    NEW li1 ( 641930 17170 ) ( 642850 17170 )
-    NEW met1 ( 642850 17170 ) ( 661710 17170 )
-    NEW li1 ( 638710 17510 ) ( 641930 17510 )
-    NEW li1 ( 661710 13090 ) ( 661710 17170 )
-    NEW li1 ( 664470 13090 ) ( 664470 17170 )
-    NEW li1 ( 739910 18190 ) ( 745430 18190 )
-    NEW met1 ( 745430 18190 ) ( 758310 18190 )
-    NEW li1 ( 758310 13090 ) ( 758310 18190 )
-    NEW li1 ( 760150 13090 ) ( 760150 17170 )
-    NEW met1 ( 856290 20910 ) ( 882050 20910 )
-    NEW li1 ( 882050 17850 ) ( 882050 20910 )
-    NEW li1 ( 882050 17850 ) ( 882970 17850 )
-    NEW li1 ( 882970 17850 ) ( 882970 18190 )
-    NEW li1 ( 835130 18190 ) ( 835130 21250 )
-    NEW li1 ( 835130 21250 ) ( 836050 21250 )
-    NEW li1 ( 836050 20910 ) ( 836050 21250 )
-    NEW met1 ( 836050 20910 ) ( 854910 20910 )
-    NEW li1 ( 854910 13090 ) ( 854910 20910 )
-    NEW li1 ( 856290 13090 ) ( 856290 20910 )
-    NEW met1 ( 952890 20910 ) ( 979570 20910 )
-    NEW met2 ( 979570 17170 ) ( 979570 20910 )
-    NEW met2 ( 931730 17170 ) ( 931730 20910 )
-    NEW met1 ( 931730 20910 ) ( 951510 20910 )
-    NEW li1 ( 951510 13090 ) ( 951510 20910 )
-    NEW li1 ( 952890 13090 ) ( 952890 20910 )
-    NEW li1 ( 1047190 13090 ) ( 1047190 17170 )
-    NEW li1 ( 1049490 13090 ) ( 1049490 18190 )
-    NEW met2 ( 1125850 15980 ) ( 1125850 17850 )
-    NEW met1 ( 1125850 17850 ) ( 1171390 17850 )
-    NEW met2 ( 1171390 15980 ) ( 1171390 17850 )
-    NEW met1 ( 709550 13090 ) ( 711390 13090 )
-    NEW met1 ( 1096410 13090 ) ( 1097790 13090 )
-    NEW met1 ( 664470 17170 ) ( 709550 17170 )
-    NEW li1 ( 709550 13090 ) ( 709550 17170 )
-    NEW li1 ( 711390 13090 ) ( 711390 18190 )
-    NEW met1 ( 711390 18190 ) ( 739910 18190 )
-    NEW met1 ( 787290 17170 ) ( 787290 17510 )
-    NEW met1 ( 787290 17510 ) ( 805690 17510 )
-    NEW met1 ( 807990 18190 ) ( 811210 18190 )
-    NEW li1 ( 811210 18190 ) ( 814430 18190 )
-    NEW met1 ( 814430 18190 ) ( 829150 18190 )
-    NEW li1 ( 829150 18190 ) ( 834670 18190 )
-    NEW met1 ( 760150 17170 ) ( 787290 17170 )
-    NEW li1 ( 805690 13090 ) ( 805690 17510 )
-    NEW li1 ( 807990 13090 ) ( 807990 18190 )
-    NEW met1 ( 834670 18190 ) ( 835130 18190 )
-    NEW met1 ( 904590 17510 ) ( 930810 17510 )
-    NEW met1 ( 930810 17170 ) ( 930810 17510 )
-    NEW met1 ( 882970 18190 ) ( 903210 18190 )
-    NEW li1 ( 903210 13090 ) ( 903210 18190 )
-    NEW li1 ( 904590 13090 ) ( 904590 17510 )
-    NEW met1 ( 930810 17170 ) ( 931730 17170 )
-    NEW met2 ( 980030 17170 ) ( 980030 20910 )
-    NEW met1 ( 980030 20910 ) ( 998890 20910 )
-    NEW met1 ( 1001190 20910 ) ( 1027870 20910 )
-    NEW met2 ( 1027870 17170 ) ( 1027870 20910 )
-    NEW met1 ( 979570 17170 ) ( 980030 17170 )
-    NEW li1 ( 998890 13090 ) ( 998890 20910 )
-    NEW li1 ( 1001190 13090 ) ( 1001190 20910 )
-    NEW met1 ( 1027870 17170 ) ( 1047190 17170 )
-    NEW met2 ( 1076630 18190 ) ( 1077090 18190 )
-    NEW met2 ( 1077090 18190 ) ( 1077090 19890 )
-    NEW met1 ( 1077090 19890 ) ( 1084910 19890 )
-    NEW li1 ( 1084910 19890 ) ( 1084910 21250 )
-    NEW met1 ( 1084910 21250 ) ( 1090430 21250 )
-    NEW li1 ( 1090430 19890 ) ( 1090430 21250 )
-    NEW met1 ( 1090430 19890 ) ( 1095950 19890 )
-    NEW li1 ( 1095950 19890 ) ( 1096410 19890 )
-    NEW met1 ( 1097790 18190 ) ( 1120330 18190 )
-    NEW met2 ( 1120330 15980 ) ( 1120330 18190 )
-    NEW met1 ( 1049490 18190 ) ( 1076630 18190 )
-    NEW li1 ( 1096410 13090 ) ( 1096410 19890 )
-    NEW met2 ( 1097790 13090 ) ( 1097790 18190 )
-    NEW met3 ( 1120330 15980 ) ( 1125850 15980 )
-    NEW met2 ( 1173690 15980 ) ( 1173690 16830 )
-    NEW met1 ( 1173690 16830 ) ( 1182890 16830 )
-    NEW met3 ( 1171390 15980 ) ( 1173690 15980 )
-    NEW met2 ( 1182890 16830 ) ( 1182890 1700340 0 )
-    NEW li1 ( 198490 16830 ) L1M1_PR_MR
-    NEW li1 ( 198490 15470 ) L1M1_PR_MR
-    NEW li1 ( 227010 15470 ) L1M1_PR_MR
-    NEW li1 ( 227010 17170 ) L1M1_PR_MR
-    NEW li1 ( 323610 15470 ) L1M1_PR_MR
-    NEW li1 ( 323610 17510 ) L1M1_PR_MR
-    NEW li1 ( 373290 17170 ) L1M1_PR_MR
-    NEW li1 ( 373290 14450 ) L1M1_PR_MR
-    NEW li1 ( 420210 14450 ) L1M1_PR_MR
-    NEW li1 ( 420210 17170 ) L1M1_PR_MR
-    NEW met2 ( 516810 15980 ) via2_FR
-    NEW met1 ( 516810 17170 ) M1M2_PR
-    NEW met1 ( 566950 17170 ) M1M2_PR
-    NEW met2 ( 566950 15980 ) via2_FR
-    NEW li1 ( 760150 13090 ) L1M1_PR_MR
-    NEW li1 ( 805690 13090 ) L1M1_PR_MR
-    NEW li1 ( 856290 13090 ) L1M1_PR_MR
-    NEW li1 ( 903210 13090 ) L1M1_PR_MR
-    NEW li1 ( 952890 13090 ) L1M1_PR_MR
-    NEW li1 ( 998890 13090 ) L1M1_PR_MR
-    NEW li1 ( 1049490 13090 ) L1M1_PR_MR
-    NEW met1 ( 163530 16830 ) M1M2_PR
-    NEW met2 ( 628130 15980 ) via2_FR
-    NEW met1 ( 628130 17510 ) M1M2_PR
-    NEW li1 ( 638710 17510 ) L1M1_PR_MR
-    NEW li1 ( 758310 13090 ) L1M1_PR_MR
-    NEW li1 ( 807990 13090 ) L1M1_PR_MR
-    NEW li1 ( 854910 13090 ) L1M1_PR_MR
-    NEW li1 ( 904590 13090 ) L1M1_PR_MR
-    NEW li1 ( 951510 13090 ) L1M1_PR_MR
-    NEW li1 ( 1001190 13090 ) L1M1_PR_MR
-    NEW li1 ( 1047190 13090 ) L1M1_PR_MR
-    NEW li1 ( 661710 13090 ) L1M1_PR_MR
-    NEW li1 ( 664470 13090 ) L1M1_PR_MR
-    NEW li1 ( 279450 17170 ) L1M1_PR_MR
-    NEW li1 ( 279450 15470 ) L1M1_PR_MR
-    NEW met1 ( 496110 17170 ) M1M2_PR
-    NEW met2 ( 496110 15980 ) via2_FR
-    NEW li1 ( 642850 17170 ) L1M1_PR_MR
-    NEW li1 ( 661710 17170 ) L1M1_PR_MR
-    NEW li1 ( 664470 17170 ) L1M1_PR_MR
-    NEW li1 ( 760150 17170 ) L1M1_PR_MR
-    NEW li1 ( 739910 18190 ) L1M1_PR_MR
-    NEW li1 ( 745430 18190 ) L1M1_PR_MR
-    NEW li1 ( 758310 18190 ) L1M1_PR_MR
-    NEW li1 ( 856290 20910 ) L1M1_PR_MR
-    NEW li1 ( 882050 20910 ) L1M1_PR_MR
-    NEW li1 ( 882970 18190 ) L1M1_PR_MR
-    NEW li1 ( 835130 18190 ) L1M1_PR_MR
-    NEW li1 ( 836050 20910 ) L1M1_PR_MR
-    NEW li1 ( 854910 20910 ) L1M1_PR_MR
-    NEW li1 ( 952890 20910 ) L1M1_PR_MR
-    NEW met1 ( 979570 20910 ) M1M2_PR
-    NEW met1 ( 979570 17170 ) M1M2_PR
-    NEW met1 ( 931730 17170 ) M1M2_PR
-    NEW met1 ( 931730 20910 ) M1M2_PR
-    NEW li1 ( 951510 20910 ) L1M1_PR_MR
-    NEW li1 ( 1049490 18190 ) L1M1_PR_MR
-    NEW li1 ( 1047190 17170 ) L1M1_PR_MR
-    NEW met2 ( 1125850 15980 ) via2_FR
-    NEW met1 ( 1125850 17850 ) M1M2_PR
-    NEW met1 ( 1171390 17850 ) M1M2_PR
-    NEW met2 ( 1171390 15980 ) via2_FR
-    NEW li1 ( 709550 13090 ) L1M1_PR_MR
-    NEW li1 ( 711390 13090 ) L1M1_PR_MR
-    NEW li1 ( 1096410 13090 ) L1M1_PR_MR
-    NEW met1 ( 1097790 13090 ) M1M2_PR
-    NEW li1 ( 709550 17170 ) L1M1_PR_MR
-    NEW li1 ( 711390 18190 ) L1M1_PR_MR
-    NEW li1 ( 805690 17510 ) L1M1_PR_MR
-    NEW li1 ( 807990 18190 ) L1M1_PR_MR
-    NEW li1 ( 811210 18190 ) L1M1_PR_MR
-    NEW li1 ( 814430 18190 ) L1M1_PR_MR
-    NEW li1 ( 829150 18190 ) L1M1_PR_MR
-    NEW li1 ( 834670 18190 ) L1M1_PR_MR
-    NEW li1 ( 904590 17510 ) L1M1_PR_MR
-    NEW li1 ( 903210 18190 ) L1M1_PR_MR
-    NEW met1 ( 980030 17170 ) M1M2_PR
-    NEW met1 ( 980030 20910 ) M1M2_PR
-    NEW li1 ( 998890 20910 ) L1M1_PR_MR
-    NEW li1 ( 1001190 20910 ) L1M1_PR_MR
-    NEW met1 ( 1027870 20910 ) M1M2_PR
-    NEW met1 ( 1027870 17170 ) M1M2_PR
-    NEW met1 ( 1076630 18190 ) M1M2_PR
-    NEW met1 ( 1077090 19890 ) M1M2_PR
-    NEW li1 ( 1084910 19890 ) L1M1_PR_MR
-    NEW li1 ( 1084910 21250 ) L1M1_PR_MR
-    NEW li1 ( 1090430 21250 ) L1M1_PR_MR
-    NEW li1 ( 1090430 19890 ) L1M1_PR_MR
-    NEW li1 ( 1095950 19890 ) L1M1_PR_MR
-    NEW met1 ( 1097790 18190 ) M1M2_PR
-    NEW met1 ( 1120330 18190 ) M1M2_PR
-    NEW met2 ( 1120330 15980 ) via2_FR
-    NEW met2 ( 1173690 15980 ) via2_FR
-    NEW met1 ( 1173690 16830 ) M1M2_PR
-    NEW met1 ( 1182890 16830 ) M1M2_PR
+  + ROUTED met2 ( 162150 2380 0 ) ( 162150 9180 )
+    NEW met2 ( 162150 9180 ) ( 162610 9180 )
+    NEW met2 ( 162610 9180 ) ( 162610 20060 )
+    NEW met3 ( 162610 20060 ) ( 1182430 20060 )
+    NEW met2 ( 1182430 1700340 ) ( 1182890 1700340 0 )
+    NEW met2 ( 1182430 20060 ) ( 1182430 1700340 )
+    NEW met2 ( 162610 20060 ) via2_FR
+    NEW met2 ( 1182430 20060 ) via2_FR
 + USE SIGNAL ;
 - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) 
-  + ROUTED met2 ( 181010 2380 0 ) ( 181010 17850 )
-    NEW met1 ( 181010 17850 ) ( 186070 17850 )
-    NEW met2 ( 186070 17850 ) ( 186070 1688610 )
-    NEW li1 ( 710010 1689630 ) ( 710470 1689630 )
-    NEW li1 ( 710470 1688610 ) ( 710470 1689630 )
-    NEW li1 ( 759230 1688610 ) ( 759690 1688610 )
-    NEW li1 ( 759690 1688610 ) ( 759690 1689630 )
-    NEW met1 ( 759690 1689630 ) ( 806610 1689630 )
-    NEW li1 ( 806610 1689630 ) ( 807070 1689630 )
-    NEW li1 ( 807070 1688610 ) ( 807070 1689630 )
-    NEW li1 ( 855830 1688610 ) ( 856290 1688610 )
-    NEW li1 ( 856290 1688610 ) ( 856290 1689630 )
-    NEW met1 ( 856290 1689630 ) ( 903210 1689630 )
-    NEW li1 ( 903210 1689630 ) ( 903670 1689630 )
-    NEW li1 ( 903670 1688610 ) ( 903670 1689630 )
-    NEW li1 ( 952430 1688610 ) ( 952890 1688610 )
-    NEW li1 ( 952890 1688610 ) ( 952890 1689630 )
-    NEW met1 ( 952890 1689630 ) ( 999810 1689630 )
-    NEW li1 ( 999810 1689630 ) ( 1000270 1689630 )
-    NEW li1 ( 1000270 1688610 ) ( 1000270 1689630 )
-    NEW li1 ( 1049030 1688610 ) ( 1049490 1688610 )
-    NEW li1 ( 1049490 1688610 ) ( 1049490 1689630 )
-    NEW met1 ( 1049490 1689630 ) ( 1096410 1689630 )
-    NEW li1 ( 1096410 1689630 ) ( 1096870 1689630 )
-    NEW li1 ( 1096870 1688610 ) ( 1096870 1689630 )
-    NEW li1 ( 710930 1688610 ) ( 711390 1688610 )
-    NEW li1 ( 711390 1688610 ) ( 711390 1689630 )
-    NEW met1 ( 711390 1689630 ) ( 758310 1689630 )
-    NEW li1 ( 758310 1689630 ) ( 758770 1689630 )
-    NEW li1 ( 758770 1688610 ) ( 758770 1689630 )
-    NEW met1 ( 710470 1688610 ) ( 710930 1688610 )
-    NEW met1 ( 758770 1688610 ) ( 759230 1688610 )
-    NEW li1 ( 807530 1688610 ) ( 807990 1688610 )
-    NEW li1 ( 807990 1688610 ) ( 807990 1689630 )
-    NEW met1 ( 807990 1689630 ) ( 854910 1689630 )
-    NEW li1 ( 854910 1689630 ) ( 855370 1689630 )
-    NEW li1 ( 855370 1688610 ) ( 855370 1689630 )
-    NEW met1 ( 807070 1688610 ) ( 807530 1688610 )
-    NEW met1 ( 855370 1688610 ) ( 855830 1688610 )
-    NEW li1 ( 904130 1688610 ) ( 904590 1688610 )
-    NEW li1 ( 904590 1688610 ) ( 904590 1689630 )
-    NEW met1 ( 904590 1689630 ) ( 951510 1689630 )
-    NEW li1 ( 951510 1689630 ) ( 951970 1689630 )
-    NEW li1 ( 951970 1688610 ) ( 951970 1689630 )
-    NEW met1 ( 903670 1688610 ) ( 904130 1688610 )
-    NEW met1 ( 951970 1688610 ) ( 952430 1688610 )
-    NEW li1 ( 1000730 1688610 ) ( 1001190 1688610 )
-    NEW li1 ( 1001190 1688610 ) ( 1001190 1689630 )
-    NEW met1 ( 1001190 1689630 ) ( 1048110 1689630 )
-    NEW li1 ( 1048110 1689630 ) ( 1048570 1689630 )
-    NEW li1 ( 1048570 1688610 ) ( 1048570 1689630 )
-    NEW met1 ( 1000270 1688610 ) ( 1000730 1688610 )
-    NEW met1 ( 1048570 1688610 ) ( 1049030 1688610 )
-    NEW li1 ( 1097330 1688610 ) ( 1097790 1688610 )
-    NEW li1 ( 1097790 1688610 ) ( 1097790 1689630 )
-    NEW met1 ( 1096870 1688610 ) ( 1097330 1688610 )
-    NEW li1 ( 645150 1688610 ) ( 645150 1689630 )
-    NEW met1 ( 645150 1689630 ) ( 710010 1689630 )
-    NEW met1 ( 186070 1688610 ) ( 645150 1688610 )
-    NEW met2 ( 1186570 1689630 ) ( 1186570 1700340 0 )
-    NEW met1 ( 1097790 1689630 ) ( 1186570 1689630 )
-    NEW met1 ( 181010 17850 ) M1M2_PR
-    NEW met1 ( 186070 17850 ) M1M2_PR
-    NEW met1 ( 186070 1688610 ) M1M2_PR
-    NEW li1 ( 710010 1689630 ) L1M1_PR_MR
-    NEW li1 ( 710470 1688610 ) L1M1_PR_MR
-    NEW li1 ( 759230 1688610 ) L1M1_PR_MR
-    NEW li1 ( 759690 1689630 ) L1M1_PR_MR
-    NEW li1 ( 806610 1689630 ) L1M1_PR_MR
-    NEW li1 ( 807070 1688610 ) L1M1_PR_MR
-    NEW li1 ( 855830 1688610 ) L1M1_PR_MR
-    NEW li1 ( 856290 1689630 ) L1M1_PR_MR
-    NEW li1 ( 903210 1689630 ) L1M1_PR_MR
-    NEW li1 ( 903670 1688610 ) L1M1_PR_MR
-    NEW li1 ( 952430 1688610 ) L1M1_PR_MR
-    NEW li1 ( 952890 1689630 ) L1M1_PR_MR
-    NEW li1 ( 999810 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1000270 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1049030 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1049490 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1096410 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1096870 1688610 ) L1M1_PR_MR
-    NEW li1 ( 710930 1688610 ) L1M1_PR_MR
-    NEW li1 ( 711390 1689630 ) L1M1_PR_MR
-    NEW li1 ( 758310 1689630 ) L1M1_PR_MR
-    NEW li1 ( 758770 1688610 ) L1M1_PR_MR
-    NEW li1 ( 807530 1688610 ) L1M1_PR_MR
-    NEW li1 ( 807990 1689630 ) L1M1_PR_MR
-    NEW li1 ( 854910 1689630 ) L1M1_PR_MR
-    NEW li1 ( 855370 1688610 ) L1M1_PR_MR
-    NEW li1 ( 904130 1688610 ) L1M1_PR_MR
-    NEW li1 ( 904590 1689630 ) L1M1_PR_MR
-    NEW li1 ( 951510 1689630 ) L1M1_PR_MR
-    NEW li1 ( 951970 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1000730 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1001190 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1048110 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1048570 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1097330 1688610 ) L1M1_PR_MR
-    NEW li1 ( 1097790 1689630 ) L1M1_PR_MR
-    NEW li1 ( 645150 1688610 ) L1M1_PR_MR
-    NEW li1 ( 645150 1689630 ) L1M1_PR_MR
-    NEW met1 ( 1186570 1689630 ) M1M2_PR
+  + ROUTED met2 ( 180090 2380 0 ) ( 180090 15810 )
+    NEW met1 ( 180090 15810 ) ( 196650 15810 )
+    NEW met2 ( 196650 15810 ) ( 196650 1689630 )
+    NEW li1 ( 1152990 1686910 ) ( 1152990 1689630 )
+    NEW li1 ( 1152990 1686910 ) ( 1155290 1686910 )
+    NEW met1 ( 196650 1689630 ) ( 1152990 1689630 )
+    NEW met2 ( 1186570 1686910 ) ( 1186570 1700340 0 )
+    NEW met1 ( 1155290 1686910 ) ( 1186570 1686910 )
+    NEW met1 ( 180090 15810 ) M1M2_PR
+    NEW met1 ( 196650 15810 ) M1M2_PR
+    NEW met1 ( 196650 1689630 ) M1M2_PR
+    NEW li1 ( 1152990 1689630 ) L1M1_PR_MR
+    NEW li1 ( 1155290 1686910 ) L1M1_PR_MR
+    NEW met1 ( 1186570 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) 
-  + ROUTED met2 ( 198950 2380 0 ) ( 198950 16830 )
-    NEW met1 ( 198950 16830 ) ( 221490 16830 )
-    NEW li1 ( 221490 16830 ) ( 221490 18190 )
-    NEW li1 ( 758770 18190 ) ( 758770 20910 )
-    NEW li1 ( 835590 18190 ) ( 835590 20910 )
-    NEW met1 ( 835590 18190 ) ( 882510 18190 )
-    NEW li1 ( 882510 18190 ) ( 882510 20910 )
-    NEW met2 ( 1049030 15300 ) ( 1049030 18190 )
-    NEW met2 ( 1124930 18190 ) ( 1124930 21420 )
-    NEW li1 ( 692070 17510 ) ( 692070 18190 )
-    NEW met1 ( 692070 17510 ) ( 737610 17510 )
-    NEW li1 ( 737610 17510 ) ( 737610 20910 )
-    NEW met1 ( 221490 18190 ) ( 692070 18190 )
-    NEW met1 ( 737610 20910 ) ( 758770 20910 )
-    NEW li1 ( 793270 18190 ) ( 793270 20910 )
-    NEW met1 ( 758770 18190 ) ( 793270 18190 )
-    NEW met1 ( 793270 20910 ) ( 835590 20910 )
-    NEW li1 ( 883890 17510 ) ( 883890 20910 )
-    NEW met1 ( 883890 17510 ) ( 904130 17510 )
-    NEW met1 ( 904130 17510 ) ( 904130 18190 )
-    NEW met1 ( 882510 20910 ) ( 883890 20910 )
-    NEW met1 ( 904130 18190 ) ( 1049030 18190 )
-    NEW met2 ( 1123550 15300 ) ( 1123550 18190 )
-    NEW met3 ( 1049030 15300 ) ( 1123550 15300 )
-    NEW met1 ( 1123550 18190 ) ( 1124930 18190 )
-    NEW met2 ( 1175990 20230 ) ( 1175990 21420 )
-    NEW met1 ( 1175990 20230 ) ( 1187490 20230 )
-    NEW met3 ( 1124930 21420 ) ( 1175990 21420 )
-    NEW met1 ( 1187490 1678750 ) ( 1189790 1678750 )
-    NEW met2 ( 1189790 1678750 ) ( 1189790 1700340 )
-    NEW met2 ( 1189790 1700340 ) ( 1190250 1700340 0 )
-    NEW met2 ( 1187490 20230 ) ( 1187490 1678750 )
-    NEW met1 ( 198950 16830 ) M1M2_PR
-    NEW li1 ( 221490 16830 ) L1M1_PR_MR
-    NEW li1 ( 221490 18190 ) L1M1_PR_MR
-    NEW li1 ( 758770 20910 ) L1M1_PR_MR
-    NEW li1 ( 758770 18190 ) L1M1_PR_MR
-    NEW li1 ( 835590 20910 ) L1M1_PR_MR
-    NEW li1 ( 835590 18190 ) L1M1_PR_MR
-    NEW li1 ( 882510 18190 ) L1M1_PR_MR
-    NEW li1 ( 882510 20910 ) L1M1_PR_MR
-    NEW met1 ( 1049030 18190 ) M1M2_PR
-    NEW met2 ( 1049030 15300 ) via2_FR
-    NEW met1 ( 1124930 18190 ) M1M2_PR
-    NEW met2 ( 1124930 21420 ) via2_FR
-    NEW li1 ( 692070 18190 ) L1M1_PR_MR
-    NEW li1 ( 692070 17510 ) L1M1_PR_MR
-    NEW li1 ( 737610 17510 ) L1M1_PR_MR
-    NEW li1 ( 737610 20910 ) L1M1_PR_MR
-    NEW li1 ( 793270 18190 ) L1M1_PR_MR
-    NEW li1 ( 793270 20910 ) L1M1_PR_MR
-    NEW li1 ( 883890 20910 ) L1M1_PR_MR
-    NEW li1 ( 883890 17510 ) L1M1_PR_MR
-    NEW met2 ( 1123550 15300 ) via2_FR
-    NEW met1 ( 1123550 18190 ) M1M2_PR
-    NEW met2 ( 1175990 21420 ) via2_FR
-    NEW met1 ( 1175990 20230 ) M1M2_PR
-    NEW met1 ( 1187490 20230 ) M1M2_PR
-    NEW met1 ( 1187490 1678750 ) M1M2_PR
-    NEW met1 ( 1189790 1678750 ) M1M2_PR
+  + ROUTED met2 ( 198030 2380 0 ) ( 198030 17170 )
+    NEW met1 ( 617090 13090 ) ( 662630 13090 )
+    NEW met1 ( 710010 13090 ) ( 713690 13090 )
+    NEW met1 ( 759690 13090 ) ( 848930 13090 )
+    NEW met1 ( 855830 13090 ) ( 1002110 13090 )
+    NEW met1 ( 1049490 13090 ) ( 1097790 13090 )
+    NEW met1 ( 662630 17170 ) ( 670450 17170 )
+    NEW li1 ( 670450 17170 ) ( 676430 17170 )
+    NEW met1 ( 676430 17170 ) ( 689310 17170 )
+    NEW met1 ( 689310 17170 ) ( 689310 17510 )
+    NEW li1 ( 662630 13090 ) ( 662630 17170 )
+    NEW li1 ( 759690 13090 ) ( 759690 20910 )
+    NEW li1 ( 848930 14110 ) ( 855830 14110 )
+    NEW li1 ( 848930 13090 ) ( 848930 14110 )
+    NEW li1 ( 855830 13090 ) ( 855830 14110 )
+    NEW li1 ( 1049490 13090 ) ( 1049490 20910 )
+    NEW li1 ( 1124930 17510 ) ( 1124930 24990 )
+    NEW li1 ( 1124930 24990 ) ( 1126310 24990 )
+    NEW met1 ( 1126310 24990 ) ( 1145170 24990 )
+    NEW li1 ( 1145170 13090 ) ( 1145170 24990 )
+    NEW met1 ( 198030 17170 ) ( 617090 17170 )
+    NEW li1 ( 617090 13090 ) ( 617090 17170 )
+    NEW met1 ( 713690 22270 ) ( 726570 22270 )
+    NEW li1 ( 726570 20910 ) ( 726570 22270 )
+    NEW li1 ( 699890 17510 ) ( 704030 17510 )
+    NEW met1 ( 704030 17510 ) ( 710010 17510 )
+    NEW met1 ( 689310 17510 ) ( 699890 17510 )
+    NEW li1 ( 710010 13090 ) ( 710010 17510 )
+    NEW li1 ( 713690 13090 ) ( 713690 22270 )
+    NEW met1 ( 726570 20910 ) ( 759690 20910 )
+    NEW li1 ( 1002110 13090 ) ( 1002110 20910 )
+    NEW met1 ( 1002110 20910 ) ( 1049490 20910 )
+    NEW li1 ( 1097790 20910 ) ( 1101010 20910 )
+    NEW met1 ( 1101010 20910 ) ( 1124010 20910 )
+    NEW li1 ( 1124010 20910 ) ( 1124470 20910 )
+    NEW li1 ( 1124470 17510 ) ( 1124470 20910 )
+    NEW li1 ( 1097790 13090 ) ( 1097790 20910 )
+    NEW met1 ( 1124470 17510 ) ( 1124930 17510 )
+    NEW met1 ( 1188870 241570 ) ( 1189330 241570 )
+    NEW met1 ( 1188870 338130 ) ( 1189330 338130 )
+    NEW met1 ( 1145170 13090 ) ( 1188870 13090 )
+    NEW met2 ( 1188870 307700 ) ( 1189330 307700 )
+    NEW met2 ( 1188870 307700 ) ( 1188870 338130 )
+    NEW met2 ( 1189330 241570 ) ( 1189330 307700 )
+    NEW met3 ( 1188870 1200540 ) ( 1189790 1200540 )
+    NEW met2 ( 1189790 1152430 ) ( 1189790 1200540 )
+    NEW met1 ( 1189330 1152430 ) ( 1189790 1152430 )
+    NEW met1 ( 1188870 1248990 ) ( 1189790 1248990 )
+    NEW met1 ( 1189330 1345550 ) ( 1189790 1345550 )
+    NEW met2 ( 1188870 1200540 ) ( 1188870 1248990 )
+    NEW met2 ( 1189330 1345380 ) ( 1189790 1345380 )
+    NEW met2 ( 1189330 1345380 ) ( 1189330 1345550 )
+    NEW met2 ( 1189790 1248990 ) ( 1189790 1345380 )
+    NEW li1 ( 1188870 203490 ) ( 1188870 227630 )
+    NEW met2 ( 1188870 13090 ) ( 1188870 203490 )
+    NEW met2 ( 1188870 227630 ) ( 1188870 241570 )
+    NEW li1 ( 1188870 668270 ) ( 1188870 710430 )
+    NEW li1 ( 1189330 765850 ) ( 1189330 806990 )
+    NEW met2 ( 1189330 759220 ) ( 1189330 765850 )
+    NEW met2 ( 1189330 759220 ) ( 1189790 759220 )
+    NEW met2 ( 1188410 1096500 ) ( 1188870 1096500 )
+    NEW met2 ( 1188410 1048900 ) ( 1188410 1096500 )
+    NEW met2 ( 1188410 1048900 ) ( 1189330 1048900 )
+    NEW met1 ( 1189330 1435310 ) ( 1189790 1435310 )
+    NEW met1 ( 1189330 1652230 ) ( 1191170 1652230 )
+    NEW met2 ( 1191170 1652230 ) ( 1191170 1676540 )
+    NEW met3 ( 1190250 1676540 ) ( 1191170 1676540 )
+    NEW met2 ( 1190250 1676540 ) ( 1190250 1700340 0 )
+    NEW met1 ( 1188410 662150 ) ( 1188870 662150 )
+    NEW met2 ( 1188870 662150 ) ( 1188870 668270 )
+    NEW li1 ( 1188870 711110 ) ( 1188870 718590 )
+    NEW met1 ( 1188870 718590 ) ( 1189330 718590 )
+    NEW met2 ( 1189330 718590 ) ( 1189330 758540 )
+    NEW met2 ( 1189330 758540 ) ( 1189790 758540 )
+    NEW met2 ( 1188870 710430 ) ( 1188870 711110 )
+    NEW met2 ( 1189790 758540 ) ( 1189790 759220 )
+    NEW met3 ( 1189330 807500 ) ( 1190250 807500 )
+    NEW met2 ( 1190250 807500 ) ( 1190250 855270 )
+    NEW met1 ( 1189330 855270 ) ( 1190250 855270 )
+    NEW met2 ( 1189330 806990 ) ( 1189330 807500 )
+    NEW li1 ( 1188870 1097350 ) ( 1188870 1105850 )
+    NEW met1 ( 1188870 1105850 ) ( 1189330 1105850 )
+    NEW met2 ( 1188870 1096500 ) ( 1188870 1097350 )
+    NEW met2 ( 1189330 1105850 ) ( 1189330 1152430 )
+    NEW met3 ( 1188410 1435140 ) ( 1189330 1435140 )
+    NEW met2 ( 1188410 1387540 ) ( 1188410 1435140 )
+    NEW met3 ( 1188410 1387540 ) ( 1189790 1387540 )
+    NEW met2 ( 1189330 1435140 ) ( 1189330 1435310 )
+    NEW met2 ( 1189790 1345550 ) ( 1189790 1387540 )
+    NEW met2 ( 1188870 1507900 ) ( 1189790 1507900 )
+    NEW met2 ( 1189790 1435310 ) ( 1189790 1507900 )
+    NEW met1 ( 1189330 1580830 ) ( 1189330 1581510 )
+    NEW met2 ( 1189330 1581510 ) ( 1189330 1652230 )
+    NEW li1 ( 1188870 398990 ) ( 1188870 413950 )
+    NEW met1 ( 1188870 398990 ) ( 1189330 398990 )
+    NEW met2 ( 1189330 338130 ) ( 1189330 398990 )
+    NEW met2 ( 1188410 945540 ) ( 1189330 945540 )
+    NEW met2 ( 1189330 945540 ) ( 1189330 1048900 )
+    NEW met1 ( 1188870 1545470 ) ( 1188870 1546150 )
+    NEW met1 ( 1188870 1546150 ) ( 1189330 1546150 )
+    NEW met2 ( 1188870 1507900 ) ( 1188870 1545470 )
+    NEW met2 ( 1189330 1546150 ) ( 1189330 1580830 )
+    NEW li1 ( 1188870 414630 ) ( 1188870 449310 )
+    NEW met1 ( 1188870 413950 ) ( 1188870 414630 )
+    NEW met2 ( 1188410 632060 ) ( 1189330 632060 )
+    NEW met2 ( 1188410 632060 ) ( 1188410 662150 )
+    NEW met1 ( 1188410 945030 ) ( 1189330 945030 )
+    NEW met2 ( 1188410 945030 ) ( 1188410 945540 )
+    NEW met2 ( 1189330 855270 ) ( 1189330 945030 )
+    NEW met1 ( 1188410 455430 ) ( 1188870 455430 )
+    NEW met2 ( 1188410 455430 ) ( 1188410 503540 )
+    NEW met3 ( 1188410 503540 ) ( 1189330 503540 )
+    NEW met2 ( 1188870 449310 ) ( 1188870 455430 )
+    NEW li1 ( 1189330 552670 ) ( 1189330 600270 )
+    NEW met2 ( 1189330 503540 ) ( 1189330 552670 )
+    NEW met2 ( 1189330 600270 ) ( 1189330 632060 )
+    NEW met1 ( 198030 17170 ) M1M2_PR
+    NEW li1 ( 662630 13090 ) L1M1_PR_MR
+    NEW li1 ( 710010 13090 ) L1M1_PR_MR
+    NEW li1 ( 759690 13090 ) L1M1_PR_MR
+    NEW li1 ( 855830 13090 ) L1M1_PR_MR
+    NEW li1 ( 1049490 13090 ) L1M1_PR_MR
+    NEW li1 ( 617090 13090 ) L1M1_PR_MR
+    NEW li1 ( 713690 13090 ) L1M1_PR_MR
+    NEW li1 ( 848930 13090 ) L1M1_PR_MR
+    NEW li1 ( 1002110 13090 ) L1M1_PR_MR
+    NEW li1 ( 1097790 13090 ) L1M1_PR_MR
+    NEW li1 ( 1145170 13090 ) L1M1_PR_MR
+    NEW li1 ( 662630 17170 ) L1M1_PR_MR
+    NEW li1 ( 670450 17170 ) L1M1_PR_MR
+    NEW li1 ( 676430 17170 ) L1M1_PR_MR
+    NEW li1 ( 759690 20910 ) L1M1_PR_MR
+    NEW li1 ( 1049490 20910 ) L1M1_PR_MR
+    NEW li1 ( 1124930 17510 ) L1M1_PR_MR
+    NEW li1 ( 1126310 24990 ) L1M1_PR_MR
+    NEW li1 ( 1145170 24990 ) L1M1_PR_MR
+    NEW li1 ( 617090 17170 ) L1M1_PR_MR
+    NEW li1 ( 713690 22270 ) L1M1_PR_MR
+    NEW li1 ( 726570 22270 ) L1M1_PR_MR
+    NEW li1 ( 726570 20910 ) L1M1_PR_MR
+    NEW li1 ( 699890 17510 ) L1M1_PR_MR
+    NEW li1 ( 704030 17510 ) L1M1_PR_MR
+    NEW li1 ( 710010 17510 ) L1M1_PR_MR
+    NEW li1 ( 1002110 20910 ) L1M1_PR_MR
+    NEW li1 ( 1101010 20910 ) L1M1_PR_MR
+    NEW li1 ( 1124010 20910 ) L1M1_PR_MR
+    NEW li1 ( 1124470 17510 ) L1M1_PR_MR
+    NEW met1 ( 1188870 241570 ) M1M2_PR
+    NEW met1 ( 1189330 241570 ) M1M2_PR
+    NEW met1 ( 1188870 338130 ) M1M2_PR
+    NEW met1 ( 1189330 338130 ) M1M2_PR
+    NEW met1 ( 1188870 13090 ) M1M2_PR
+    NEW met2 ( 1188870 1200540 ) via2_FR
+    NEW met2 ( 1189790 1200540 ) via2_FR
+    NEW met1 ( 1189790 1152430 ) M1M2_PR
+    NEW met1 ( 1189330 1152430 ) M1M2_PR
+    NEW met1 ( 1188870 1248990 ) M1M2_PR
+    NEW met1 ( 1189790 1248990 ) M1M2_PR
+    NEW met1 ( 1189330 1345550 ) M1M2_PR
+    NEW met1 ( 1189790 1345550 ) M1M2_PR
+    NEW li1 ( 1188870 203490 ) L1M1_PR_MR
+    NEW met1 ( 1188870 203490 ) M1M2_PR
+    NEW li1 ( 1188870 227630 ) L1M1_PR_MR
+    NEW met1 ( 1188870 227630 ) M1M2_PR
+    NEW li1 ( 1188870 668270 ) L1M1_PR_MR
+    NEW met1 ( 1188870 668270 ) M1M2_PR
+    NEW li1 ( 1188870 710430 ) L1M1_PR_MR
+    NEW met1 ( 1188870 710430 ) M1M2_PR
+    NEW li1 ( 1189330 806990 ) L1M1_PR_MR
+    NEW met1 ( 1189330 806990 ) M1M2_PR
+    NEW li1 ( 1189330 765850 ) L1M1_PR_MR
+    NEW met1 ( 1189330 765850 ) M1M2_PR
+    NEW met1 ( 1189330 1435310 ) M1M2_PR
+    NEW met1 ( 1189790 1435310 ) M1M2_PR
+    NEW met1 ( 1189330 1652230 ) M1M2_PR
+    NEW met1 ( 1191170 1652230 ) M1M2_PR
+    NEW met2 ( 1191170 1676540 ) via2_FR
+    NEW met2 ( 1190250 1676540 ) via2_FR
+    NEW met1 ( 1188410 662150 ) M1M2_PR
+    NEW met1 ( 1188870 662150 ) M1M2_PR
+    NEW li1 ( 1188870 711110 ) L1M1_PR_MR
+    NEW met1 ( 1188870 711110 ) M1M2_PR
+    NEW li1 ( 1188870 718590 ) L1M1_PR_MR
+    NEW met1 ( 1189330 718590 ) M1M2_PR
+    NEW met2 ( 1189330 807500 ) via2_FR
+    NEW met2 ( 1190250 807500 ) via2_FR
+    NEW met1 ( 1190250 855270 ) M1M2_PR
+    NEW met1 ( 1189330 855270 ) M1M2_PR
+    NEW li1 ( 1188870 1097350 ) L1M1_PR_MR
+    NEW met1 ( 1188870 1097350 ) M1M2_PR
+    NEW li1 ( 1188870 1105850 ) L1M1_PR_MR
+    NEW met1 ( 1189330 1105850 ) M1M2_PR
+    NEW met2 ( 1189330 1435140 ) via2_FR
+    NEW met2 ( 1188410 1435140 ) via2_FR
+    NEW met2 ( 1188410 1387540 ) via2_FR
+    NEW met2 ( 1189790 1387540 ) via2_FR
+    NEW met1 ( 1189330 1580830 ) M1M2_PR
+    NEW met1 ( 1189330 1581510 ) M1M2_PR
+    NEW li1 ( 1188870 413950 ) L1M1_PR_MR
+    NEW li1 ( 1188870 398990 ) L1M1_PR_MR
+    NEW met1 ( 1189330 398990 ) M1M2_PR
+    NEW met1 ( 1188870 1545470 ) M1M2_PR
+    NEW met1 ( 1189330 1546150 ) M1M2_PR
+    NEW li1 ( 1188870 414630 ) L1M1_PR_MR
+    NEW li1 ( 1188870 449310 ) L1M1_PR_MR
+    NEW met1 ( 1188870 449310 ) M1M2_PR
+    NEW met1 ( 1188410 945030 ) M1M2_PR
+    NEW met1 ( 1189330 945030 ) M1M2_PR
+    NEW met1 ( 1188870 455430 ) M1M2_PR
+    NEW met1 ( 1188410 455430 ) M1M2_PR
+    NEW met2 ( 1188410 503540 ) via2_FR
+    NEW met2 ( 1189330 503540 ) via2_FR
+    NEW li1 ( 1189330 552670 ) L1M1_PR_MR
+    NEW met1 ( 1189330 552670 ) M1M2_PR
+    NEW li1 ( 1189330 600270 ) L1M1_PR_MR
+    NEW met1 ( 1189330 600270 ) M1M2_PR
+    NEW met1 ( 1188870 203490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 227630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 668270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 710430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1189330 806990 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1189330 765850 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 711110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 1097350 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1188870 449310 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1189330 552670 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1189330 600270 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) 
-  + ROUTED met2 ( 216890 2380 0 ) ( 216890 17850 )
-    NEW met1 ( 216890 17850 ) ( 220570 17850 )
-    NEW met2 ( 220570 17850 ) ( 220570 1689630 )
-    NEW met2 ( 710470 1689630 ) ( 710470 1690650 )
-    NEW li1 ( 759230 1689630 ) ( 759230 1690650 )
-    NEW met1 ( 759230 1690650 ) ( 807070 1690650 )
-    NEW met2 ( 807070 1689630 ) ( 807070 1690650 )
-    NEW li1 ( 855830 1689630 ) ( 855830 1690650 )
-    NEW met1 ( 855830 1690650 ) ( 903670 1690650 )
-    NEW met2 ( 903670 1689630 ) ( 903670 1690650 )
-    NEW li1 ( 952430 1689630 ) ( 952430 1690650 )
-    NEW met1 ( 952430 1690650 ) ( 1000270 1690650 )
-    NEW met2 ( 1000270 1689630 ) ( 1000270 1690650 )
-    NEW li1 ( 1049030 1689630 ) ( 1049030 1690650 )
-    NEW met1 ( 1049030 1690650 ) ( 1096870 1690650 )
-    NEW met2 ( 1096870 1689630 ) ( 1096870 1690650 )
-    NEW li1 ( 710930 1689630 ) ( 710930 1690650 )
-    NEW met1 ( 710930 1690650 ) ( 758770 1690650 )
-    NEW met2 ( 758770 1689630 ) ( 758770 1690650 )
-    NEW met1 ( 710470 1689630 ) ( 710930 1689630 )
-    NEW met1 ( 758770 1689630 ) ( 759230 1689630 )
-    NEW li1 ( 807530 1689630 ) ( 807530 1690650 )
-    NEW met1 ( 807530 1690650 ) ( 855370 1690650 )
-    NEW met2 ( 855370 1689630 ) ( 855370 1690650 )
-    NEW met1 ( 807070 1689630 ) ( 807530 1689630 )
-    NEW met1 ( 855370 1689630 ) ( 855830 1689630 )
-    NEW li1 ( 904130 1689630 ) ( 904130 1690650 )
-    NEW met1 ( 904130 1690650 ) ( 951970 1690650 )
-    NEW met2 ( 951970 1689630 ) ( 951970 1690650 )
-    NEW met1 ( 903670 1689630 ) ( 904130 1689630 )
-    NEW met1 ( 951970 1689630 ) ( 952430 1689630 )
-    NEW li1 ( 1000730 1689630 ) ( 1000730 1690650 )
-    NEW met1 ( 1000730 1690650 ) ( 1048570 1690650 )
-    NEW met2 ( 1048570 1689630 ) ( 1048570 1690650 )
-    NEW met1 ( 1000270 1689630 ) ( 1000730 1689630 )
-    NEW met1 ( 1048570 1689630 ) ( 1049030 1689630 )
-    NEW li1 ( 1097330 1689630 ) ( 1097330 1690650 )
-    NEW met1 ( 1096870 1689630 ) ( 1097330 1689630 )
-    NEW li1 ( 644690 1689630 ) ( 644690 1690650 )
-    NEW met1 ( 644690 1690650 ) ( 710470 1690650 )
-    NEW li1 ( 1125390 1687930 ) ( 1125390 1690650 )
-    NEW met1 ( 1097330 1690650 ) ( 1125390 1690650 )
-    NEW met1 ( 220570 1689630 ) ( 644690 1689630 )
-    NEW met2 ( 1193930 1687930 ) ( 1193930 1700340 0 )
-    NEW met1 ( 1125390 1687930 ) ( 1193930 1687930 )
-    NEW met1 ( 216890 17850 ) M1M2_PR
-    NEW met1 ( 220570 17850 ) M1M2_PR
-    NEW met1 ( 220570 1689630 ) M1M2_PR
-    NEW met1 ( 710470 1690650 ) M1M2_PR
-    NEW met1 ( 710470 1689630 ) M1M2_PR
-    NEW li1 ( 759230 1689630 ) L1M1_PR_MR
-    NEW li1 ( 759230 1690650 ) L1M1_PR_MR
-    NEW met1 ( 807070 1690650 ) M1M2_PR
-    NEW met1 ( 807070 1689630 ) M1M2_PR
-    NEW li1 ( 855830 1689630 ) L1M1_PR_MR
-    NEW li1 ( 855830 1690650 ) L1M1_PR_MR
-    NEW met1 ( 903670 1690650 ) M1M2_PR
-    NEW met1 ( 903670 1689630 ) M1M2_PR
-    NEW li1 ( 952430 1689630 ) L1M1_PR_MR
-    NEW li1 ( 952430 1690650 ) L1M1_PR_MR
-    NEW met1 ( 1000270 1690650 ) M1M2_PR
-    NEW met1 ( 1000270 1689630 ) M1M2_PR
-    NEW li1 ( 1049030 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1049030 1690650 ) L1M1_PR_MR
-    NEW met1 ( 1096870 1690650 ) M1M2_PR
-    NEW met1 ( 1096870 1689630 ) M1M2_PR
-    NEW li1 ( 710930 1689630 ) L1M1_PR_MR
-    NEW li1 ( 710930 1690650 ) L1M1_PR_MR
-    NEW met1 ( 758770 1690650 ) M1M2_PR
-    NEW met1 ( 758770 1689630 ) M1M2_PR
-    NEW li1 ( 807530 1689630 ) L1M1_PR_MR
-    NEW li1 ( 807530 1690650 ) L1M1_PR_MR
-    NEW met1 ( 855370 1690650 ) M1M2_PR
-    NEW met1 ( 855370 1689630 ) M1M2_PR
-    NEW li1 ( 904130 1689630 ) L1M1_PR_MR
-    NEW li1 ( 904130 1690650 ) L1M1_PR_MR
-    NEW met1 ( 951970 1690650 ) M1M2_PR
-    NEW met1 ( 951970 1689630 ) M1M2_PR
-    NEW li1 ( 1000730 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1000730 1690650 ) L1M1_PR_MR
-    NEW met1 ( 1048570 1690650 ) M1M2_PR
-    NEW met1 ( 1048570 1689630 ) M1M2_PR
-    NEW li1 ( 1097330 1689630 ) L1M1_PR_MR
-    NEW li1 ( 1097330 1690650 ) L1M1_PR_MR
-    NEW li1 ( 644690 1689630 ) L1M1_PR_MR
-    NEW li1 ( 644690 1690650 ) L1M1_PR_MR
-    NEW li1 ( 1125390 1690650 ) L1M1_PR_MR
-    NEW li1 ( 1125390 1687930 ) L1M1_PR_MR
-    NEW met1 ( 1193930 1687930 ) M1M2_PR
+  + ROUTED met2 ( 215510 2380 0 ) ( 215510 17850 )
+    NEW met1 ( 215510 17850 ) ( 251850 17850 )
+    NEW met2 ( 251850 17850 ) ( 251850 1689970 )
+    NEW met2 ( 1193930 1689970 ) ( 1193930 1700340 0 )
+    NEW met1 ( 251850 1689970 ) ( 1193930 1689970 )
+    NEW met1 ( 215510 17850 ) M1M2_PR
+    NEW met1 ( 251850 17850 ) M1M2_PR
+    NEW met1 ( 251850 1689970 ) M1M2_PR
+    NEW met1 ( 1193930 1689970 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) 
-  + ROUTED met2 ( 234830 2380 0 ) ( 234830 19890 )
-    NEW li1 ( 662630 19890 ) ( 662630 20910 )
-    NEW met1 ( 662630 20910 ) ( 669070 20910 )
-    NEW li1 ( 669070 19890 ) ( 669070 20910 )
-    NEW met1 ( 669070 19890 ) ( 686090 19890 )
-    NEW met2 ( 686090 19890 ) ( 686090 20740 )
-    NEW met2 ( 686090 20740 ) ( 687010 20740 )
-    NEW met2 ( 687010 17510 ) ( 687010 20740 )
-    NEW met2 ( 687010 17510 ) ( 689770 17510 )
-    NEW met1 ( 234830 19890 ) ( 662630 19890 )
-    NEW li1 ( 786370 17510 ) ( 786370 21250 )
-    NEW li1 ( 1028330 17510 ) ( 1028330 21250 )
-    NEW met1 ( 1148850 19890 ) ( 1148850 20230 )
-    NEW li1 ( 691610 17510 ) ( 691610 21250 )
-    NEW met1 ( 689770 17510 ) ( 691610 17510 )
-    NEW met1 ( 691610 21250 ) ( 786370 21250 )
-    NEW li1 ( 786830 17510 ) ( 786830 21250 )
-    NEW met1 ( 786370 17510 ) ( 786830 17510 )
-    NEW met1 ( 884350 20910 ) ( 884350 21250 )
-    NEW met1 ( 884350 20910 ) ( 931270 20910 )
-    NEW li1 ( 931270 17510 ) ( 931270 20910 )
-    NEW met1 ( 786830 21250 ) ( 884350 21250 )
-    NEW met1 ( 931270 17510 ) ( 1028330 17510 )
-    NEW li1 ( 1077090 18190 ) ( 1077090 21250 )
-    NEW met1 ( 1077090 18190 ) ( 1097330 18190 )
-    NEW li1 ( 1097330 18190 ) ( 1097330 19890 )
-    NEW met1 ( 1028330 21250 ) ( 1077090 21250 )
-    NEW met1 ( 1097330 19890 ) ( 1148850 19890 )
-    NEW met1 ( 1194390 1678750 ) ( 1197150 1678750 )
-    NEW met2 ( 1197150 1678750 ) ( 1197150 1700340 )
+  + ROUTED met2 ( 233450 2380 0 ) ( 233450 18190 )
+    NEW met1 ( 233450 18190 ) ( 269330 18190 )
+    NEW met1 ( 269330 17510 ) ( 269330 18190 )
+    NEW met2 ( 642390 17170 ) ( 642390 21250 )
+    NEW met2 ( 836510 15980 ) ( 836510 21250 )
+    NEW met2 ( 1125390 15980 ) ( 1125390 17510 )
+    NEW li1 ( 593630 17510 ) ( 593630 20910 )
+    NEW met1 ( 593630 17510 ) ( 617550 17510 )
+    NEW met1 ( 617550 17170 ) ( 617550 17510 )
+    NEW met1 ( 617550 17170 ) ( 642390 17170 )
+    NEW li1 ( 710470 17510 ) ( 710470 21250 )
+    NEW met1 ( 710470 17510 ) ( 717370 17510 )
+    NEW met2 ( 717370 15980 ) ( 717370 17510 )
+    NEW met1 ( 642390 21250 ) ( 710470 21250 )
+    NEW met2 ( 788210 15980 ) ( 788210 20910 )
+    NEW met3 ( 788210 15980 ) ( 836510 15980 )
+    NEW li1 ( 883430 21250 ) ( 883430 22270 )
+    NEW met1 ( 836510 21250 ) ( 883430 21250 )
+    NEW met2 ( 1077090 15980 ) ( 1077090 17510 )
+    NEW met1 ( 1077090 17510 ) ( 1124010 17510 )
+    NEW met2 ( 1124010 15980 ) ( 1124010 17510 )
+    NEW met3 ( 1124010 15980 ) ( 1125390 15980 )
     NEW met2 ( 1197150 1700340 ) ( 1197610 1700340 0 )
-    NEW met1 ( 1175530 19890 ) ( 1175530 20230 )
-    NEW met1 ( 1175530 19890 ) ( 1194390 19890 )
-    NEW met1 ( 1148850 20230 ) ( 1175530 20230 )
-    NEW met1 ( 1194390 1510790 ) ( 1194390 1511470 )
-    NEW met2 ( 1194390 1511470 ) ( 1194390 1678750 )
-    NEW met1 ( 1194390 1110610 ) ( 1194390 1111290 )
-    NEW met2 ( 1194390 19890 ) ( 1194390 1110610 )
-    NEW met2 ( 1194390 1111290 ) ( 1194390 1510790 )
-    NEW met1 ( 234830 19890 ) M1M2_PR
-    NEW li1 ( 662630 19890 ) L1M1_PR_MR
-    NEW li1 ( 662630 20910 ) L1M1_PR_MR
-    NEW li1 ( 669070 20910 ) L1M1_PR_MR
-    NEW li1 ( 669070 19890 ) L1M1_PR_MR
-    NEW met1 ( 686090 19890 ) M1M2_PR
-    NEW met1 ( 689770 17510 ) M1M2_PR
-    NEW li1 ( 786370 21250 ) L1M1_PR_MR
-    NEW li1 ( 786370 17510 ) L1M1_PR_MR
-    NEW li1 ( 1028330 17510 ) L1M1_PR_MR
-    NEW li1 ( 1028330 21250 ) L1M1_PR_MR
-    NEW li1 ( 691610 17510 ) L1M1_PR_MR
-    NEW li1 ( 691610 21250 ) L1M1_PR_MR
-    NEW li1 ( 786830 17510 ) L1M1_PR_MR
-    NEW li1 ( 786830 21250 ) L1M1_PR_MR
-    NEW li1 ( 931270 20910 ) L1M1_PR_MR
-    NEW li1 ( 931270 17510 ) L1M1_PR_MR
-    NEW li1 ( 1077090 21250 ) L1M1_PR_MR
-    NEW li1 ( 1077090 18190 ) L1M1_PR_MR
-    NEW li1 ( 1097330 18190 ) L1M1_PR_MR
-    NEW li1 ( 1097330 19890 ) L1M1_PR_MR
-    NEW met1 ( 1194390 1678750 ) M1M2_PR
-    NEW met1 ( 1197150 1678750 ) M1M2_PR
-    NEW met1 ( 1194390 19890 ) M1M2_PR
-    NEW met1 ( 1194390 1510790 ) M1M2_PR
-    NEW met1 ( 1194390 1511470 ) M1M2_PR
-    NEW met1 ( 1194390 1110610 ) M1M2_PR
-    NEW met1 ( 1194390 1111290 ) M1M2_PR
+    NEW met1 ( 1125390 17510 ) ( 1196230 17510 )
+    NEW met1 ( 1196690 1642370 ) ( 1197150 1642370 )
+    NEW met2 ( 1197150 1642370 ) ( 1197150 1700340 )
+    NEW met2 ( 1196230 72420 ) ( 1197150 72420 )
+    NEW met2 ( 1196230 17510 ) ( 1196230 72420 )
+    NEW li1 ( 545790 17510 ) ( 545790 20910 )
+    NEW met1 ( 269330 17510 ) ( 545790 17510 )
+    NEW met1 ( 545790 20910 ) ( 593630 20910 )
+    NEW met2 ( 760150 15980 ) ( 760150 20910 )
+    NEW met3 ( 717370 15980 ) ( 760150 15980 )
+    NEW met1 ( 760150 20910 ) ( 788210 20910 )
+    NEW met2 ( 983250 15980 ) ( 983250 20910 )
+    NEW met3 ( 983250 15980 ) ( 1077090 15980 )
+    NEW met2 ( 1196230 113900 ) ( 1197150 113900 )
+    NEW met2 ( 1197150 72420 ) ( 1197150 113900 )
+    NEW met1 ( 1196230 572730 ) ( 1196690 572730 )
+    NEW met1 ( 1196230 1248990 ) ( 1197150 1248990 )
+    NEW met2 ( 1197150 1248990 ) ( 1197150 1297100 )
+    NEW met3 ( 1196230 1297100 ) ( 1197150 1297100 )
+    NEW met1 ( 1196230 1345550 ) ( 1197150 1345550 )
+    NEW met2 ( 1197150 1345550 ) ( 1197150 1393660 )
+    NEW met3 ( 1196230 1393660 ) ( 1197150 1393660 )
+    NEW met2 ( 1195770 1442620 ) ( 1196230 1442620 )
+    NEW met2 ( 1196230 113900 ) ( 1196230 138210 )
+    NEW met2 ( 1196230 1297100 ) ( 1196230 1345550 )
+    NEW met2 ( 1196230 1393660 ) ( 1196230 1442620 )
+    NEW met2 ( 1196230 1587460 ) ( 1196690 1587460 )
+    NEW met2 ( 1196690 1587460 ) ( 1196690 1642370 )
+    NEW li1 ( 930810 20910 ) ( 930810 22270 )
+    NEW met1 ( 883430 22270 ) ( 930810 22270 )
+    NEW met1 ( 930810 20910 ) ( 983250 20910 )
+    NEW met1 ( 1195770 324190 ) ( 1197150 324190 )
+    NEW li1 ( 1196230 373490 ) ( 1196230 420750 )
+    NEW met3 ( 1195540 469540 ) ( 1196230 469540 )
+    NEW met3 ( 1195540 469540 ) ( 1195540 470220 )
+    NEW met3 ( 1195540 470220 ) ( 1196690 470220 )
+    NEW met2 ( 1196690 470220 ) ( 1196690 572730 )
+    NEW met2 ( 1195770 337620 ) ( 1196230 337620 )
+    NEW met2 ( 1195770 324190 ) ( 1195770 337620 )
+    NEW met2 ( 1196230 337620 ) ( 1196230 373490 )
+    NEW met1 ( 1195770 444890 ) ( 1196230 444890 )
+    NEW li1 ( 1195770 444890 ) ( 1195770 469030 )
+    NEW met1 ( 1195770 469030 ) ( 1196230 469030 )
+    NEW met2 ( 1196230 420750 ) ( 1196230 444890 )
+    NEW met2 ( 1196230 469030 ) ( 1196230 469540 )
+    NEW li1 ( 1196230 614210 ) ( 1196230 662150 )
+    NEW met1 ( 1196230 662150 ) ( 1196690 662150 )
+    NEW met2 ( 1196230 572730 ) ( 1196230 614210 )
+    NEW met2 ( 1195770 1509260 ) ( 1196230 1509260 )
+    NEW met2 ( 1195770 1442620 ) ( 1195770 1509260 )
+    NEW li1 ( 1197150 275570 ) ( 1197150 317390 )
+    NEW met2 ( 1197150 317390 ) ( 1197150 324190 )
+    NEW li1 ( 1196230 1544450 ) ( 1196230 1559750 )
+    NEW met2 ( 1196230 1509260 ) ( 1196230 1544450 )
+    NEW met2 ( 1196230 1559750 ) ( 1196230 1587460 )
+    NEW met1 ( 1195770 227630 ) ( 1196690 227630 )
+    NEW met2 ( 1195770 227630 ) ( 1195770 268940 )
+    NEW met3 ( 1195770 268940 ) ( 1197150 268940 )
+    NEW met2 ( 1197150 268940 ) ( 1197150 275570 )
+    NEW met1 ( 1196230 213690 ) ( 1196690 213690 )
+    NEW li1 ( 1196230 138210 ) ( 1196230 213690 )
+    NEW met2 ( 1196690 213690 ) ( 1196690 227630 )
+    NEW met3 ( 1195540 1082900 ) ( 1196230 1082900 )
+    NEW met3 ( 1195540 1082220 ) ( 1195540 1082900 )
+    NEW met3 ( 1195540 1082220 ) ( 1196690 1082220 )
+    NEW met2 ( 1196690 1035810 ) ( 1196690 1082220 )
+    NEW met1 ( 1196690 1035130 ) ( 1196690 1035810 )
+    NEW met3 ( 1196460 1131860 ) ( 1197610 1131860 )
+    NEW met2 ( 1196690 889950 ) ( 1196690 1035130 )
+    NEW met3 ( 1196230 1131180 ) ( 1196460 1131180 )
+    NEW met2 ( 1196230 1082900 ) ( 1196230 1131180 )
+    NEW met3 ( 1196460 1131180 ) ( 1196460 1131860 )
+    NEW met2 ( 1196230 1203940 ) ( 1197610 1203940 )
+    NEW met2 ( 1196230 1203940 ) ( 1196230 1248990 )
+    NEW met2 ( 1197610 1131860 ) ( 1197610 1203940 )
+    NEW li1 ( 1196690 762450 ) ( 1196690 786590 )
+    NEW met2 ( 1196690 662150 ) ( 1196690 762450 )
+    NEW met2 ( 1196690 786590 ) ( 1196690 835550 )
+    NEW li1 ( 1196690 835550 ) ( 1196690 889950 )
+    NEW met1 ( 233450 18190 ) M1M2_PR
+    NEW met1 ( 642390 17170 ) M1M2_PR
+    NEW met1 ( 642390 21250 ) M1M2_PR
+    NEW met2 ( 836510 15980 ) via2_FR
+    NEW met1 ( 836510 21250 ) M1M2_PR
+    NEW met2 ( 1125390 15980 ) via2_FR
+    NEW met1 ( 1125390 17510 ) M1M2_PR
+    NEW li1 ( 593630 20910 ) L1M1_PR_MR
+    NEW li1 ( 593630 17510 ) L1M1_PR_MR
+    NEW li1 ( 710470 21250 ) L1M1_PR_MR
+    NEW li1 ( 710470 17510 ) L1M1_PR_MR
+    NEW met1 ( 717370 17510 ) M1M2_PR
+    NEW met2 ( 717370 15980 ) via2_FR
+    NEW met1 ( 788210 20910 ) M1M2_PR
+    NEW met2 ( 788210 15980 ) via2_FR
+    NEW li1 ( 883430 21250 ) L1M1_PR_MR
+    NEW li1 ( 883430 22270 ) L1M1_PR_MR
+    NEW met2 ( 1077090 15980 ) via2_FR
+    NEW met1 ( 1077090 17510 ) M1M2_PR
+    NEW met1 ( 1124010 17510 ) M1M2_PR
+    NEW met2 ( 1124010 15980 ) via2_FR
+    NEW met1 ( 1196230 17510 ) M1M2_PR
+    NEW met1 ( 1196690 1642370 ) M1M2_PR
+    NEW met1 ( 1197150 1642370 ) M1M2_PR
+    NEW li1 ( 545790 17510 ) L1M1_PR_MR
+    NEW li1 ( 545790 20910 ) L1M1_PR_MR
+    NEW met2 ( 760150 15980 ) via2_FR
+    NEW met1 ( 760150 20910 ) M1M2_PR
+    NEW met1 ( 983250 20910 ) M1M2_PR
+    NEW met2 ( 983250 15980 ) via2_FR
+    NEW met1 ( 1196230 572730 ) M1M2_PR
+    NEW met1 ( 1196690 572730 ) M1M2_PR
+    NEW met1 ( 1196230 1248990 ) M1M2_PR
+    NEW met1 ( 1197150 1248990 ) M1M2_PR
+    NEW met2 ( 1197150 1297100 ) via2_FR
+    NEW met2 ( 1196230 1297100 ) via2_FR
+    NEW met1 ( 1196230 1345550 ) M1M2_PR
+    NEW met1 ( 1197150 1345550 ) M1M2_PR
+    NEW met2 ( 1197150 1393660 ) via2_FR
+    NEW met2 ( 1196230 1393660 ) via2_FR
+    NEW li1 ( 1196230 138210 ) L1M1_PR_MR
+    NEW met1 ( 1196230 138210 ) M1M2_PR
+    NEW li1 ( 930810 22270 ) L1M1_PR_MR
+    NEW li1 ( 930810 20910 ) L1M1_PR_MR
+    NEW met1 ( 1195770 324190 ) M1M2_PR
+    NEW met1 ( 1197150 324190 ) M1M2_PR
+    NEW li1 ( 1196230 373490 ) L1M1_PR_MR
+    NEW met1 ( 1196230 373490 ) M1M2_PR
+    NEW li1 ( 1196230 420750 ) L1M1_PR_MR
+    NEW met1 ( 1196230 420750 ) M1M2_PR
+    NEW met2 ( 1196230 469540 ) via2_FR
+    NEW met2 ( 1196690 470220 ) via2_FR
+    NEW met1 ( 1196230 444890 ) M1M2_PR
+    NEW li1 ( 1195770 444890 ) L1M1_PR_MR
+    NEW li1 ( 1195770 469030 ) L1M1_PR_MR
+    NEW met1 ( 1196230 469030 ) M1M2_PR
+    NEW li1 ( 1196230 614210 ) L1M1_PR_MR
+    NEW met1 ( 1196230 614210 ) M1M2_PR
+    NEW li1 ( 1196230 662150 ) L1M1_PR_MR
+    NEW met1 ( 1196690 662150 ) M1M2_PR
+    NEW li1 ( 1197150 275570 ) L1M1_PR_MR
+    NEW met1 ( 1197150 275570 ) M1M2_PR
+    NEW li1 ( 1197150 317390 ) L1M1_PR_MR
+    NEW met1 ( 1197150 317390 ) M1M2_PR
+    NEW li1 ( 1196230 1544450 ) L1M1_PR_MR
+    NEW met1 ( 1196230 1544450 ) M1M2_PR
+    NEW li1 ( 1196230 1559750 ) L1M1_PR_MR
+    NEW met1 ( 1196230 1559750 ) M1M2_PR
+    NEW met1 ( 1196690 227630 ) M1M2_PR
+    NEW met1 ( 1195770 227630 ) M1M2_PR
+    NEW met2 ( 1195770 268940 ) via2_FR
+    NEW met2 ( 1197150 268940 ) via2_FR
+    NEW li1 ( 1196230 213690 ) L1M1_PR_MR
+    NEW met1 ( 1196690 213690 ) M1M2_PR
+    NEW li1 ( 1196690 889950 ) L1M1_PR_MR
+    NEW met1 ( 1196690 889950 ) M1M2_PR
+    NEW met2 ( 1196230 1082900 ) via2_FR
+    NEW met2 ( 1196690 1082220 ) via2_FR
+    NEW met1 ( 1196690 1035810 ) M1M2_PR
+    NEW met1 ( 1196690 1035130 ) M1M2_PR
+    NEW met2 ( 1197610 1131860 ) via2_FR
+    NEW met2 ( 1196230 1131180 ) via2_FR
+    NEW li1 ( 1196690 762450 ) L1M1_PR_MR
+    NEW met1 ( 1196690 762450 ) M1M2_PR
+    NEW li1 ( 1196690 786590 ) L1M1_PR_MR
+    NEW met1 ( 1196690 786590 ) M1M2_PR
+    NEW li1 ( 1196690 835550 ) L1M1_PR_MR
+    NEW met1 ( 1196690 835550 ) M1M2_PR
+    NEW met1 ( 1196230 138210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196230 373490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196230 420750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196230 614210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1197150 275570 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1197150 317390 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196230 1544450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196230 1559750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196690 889950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196690 762450 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196690 786590 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1196690 835550 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) 
-  + ROUTED li1 ( 578910 1682150 ) ( 578910 1687590 )
-    NEW met2 ( 56350 2380 0 ) ( 56350 17510 )
-    NEW met1 ( 56350 17510 ) ( 61870 17510 )
-    NEW met2 ( 61870 17510 ) ( 61870 1687590 )
-    NEW met1 ( 61870 1687590 ) ( 578910 1687590 )
-    NEW met2 ( 642850 1687930 ) ( 642850 1688780 )
-    NEW met1 ( 642850 1687930 ) ( 649290 1687930 )
-    NEW met1 ( 649290 1687930 ) ( 649290 1688270 )
-    NEW met1 ( 649290 1688270 ) ( 689310 1688270 )
-    NEW met2 ( 689310 1688100 ) ( 689310 1688270 )
-    NEW met2 ( 1132290 1687250 ) ( 1132290 1688100 )
-    NEW met1 ( 1132290 1687250 ) ( 1147470 1687250 )
-    NEW li1 ( 1147470 1687250 ) ( 1149310 1687250 )
-    NEW li1 ( 1149310 1687250 ) ( 1149310 1688610 )
-    NEW met1 ( 1149310 1688610 ) ( 1160810 1688610 )
-    NEW met2 ( 1160810 1688610 ) ( 1160810 1700340 0 )
-    NEW met3 ( 689310 1688100 ) ( 1132290 1688100 )
-    NEW met2 ( 594090 1682150 ) ( 594090 1688780 )
-    NEW met1 ( 578910 1682150 ) ( 594090 1682150 )
-    NEW met3 ( 594090 1688780 ) ( 642850 1688780 )
-    NEW li1 ( 578910 1687590 ) L1M1_PR_MR
-    NEW li1 ( 578910 1682150 ) L1M1_PR_MR
-    NEW met1 ( 56350 17510 ) M1M2_PR
-    NEW met1 ( 61870 17510 ) M1M2_PR
-    NEW met1 ( 61870 1687590 ) M1M2_PR
-    NEW met2 ( 642850 1688780 ) via2_FR
-    NEW met1 ( 642850 1687930 ) M1M2_PR
-    NEW met1 ( 689310 1688270 ) M1M2_PR
-    NEW met2 ( 689310 1688100 ) via2_FR
-    NEW met2 ( 1132290 1688100 ) via2_FR
-    NEW met1 ( 1132290 1687250 ) M1M2_PR
-    NEW li1 ( 1147470 1687250 ) L1M1_PR_MR
-    NEW li1 ( 1149310 1688610 ) L1M1_PR_MR
-    NEW met1 ( 1160810 1688610 ) M1M2_PR
-    NEW met1 ( 594090 1682150 ) M1M2_PR
-    NEW met2 ( 594090 1688780 ) via2_FR
+  + ROUTED met2 ( 1159890 1687930 ) ( 1159890 1689460 )
+    NEW met2 ( 1159890 1689460 ) ( 1160350 1689460 )
+    NEW met2 ( 1160350 1689460 ) ( 1160350 1700340 )
+    NEW met2 ( 1160350 1700340 ) ( 1160810 1700340 0 )
+    NEW met2 ( 55890 2380 0 ) ( 55890 17170 )
+    NEW met1 ( 55890 17170 ) ( 141450 17170 )
+    NEW met2 ( 141450 17170 ) ( 141450 1687930 )
+    NEW met1 ( 141450 1687930 ) ( 1159890 1687930 )
+    NEW met1 ( 1159890 1687930 ) M1M2_PR
+    NEW met1 ( 55890 17170 ) M1M2_PR
+    NEW met1 ( 141450 17170 ) M1M2_PR
+    NEW met1 ( 141450 1687930 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) 
-  + ROUTED met2 ( 80270 2380 0 ) ( 80270 18020 )
-    NEW met3 ( 1137580 17340 ) ( 1137580 18020 )
-    NEW met3 ( 1137580 17340 ) ( 1162190 17340 )
-    NEW met2 ( 1162190 17340 ) ( 1162190 45220 )
-    NEW met2 ( 1162190 45220 ) ( 1162650 45220 )
-    NEW met1 ( 1162650 1677730 ) ( 1164950 1677730 )
-    NEW met2 ( 1164950 1677730 ) ( 1164950 1700340 )
+  + ROUTED met1 ( 1162650 1688610 ) ( 1164950 1688610 )
+    NEW met2 ( 1164950 1688610 ) ( 1164950 1700340 )
     NEW met2 ( 1164950 1700340 ) ( 1165870 1700340 0 )
-    NEW met2 ( 1162650 45220 ) ( 1162650 1677730 )
-    NEW met3 ( 80270 18020 ) ( 1137580 18020 )
-    NEW met2 ( 80270 18020 ) via2_FR
-    NEW met2 ( 1162190 17340 ) via2_FR
-    NEW met1 ( 1162650 1677730 ) M1M2_PR
-    NEW met1 ( 1164950 1677730 ) M1M2_PR
+    NEW met2 ( 79810 2380 0 ) ( 79810 18020 )
+    NEW met2 ( 1162650 18020 ) ( 1162650 1688610 )
+    NEW met3 ( 79810 18020 ) ( 1162650 18020 )
+    NEW met1 ( 1162650 1688610 ) M1M2_PR
+    NEW met1 ( 1164950 1688610 ) M1M2_PR
+    NEW met2 ( 79810 18020 ) via2_FR
+    NEW met2 ( 1162650 18020 ) via2_FR
 + USE SIGNAL ;
 - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) 
-  + ROUTED met2 ( 103730 2380 0 ) ( 103730 15470 )
-    NEW met1 ( 103730 15470 ) ( 110170 15470 )
-    NEW met2 ( 110170 15470 ) ( 110170 1688610 )
-    NEW li1 ( 179630 1687930 ) ( 179630 1689970 )
-    NEW met1 ( 179630 1689970 ) ( 227470 1689970 )
-    NEW met2 ( 227470 1687930 ) ( 227470 1689970 )
-    NEW li1 ( 276230 1685890 ) ( 276230 1687930 )
-    NEW met1 ( 276230 1685890 ) ( 323610 1685890 )
-    NEW met2 ( 323610 1685890 ) ( 323610 1687420 )
-    NEW met2 ( 323610 1687420 ) ( 324070 1687420 )
-    NEW met2 ( 324070 1687420 ) ( 324070 1687930 )
-    NEW li1 ( 372830 1684870 ) ( 372830 1687930 )
-    NEW met1 ( 372830 1684870 ) ( 420210 1684870 )
-    NEW met2 ( 420210 1684870 ) ( 420210 1687420 )
-    NEW met2 ( 420210 1687420 ) ( 420670 1687420 )
-    NEW met2 ( 420670 1687420 ) ( 420670 1687930 )
-    NEW li1 ( 469430 1684190 ) ( 469430 1687930 )
-    NEW met1 ( 469430 1684190 ) ( 503010 1684190 )
-    NEW li1 ( 503010 1683170 ) ( 503010 1684190 )
-    NEW met1 ( 503010 1683170 ) ( 516810 1683170 )
-    NEW li1 ( 516810 1683170 ) ( 516810 1687930 )
-    NEW li1 ( 566030 1687930 ) ( 566030 1690650 )
-    NEW li1 ( 710010 1686910 ) ( 710010 1687930 )
-    NEW li1 ( 710010 1687930 ) ( 710470 1687930 )
-    NEW li1 ( 759230 1687930 ) ( 759690 1687930 )
-    NEW li1 ( 759690 1686910 ) ( 759690 1687930 )
-    NEW met1 ( 759690 1686910 ) ( 806610 1686910 )
-    NEW li1 ( 806610 1686910 ) ( 806610 1687930 )
-    NEW li1 ( 806610 1687930 ) ( 807070 1687930 )
-    NEW li1 ( 855830 1687930 ) ( 856290 1687930 )
-    NEW li1 ( 856290 1686910 ) ( 856290 1687930 )
-    NEW met1 ( 856290 1686910 ) ( 903210 1686910 )
-    NEW li1 ( 903210 1686910 ) ( 903210 1687930 )
-    NEW li1 ( 903210 1687930 ) ( 903670 1687930 )
-    NEW li1 ( 952430 1687930 ) ( 952890 1687930 )
-    NEW li1 ( 952890 1686910 ) ( 952890 1687930 )
-    NEW met1 ( 952890 1686910 ) ( 999810 1686910 )
-    NEW li1 ( 999810 1686910 ) ( 999810 1687930 )
-    NEW li1 ( 999810 1687930 ) ( 1000270 1687930 )
-    NEW li1 ( 1049030 1687930 ) ( 1049490 1687930 )
-    NEW li1 ( 1049490 1686910 ) ( 1049490 1687930 )
-    NEW met1 ( 1049490 1686910 ) ( 1096410 1686910 )
-    NEW li1 ( 1096410 1686910 ) ( 1096410 1687930 )
-    NEW li1 ( 1096410 1687930 ) ( 1096870 1687930 )
-    NEW li1 ( 131330 1688610 ) ( 131330 1689630 )
-    NEW met1 ( 131330 1689630 ) ( 179170 1689630 )
-    NEW li1 ( 179170 1687930 ) ( 179170 1689630 )
-    NEW met1 ( 110170 1688610 ) ( 131330 1688610 )
-    NEW met1 ( 179170 1687930 ) ( 179630 1687930 )
-    NEW met1 ( 227470 1687930 ) ( 276230 1687930 )
-    NEW met1 ( 324070 1687930 ) ( 372830 1687930 )
-    NEW met1 ( 420670 1687930 ) ( 469430 1687930 )
-    NEW met1 ( 516810 1687930 ) ( 566030 1687930 )
-    NEW met2 ( 710930 1687930 ) ( 710930 1688780 )
-    NEW met3 ( 710930 1688780 ) ( 758770 1688780 )
-    NEW met2 ( 758770 1687930 ) ( 758770 1688780 )
-    NEW met1 ( 710470 1687930 ) ( 710930 1687930 )
-    NEW met1 ( 758770 1687930 ) ( 759230 1687930 )
-    NEW met2 ( 807530 1687930 ) ( 807530 1688780 )
-    NEW met3 ( 807530 1688780 ) ( 855370 1688780 )
-    NEW met2 ( 855370 1687930 ) ( 855370 1688780 )
-    NEW met1 ( 807070 1687930 ) ( 807530 1687930 )
-    NEW met1 ( 855370 1687930 ) ( 855830 1687930 )
-    NEW met2 ( 904130 1687930 ) ( 904130 1688780 )
-    NEW met3 ( 904130 1688780 ) ( 951970 1688780 )
-    NEW met2 ( 951970 1687930 ) ( 951970 1688780 )
-    NEW met1 ( 903670 1687930 ) ( 904130 1687930 )
-    NEW met1 ( 951970 1687930 ) ( 952430 1687930 )
-    NEW met2 ( 1000730 1687930 ) ( 1000730 1688780 )
-    NEW met3 ( 1000730 1688780 ) ( 1048570 1688780 )
-    NEW met2 ( 1048570 1687930 ) ( 1048570 1688780 )
-    NEW met1 ( 1000270 1687930 ) ( 1000730 1687930 )
-    NEW met1 ( 1048570 1687930 ) ( 1049030 1687930 )
-    NEW met2 ( 1097330 1687930 ) ( 1097330 1688780 )
-    NEW met1 ( 1096870 1687930 ) ( 1097330 1687930 )
-    NEW li1 ( 642390 1687930 ) ( 642390 1690990 )
-    NEW met1 ( 642390 1690990 ) ( 689310 1690990 )
-    NEW li1 ( 689310 1686910 ) ( 689310 1690990 )
-    NEW li1 ( 689310 1686910 ) ( 689770 1686910 )
-    NEW met1 ( 689770 1686910 ) ( 710010 1686910 )
-    NEW met2 ( 1161730 1688610 ) ( 1161730 1688780 )
-    NEW met1 ( 1161730 1688610 ) ( 1170930 1688610 )
-    NEW met2 ( 1170930 1688610 ) ( 1170930 1700340 0 )
-    NEW met3 ( 1097330 1688780 ) ( 1161730 1688780 )
-    NEW li1 ( 594090 1686910 ) ( 594090 1690650 )
-    NEW met1 ( 594090 1686910 ) ( 617090 1686910 )
-    NEW met2 ( 617090 1686910 ) ( 617090 1687420 )
-    NEW met3 ( 617090 1687420 ) ( 641470 1687420 )
-    NEW met2 ( 641470 1687420 ) ( 641470 1687930 )
-    NEW met1 ( 566030 1690650 ) ( 594090 1690650 )
-    NEW met1 ( 641470 1687930 ) ( 642390 1687930 )
-    NEW met1 ( 103730 15470 ) M1M2_PR
-    NEW met1 ( 110170 15470 ) M1M2_PR
-    NEW met1 ( 110170 1688610 ) M1M2_PR
-    NEW li1 ( 179630 1687930 ) L1M1_PR_MR
-    NEW li1 ( 179630 1689970 ) L1M1_PR_MR
-    NEW met1 ( 227470 1689970 ) M1M2_PR
-    NEW met1 ( 227470 1687930 ) M1M2_PR
-    NEW li1 ( 276230 1687930 ) L1M1_PR_MR
-    NEW li1 ( 276230 1685890 ) L1M1_PR_MR
-    NEW met1 ( 323610 1685890 ) M1M2_PR
-    NEW met1 ( 324070 1687930 ) M1M2_PR
-    NEW li1 ( 372830 1687930 ) L1M1_PR_MR
-    NEW li1 ( 372830 1684870 ) L1M1_PR_MR
-    NEW met1 ( 420210 1684870 ) M1M2_PR
-    NEW met1 ( 420670 1687930 ) M1M2_PR
-    NEW li1 ( 469430 1687930 ) L1M1_PR_MR
-    NEW li1 ( 469430 1684190 ) L1M1_PR_MR
-    NEW li1 ( 503010 1684190 ) L1M1_PR_MR
-    NEW li1 ( 503010 1683170 ) L1M1_PR_MR
-    NEW li1 ( 516810 1683170 ) L1M1_PR_MR
-    NEW li1 ( 516810 1687930 ) L1M1_PR_MR
-    NEW li1 ( 566030 1687930 ) L1M1_PR_MR
-    NEW li1 ( 566030 1690650 ) L1M1_PR_MR
-    NEW li1 ( 710010 1686910 ) L1M1_PR_MR
-    NEW li1 ( 710470 1687930 ) L1M1_PR_MR
-    NEW li1 ( 759230 1687930 ) L1M1_PR_MR
-    NEW li1 ( 759690 1686910 ) L1M1_PR_MR
-    NEW li1 ( 806610 1686910 ) L1M1_PR_MR
-    NEW li1 ( 807070 1687930 ) L1M1_PR_MR
-    NEW li1 ( 855830 1687930 ) L1M1_PR_MR
-    NEW li1 ( 856290 1686910 ) L1M1_PR_MR
-    NEW li1 ( 903210 1686910 ) L1M1_PR_MR
-    NEW li1 ( 903670 1687930 ) L1M1_PR_MR
-    NEW li1 ( 952430 1687930 ) L1M1_PR_MR
-    NEW li1 ( 952890 1686910 ) L1M1_PR_MR
-    NEW li1 ( 999810 1686910 ) L1M1_PR_MR
-    NEW li1 ( 1000270 1687930 ) L1M1_PR_MR
-    NEW li1 ( 1049030 1687930 ) L1M1_PR_MR
-    NEW li1 ( 1049490 1686910 ) L1M1_PR_MR
-    NEW li1 ( 1096410 1686910 ) L1M1_PR_MR
-    NEW li1 ( 1096870 1687930 ) L1M1_PR_MR
-    NEW li1 ( 131330 1688610 ) L1M1_PR_MR
-    NEW li1 ( 131330 1689630 ) L1M1_PR_MR
-    NEW li1 ( 179170 1689630 ) L1M1_PR_MR
-    NEW li1 ( 179170 1687930 ) L1M1_PR_MR
-    NEW met1 ( 710930 1687930 ) M1M2_PR
-    NEW met2 ( 710930 1688780 ) via2_FR
-    NEW met2 ( 758770 1688780 ) via2_FR
-    NEW met1 ( 758770 1687930 ) M1M2_PR
-    NEW met1 ( 807530 1687930 ) M1M2_PR
-    NEW met2 ( 807530 1688780 ) via2_FR
-    NEW met2 ( 855370 1688780 ) via2_FR
-    NEW met1 ( 855370 1687930 ) M1M2_PR
-    NEW met1 ( 904130 1687930 ) M1M2_PR
-    NEW met2 ( 904130 1688780 ) via2_FR
-    NEW met2 ( 951970 1688780 ) via2_FR
-    NEW met1 ( 951970 1687930 ) M1M2_PR
-    NEW met1 ( 1000730 1687930 ) M1M2_PR
-    NEW met2 ( 1000730 1688780 ) via2_FR
-    NEW met2 ( 1048570 1688780 ) via2_FR
-    NEW met1 ( 1048570 1687930 ) M1M2_PR
-    NEW met1 ( 1097330 1687930 ) M1M2_PR
-    NEW met2 ( 1097330 1688780 ) via2_FR
-    NEW li1 ( 642390 1687930 ) L1M1_PR_MR
-    NEW li1 ( 642390 1690990 ) L1M1_PR_MR
-    NEW li1 ( 689310 1690990 ) L1M1_PR_MR
-    NEW li1 ( 689770 1686910 ) L1M1_PR_MR
-    NEW met2 ( 1161730 1688780 ) via2_FR
-    NEW met1 ( 1161730 1688610 ) M1M2_PR
-    NEW met1 ( 1170930 1688610 ) M1M2_PR
-    NEW li1 ( 594090 1690650 ) L1M1_PR_MR
-    NEW li1 ( 594090 1686910 ) L1M1_PR_MR
-    NEW met1 ( 617090 1686910 ) M1M2_PR
-    NEW met2 ( 617090 1687420 ) via2_FR
-    NEW met2 ( 641470 1687420 ) via2_FR
-    NEW met1 ( 641470 1687930 ) M1M2_PR
+  + ROUTED met2 ( 103270 2380 0 ) ( 103270 17510 )
+    NEW met1 ( 1153910 1688270 ) ( 1153910 1688610 )
+    NEW met1 ( 1153910 1688270 ) ( 1160350 1688270 )
+    NEW met1 ( 1160350 1687930 ) ( 1160350 1688270 )
+    NEW met1 ( 1160350 1687930 ) ( 1170930 1687930 )
+    NEW met2 ( 1170930 1687930 ) ( 1170930 1700340 0 )
+    NEW met1 ( 138230 17510 ) ( 138230 17850 )
+    NEW met1 ( 138230 17850 ) ( 143290 17850 )
+    NEW met1 ( 143290 17850 ) ( 143290 18530 )
+    NEW met1 ( 143290 18530 ) ( 175950 18530 )
+    NEW met1 ( 103270 17510 ) ( 138230 17510 )
+    NEW met2 ( 175950 18530 ) ( 175950 1688610 )
+    NEW met1 ( 175950 1688610 ) ( 1153910 1688610 )
+    NEW met1 ( 103270 17510 ) M1M2_PR
+    NEW met1 ( 1170930 1687930 ) M1M2_PR
+    NEW met1 ( 175950 18530 ) M1M2_PR
+    NEW met1 ( 175950 1688610 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) 
-  + ROUTED met2 ( 127650 2380 0 ) ( 127650 19380 )
-    NEW met2 ( 1173690 19380 ) ( 1173690 21420 )
-    NEW met2 ( 1173690 21420 ) ( 1174150 21420 )
-    NEW met2 ( 1174150 21420 ) ( 1174150 53890 )
-    NEW met2 ( 1173690 53890 ) ( 1174150 53890 )
-    NEW met3 ( 127650 19380 ) ( 1173690 19380 )
-    NEW met1 ( 1173690 1678750 ) ( 1175070 1678750 )
-    NEW met2 ( 1175070 1678750 ) ( 1175070 1700340 )
-    NEW met2 ( 1175070 1700340 ) ( 1175530 1700340 0 )
-    NEW met2 ( 1173690 53890 ) ( 1173690 1678750 )
-    NEW met2 ( 127650 19380 ) via2_FR
-    NEW met2 ( 1173690 19380 ) via2_FR
-    NEW met1 ( 1173690 1678750 ) M1M2_PR
-    NEW met1 ( 1175070 1678750 ) M1M2_PR
+  + ROUTED met2 ( 126730 2380 0 ) ( 126730 19380 )
+    NEW met3 ( 126730 19380 ) ( 1175070 19380 )
+    NEW met2 ( 1175070 1666340 ) ( 1175530 1666340 )
+    NEW met2 ( 1175530 1666340 ) ( 1175530 1700340 0 )
+    NEW met3 ( 1174380 48620 ) ( 1175070 48620 )
+    NEW met3 ( 1174380 48620 ) ( 1174380 49300 )
+    NEW met3 ( 1174380 49300 ) ( 1175530 49300 )
+    NEW met2 ( 1175070 19380 ) ( 1175070 48620 )
+    NEW met3 ( 1174380 619820 ) ( 1175070 619820 )
+    NEW met2 ( 1175070 572730 ) ( 1175070 619820 )
+    NEW met1 ( 1175070 572730 ) ( 1175530 572730 )
+    NEW met1 ( 1175070 717570 ) ( 1175990 717570 )
+    NEW met2 ( 1175990 669460 ) ( 1175990 717570 )
+    NEW met2 ( 1175530 669460 ) ( 1175990 669460 )
+    NEW met2 ( 1175530 862580 ) ( 1175990 862580 )
+    NEW met3 ( 1175300 1249500 ) ( 1176450 1249500 )
+    NEW met2 ( 1176450 1249500 ) ( 1176450 1297100 )
+    NEW met2 ( 1175070 1297100 ) ( 1176450 1297100 )
+    NEW met3 ( 1175300 1346060 ) ( 1176450 1346060 )
+    NEW met2 ( 1176450 1346060 ) ( 1176450 1393660 )
+    NEW met2 ( 1175070 1393660 ) ( 1176450 1393660 )
+    NEW met1 ( 1175070 138210 ) ( 1175530 138210 )
+    NEW met1 ( 1175070 524450 ) ( 1175530 524450 )
+    NEW met2 ( 1175070 524450 ) ( 1175070 549100 )
+    NEW met2 ( 1175070 549100 ) ( 1175530 549100 )
+    NEW met2 ( 1175530 549100 ) ( 1175530 572730 )
+    NEW met3 ( 1174380 621180 ) ( 1174610 621180 )
+    NEW met2 ( 1174610 621180 ) ( 1174610 642260 )
+    NEW met2 ( 1174610 642260 ) ( 1175530 642260 )
+    NEW met3 ( 1174380 619820 ) ( 1174380 621180 )
+    NEW met2 ( 1175530 642260 ) ( 1175530 669460 )
+    NEW met2 ( 1175070 717740 ) ( 1175530 717740 )
+    NEW met2 ( 1175530 717740 ) ( 1175530 737970 )
+    NEW li1 ( 1175530 737970 ) ( 1175530 765850 )
+    NEW met2 ( 1175070 717570 ) ( 1175070 717740 )
+    NEW met2 ( 1175530 765850 ) ( 1175530 862580 )
+    NEW met3 ( 1175070 1248820 ) ( 1175300 1248820 )
+    NEW met2 ( 1175070 1200370 ) ( 1175070 1248820 )
+    NEW met3 ( 1175300 1248820 ) ( 1175300 1249500 )
+    NEW met2 ( 1175070 1297780 ) ( 1175530 1297780 )
+    NEW met2 ( 1175530 1297780 ) ( 1175530 1345380 )
+    NEW met3 ( 1175300 1345380 ) ( 1175530 1345380 )
+    NEW met2 ( 1175070 1297100 ) ( 1175070 1297780 )
+    NEW met3 ( 1175300 1345380 ) ( 1175300 1346060 )
+    NEW met1 ( 1175070 1393830 ) ( 1175990 1393830 )
+    NEW met2 ( 1175070 1393660 ) ( 1175070 1393830 )
+    NEW li1 ( 1175070 83130 ) ( 1175070 131070 )
+    NEW met1 ( 1175070 83130 ) ( 1175530 83130 )
+    NEW met2 ( 1175070 131070 ) ( 1175070 138210 )
+    NEW met2 ( 1175530 49300 ) ( 1175530 83130 )
+    NEW li1 ( 1175530 180030 ) ( 1175530 227630 )
+    NEW met2 ( 1175530 138210 ) ( 1175530 180030 )
+    NEW met1 ( 1175070 1096670 ) ( 1175530 1096670 )
+    NEW met1 ( 1175070 1169090 ) ( 1175530 1169090 )
+    NEW li1 ( 1175070 1169090 ) ( 1175070 1200370 )
+    NEW met3 ( 1175530 228140 ) ( 1176910 228140 )
+    NEW met2 ( 1176910 228140 ) ( 1176910 275910 )
+    NEW met1 ( 1175530 275910 ) ( 1176910 275910 )
+    NEW met2 ( 1175530 227630 ) ( 1175530 228140 )
+    NEW li1 ( 1175530 910690 ) ( 1175530 931770 )
+    NEW met2 ( 1175530 904060 ) ( 1175530 910690 )
+    NEW met2 ( 1175530 904060 ) ( 1175990 904060 )
+    NEW met2 ( 1175530 931770 ) ( 1175530 1096670 )
+    NEW met2 ( 1175990 862580 ) ( 1175990 904060 )
+    NEW li1 ( 1175070 1103810 ) ( 1175070 1104830 )
+    NEW met1 ( 1175070 1104830 ) ( 1175530 1104830 )
+    NEW met2 ( 1175070 1096670 ) ( 1175070 1103810 )
+    NEW met2 ( 1175530 1104830 ) ( 1175530 1169090 )
+    NEW li1 ( 1175070 1586610 ) ( 1175070 1628090 )
+    NEW met1 ( 1175070 1586610 ) ( 1175530 1586610 )
+    NEW met2 ( 1175070 1628090 ) ( 1175070 1666340 )
+    NEW met2 ( 1175530 366180 ) ( 1176450 366180 )
+    NEW met2 ( 1176450 366180 ) ( 1176450 413780 )
+    NEW met3 ( 1175530 413780 ) ( 1176450 413780 )
+    NEW met3 ( 1175070 462740 ) ( 1175300 462740 )
+    NEW met4 ( 1175300 462740 ) ( 1175300 497420 )
+    NEW met3 ( 1175300 497420 ) ( 1175530 497420 )
+    NEW met2 ( 1175530 497420 ) ( 1175530 524450 )
+    NEW met2 ( 1175530 1428340 ) ( 1175990 1428340 )
+    NEW met2 ( 1175990 1393830 ) ( 1175990 1428340 )
+    NEW met3 ( 1175530 1524900 ) ( 1176450 1524900 )
+    NEW met2 ( 1176450 1524900 ) ( 1176450 1573180 )
+    NEW met3 ( 1175530 1573180 ) ( 1176450 1573180 )
+    NEW met2 ( 1175530 1428340 ) ( 1175530 1524900 )
+    NEW met2 ( 1175530 1573180 ) ( 1175530 1586610 )
+    NEW met2 ( 1175530 275910 ) ( 1175530 366180 )
+    NEW li1 ( 1175070 414290 ) ( 1175070 461890 )
+    NEW met1 ( 1175070 414290 ) ( 1175530 414290 )
+    NEW met2 ( 1175070 461890 ) ( 1175070 462740 )
+    NEW met2 ( 1175530 413780 ) ( 1175530 414290 )
+    NEW met2 ( 126730 19380 ) via2_FR
+    NEW met2 ( 1175070 19380 ) via2_FR
+    NEW met2 ( 1175070 48620 ) via2_FR
+    NEW met2 ( 1175530 49300 ) via2_FR
+    NEW met2 ( 1175070 619820 ) via2_FR
+    NEW met1 ( 1175070 572730 ) M1M2_PR
+    NEW met1 ( 1175530 572730 ) M1M2_PR
+    NEW met1 ( 1175070 717570 ) M1M2_PR
+    NEW met1 ( 1175990 717570 ) M1M2_PR
+    NEW li1 ( 1175070 1200370 ) L1M1_PR_MR
+    NEW met1 ( 1175070 1200370 ) M1M2_PR
+    NEW met2 ( 1176450 1249500 ) via2_FR
+    NEW met2 ( 1176450 1346060 ) via2_FR
+    NEW met1 ( 1175070 138210 ) M1M2_PR
+    NEW met1 ( 1175530 138210 ) M1M2_PR
+    NEW met1 ( 1175530 524450 ) M1M2_PR
+    NEW met1 ( 1175070 524450 ) M1M2_PR
+    NEW met2 ( 1174610 621180 ) via2_FR
+    NEW li1 ( 1175530 737970 ) L1M1_PR_MR
+    NEW met1 ( 1175530 737970 ) M1M2_PR
+    NEW li1 ( 1175530 765850 ) L1M1_PR_MR
+    NEW met1 ( 1175530 765850 ) M1M2_PR
+    NEW met2 ( 1175070 1248820 ) via2_FR
+    NEW met2 ( 1175530 1345380 ) via2_FR
+    NEW met1 ( 1175070 1393830 ) M1M2_PR
+    NEW met1 ( 1175990 1393830 ) M1M2_PR
+    NEW li1 ( 1175070 131070 ) L1M1_PR_MR
+    NEW met1 ( 1175070 131070 ) M1M2_PR
+    NEW li1 ( 1175070 83130 ) L1M1_PR_MR
+    NEW met1 ( 1175530 83130 ) M1M2_PR
+    NEW li1 ( 1175530 180030 ) L1M1_PR_MR
+    NEW met1 ( 1175530 180030 ) M1M2_PR
+    NEW li1 ( 1175530 227630 ) L1M1_PR_MR
+    NEW met1 ( 1175530 227630 ) M1M2_PR
+    NEW met1 ( 1175070 1096670 ) M1M2_PR
+    NEW met1 ( 1175530 1096670 ) M1M2_PR
+    NEW li1 ( 1175070 1169090 ) L1M1_PR_MR
+    NEW met1 ( 1175530 1169090 ) M1M2_PR
+    NEW met2 ( 1175530 228140 ) via2_FR
+    NEW met2 ( 1176910 228140 ) via2_FR
+    NEW met1 ( 1176910 275910 ) M1M2_PR
+    NEW met1 ( 1175530 275910 ) M1M2_PR
+    NEW li1 ( 1175530 931770 ) L1M1_PR_MR
+    NEW met1 ( 1175530 931770 ) M1M2_PR
+    NEW li1 ( 1175530 910690 ) L1M1_PR_MR
+    NEW met1 ( 1175530 910690 ) M1M2_PR
+    NEW li1 ( 1175070 1103810 ) L1M1_PR_MR
+    NEW met1 ( 1175070 1103810 ) M1M2_PR
+    NEW li1 ( 1175070 1104830 ) L1M1_PR_MR
+    NEW met1 ( 1175530 1104830 ) M1M2_PR
+    NEW li1 ( 1175070 1628090 ) L1M1_PR_MR
+    NEW met1 ( 1175070 1628090 ) M1M2_PR
+    NEW li1 ( 1175070 1586610 ) L1M1_PR_MR
+    NEW met1 ( 1175530 1586610 ) M1M2_PR
+    NEW met2 ( 1176450 413780 ) via2_FR
+    NEW met2 ( 1175530 413780 ) via2_FR
+    NEW met2 ( 1175070 462740 ) via2_FR
+    NEW met3 ( 1175300 462740 ) M3M4_PR_M
+    NEW met3 ( 1175300 497420 ) M3M4_PR_M
+    NEW met2 ( 1175530 497420 ) via2_FR
+    NEW met2 ( 1175530 1524900 ) via2_FR
+    NEW met2 ( 1176450 1524900 ) via2_FR
+    NEW met2 ( 1176450 1573180 ) via2_FR
+    NEW met2 ( 1175530 1573180 ) via2_FR
+    NEW li1 ( 1175070 461890 ) L1M1_PR_MR
+    NEW met1 ( 1175070 461890 ) M1M2_PR
+    NEW li1 ( 1175070 414290 ) L1M1_PR_MR
+    NEW met1 ( 1175530 414290 ) M1M2_PR
+    NEW met1 ( 1175070 1200370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 737970 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 765850 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 1175070 1393830 ) RECT ( -70 0 70 315 )
+    NEW met1 ( 1175070 131070 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 180030 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 227630 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 931770 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175530 910690 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175070 1103810 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1175070 1628090 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 1175300 462740 ) RECT ( 0 -150 390 150 )
+    NEW met3 ( 1175300 497420 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 1175070 461890 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) 
-  + ROUTED met2 ( 26450 2380 0 ) ( 26450 2890 )
-    NEW met1 ( 26450 2890 ) ( 27370 2890 )
-    NEW met2 ( 27370 2890 ) ( 27370 1686740 )
-    NEW met2 ( 1154830 1686740 ) ( 1154830 1700340 0 )
-    NEW met3 ( 27370 1686740 ) ( 1154830 1686740 )
-    NEW met1 ( 26450 2890 ) M1M2_PR
-    NEW met1 ( 27370 2890 ) M1M2_PR
-    NEW met2 ( 27370 1686740 ) via2_FR
-    NEW met2 ( 1154830 1686740 ) via2_FR
+  + ROUTED met2 ( 26450 2380 0 ) ( 26450 17510 )
+    NEW met1 ( 26450 17510 ) ( 31050 17510 )
+    NEW met2 ( 31050 17510 ) ( 31050 1686910 )
+    NEW met2 ( 1154830 1686910 ) ( 1154830 1700340 0 )
+    NEW met1 ( 31050 1686910 ) ( 1154830 1686910 )
+    NEW met1 ( 26450 17510 ) M1M2_PR
+    NEW met1 ( 31050 17510 ) M1M2_PR
+    NEW met1 ( 31050 1686910 ) M1M2_PR
+    NEW met1 ( 1154830 1686910 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) 
   + ROUTED met2 ( 32430 2380 0 ) ( 32430 16660 )
     NEW met2 ( 1155290 1700340 ) ( 1156210 1700340 0 )
-    NEW met2 ( 288650 15980 ) ( 288650 16660 )
-    NEW met2 ( 288650 15980 ) ( 289570 15980 )
-    NEW met2 ( 289570 15980 ) ( 289570 16660 )
-    NEW met3 ( 32430 16660 ) ( 288650 16660 )
-    NEW met4 ( 724500 15300 ) ( 724500 16660 )
-    NEW met3 ( 724500 15300 ) ( 738070 15300 )
-    NEW met2 ( 738070 15300 ) ( 738530 15300 )
-    NEW met2 ( 738530 15300 ) ( 738530 16660 )
-    NEW met3 ( 289570 16660 ) ( 724500 16660 )
-    NEW met2 ( 1038450 12580 ) ( 1038450 16660 )
-    NEW met3 ( 1038450 12580 ) ( 1062140 12580 )
-    NEW met3 ( 1062140 12580 ) ( 1062140 13940 )
-    NEW met3 ( 738530 16660 ) ( 1038450 16660 )
-    NEW met4 ( 1110900 13940 ) ( 1110900 30260 )
-    NEW met3 ( 1110900 30260 ) ( 1155290 30260 )
-    NEW met3 ( 1062140 13940 ) ( 1110900 13940 )
-    NEW met2 ( 1155290 30260 ) ( 1155290 1700340 )
+    NEW met2 ( 1154830 13940 ) ( 1154830 46580 )
+    NEW met2 ( 1154830 46580 ) ( 1155290 46580 )
+    NEW met2 ( 1076630 13940 ) ( 1076630 16660 )
+    NEW met3 ( 32430 16660 ) ( 1076630 16660 )
+    NEW met3 ( 1076630 13940 ) ( 1154830 13940 )
+    NEW met2 ( 1155290 46580 ) ( 1155290 1700340 )
     NEW met2 ( 32430 16660 ) via2_FR
-    NEW met2 ( 288650 16660 ) via2_FR
-    NEW met2 ( 289570 16660 ) via2_FR
-    NEW met3 ( 724500 16660 ) M3M4_PR_M
-    NEW met3 ( 724500 15300 ) M3M4_PR_M
-    NEW met2 ( 738070 15300 ) via2_FR
-    NEW met2 ( 738530 16660 ) via2_FR
-    NEW met2 ( 1038450 16660 ) via2_FR
-    NEW met2 ( 1038450 12580 ) via2_FR
-    NEW met3 ( 1110900 13940 ) M3M4_PR_M
-    NEW met3 ( 1110900 30260 ) M3M4_PR_M
-    NEW met2 ( 1155290 30260 ) via2_FR
+    NEW met2 ( 1154830 13940 ) via2_FR
+    NEW met2 ( 1076630 16660 ) via2_FR
+    NEW met2 ( 1076630 13940 ) via2_FR
 + USE SIGNAL ;
 END NETS
 END DESIGN
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 21da2c9..69b8ad4 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index ffc7265..6f0742e 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -6,20667 +6,38536 @@
   CLASS BLOCK ;
   FOREIGN user_project_wrapper ;
   ORIGIN 0.000 0.000 ;
-  SIZE 2924.580 BY 3520.000 ;
+  SIZE 2994.580 BY 3583.920 ;
+  PIN analog_io[0]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 61.400 2957.480 62.000 ;
+    END
+  END analog_io[0]
+  PIN analog_io[10]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2407.400 2957.480 2408.000 ;
+    END
+  END analog_io[10]
+  PIN analog_io[11]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2642.000 2957.480 2642.600 ;
+    END
+  END analog_io[11]
+  PIN analog_io[12]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2876.600 2957.480 2877.200 ;
+    END
+  END analog_io[12]
+  PIN analog_io[13]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3111.200 2957.480 3111.800 ;
+    END
+  END analog_io[13]
+  PIN analog_io[14]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3345.800 2957.480 3346.400 ;
+    END
+  END analog_io[14]
+  PIN analog_io[15]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2916.710 3549.720 2916.990 3552.120 ;
+    END
+  END analog_io[15]
+  PIN analog_io[16]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2592.410 3549.720 2592.690 3552.120 ;
+    END
+  END analog_io[16]
+  PIN analog_io[17]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2268.110 3549.720 2268.390 3552.120 ;
+    END
+  END analog_io[17]
+  PIN analog_io[18]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1943.350 3549.720 1943.630 3552.120 ;
+    END
+  END analog_io[18]
+  PIN analog_io[19]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1619.050 3549.720 1619.330 3552.120 ;
+    END
+  END analog_io[19]
+  PIN analog_io[1]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 296.000 2957.480 296.600 ;
+    END
+  END analog_io[1]
+  PIN analog_io[20]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1294.750 3549.720 1295.030 3552.120 ;
+    END
+  END analog_io[20]
+  PIN analog_io[21]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 969.990 3549.720 970.270 3552.120 ;
+    END
+  END analog_io[21]
+  PIN analog_io[22]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 645.690 3549.720 645.970 3552.120 ;
+    END
+  END analog_io[22]
+  PIN analog_io[23]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 321.390 3549.720 321.670 3552.120 ;
+    END
+  END analog_io[23]
+  PIN analog_io[24]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3515.120 39.880 3515.720 ;
+    END
+  END analog_io[24]
+  PIN analog_io[25]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3227.480 39.880 3228.080 ;
+    END
+  END analog_io[25]
+  PIN analog_io[26]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2940.520 39.880 2941.120 ;
+    END
+  END analog_io[26]
+  PIN analog_io[27]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2652.880 39.880 2653.480 ;
+    END
+  END analog_io[27]
+  PIN analog_io[28]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2365.920 39.880 2366.520 ;
+    END
+  END analog_io[28]
+  PIN analog_io[29]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2078.280 39.880 2078.880 ;
+    END
+  END analog_io[29]
+  PIN analog_io[2]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 530.600 2957.480 531.200 ;
+    END
+  END analog_io[2]
+  PIN analog_io[30]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1791.320 39.880 1791.920 ;
+    END
+  END analog_io[30]
+  PIN analog_io[3]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 765.200 2957.480 765.800 ;
+    END
+  END analog_io[3]
+  PIN analog_io[4]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 999.800 2957.480 1000.400 ;
+    END
+  END analog_io[4]
+  PIN analog_io[5]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1234.400 2957.480 1235.000 ;
+    END
+  END analog_io[5]
+  PIN analog_io[6]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1469.000 2957.480 1469.600 ;
+    END
+  END analog_io[6]
+  PIN analog_io[7]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1703.600 2957.480 1704.200 ;
+    END
+  END analog_io[7]
+  PIN analog_io[8]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1938.200 2957.480 1938.800 ;
+    END
+  END analog_io[8]
+  PIN analog_io[9]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2172.800 2957.480 2173.400 ;
+    END
+  END analog_io[9]
   PIN io_in[0]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2845.350 35.940 2845.670 36.000 ;
-        RECT 2893.190 35.940 2893.510 36.000 ;
-        RECT 2845.350 35.800 2893.510 35.940 ;
-        RECT 2845.350 35.740 2845.670 35.800 ;
-        RECT 2893.190 35.740 2893.510 35.800 ;
-        RECT 2404.210 34.920 2404.530 34.980 ;
-        RECT 2417.550 34.920 2417.870 34.980 ;
-        RECT 2404.210 34.780 2417.870 34.920 ;
-        RECT 2404.210 34.720 2404.530 34.780 ;
-        RECT 2417.550 34.720 2417.870 34.780 ;
-        RECT 2790.150 34.920 2790.470 34.980 ;
-        RECT 2837.990 34.920 2838.310 34.980 ;
-        RECT 2790.150 34.780 2838.310 34.920 ;
-        RECT 2790.150 34.720 2790.470 34.780 ;
-        RECT 2837.990 34.720 2838.310 34.780 ;
-        RECT 2120.390 33.900 2120.710 33.960 ;
-        RECT 2144.770 33.900 2145.090 33.960 ;
-        RECT 2120.390 33.760 2145.090 33.900 ;
-        RECT 2120.390 33.700 2120.710 33.760 ;
-        RECT 2144.770 33.700 2145.090 33.760 ;
-        RECT 2266.210 33.560 2266.530 33.620 ;
-        RECT 2313.590 33.560 2313.910 33.620 ;
-        RECT 2266.210 33.420 2313.910 33.560 ;
-        RECT 2266.210 33.360 2266.530 33.420 ;
-        RECT 2313.590 33.360 2313.910 33.420 ;
-      LAYER via ;
-        RECT 2845.380 35.740 2845.640 36.000 ;
-        RECT 2893.220 35.740 2893.480 36.000 ;
-        RECT 2404.240 34.720 2404.500 34.980 ;
-        RECT 2417.580 34.720 2417.840 34.980 ;
-        RECT 2790.180 34.720 2790.440 34.980 ;
-        RECT 2838.020 34.720 2838.280 34.980 ;
-        RECT 2120.420 33.700 2120.680 33.960 ;
-        RECT 2144.800 33.700 2145.060 33.960 ;
-        RECT 2266.240 33.360 2266.500 33.620 ;
-        RECT 2313.620 33.360 2313.880 33.620 ;
-      LAYER met2 ;
-        RECT 1148.040 35.885 1148.180 54.000 ;
-        RECT 2919.430 38.915 2919.710 39.285 ;
-        RECT 1275.390 37.555 1275.670 37.925 ;
-        RECT 2555.110 37.555 2555.390 37.925 ;
-        RECT 1162.230 36.875 1162.510 37.245 ;
-        RECT 1147.970 35.515 1148.250 35.885 ;
-        RECT 1161.770 35.515 1162.050 35.885 ;
-        RECT 1161.840 35.090 1161.980 35.515 ;
-        RECT 1162.300 35.090 1162.440 36.875 ;
-        RECT 1275.460 35.885 1275.600 37.555 ;
-        RECT 2058.310 36.875 2058.590 37.245 ;
-        RECT 2065.210 36.875 2065.490 37.245 ;
-        RECT 2058.380 35.885 2058.520 36.875 ;
-        RECT 1275.390 35.515 1275.670 35.885 ;
-        RECT 1299.770 35.515 1300.050 35.885 ;
-        RECT 2058.310 35.515 2058.590 35.885 ;
-        RECT 1161.840 34.950 1162.440 35.090 ;
-        RECT 1299.840 33.845 1299.980 35.515 ;
-        RECT 2065.280 35.205 2065.420 36.875 ;
-        RECT 2555.180 35.885 2555.320 37.555 ;
-        RECT 2734.510 36.875 2734.790 37.245 ;
-        RECT 2144.790 35.515 2145.070 35.885 ;
-        RECT 2403.840 35.630 2404.440 35.770 ;
-        RECT 1347.610 34.835 1347.890 35.205 ;
-        RECT 1830.610 34.835 1830.890 35.205 ;
-        RECT 1949.290 34.835 1949.570 35.205 ;
-        RECT 2065.210 34.835 2065.490 35.205 ;
-        RECT 2120.410 34.835 2120.690 35.205 ;
-        RECT 1347.680 33.845 1347.820 34.835 ;
-        RECT 1299.770 33.475 1300.050 33.845 ;
-        RECT 1347.610 33.475 1347.890 33.845 ;
-        RECT 1830.680 33.165 1830.820 34.835 ;
-        RECT 1949.360 33.165 1949.500 34.835 ;
-        RECT 2120.480 33.990 2120.620 34.835 ;
-        RECT 2144.860 33.990 2145.000 35.515 ;
-        RECT 2403.840 35.205 2403.980 35.630 ;
-        RECT 2266.230 34.835 2266.510 35.205 ;
-        RECT 2313.610 34.835 2313.890 35.205 ;
-        RECT 2403.770 34.835 2404.050 35.205 ;
-        RECT 2404.300 35.010 2404.440 35.630 ;
-        RECT 2555.110 35.515 2555.390 35.885 ;
-        RECT 2673.330 35.770 2673.610 35.885 ;
-        RECT 2672.480 35.630 2673.610 35.770 ;
-        RECT 2672.480 35.205 2672.620 35.630 ;
-        RECT 2673.330 35.515 2673.610 35.630 ;
-        RECT 2734.580 35.205 2734.720 36.875 ;
-        RECT 2790.170 35.515 2790.450 35.885 ;
-        RECT 2845.380 35.710 2845.640 36.030 ;
-        RECT 2893.220 35.885 2893.480 36.030 ;
-        RECT 2919.500 35.885 2919.640 38.915 ;
-        RECT 2120.420 33.670 2120.680 33.990 ;
-        RECT 2144.800 33.670 2145.060 33.990 ;
-        RECT 2266.300 33.650 2266.440 34.835 ;
-        RECT 2313.680 33.650 2313.820 34.835 ;
-        RECT 2404.240 34.690 2404.500 35.010 ;
-        RECT 2417.570 34.835 2417.850 35.205 ;
-        RECT 2603.410 34.835 2603.690 35.205 ;
-        RECT 2672.410 34.835 2672.690 35.205 ;
-        RECT 2734.510 34.835 2734.790 35.205 ;
-        RECT 2790.240 35.010 2790.380 35.515 ;
-        RECT 2845.440 35.205 2845.580 35.710 ;
-        RECT 2893.210 35.515 2893.490 35.885 ;
-        RECT 2919.430 35.515 2919.710 35.885 ;
-        RECT 2417.580 34.690 2417.840 34.835 ;
-        RECT 2603.480 34.525 2603.620 34.835 ;
-        RECT 2790.180 34.690 2790.440 35.010 ;
-        RECT 2838.010 34.835 2838.290 35.205 ;
-        RECT 2845.370 34.835 2845.650 35.205 ;
-        RECT 2838.020 34.690 2838.280 34.835 ;
-        RECT 2603.410 34.155 2603.690 34.525 ;
-        RECT 2266.240 33.330 2266.500 33.650 ;
-        RECT 2313.620 33.330 2313.880 33.650 ;
-        RECT 1830.610 32.795 1830.890 33.165 ;
-        RECT 1949.290 32.795 1949.570 33.165 ;
-      LAYER via2 ;
-        RECT 2919.430 38.960 2919.710 39.240 ;
-        RECT 1275.390 37.600 1275.670 37.880 ;
-        RECT 2555.110 37.600 2555.390 37.880 ;
-        RECT 1162.230 36.920 1162.510 37.200 ;
-        RECT 1147.970 35.560 1148.250 35.840 ;
-        RECT 1161.770 35.560 1162.050 35.840 ;
-        RECT 2058.310 36.920 2058.590 37.200 ;
-        RECT 2065.210 36.920 2065.490 37.200 ;
-        RECT 1275.390 35.560 1275.670 35.840 ;
-        RECT 1299.770 35.560 1300.050 35.840 ;
-        RECT 2058.310 35.560 2058.590 35.840 ;
-        RECT 2734.510 36.920 2734.790 37.200 ;
-        RECT 2144.790 35.560 2145.070 35.840 ;
-        RECT 1347.610 34.880 1347.890 35.160 ;
-        RECT 1830.610 34.880 1830.890 35.160 ;
-        RECT 1949.290 34.880 1949.570 35.160 ;
-        RECT 2065.210 34.880 2065.490 35.160 ;
-        RECT 2120.410 34.880 2120.690 35.160 ;
-        RECT 1299.770 33.520 1300.050 33.800 ;
-        RECT 1347.610 33.520 1347.890 33.800 ;
-        RECT 2266.230 34.880 2266.510 35.160 ;
-        RECT 2313.610 34.880 2313.890 35.160 ;
-        RECT 2403.770 34.880 2404.050 35.160 ;
-        RECT 2555.110 35.560 2555.390 35.840 ;
-        RECT 2673.330 35.560 2673.610 35.840 ;
-        RECT 2790.170 35.560 2790.450 35.840 ;
-        RECT 2417.570 34.880 2417.850 35.160 ;
-        RECT 2603.410 34.880 2603.690 35.160 ;
-        RECT 2672.410 34.880 2672.690 35.160 ;
-        RECT 2734.510 34.880 2734.790 35.160 ;
-        RECT 2893.210 35.560 2893.490 35.840 ;
-        RECT 2919.430 35.560 2919.710 35.840 ;
-        RECT 2838.010 34.880 2838.290 35.160 ;
-        RECT 2845.370 34.880 2845.650 35.160 ;
-        RECT 2603.410 34.200 2603.690 34.480 ;
-        RECT 1830.610 32.840 1830.890 33.120 ;
-        RECT 1949.290 32.840 1949.570 33.120 ;
       LAYER met3 ;
-        RECT 2919.405 39.250 2919.735 39.265 ;
-        RECT 2920.080 39.250 2922.480 39.400 ;
-        RECT 2919.405 38.950 2922.480 39.250 ;
-        RECT 2919.405 38.935 2919.735 38.950 ;
-        RECT 2920.080 38.800 2922.480 38.950 ;
-        RECT 1251.190 37.890 1251.570 37.900 ;
-        RECT 1275.365 37.890 1275.695 37.905 ;
-        RECT 1251.190 37.590 1275.695 37.890 ;
-        RECT 1251.190 37.580 1251.570 37.590 ;
-        RECT 1275.365 37.575 1275.695 37.590 ;
-        RECT 2506.990 37.890 2507.370 37.900 ;
-        RECT 2555.085 37.890 2555.415 37.905 ;
-        RECT 2506.990 37.590 2555.415 37.890 ;
-        RECT 2506.990 37.580 2507.370 37.590 ;
-        RECT 2555.085 37.575 2555.415 37.590 ;
-        RECT 1162.205 37.210 1162.535 37.225 ;
-        RECT 1968.790 37.210 1969.170 37.220 ;
-        RECT 2058.285 37.210 2058.615 37.225 ;
-        RECT 2065.185 37.210 2065.515 37.225 ;
-        RECT 1162.205 36.910 1210.130 37.210 ;
-        RECT 1162.205 36.895 1162.535 36.910 ;
-        RECT 1209.830 36.530 1210.130 36.910 ;
-        RECT 1968.790 36.910 2016.050 37.210 ;
-        RECT 1968.790 36.900 1969.170 36.910 ;
-        RECT 1251.190 36.530 1251.570 36.540 ;
-        RECT 1209.830 36.230 1251.570 36.530 ;
-        RECT 1251.190 36.220 1251.570 36.230 ;
-        RECT 1540.990 36.530 1541.370 36.540 ;
-        RECT 1540.990 36.230 1589.170 36.530 ;
-        RECT 1540.990 36.220 1541.370 36.230 ;
-        RECT 1147.945 35.850 1148.275 35.865 ;
-        RECT 1161.745 35.850 1162.075 35.865 ;
-        RECT 1147.945 35.550 1162.075 35.850 ;
-        RECT 1147.945 35.535 1148.275 35.550 ;
-        RECT 1161.745 35.535 1162.075 35.550 ;
-        RECT 1275.365 35.850 1275.695 35.865 ;
-        RECT 1299.745 35.850 1300.075 35.865 ;
-        RECT 1464.630 35.850 1465.010 35.860 ;
-        RECT 1275.365 35.550 1300.075 35.850 ;
-        RECT 1275.365 35.535 1275.695 35.550 ;
-        RECT 1299.745 35.535 1300.075 35.550 ;
-        RECT 1444.430 35.550 1465.010 35.850 ;
-        RECT 1347.585 35.170 1347.915 35.185 ;
-        RECT 1444.430 35.170 1444.730 35.550 ;
-        RECT 1464.630 35.540 1465.010 35.550 ;
-        RECT 1465.550 35.850 1465.930 35.860 ;
-        RECT 1499.590 35.850 1499.970 35.860 ;
-        RECT 1465.550 35.550 1499.970 35.850 ;
-        RECT 1465.550 35.540 1465.930 35.550 ;
-        RECT 1499.590 35.540 1499.970 35.550 ;
-        RECT 1347.585 34.870 1444.730 35.170 ;
-        RECT 1588.870 35.170 1589.170 36.230 ;
-        RECT 1596.230 36.230 1644.600 36.530 ;
-        RECT 1596.230 35.170 1596.530 36.230 ;
-        RECT 1588.870 34.870 1596.530 35.170 ;
-        RECT 1644.300 35.170 1644.600 36.230 ;
-        RECT 1830.830 36.230 1878.970 36.530 ;
-        RECT 1830.830 35.185 1831.130 36.230 ;
-        RECT 1878.670 35.860 1878.970 36.230 ;
-        RECT 1878.630 35.540 1879.010 35.860 ;
-        RECT 2015.750 35.850 2016.050 36.910 ;
-        RECT 2058.285 36.910 2065.515 37.210 ;
-        RECT 2058.285 36.895 2058.615 36.910 ;
-        RECT 2065.185 36.895 2065.515 36.910 ;
-        RECT 2686.390 37.210 2686.770 37.220 ;
-        RECT 2734.485 37.210 2734.815 37.225 ;
-        RECT 2686.390 36.910 2734.815 37.210 ;
-        RECT 2686.390 36.900 2686.770 36.910 ;
-        RECT 2734.485 36.895 2734.815 36.910 ;
-        RECT 2506.990 36.530 2507.370 36.540 ;
-        RECT 2479.430 36.230 2507.370 36.530 ;
-        RECT 2058.285 35.850 2058.615 35.865 ;
-        RECT 2015.750 35.550 2058.615 35.850 ;
-        RECT 2058.285 35.535 2058.615 35.550 ;
-        RECT 2144.765 35.850 2145.095 35.865 ;
-        RECT 2217.190 35.850 2217.570 35.860 ;
-        RECT 2144.765 35.550 2217.570 35.850 ;
-        RECT 2144.765 35.535 2145.095 35.550 ;
-        RECT 2217.190 35.540 2217.570 35.550 ;
-        RECT 1733.270 35.170 1733.650 35.180 ;
-        RECT 1644.300 34.870 1733.650 35.170 ;
-        RECT 1347.585 34.855 1347.915 34.870 ;
-        RECT 1733.270 34.860 1733.650 34.870 ;
-        RECT 1734.190 35.170 1734.570 35.180 ;
-        RECT 1830.585 35.170 1831.130 35.185 ;
-        RECT 1734.190 34.870 1782.370 35.170 ;
-        RECT 1830.180 34.870 1831.130 35.170 ;
-        RECT 1949.265 35.170 1949.595 35.185 ;
-        RECT 1968.790 35.170 1969.170 35.180 ;
-        RECT 1949.265 34.870 1969.170 35.170 ;
-        RECT 1734.190 34.860 1734.570 34.870 ;
-        RECT 1500.510 34.490 1500.890 34.500 ;
-        RECT 1540.990 34.490 1541.370 34.500 ;
-        RECT 1500.510 34.190 1541.370 34.490 ;
-        RECT 1782.070 34.490 1782.370 34.870 ;
-        RECT 1830.585 34.855 1830.915 34.870 ;
-        RECT 1949.265 34.855 1949.595 34.870 ;
-        RECT 1968.790 34.860 1969.170 34.870 ;
-        RECT 2065.185 35.170 2065.515 35.185 ;
-        RECT 2120.385 35.170 2120.715 35.185 ;
-        RECT 2266.205 35.170 2266.535 35.185 ;
-        RECT 2065.185 34.870 2120.715 35.170 ;
-        RECT 2065.185 34.855 2065.515 34.870 ;
-        RECT 2120.385 34.855 2120.715 34.870 ;
-        RECT 2265.070 34.870 2266.535 35.170 ;
-        RECT 1879.550 34.490 1879.930 34.500 ;
-        RECT 1913.590 34.490 1913.970 34.500 ;
-        RECT 1782.070 34.190 1783.290 34.490 ;
-        RECT 1500.510 34.180 1500.890 34.190 ;
-        RECT 1540.990 34.180 1541.370 34.190 ;
-        RECT 1299.745 33.810 1300.075 33.825 ;
-        RECT 1347.585 33.810 1347.915 33.825 ;
-        RECT 1299.745 33.510 1347.915 33.810 ;
-        RECT 1299.745 33.495 1300.075 33.510 ;
-        RECT 1347.585 33.495 1347.915 33.510 ;
-        RECT 1733.270 33.810 1733.650 33.820 ;
-        RECT 1734.190 33.810 1734.570 33.820 ;
-        RECT 1733.270 33.510 1734.570 33.810 ;
-        RECT 1733.270 33.500 1733.650 33.510 ;
-        RECT 1734.190 33.500 1734.570 33.510 ;
-        RECT 1782.990 33.130 1783.290 34.190 ;
-        RECT 1879.550 34.190 1913.970 34.490 ;
-        RECT 1879.550 34.180 1879.930 34.190 ;
-        RECT 1913.590 34.180 1913.970 34.190 ;
-        RECT 2217.190 34.490 2217.570 34.500 ;
-        RECT 2265.070 34.490 2265.370 34.870 ;
-        RECT 2266.205 34.855 2266.535 34.870 ;
-        RECT 2313.585 35.170 2313.915 35.185 ;
-        RECT 2403.745 35.170 2404.075 35.185 ;
-        RECT 2313.585 34.870 2404.075 35.170 ;
-        RECT 2313.585 34.855 2313.915 34.870 ;
-        RECT 2403.745 34.855 2404.075 34.870 ;
-        RECT 2417.545 35.170 2417.875 35.185 ;
-        RECT 2479.430 35.170 2479.730 36.230 ;
-        RECT 2506.990 36.220 2507.370 36.230 ;
-        RECT 2555.085 35.850 2555.415 35.865 ;
-        RECT 2673.305 35.850 2673.635 35.865 ;
-        RECT 2686.390 35.850 2686.770 35.860 ;
-        RECT 2790.145 35.850 2790.475 35.865 ;
-        RECT 2555.085 35.550 2556.090 35.850 ;
-        RECT 2555.085 35.535 2555.415 35.550 ;
-        RECT 2417.545 34.870 2479.730 35.170 ;
-        RECT 2417.545 34.855 2417.875 34.870 ;
-        RECT 2217.190 34.190 2265.370 34.490 ;
-        RECT 2555.790 34.490 2556.090 35.550 ;
-        RECT 2673.305 35.550 2686.770 35.850 ;
-        RECT 2673.305 35.535 2673.635 35.550 ;
-        RECT 2686.390 35.540 2686.770 35.550 ;
-        RECT 2768.310 35.550 2790.475 35.850 ;
-        RECT 2603.385 35.170 2603.715 35.185 ;
-        RECT 2672.385 35.170 2672.715 35.185 ;
-        RECT 2603.385 34.870 2672.715 35.170 ;
-        RECT 2603.385 34.855 2603.715 34.870 ;
-        RECT 2672.385 34.855 2672.715 34.870 ;
-        RECT 2734.485 35.170 2734.815 35.185 ;
-        RECT 2768.310 35.170 2768.610 35.550 ;
-        RECT 2790.145 35.535 2790.475 35.550 ;
-        RECT 2893.185 35.850 2893.515 35.865 ;
-        RECT 2919.405 35.850 2919.735 35.865 ;
-        RECT 2893.185 35.550 2919.735 35.850 ;
-        RECT 2893.185 35.535 2893.515 35.550 ;
-        RECT 2919.405 35.535 2919.735 35.550 ;
-        RECT 2734.485 34.870 2768.610 35.170 ;
-        RECT 2837.985 35.170 2838.315 35.185 ;
-        RECT 2845.345 35.170 2845.675 35.185 ;
-        RECT 2837.985 34.870 2845.675 35.170 ;
-        RECT 2734.485 34.855 2734.815 34.870 ;
-        RECT 2837.985 34.855 2838.315 34.870 ;
-        RECT 2845.345 34.855 2845.675 34.870 ;
-        RECT 2603.385 34.490 2603.715 34.505 ;
-        RECT 2555.790 34.190 2603.715 34.490 ;
-        RECT 2217.190 34.180 2217.570 34.190 ;
-        RECT 2603.385 34.175 2603.715 34.190 ;
-        RECT 1830.585 33.130 1830.915 33.145 ;
-        RECT 1782.990 32.830 1830.915 33.130 ;
-        RECT 1830.585 32.815 1830.915 32.830 ;
-        RECT 1913.590 33.130 1913.970 33.140 ;
-        RECT 1949.265 33.130 1949.595 33.145 ;
-        RECT 1913.590 32.830 1949.595 33.130 ;
-        RECT 1913.590 32.820 1913.970 32.830 ;
-        RECT 1949.265 32.815 1949.595 32.830 ;
-      LAYER via3 ;
-        RECT 1251.220 37.580 1251.540 37.900 ;
-        RECT 2507.020 37.580 2507.340 37.900 ;
-        RECT 1968.820 36.900 1969.140 37.220 ;
-        RECT 1251.220 36.220 1251.540 36.540 ;
-        RECT 1541.020 36.220 1541.340 36.540 ;
-        RECT 1464.660 35.540 1464.980 35.860 ;
-        RECT 1465.580 35.540 1465.900 35.860 ;
-        RECT 1499.620 35.540 1499.940 35.860 ;
-        RECT 1878.660 35.540 1878.980 35.860 ;
-        RECT 2686.420 36.900 2686.740 37.220 ;
-        RECT 2217.220 35.540 2217.540 35.860 ;
-        RECT 1733.300 34.860 1733.620 35.180 ;
-        RECT 1734.220 34.860 1734.540 35.180 ;
-        RECT 1500.540 34.180 1500.860 34.500 ;
-        RECT 1541.020 34.180 1541.340 34.500 ;
-        RECT 1968.820 34.860 1969.140 35.180 ;
-        RECT 1733.300 33.500 1733.620 33.820 ;
-        RECT 1734.220 33.500 1734.540 33.820 ;
-        RECT 1879.580 34.180 1879.900 34.500 ;
-        RECT 1913.620 34.180 1913.940 34.500 ;
-        RECT 2217.220 34.180 2217.540 34.500 ;
-        RECT 2507.020 36.220 2507.340 36.540 ;
-        RECT 2686.420 35.540 2686.740 35.860 ;
-        RECT 1913.620 32.820 1913.940 33.140 ;
-      LAYER met4 ;
-        RECT 1251.215 37.575 1251.545 37.905 ;
-        RECT 2507.015 37.575 2507.345 37.905 ;
-        RECT 1251.230 36.545 1251.530 37.575 ;
-        RECT 1968.815 36.895 1969.145 37.225 ;
-        RECT 1251.215 36.215 1251.545 36.545 ;
-        RECT 1541.015 36.215 1541.345 36.545 ;
-        RECT 1464.655 35.850 1464.985 35.865 ;
-        RECT 1465.575 35.850 1465.905 35.865 ;
-        RECT 1464.655 35.550 1465.905 35.850 ;
-        RECT 1464.655 35.535 1464.985 35.550 ;
-        RECT 1465.575 35.535 1465.905 35.550 ;
-        RECT 1499.615 35.850 1499.945 35.865 ;
-        RECT 1499.615 35.550 1500.850 35.850 ;
-        RECT 1499.615 35.535 1499.945 35.550 ;
-        RECT 1500.550 34.505 1500.850 35.550 ;
-        RECT 1541.030 34.505 1541.330 36.215 ;
-        RECT 1878.655 35.850 1878.985 35.865 ;
-        RECT 1878.655 35.550 1879.890 35.850 ;
-        RECT 1878.655 35.535 1878.985 35.550 ;
-        RECT 1733.295 34.855 1733.625 35.185 ;
-        RECT 1734.215 34.855 1734.545 35.185 ;
-        RECT 1500.535 34.175 1500.865 34.505 ;
-        RECT 1541.015 34.175 1541.345 34.505 ;
-        RECT 1733.310 33.825 1733.610 34.855 ;
-        RECT 1734.230 33.825 1734.530 34.855 ;
-        RECT 1879.590 34.505 1879.890 35.550 ;
-        RECT 1968.830 35.185 1969.130 36.895 ;
-        RECT 2507.030 36.545 2507.330 37.575 ;
-        RECT 2686.415 36.895 2686.745 37.225 ;
-        RECT 2507.015 36.215 2507.345 36.545 ;
-        RECT 2686.430 35.865 2686.730 36.895 ;
-        RECT 2217.215 35.535 2217.545 35.865 ;
-        RECT 2686.415 35.535 2686.745 35.865 ;
-        RECT 1968.815 34.855 1969.145 35.185 ;
-        RECT 2217.230 34.505 2217.530 35.535 ;
-        RECT 1879.575 34.175 1879.905 34.505 ;
-        RECT 1913.615 34.175 1913.945 34.505 ;
-        RECT 2217.215 34.175 2217.545 34.505 ;
-        RECT 1733.295 33.495 1733.625 33.825 ;
-        RECT 1734.215 33.495 1734.545 33.825 ;
-        RECT 1913.630 33.145 1913.930 34.175 ;
-        RECT 1913.615 32.815 1913.945 33.145 ;
+        RECT 2955.080 119.880 2957.480 120.480 ;
     END
   END io_in[0]
   PIN io_in[10]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2380.580 2900.870 2380.640 ;
-        RECT 2870.580 2380.440 2900.870 2380.580 ;
-        RECT 2900.550 2380.380 2900.870 2380.440 ;
-      LAYER via ;
-        RECT 2900.580 2380.380 2900.840 2380.640 ;
-      LAYER met2 ;
-        RECT 2900.570 2384.915 2900.850 2385.285 ;
-        RECT 2900.640 2380.670 2900.780 2384.915 ;
-        RECT 2900.580 2380.350 2900.840 2380.670 ;
-      LAYER via2 ;
-        RECT 2900.570 2384.960 2900.850 2385.240 ;
       LAYER met3 ;
-        RECT 2900.545 2385.250 2900.875 2385.265 ;
-        RECT 2920.080 2385.250 2922.480 2385.400 ;
-        RECT 2900.545 2384.950 2922.480 2385.250 ;
-        RECT 2900.545 2384.935 2900.875 2384.950 ;
-        RECT 2920.080 2384.800 2922.480 2384.950 ;
+        RECT 2955.080 2465.880 2957.480 2466.480 ;
     END
   END io_in[10]
   PIN io_in[11]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2615.180 2900.870 2615.240 ;
-        RECT 2870.580 2615.040 2900.870 2615.180 ;
-        RECT 2900.550 2614.980 2900.870 2615.040 ;
-      LAYER via ;
-        RECT 2900.580 2614.980 2900.840 2615.240 ;
-      LAYER met2 ;
-        RECT 2900.570 2619.515 2900.850 2619.885 ;
-        RECT 2900.640 2615.270 2900.780 2619.515 ;
-        RECT 2900.580 2614.950 2900.840 2615.270 ;
-      LAYER via2 ;
-        RECT 2900.570 2619.560 2900.850 2619.840 ;
       LAYER met3 ;
-        RECT 2900.545 2619.850 2900.875 2619.865 ;
-        RECT 2920.080 2619.850 2922.480 2620.000 ;
-        RECT 2900.545 2619.550 2922.480 2619.850 ;
-        RECT 2900.545 2619.535 2900.875 2619.550 ;
-        RECT 2920.080 2619.400 2922.480 2619.550 ;
+        RECT 2955.080 2701.160 2957.480 2701.760 ;
     END
   END io_in[11]
   PIN io_in[12]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2849.780 2900.870 2849.840 ;
-        RECT 2870.580 2849.640 2900.870 2849.780 ;
-        RECT 2900.550 2849.580 2900.870 2849.640 ;
-      LAYER via ;
-        RECT 2900.580 2849.580 2900.840 2849.840 ;
-      LAYER met2 ;
-        RECT 2900.570 2854.115 2900.850 2854.485 ;
-        RECT 2900.640 2849.870 2900.780 2854.115 ;
-        RECT 2900.580 2849.550 2900.840 2849.870 ;
-      LAYER via2 ;
-        RECT 2900.570 2854.160 2900.850 2854.440 ;
       LAYER met3 ;
-        RECT 2900.545 2854.450 2900.875 2854.465 ;
-        RECT 2920.080 2854.450 2922.480 2854.600 ;
-        RECT 2900.545 2854.150 2922.480 2854.450 ;
-        RECT 2900.545 2854.135 2900.875 2854.150 ;
-        RECT 2920.080 2854.000 2922.480 2854.150 ;
+        RECT 2955.080 2935.760 2957.480 2936.360 ;
     END
   END io_in[12]
   PIN io_in[13]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3085.060 2900.870 3085.120 ;
-        RECT 2870.580 3084.920 2900.870 3085.060 ;
-        RECT 2900.550 3084.860 2900.870 3084.920 ;
-      LAYER via ;
-        RECT 2900.580 3084.860 2900.840 3085.120 ;
-      LAYER met2 ;
-        RECT 2900.570 3088.715 2900.850 3089.085 ;
-        RECT 2900.640 3085.150 2900.780 3088.715 ;
-        RECT 2900.580 3084.830 2900.840 3085.150 ;
-      LAYER via2 ;
-        RECT 2900.570 3088.760 2900.850 3089.040 ;
       LAYER met3 ;
-        RECT 2900.545 3089.050 2900.875 3089.065 ;
-        RECT 2920.080 3089.050 2922.480 3089.200 ;
-        RECT 2900.545 3088.750 2922.480 3089.050 ;
-        RECT 2900.545 3088.735 2900.875 3088.750 ;
-        RECT 2920.080 3088.600 2922.480 3088.750 ;
+        RECT 2955.080 3170.360 2957.480 3170.960 ;
     END
   END io_in[13]
   PIN io_in[14]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3318.980 2900.870 3319.040 ;
-        RECT 2870.580 3318.840 2900.870 3318.980 ;
-        RECT 2900.550 3318.780 2900.870 3318.840 ;
-      LAYER via ;
-        RECT 2900.580 3318.780 2900.840 3319.040 ;
-      LAYER met2 ;
-        RECT 2900.570 3323.315 2900.850 3323.685 ;
-        RECT 2900.640 3319.070 2900.780 3323.315 ;
-        RECT 2900.580 3318.750 2900.840 3319.070 ;
-      LAYER via2 ;
-        RECT 2900.570 3323.360 2900.850 3323.640 ;
       LAYER met3 ;
-        RECT 2900.545 3323.650 2900.875 3323.665 ;
-        RECT 2920.080 3323.650 2922.480 3323.800 ;
-        RECT 2900.545 3323.350 2922.480 3323.650 ;
-        RECT 2900.545 3323.335 2900.875 3323.350 ;
-        RECT 2920.080 3323.200 2922.480 3323.350 ;
+        RECT 2955.080 3404.960 2957.480 3405.560 ;
     END
   END io_in[14]
   PIN io_in[15]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2867.910 3517.600 2868.190 3520.000 ;
-        RECT 2867.980 3517.370 2868.120 3517.600 ;
-        RECT 2867.060 3517.230 2868.120 3517.370 ;
-        RECT 2867.060 3466.000 2867.200 3517.230 ;
+        RECT 2835.750 3549.720 2836.030 3552.120 ;
     END
   END io_in[15]
   PIN io_in[16]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2542.285 3466.000 2542.455 3477.435 ;
-      LAYER mcon ;
-        RECT 2542.285 3477.265 2542.455 3477.435 ;
-      LAYER met1 ;
-        RECT 2542.210 3477.420 2542.530 3477.480 ;
-        RECT 2542.015 3477.280 2542.530 3477.420 ;
-        RECT 2542.210 3477.220 2542.530 3477.280 ;
-      LAYER via ;
-        RECT 2542.240 3477.220 2542.500 3477.480 ;
       LAYER met2 ;
-        RECT 2543.610 3517.600 2543.890 3520.000 ;
-        RECT 2543.680 3511.930 2543.820 3517.600 ;
-        RECT 2542.300 3511.790 2543.820 3511.930 ;
-        RECT 2542.300 3477.510 2542.440 3511.790 ;
-        RECT 2542.240 3477.190 2542.500 3477.510 ;
+        RECT 2511.450 3549.720 2511.730 3552.120 ;
     END
   END io_in[16]
   PIN io_in[17]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2219.310 3517.600 2219.590 3520.000 ;
-        RECT 2219.380 3517.370 2219.520 3517.600 ;
-        RECT 2218.460 3517.230 2219.520 3517.370 ;
-        RECT 2218.460 3466.000 2218.600 3517.230 ;
+        RECT 2186.690 3549.720 2186.970 3552.120 ;
     END
   END io_in[17]
   PIN io_in[18]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1893.685 3466.000 1893.855 3477.435 ;
-      LAYER mcon ;
-        RECT 1893.685 3477.265 1893.855 3477.435 ;
-      LAYER met1 ;
-        RECT 1893.610 3477.420 1893.930 3477.480 ;
-        RECT 1893.415 3477.280 1893.930 3477.420 ;
-        RECT 1893.610 3477.220 1893.930 3477.280 ;
-      LAYER via ;
-        RECT 1893.640 3477.220 1893.900 3477.480 ;
       LAYER met2 ;
-        RECT 1894.550 3517.600 1894.830 3520.000 ;
-        RECT 1894.620 3511.930 1894.760 3517.600 ;
-        RECT 1893.700 3511.790 1894.760 3511.930 ;
-        RECT 1893.700 3477.510 1893.840 3511.790 ;
-        RECT 1893.640 3477.190 1893.900 3477.510 ;
+        RECT 1862.390 3549.720 1862.670 3552.120 ;
     END
   END io_in[18]
   PIN io_in[19]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1570.250 3517.600 1570.530 3520.000 ;
-        RECT 1570.320 3517.370 1570.460 3517.600 ;
-        RECT 1569.860 3517.230 1570.460 3517.370 ;
-        RECT 1569.860 3466.000 1570.000 3517.230 ;
+        RECT 1538.090 3549.720 1538.370 3552.120 ;
     END
   END io_in[19]
   PIN io_in[1]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2903.770 2291.160 2904.090 2291.220 ;
-        RECT 2870.580 2291.020 2904.090 2291.160 ;
-        RECT 2903.770 2290.960 2904.090 2291.020 ;
-      LAYER via ;
-        RECT 2903.800 2290.960 2904.060 2291.220 ;
-      LAYER met2 ;
-        RECT 2903.800 2290.930 2904.060 2291.250 ;
-        RECT 2903.860 273.885 2904.000 2290.930 ;
-        RECT 2903.790 273.515 2904.070 273.885 ;
-      LAYER via2 ;
-        RECT 2903.790 273.560 2904.070 273.840 ;
       LAYER met3 ;
-        RECT 2903.765 273.850 2904.095 273.865 ;
-        RECT 2920.080 273.850 2922.480 274.000 ;
-        RECT 2903.765 273.550 2922.480 273.850 ;
-        RECT 2903.765 273.535 2904.095 273.550 ;
-        RECT 2920.080 273.400 2922.480 273.550 ;
+        RECT 2955.080 354.480 2957.480 355.080 ;
     END
   END io_in[1]
   PIN io_in[20]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1245.930 3504.620 1246.250 3504.680 ;
-        RECT 1250.990 3504.620 1251.310 3504.680 ;
-        RECT 1245.930 3504.480 1251.310 3504.620 ;
-        RECT 1245.930 3504.420 1246.250 3504.480 ;
-        RECT 1250.990 3504.420 1251.310 3504.480 ;
-      LAYER via ;
-        RECT 1245.960 3504.420 1246.220 3504.680 ;
-        RECT 1251.020 3504.420 1251.280 3504.680 ;
       LAYER met2 ;
-        RECT 1245.950 3517.600 1246.230 3520.000 ;
-        RECT 1246.020 3504.710 1246.160 3517.600 ;
-        RECT 1245.960 3504.390 1246.220 3504.710 ;
-        RECT 1251.020 3504.390 1251.280 3504.710 ;
-        RECT 1251.080 3466.000 1251.220 3504.390 ;
+        RECT 1213.330 3549.720 1213.610 3552.120 ;
     END
   END io_in[20]
   PIN io_in[21]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 921.170 3504.280 921.490 3504.340 ;
-        RECT 926.690 3504.280 927.010 3504.340 ;
-        RECT 921.170 3504.140 927.010 3504.280 ;
-        RECT 921.170 3504.080 921.490 3504.140 ;
-        RECT 926.690 3504.080 927.010 3504.140 ;
-      LAYER via ;
-        RECT 921.200 3504.080 921.460 3504.340 ;
-        RECT 926.720 3504.080 926.980 3504.340 ;
       LAYER met2 ;
-        RECT 921.190 3517.600 921.470 3520.000 ;
-        RECT 921.260 3504.370 921.400 3517.600 ;
-        RECT 921.200 3504.050 921.460 3504.370 ;
-        RECT 926.720 3504.050 926.980 3504.370 ;
-        RECT 926.780 3466.000 926.920 3504.050 ;
+        RECT 889.030 3549.720 889.310 3552.120 ;
     END
   END io_in[21]
   PIN io_in[22]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1461.745 3504.805 1462.835 3504.975 ;
-      LAYER mcon ;
-        RECT 1462.665 3504.805 1462.835 3504.975 ;
-      LAYER met1 ;
-        RECT 596.870 3504.960 597.190 3505.020 ;
-        RECT 1461.685 3504.960 1461.975 3505.005 ;
-        RECT 596.870 3504.820 1461.975 3504.960 ;
-        RECT 596.870 3504.760 597.190 3504.820 ;
-        RECT 1461.685 3504.775 1461.975 3504.820 ;
-        RECT 1462.605 3504.960 1462.895 3505.005 ;
-        RECT 1499.850 3504.960 1500.170 3505.020 ;
-        RECT 1462.605 3504.820 1500.170 3504.960 ;
-        RECT 1462.605 3504.775 1462.895 3504.820 ;
-        RECT 1499.850 3504.760 1500.170 3504.820 ;
-      LAYER via ;
-        RECT 596.900 3504.760 597.160 3505.020 ;
-        RECT 1499.880 3504.760 1500.140 3505.020 ;
       LAYER met2 ;
-        RECT 596.890 3517.600 597.170 3520.000 ;
-        RECT 596.960 3505.050 597.100 3517.600 ;
-        RECT 596.900 3504.730 597.160 3505.050 ;
-        RECT 1499.880 3504.730 1500.140 3505.050 ;
-        RECT 1499.940 3466.000 1500.080 3504.730 ;
+        RECT 564.730 3549.720 565.010 3552.120 ;
     END
   END io_in[22]
   PIN io_in[23]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 272.570 3502.580 272.890 3502.640 ;
-        RECT 1513.650 3502.580 1513.970 3502.640 ;
-        RECT 272.570 3502.440 1513.970 3502.580 ;
-        RECT 272.570 3502.380 272.890 3502.440 ;
-        RECT 1513.650 3502.380 1513.970 3502.440 ;
-      LAYER via ;
-        RECT 272.600 3502.380 272.860 3502.640 ;
-        RECT 1513.680 3502.380 1513.940 3502.640 ;
       LAYER met2 ;
-        RECT 272.590 3517.600 272.870 3520.000 ;
-        RECT 272.660 3502.670 272.800 3517.600 ;
-        RECT 272.600 3502.350 272.860 3502.670 ;
-        RECT 1513.680 3502.350 1513.940 3502.670 ;
-        RECT 1513.740 3466.000 1513.880 3502.350 ;
+        RECT 239.970 3549.720 240.250 3552.120 ;
     END
   END io_in[23]
   PIN io_in[24]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 18.650 3471.300 18.970 3471.360 ;
-        RECT 1527.910 3471.300 1528.230 3471.360 ;
-        RECT 18.650 3471.160 1528.230 3471.300 ;
-        RECT 18.650 3471.100 18.970 3471.160 ;
-        RECT 1527.910 3471.100 1528.230 3471.160 ;
-      LAYER via ;
-        RECT 18.680 3471.100 18.940 3471.360 ;
-        RECT 1527.940 3471.100 1528.200 3471.360 ;
-      LAYER met2 ;
-        RECT 18.670 3476.995 18.950 3477.365 ;
-        RECT 18.740 3471.390 18.880 3476.995 ;
-        RECT 18.680 3471.070 18.940 3471.390 ;
-        RECT 1527.940 3471.070 1528.200 3471.390 ;
-        RECT 1528.000 3466.000 1528.140 3471.070 ;
-      LAYER via2 ;
-        RECT 18.670 3477.040 18.950 3477.320 ;
       LAYER met3 ;
-        RECT 2.480 3477.330 4.880 3477.480 ;
-        RECT 18.645 3477.330 18.975 3477.345 ;
-        RECT 2.480 3477.030 18.975 3477.330 ;
-        RECT 2.480 3476.880 4.880 3477.030 ;
-        RECT 18.645 3477.015 18.975 3477.030 ;
+        RECT 37.480 3443.040 39.880 3443.640 ;
     END
   END io_in[24]
   PIN io_in[25]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 17.730 3222.420 18.050 3222.480 ;
-        RECT 17.730 3222.280 54.000 3222.420 ;
-        RECT 17.730 3222.220 18.050 3222.280 ;
-      LAYER via ;
-        RECT 17.760 3222.220 18.020 3222.480 ;
-      LAYER met2 ;
-        RECT 17.750 3226.075 18.030 3226.445 ;
-        RECT 17.820 3222.510 17.960 3226.075 ;
-        RECT 17.760 3222.190 18.020 3222.510 ;
-      LAYER via2 ;
-        RECT 17.750 3226.120 18.030 3226.400 ;
       LAYER met3 ;
-        RECT 2.480 3226.410 4.880 3226.560 ;
-        RECT 17.725 3226.410 18.055 3226.425 ;
-        RECT 2.480 3226.110 18.055 3226.410 ;
-        RECT 2.480 3225.960 4.880 3226.110 ;
-        RECT 17.725 3226.095 18.055 3226.110 ;
+        RECT 37.480 3156.080 39.880 3156.680 ;
     END
   END io_in[25]
   PIN io_in[26]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 2974.220 19.890 2974.280 ;
-        RECT 19.570 2974.080 54.000 2974.220 ;
-        RECT 19.570 2974.020 19.890 2974.080 ;
-      LAYER via ;
-        RECT 19.600 2974.020 19.860 2974.280 ;
-      LAYER met2 ;
-        RECT 19.590 2974.475 19.870 2974.845 ;
-        RECT 19.660 2974.310 19.800 2974.475 ;
-        RECT 19.600 2973.990 19.860 2974.310 ;
-      LAYER via2 ;
-        RECT 19.590 2974.520 19.870 2974.800 ;
       LAYER met3 ;
-        RECT 2.480 2974.810 4.880 2974.960 ;
-        RECT 19.565 2974.810 19.895 2974.825 ;
-        RECT 2.480 2974.510 19.895 2974.810 ;
-        RECT 2.480 2974.360 4.880 2974.510 ;
-        RECT 19.565 2974.495 19.895 2974.510 ;
+        RECT 37.480 2868.440 39.880 2869.040 ;
     END
   END io_in[26]
   PIN io_in[27]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 18.190 2718.880 18.510 2718.940 ;
-        RECT 18.190 2718.740 54.000 2718.880 ;
-        RECT 18.190 2718.680 18.510 2718.740 ;
-      LAYER via ;
-        RECT 18.220 2718.680 18.480 2718.940 ;
-      LAYER met2 ;
-        RECT 18.210 2722.875 18.490 2723.245 ;
-        RECT 18.280 2718.970 18.420 2722.875 ;
-        RECT 18.220 2718.650 18.480 2718.970 ;
-      LAYER via2 ;
-        RECT 18.210 2722.920 18.490 2723.200 ;
       LAYER met3 ;
-        RECT 2.480 2723.210 4.880 2723.360 ;
-        RECT 18.185 2723.210 18.515 2723.225 ;
-        RECT 2.480 2722.910 18.515 2723.210 ;
-        RECT 2.480 2722.760 4.880 2722.910 ;
-        RECT 18.185 2722.895 18.515 2722.910 ;
+        RECT 37.480 2581.480 39.880 2582.080 ;
     END
   END io_in[27]
   PIN io_in[28]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 18.650 2470.340 18.970 2470.400 ;
-        RECT 18.650 2470.200 54.000 2470.340 ;
-        RECT 18.650 2470.140 18.970 2470.200 ;
-      LAYER via ;
-        RECT 18.680 2470.140 18.940 2470.400 ;
-      LAYER met2 ;
-        RECT 18.670 2471.275 18.950 2471.645 ;
-        RECT 18.740 2470.430 18.880 2471.275 ;
-        RECT 18.680 2470.110 18.940 2470.430 ;
-      LAYER via2 ;
-        RECT 18.670 2471.320 18.950 2471.600 ;
       LAYER met3 ;
-        RECT 2.480 2471.610 4.880 2471.760 ;
-        RECT 18.645 2471.610 18.975 2471.625 ;
-        RECT 2.480 2471.310 18.975 2471.610 ;
-        RECT 2.480 2471.160 4.880 2471.310 ;
-        RECT 18.645 2471.295 18.975 2471.310 ;
+        RECT 37.480 2293.840 39.880 2294.440 ;
     END
   END io_in[28]
   PIN io_in[29]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 33.830 2305.100 34.150 2305.160 ;
-        RECT 33.830 2304.960 54.000 2305.100 ;
-        RECT 33.830 2304.900 34.150 2304.960 ;
-        RECT 18.190 2220.780 18.510 2220.840 ;
-        RECT 33.830 2220.780 34.150 2220.840 ;
-        RECT 18.190 2220.640 34.150 2220.780 ;
-        RECT 18.190 2220.580 18.510 2220.640 ;
-        RECT 33.830 2220.580 34.150 2220.640 ;
-      LAYER via ;
-        RECT 33.860 2304.900 34.120 2305.160 ;
-        RECT 18.220 2220.580 18.480 2220.840 ;
-        RECT 33.860 2220.580 34.120 2220.840 ;
-      LAYER met2 ;
-        RECT 33.860 2304.870 34.120 2305.190 ;
-        RECT 33.920 2220.870 34.060 2304.870 ;
-        RECT 18.220 2220.725 18.480 2220.870 ;
-        RECT 18.210 2220.355 18.490 2220.725 ;
-        RECT 33.860 2220.550 34.120 2220.870 ;
-      LAYER via2 ;
-        RECT 18.210 2220.400 18.490 2220.680 ;
       LAYER met3 ;
-        RECT 2.480 2220.690 4.880 2220.840 ;
-        RECT 18.185 2220.690 18.515 2220.705 ;
-        RECT 2.480 2220.390 18.515 2220.690 ;
-        RECT 2.480 2220.240 4.880 2220.390 ;
-        RECT 18.185 2220.375 18.515 2220.390 ;
+        RECT 37.480 2006.880 39.880 2007.480 ;
     END
   END io_in[29]
   PIN io_in[2]
     DIRECTION INPUT ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 508.450 2922.480 508.600 ;
-        RECT 2919.190 508.150 2922.480 508.450 ;
-        RECT 2919.190 505.050 2919.490 508.150 ;
-        RECT 2920.080 508.000 2922.480 508.150 ;
-        RECT 2870.580 504.750 2886.370 505.050 ;
-        RECT 2886.070 504.370 2886.370 504.750 ;
-        RECT 2886.990 504.750 2919.490 505.050 ;
-        RECT 2886.990 504.370 2887.290 504.750 ;
-        RECT 2886.070 504.070 2887.290 504.370 ;
+        RECT 2955.080 589.080 2957.480 589.680 ;
     END
   END io_in[2]
   PIN io_in[30]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 1973.260 19.430 1973.320 ;
-        RECT 19.110 1973.120 54.000 1973.260 ;
-        RECT 19.110 1973.060 19.430 1973.120 ;
-      LAYER via ;
-        RECT 19.140 1973.060 19.400 1973.320 ;
-      LAYER met2 ;
-        RECT 19.140 1973.030 19.400 1973.350 ;
-        RECT 19.200 1969.125 19.340 1973.030 ;
-        RECT 19.130 1968.755 19.410 1969.125 ;
-      LAYER via2 ;
-        RECT 19.130 1968.800 19.410 1969.080 ;
       LAYER met3 ;
-        RECT 2.480 1969.090 4.880 1969.240 ;
-        RECT 19.105 1969.090 19.435 1969.105 ;
-        RECT 2.480 1968.790 19.435 1969.090 ;
-        RECT 2.480 1968.640 4.880 1968.790 ;
-        RECT 19.105 1968.775 19.435 1968.790 ;
+        RECT 37.480 1719.240 39.880 1719.840 ;
     END
   END io_in[30]
   PIN io_in[31]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 1717.920 19.430 1717.980 ;
-        RECT 19.110 1717.780 54.000 1717.920 ;
-        RECT 19.110 1717.720 19.430 1717.780 ;
-      LAYER via ;
-        RECT 19.140 1717.720 19.400 1717.980 ;
-      LAYER met2 ;
-        RECT 19.140 1717.690 19.400 1718.010 ;
-        RECT 19.200 1717.525 19.340 1717.690 ;
-        RECT 19.130 1717.155 19.410 1717.525 ;
-      LAYER via2 ;
-        RECT 19.130 1717.200 19.410 1717.480 ;
       LAYER met3 ;
-        RECT 2.480 1717.490 4.880 1717.640 ;
-        RECT 19.105 1717.490 19.435 1717.505 ;
-        RECT 2.480 1717.190 19.435 1717.490 ;
-        RECT 2.480 1717.040 4.880 1717.190 ;
-        RECT 19.105 1717.175 19.435 1717.190 ;
+        RECT 37.480 1503.680 39.880 1504.280 ;
     END
   END io_in[31]
   PIN io_in[32]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 33.370 2291.840 33.690 2291.900 ;
-        RECT 33.370 2291.700 54.000 2291.840 ;
-        RECT 33.370 2291.640 33.690 2291.700 ;
-        RECT 17.730 1469.380 18.050 1469.440 ;
-        RECT 33.370 1469.380 33.690 1469.440 ;
-        RECT 17.730 1469.240 33.690 1469.380 ;
-        RECT 17.730 1469.180 18.050 1469.240 ;
-        RECT 33.370 1469.180 33.690 1469.240 ;
-      LAYER via ;
-        RECT 33.400 2291.640 33.660 2291.900 ;
-        RECT 17.760 1469.180 18.020 1469.440 ;
-        RECT 33.400 1469.180 33.660 1469.440 ;
-      LAYER met2 ;
-        RECT 33.400 2291.610 33.660 2291.930 ;
-        RECT 33.460 1469.470 33.600 2291.610 ;
-        RECT 17.760 1469.150 18.020 1469.470 ;
-        RECT 33.400 1469.150 33.660 1469.470 ;
-        RECT 17.820 1466.605 17.960 1469.150 ;
-        RECT 17.750 1466.235 18.030 1466.605 ;
-      LAYER via2 ;
-        RECT 17.750 1466.280 18.030 1466.560 ;
       LAYER met3 ;
-        RECT 2.480 1466.570 4.880 1466.720 ;
-        RECT 17.725 1466.570 18.055 1466.585 ;
-        RECT 2.480 1466.270 18.055 1466.570 ;
-        RECT 2.480 1466.120 4.880 1466.270 ;
-        RECT 17.725 1466.255 18.055 1466.270 ;
+        RECT 37.480 1288.120 39.880 1288.720 ;
     END
   END io_in[32]
   PIN io_in[33]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 1220.840 19.430 1220.900 ;
-        RECT 19.110 1220.700 54.000 1220.840 ;
-        RECT 19.110 1220.640 19.430 1220.700 ;
-      LAYER via ;
-        RECT 19.140 1220.640 19.400 1220.900 ;
-      LAYER met2 ;
-        RECT 19.140 1220.610 19.400 1220.930 ;
-        RECT 19.200 1215.005 19.340 1220.610 ;
-        RECT 19.130 1214.635 19.410 1215.005 ;
-      LAYER via2 ;
-        RECT 19.130 1214.680 19.410 1214.960 ;
       LAYER met3 ;
-        RECT 2.480 1214.970 4.880 1215.120 ;
-        RECT 19.105 1214.970 19.435 1214.985 ;
-        RECT 2.480 1214.670 19.435 1214.970 ;
-        RECT 2.480 1214.520 4.880 1214.670 ;
-        RECT 19.105 1214.655 19.435 1214.670 ;
+        RECT 37.480 1072.560 39.880 1073.160 ;
     END
   END io_in[33]
   PIN io_in[34]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 47.170 2291.500 47.490 2291.560 ;
-        RECT 47.170 2291.360 54.000 2291.500 ;
-        RECT 47.170 2291.300 47.490 2291.360 ;
-        RECT 19.110 963.460 19.430 963.520 ;
-        RECT 47.170 963.460 47.490 963.520 ;
-        RECT 19.110 963.320 47.490 963.460 ;
-        RECT 19.110 963.260 19.430 963.320 ;
-        RECT 47.170 963.260 47.490 963.320 ;
-      LAYER via ;
-        RECT 47.200 2291.300 47.460 2291.560 ;
-        RECT 19.140 963.260 19.400 963.520 ;
-        RECT 47.200 963.260 47.460 963.520 ;
-      LAYER met2 ;
-        RECT 47.200 2291.270 47.460 2291.590 ;
-        RECT 47.260 963.550 47.400 2291.270 ;
-        RECT 19.140 963.405 19.400 963.550 ;
-        RECT 19.130 963.035 19.410 963.405 ;
-        RECT 47.200 963.230 47.460 963.550 ;
-      LAYER via2 ;
-        RECT 19.130 963.080 19.410 963.360 ;
       LAYER met3 ;
-        RECT 2.480 963.370 4.880 963.520 ;
-        RECT 19.105 963.370 19.435 963.385 ;
-        RECT 2.480 963.070 19.435 963.370 ;
-        RECT 2.480 962.920 4.880 963.070 ;
-        RECT 19.105 963.055 19.435 963.070 ;
+        RECT 37.480 857.000 39.880 857.600 ;
     END
   END io_in[34]
   PIN io_in[35]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 20.030 717.640 20.350 717.700 ;
-        RECT 20.030 717.500 54.000 717.640 ;
-        RECT 20.030 717.440 20.350 717.500 ;
-      LAYER via ;
-        RECT 20.060 717.440 20.320 717.700 ;
-      LAYER met2 ;
-        RECT 20.060 717.410 20.320 717.730 ;
-        RECT 20.120 711.805 20.260 717.410 ;
-        RECT 20.050 711.435 20.330 711.805 ;
-      LAYER via2 ;
-        RECT 20.050 711.480 20.330 711.760 ;
       LAYER met3 ;
-        RECT 2.480 711.770 4.880 711.920 ;
-        RECT 20.025 711.770 20.355 711.785 ;
-        RECT 2.480 711.470 20.355 711.770 ;
-        RECT 2.480 711.320 4.880 711.470 ;
-        RECT 20.025 711.455 20.355 711.470 ;
+        RECT 37.480 642.120 39.880 642.720 ;
     END
   END io_in[35]
   PIN io_in[36]
     DIRECTION INPUT ;
     PORT
       LAYER met3 ;
-        RECT 5.550 461.910 54.000 462.210 ;
-        RECT 2.480 460.850 4.880 461.000 ;
-        RECT 5.550 460.850 5.850 461.910 ;
-        RECT 2.480 460.550 5.850 460.850 ;
-        RECT 2.480 460.400 4.880 460.550 ;
+        RECT 37.480 426.560 39.880 427.160 ;
     END
   END io_in[36]
   PIN io_in[37]
     DIRECTION INPUT ;
     PORT
-      LAYER met2 ;
-        RECT 19.590 213.675 19.870 214.045 ;
-        RECT 19.660 209.285 19.800 213.675 ;
-        RECT 19.590 208.915 19.870 209.285 ;
-      LAYER via2 ;
-        RECT 19.590 213.720 19.870 214.000 ;
-        RECT 19.590 208.960 19.870 209.240 ;
       LAYER met3 ;
-        RECT 19.565 214.010 19.895 214.025 ;
-        RECT 19.565 213.710 54.000 214.010 ;
-        RECT 19.565 213.695 19.895 213.710 ;
-        RECT 2.480 209.250 4.880 209.400 ;
-        RECT 19.565 209.250 19.895 209.265 ;
-        RECT 2.480 208.950 19.895 209.250 ;
-        RECT 2.480 208.800 4.880 208.950 ;
-        RECT 19.565 208.935 19.895 208.950 ;
+        RECT 37.480 211.000 39.880 211.600 ;
     END
   END io_in[37]
   PIN io_in[3]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2901.930 744.840 2902.250 744.900 ;
-        RECT 2870.580 744.700 2902.250 744.840 ;
-        RECT 2901.930 744.640 2902.250 744.700 ;
-      LAYER via ;
-        RECT 2901.960 744.640 2902.220 744.900 ;
-      LAYER met2 ;
-        RECT 2901.960 744.610 2902.220 744.930 ;
-        RECT 2902.020 743.085 2902.160 744.610 ;
-        RECT 2901.950 742.715 2902.230 743.085 ;
-      LAYER via2 ;
-        RECT 2901.950 742.760 2902.230 743.040 ;
       LAYER met3 ;
-        RECT 2901.925 743.050 2902.255 743.065 ;
-        RECT 2920.080 743.050 2922.480 743.200 ;
-        RECT 2901.925 742.750 2922.480 743.050 ;
-        RECT 2901.925 742.735 2902.255 742.750 ;
-        RECT 2920.080 742.600 2922.480 742.750 ;
+        RECT 2955.080 823.680 2957.480 824.280 ;
     END
   END io_in[3]
   PIN io_in[4]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 979.780 2903.630 979.840 ;
-        RECT 2870.580 979.640 2903.630 979.780 ;
-        RECT 2903.310 979.580 2903.630 979.640 ;
-      LAYER via ;
-        RECT 2903.340 979.580 2903.600 979.840 ;
-      LAYER met2 ;
-        RECT 2903.340 979.550 2903.600 979.870 ;
-        RECT 2903.400 977.685 2903.540 979.550 ;
-        RECT 2903.330 977.315 2903.610 977.685 ;
-      LAYER via2 ;
-        RECT 2903.330 977.360 2903.610 977.640 ;
       LAYER met3 ;
-        RECT 2903.305 977.650 2903.635 977.665 ;
-        RECT 2920.080 977.650 2922.480 977.800 ;
-        RECT 2903.305 977.350 2922.480 977.650 ;
-        RECT 2903.305 977.335 2903.635 977.350 ;
-        RECT 2920.080 977.200 2922.480 977.350 ;
+        RECT 2955.080 1058.280 2957.480 1058.880 ;
     END
   END io_in[4]
   PIN io_in[5]
     DIRECTION INPUT ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1212.250 2922.480 1212.400 ;
-        RECT 2919.190 1211.950 2922.480 1212.250 ;
-        RECT 2919.190 1208.850 2919.490 1211.950 ;
-        RECT 2920.080 1211.800 2922.480 1211.950 ;
-        RECT 2870.580 1208.550 2886.370 1208.850 ;
-        RECT 2886.070 1208.170 2886.370 1208.550 ;
-        RECT 2886.990 1208.550 2919.490 1208.850 ;
-        RECT 2886.990 1208.170 2887.290 1208.550 ;
-        RECT 2886.070 1207.870 2887.290 1208.170 ;
+        RECT 2955.080 1292.880 2957.480 1293.480 ;
     END
   END io_in[5]
   PIN io_in[6]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 1448.980 2903.630 1449.040 ;
-        RECT 2870.580 1448.840 2903.630 1448.980 ;
-        RECT 2903.310 1448.780 2903.630 1448.840 ;
-      LAYER via ;
-        RECT 2903.340 1448.780 2903.600 1449.040 ;
-      LAYER met2 ;
-        RECT 2903.340 1448.750 2903.600 1449.070 ;
-        RECT 2903.400 1446.885 2903.540 1448.750 ;
-        RECT 2903.330 1446.515 2903.610 1446.885 ;
-      LAYER via2 ;
-        RECT 2903.330 1446.560 2903.610 1446.840 ;
       LAYER met3 ;
-        RECT 2903.305 1446.850 2903.635 1446.865 ;
-        RECT 2920.080 1446.850 2922.480 1447.000 ;
-        RECT 2903.305 1446.550 2922.480 1446.850 ;
-        RECT 2903.305 1446.535 2903.635 1446.550 ;
-        RECT 2920.080 1446.400 2922.480 1446.550 ;
+        RECT 2955.080 1527.480 2957.480 1528.080 ;
     END
   END io_in[6]
   PIN io_in[7]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2901.930 1683.240 2902.250 1683.300 ;
-        RECT 2870.580 1683.100 2902.250 1683.240 ;
-        RECT 2901.930 1683.040 2902.250 1683.100 ;
-      LAYER via ;
-        RECT 2901.960 1683.040 2902.220 1683.300 ;
-      LAYER met2 ;
-        RECT 2901.960 1683.010 2902.220 1683.330 ;
-        RECT 2902.020 1681.485 2902.160 1683.010 ;
-        RECT 2901.950 1681.115 2902.230 1681.485 ;
-      LAYER via2 ;
-        RECT 2901.950 1681.160 2902.230 1681.440 ;
       LAYER met3 ;
-        RECT 2901.925 1681.450 2902.255 1681.465 ;
-        RECT 2920.080 1681.450 2922.480 1681.600 ;
-        RECT 2901.925 1681.150 2922.480 1681.450 ;
-        RECT 2901.925 1681.135 2902.255 1681.150 ;
-        RECT 2920.080 1681.000 2922.480 1681.150 ;
+        RECT 2955.080 1762.080 2957.480 1762.680 ;
     END
   END io_in[7]
   PIN io_in[8]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 1918.180 2903.630 1918.240 ;
-        RECT 2870.580 1918.040 2903.630 1918.180 ;
-        RECT 2903.310 1917.980 2903.630 1918.040 ;
-      LAYER via ;
-        RECT 2903.340 1917.980 2903.600 1918.240 ;
-      LAYER met2 ;
-        RECT 2903.340 1917.950 2903.600 1918.270 ;
-        RECT 2903.400 1916.085 2903.540 1917.950 ;
-        RECT 2903.330 1915.715 2903.610 1916.085 ;
-      LAYER via2 ;
-        RECT 2903.330 1915.760 2903.610 1916.040 ;
       LAYER met3 ;
-        RECT 2903.305 1916.050 2903.635 1916.065 ;
-        RECT 2920.080 1916.050 2922.480 1916.200 ;
-        RECT 2903.305 1915.750 2922.480 1916.050 ;
-        RECT 2903.305 1915.735 2903.635 1915.750 ;
-        RECT 2920.080 1915.600 2922.480 1915.750 ;
+        RECT 2955.080 1996.680 2957.480 1997.280 ;
     END
   END io_in[8]
   PIN io_in[9]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 2152.780 2903.630 2152.840 ;
-        RECT 2870.580 2152.640 2903.630 2152.780 ;
-        RECT 2903.310 2152.580 2903.630 2152.640 ;
-      LAYER via ;
-        RECT 2903.340 2152.580 2903.600 2152.840 ;
-      LAYER met2 ;
-        RECT 2903.340 2152.550 2903.600 2152.870 ;
-        RECT 2903.400 2150.685 2903.540 2152.550 ;
-        RECT 2903.330 2150.315 2903.610 2150.685 ;
-      LAYER via2 ;
-        RECT 2903.330 2150.360 2903.610 2150.640 ;
       LAYER met3 ;
-        RECT 2903.305 2150.650 2903.635 2150.665 ;
-        RECT 2920.080 2150.650 2922.480 2150.800 ;
-        RECT 2903.305 2150.350 2922.480 2150.650 ;
-        RECT 2903.305 2150.335 2903.635 2150.350 ;
-        RECT 2920.080 2150.200 2922.480 2150.350 ;
+        RECT 2955.080 2231.280 2957.480 2231.880 ;
     END
   END io_in[9]
   PIN io_oeb[0]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 195.650 2922.480 195.800 ;
-        RECT 2919.190 195.350 2922.480 195.650 ;
-        RECT 2919.190 194.290 2919.490 195.350 ;
-        RECT 2920.080 195.200 2922.480 195.350 ;
-        RECT 2870.580 193.990 2886.370 194.290 ;
-        RECT 2886.070 193.610 2886.370 193.990 ;
-        RECT 2886.990 193.990 2919.490 194.290 ;
-        RECT 2886.990 193.610 2887.290 193.990 ;
-        RECT 2886.070 193.310 2887.290 193.610 ;
+        RECT 2955.080 236.840 2957.480 237.440 ;
     END
   END io_oeb[0]
   PIN io_oeb[10]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2539.360 2900.870 2539.420 ;
-        RECT 2870.580 2539.220 2900.870 2539.360 ;
-        RECT 2900.550 2539.160 2900.870 2539.220 ;
-      LAYER via ;
-        RECT 2900.580 2539.160 2900.840 2539.420 ;
-      LAYER met2 ;
-        RECT 2900.570 2541.315 2900.850 2541.685 ;
-        RECT 2900.640 2539.450 2900.780 2541.315 ;
-        RECT 2900.580 2539.130 2900.840 2539.450 ;
-      LAYER via2 ;
-        RECT 2900.570 2541.360 2900.850 2541.640 ;
       LAYER met3 ;
-        RECT 2900.545 2541.650 2900.875 2541.665 ;
-        RECT 2920.080 2541.650 2922.480 2541.800 ;
-        RECT 2900.545 2541.350 2922.480 2541.650 ;
-        RECT 2900.545 2541.335 2900.875 2541.350 ;
-        RECT 2920.080 2541.200 2922.480 2541.350 ;
+        RECT 2955.080 2583.520 2957.480 2584.120 ;
     END
   END io_oeb[10]
   PIN io_oeb[11]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2773.960 2900.870 2774.020 ;
-        RECT 2870.580 2773.820 2900.870 2773.960 ;
-        RECT 2900.550 2773.760 2900.870 2773.820 ;
-      LAYER via ;
-        RECT 2900.580 2773.760 2900.840 2774.020 ;
-      LAYER met2 ;
-        RECT 2900.570 2775.915 2900.850 2776.285 ;
-        RECT 2900.640 2774.050 2900.780 2775.915 ;
-        RECT 2900.580 2773.730 2900.840 2774.050 ;
-      LAYER via2 ;
-        RECT 2900.570 2775.960 2900.850 2776.240 ;
       LAYER met3 ;
-        RECT 2900.545 2776.250 2900.875 2776.265 ;
-        RECT 2920.080 2776.250 2922.480 2776.400 ;
-        RECT 2900.545 2775.950 2922.480 2776.250 ;
-        RECT 2900.545 2775.935 2900.875 2775.950 ;
-        RECT 2920.080 2775.800 2922.480 2775.950 ;
+        RECT 2955.080 2818.120 2957.480 2818.720 ;
     END
   END io_oeb[11]
   PIN io_oeb[12]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3008.900 2900.870 3008.960 ;
-        RECT 2870.580 3008.760 2900.870 3008.900 ;
-        RECT 2900.550 3008.700 2900.870 3008.760 ;
-      LAYER via ;
-        RECT 2900.580 3008.700 2900.840 3008.960 ;
-      LAYER met2 ;
-        RECT 2900.570 3010.515 2900.850 3010.885 ;
-        RECT 2900.640 3008.990 2900.780 3010.515 ;
-        RECT 2900.580 3008.670 2900.840 3008.990 ;
-      LAYER via2 ;
-        RECT 2900.570 3010.560 2900.850 3010.840 ;
       LAYER met3 ;
-        RECT 2900.545 3010.850 2900.875 3010.865 ;
-        RECT 2920.080 3010.850 2922.480 3011.000 ;
-        RECT 2900.545 3010.550 2922.480 3010.850 ;
-        RECT 2900.545 3010.535 2900.875 3010.550 ;
-        RECT 2920.080 3010.400 2922.480 3010.550 ;
+        RECT 2955.080 3052.720 2957.480 3053.320 ;
     END
   END io_oeb[12]
   PIN io_oeb[13]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3243.160 2900.870 3243.220 ;
-        RECT 2870.580 3243.020 2900.870 3243.160 ;
-        RECT 2900.550 3242.960 2900.870 3243.020 ;
-      LAYER via ;
-        RECT 2900.580 3242.960 2900.840 3243.220 ;
-      LAYER met2 ;
-        RECT 2900.570 3245.115 2900.850 3245.485 ;
-        RECT 2900.640 3243.250 2900.780 3245.115 ;
-        RECT 2900.580 3242.930 2900.840 3243.250 ;
-      LAYER via2 ;
-        RECT 2900.570 3245.160 2900.850 3245.440 ;
       LAYER met3 ;
-        RECT 2900.545 3245.450 2900.875 3245.465 ;
-        RECT 2920.080 3245.450 2922.480 3245.600 ;
-        RECT 2900.545 3245.150 2922.480 3245.450 ;
-        RECT 2900.545 3245.135 2900.875 3245.150 ;
-        RECT 2920.080 3245.000 2922.480 3245.150 ;
+        RECT 2955.080 3287.320 2957.480 3287.920 ;
     END
   END io_oeb[13]
   PIN io_oeb[14]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1382.090 3477.760 1382.410 3477.820 ;
-        RECT 2900.550 3477.760 2900.870 3477.820 ;
-        RECT 1382.090 3477.620 2900.870 3477.760 ;
-        RECT 1382.090 3477.560 1382.410 3477.620 ;
-        RECT 2900.550 3477.560 2900.870 3477.620 ;
-      LAYER via ;
-        RECT 1382.120 3477.560 1382.380 3477.820 ;
-        RECT 2900.580 3477.560 2900.840 3477.820 ;
-      LAYER met2 ;
-        RECT 2900.570 3479.715 2900.850 3480.085 ;
-        RECT 2900.640 3477.850 2900.780 3479.715 ;
-        RECT 1382.120 3477.530 1382.380 3477.850 ;
-        RECT 2900.580 3477.530 2900.840 3477.850 ;
-        RECT 1382.180 3466.000 1382.320 3477.530 ;
-      LAYER via2 ;
-        RECT 2900.570 3479.760 2900.850 3480.040 ;
       LAYER met3 ;
-        RECT 2900.545 3480.050 2900.875 3480.065 ;
-        RECT 2920.080 3480.050 2922.480 3480.200 ;
-        RECT 2900.545 3479.750 2922.480 3480.050 ;
-        RECT 2900.545 3479.735 2900.875 3479.750 ;
-        RECT 2920.080 3479.600 2922.480 3479.750 ;
+        RECT 2955.080 3521.920 2957.480 3522.520 ;
     END
   END io_oeb[14]
   PIN io_oeb[15]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1402.790 3502.240 1403.110 3502.300 ;
-        RECT 2651.690 3502.240 2652.010 3502.300 ;
-        RECT 1402.790 3502.100 2652.010 3502.240 ;
-        RECT 1402.790 3502.040 1403.110 3502.100 ;
-        RECT 2651.690 3502.040 2652.010 3502.100 ;
-      LAYER via ;
-        RECT 1402.820 3502.040 1403.080 3502.300 ;
-        RECT 2651.720 3502.040 2651.980 3502.300 ;
       LAYER met2 ;
-        RECT 2651.710 3517.600 2651.990 3520.000 ;
-        RECT 2651.780 3502.330 2651.920 3517.600 ;
-        RECT 1402.820 3502.010 1403.080 3502.330 ;
-        RECT 2651.720 3502.010 2651.980 3502.330 ;
-        RECT 1402.880 3466.000 1403.020 3502.010 ;
+        RECT 2673.370 3549.720 2673.650 3552.120 ;
     END
   END io_oeb[15]
   PIN io_oeb[16]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1450.245 3499.365 1450.415 3505.655 ;
-        RECT 1481.525 3504.465 1481.695 3505.655 ;
-      LAYER mcon ;
-        RECT 1450.245 3505.485 1450.415 3505.655 ;
-        RECT 1481.525 3505.485 1481.695 3505.655 ;
-      LAYER met1 ;
-        RECT 1450.185 3505.640 1450.475 3505.685 ;
-        RECT 1481.465 3505.640 1481.755 3505.685 ;
-        RECT 1450.185 3505.500 1481.755 3505.640 ;
-        RECT 1450.185 3505.455 1450.475 3505.500 ;
-        RECT 1481.465 3505.455 1481.755 3505.500 ;
-        RECT 1481.465 3504.620 1481.755 3504.665 ;
-        RECT 2327.390 3504.620 2327.710 3504.680 ;
-        RECT 1481.465 3504.480 2327.710 3504.620 ;
-        RECT 1481.465 3504.435 1481.755 3504.480 ;
-        RECT 2327.390 3504.420 2327.710 3504.480 ;
-        RECT 1416.590 3499.520 1416.910 3499.580 ;
-        RECT 1450.185 3499.520 1450.475 3499.565 ;
-        RECT 1416.590 3499.380 1450.475 3499.520 ;
-        RECT 1416.590 3499.320 1416.910 3499.380 ;
-        RECT 1450.185 3499.335 1450.475 3499.380 ;
-      LAYER via ;
-        RECT 2327.420 3504.420 2327.680 3504.680 ;
-        RECT 1416.620 3499.320 1416.880 3499.580 ;
       LAYER met2 ;
-        RECT 2327.410 3517.600 2327.690 3520.000 ;
-        RECT 2327.480 3504.710 2327.620 3517.600 ;
-        RECT 2327.420 3504.390 2327.680 3504.710 ;
-        RECT 1416.620 3499.290 1416.880 3499.610 ;
-        RECT 1416.680 3466.000 1416.820 3499.290 ;
+        RECT 2349.070 3549.720 2349.350 3552.120 ;
     END
   END io_oeb[16]
   PIN io_oeb[17]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1443.805 3504.125 1443.975 3505.315 ;
-        RECT 1481.065 3499.365 1481.235 3504.635 ;
-      LAYER mcon ;
-        RECT 1443.805 3505.145 1443.975 3505.315 ;
-        RECT 1481.065 3504.465 1481.235 3504.635 ;
-      LAYER met1 ;
-        RECT 1443.745 3505.300 1444.035 3505.345 ;
-        RECT 1443.745 3505.160 1462.360 3505.300 ;
-        RECT 1443.745 3505.115 1444.035 3505.160 ;
-        RECT 1462.220 3504.620 1462.360 3505.160 ;
-        RECT 1481.005 3504.620 1481.295 3504.665 ;
-        RECT 1462.220 3504.480 1481.295 3504.620 ;
-        RECT 1481.005 3504.435 1481.295 3504.480 ;
-        RECT 1430.390 3504.280 1430.710 3504.340 ;
-        RECT 1443.745 3504.280 1444.035 3504.325 ;
-        RECT 1430.390 3504.140 1444.035 3504.280 ;
-        RECT 1430.390 3504.080 1430.710 3504.140 ;
-        RECT 1443.745 3504.095 1444.035 3504.140 ;
-        RECT 1481.005 3499.520 1481.295 3499.565 ;
-        RECT 2003.090 3499.520 2003.410 3499.580 ;
-        RECT 1481.005 3499.380 2003.410 3499.520 ;
-        RECT 1481.005 3499.335 1481.295 3499.380 ;
-        RECT 2003.090 3499.320 2003.410 3499.380 ;
-      LAYER via ;
-        RECT 1430.420 3504.080 1430.680 3504.340 ;
-        RECT 2003.120 3499.320 2003.380 3499.580 ;
       LAYER met2 ;
-        RECT 2003.110 3517.600 2003.390 3520.000 ;
-        RECT 1430.420 3504.050 1430.680 3504.370 ;
-        RECT 1430.480 3466.000 1430.620 3504.050 ;
-        RECT 2003.180 3499.610 2003.320 3517.600 ;
-        RECT 2003.120 3499.290 2003.380 3499.610 ;
+        RECT 2024.770 3549.720 2025.050 3552.120 ;
     END
   END io_oeb[17]
   PIN io_oeb[18]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1461.285 3504.125 1462.375 3504.295 ;
-        RECT 1462.205 3500.045 1462.375 3504.125 ;
-        RECT 1480.605 3499.025 1480.775 3500.215 ;
-      LAYER mcon ;
-        RECT 1480.605 3500.045 1480.775 3500.215 ;
-      LAYER met1 ;
-        RECT 1444.190 3504.280 1444.510 3504.340 ;
-        RECT 1461.225 3504.280 1461.515 3504.325 ;
-        RECT 1444.190 3504.140 1461.515 3504.280 ;
-        RECT 1444.190 3504.080 1444.510 3504.140 ;
-        RECT 1461.225 3504.095 1461.515 3504.140 ;
-        RECT 1462.145 3500.200 1462.435 3500.245 ;
-        RECT 1480.545 3500.200 1480.835 3500.245 ;
-        RECT 1462.145 3500.060 1480.835 3500.200 ;
-        RECT 1462.145 3500.015 1462.435 3500.060 ;
-        RECT 1480.545 3500.015 1480.835 3500.060 ;
-        RECT 1480.545 3499.180 1480.835 3499.225 ;
-        RECT 1678.330 3499.180 1678.650 3499.240 ;
-        RECT 1480.545 3499.040 1678.650 3499.180 ;
-        RECT 1480.545 3498.995 1480.835 3499.040 ;
-        RECT 1678.330 3498.980 1678.650 3499.040 ;
-      LAYER via ;
-        RECT 1444.220 3504.080 1444.480 3504.340 ;
-        RECT 1678.360 3498.980 1678.620 3499.240 ;
       LAYER met2 ;
-        RECT 1678.350 3517.600 1678.630 3520.000 ;
-        RECT 1444.220 3504.050 1444.480 3504.370 ;
-        RECT 1444.280 3466.000 1444.420 3504.050 ;
-        RECT 1678.420 3499.270 1678.560 3517.600 ;
-        RECT 1678.360 3498.950 1678.620 3499.270 ;
+        RECT 1700.010 3549.720 1700.290 3552.120 ;
     END
   END io_oeb[18]
   PIN io_oeb[19]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1393.205 3498.345 1393.375 3499.535 ;
-        RECT 1444.265 3498.685 1445.355 3498.855 ;
-        RECT 1444.265 3498.345 1444.435 3498.685 ;
-      LAYER mcon ;
-        RECT 1393.205 3499.365 1393.375 3499.535 ;
-        RECT 1445.185 3498.685 1445.355 3498.855 ;
-      LAYER met1 ;
-        RECT 1354.030 3499.860 1354.350 3499.920 ;
-        RECT 1354.030 3499.720 1392.440 3499.860 ;
-        RECT 1354.030 3499.660 1354.350 3499.720 ;
-        RECT 1392.300 3499.520 1392.440 3499.720 ;
-        RECT 1393.145 3499.520 1393.435 3499.565 ;
-        RECT 1392.300 3499.380 1393.435 3499.520 ;
-        RECT 1393.145 3499.335 1393.435 3499.380 ;
-        RECT 1445.125 3498.840 1445.415 3498.885 ;
-        RECT 1458.910 3498.840 1459.230 3498.900 ;
-        RECT 1445.125 3498.700 1459.230 3498.840 ;
-        RECT 1445.125 3498.655 1445.415 3498.700 ;
-        RECT 1458.910 3498.640 1459.230 3498.700 ;
-        RECT 1393.145 3498.500 1393.435 3498.545 ;
-        RECT 1444.205 3498.500 1444.495 3498.545 ;
-        RECT 1393.145 3498.360 1444.495 3498.500 ;
-        RECT 1393.145 3498.315 1393.435 3498.360 ;
-        RECT 1444.205 3498.315 1444.495 3498.360 ;
-      LAYER via ;
-        RECT 1354.060 3499.660 1354.320 3499.920 ;
-        RECT 1458.940 3498.640 1459.200 3498.900 ;
       LAYER met2 ;
-        RECT 1354.050 3517.600 1354.330 3520.000 ;
-        RECT 1354.120 3499.950 1354.260 3517.600 ;
-        RECT 1354.060 3499.630 1354.320 3499.950 ;
-        RECT 1458.940 3498.610 1459.200 3498.930 ;
-        RECT 1459.000 3466.000 1459.140 3498.610 ;
+        RECT 1375.710 3549.720 1375.990 3552.120 ;
     END
   END io_oeb[19]
   PIN io_oeb[1]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 434.760 2903.630 434.820 ;
-        RECT 2870.580 434.620 2903.630 434.760 ;
-        RECT 2903.310 434.560 2903.630 434.620 ;
-      LAYER via ;
-        RECT 2903.340 434.560 2903.600 434.820 ;
-      LAYER met2 ;
-        RECT 2903.340 434.530 2903.600 434.850 ;
-        RECT 2903.400 430.285 2903.540 434.530 ;
-        RECT 2903.330 429.915 2903.610 430.285 ;
-      LAYER via2 ;
-        RECT 2903.330 429.960 2903.610 430.240 ;
       LAYER met3 ;
-        RECT 2903.305 430.250 2903.635 430.265 ;
-        RECT 2920.080 430.250 2922.480 430.400 ;
-        RECT 2903.305 429.950 2922.480 430.250 ;
-        RECT 2903.305 429.935 2903.635 429.950 ;
-        RECT 2920.080 429.800 2922.480 429.950 ;
+        RECT 2955.080 471.440 2957.480 472.040 ;
     END
   END io_oeb[1]
   PIN io_oeb[20]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1472.250 3499.520 1472.570 3499.580 ;
-        RECT 1450.720 3499.380 1472.570 3499.520 ;
-        RECT 1029.730 3499.180 1030.050 3499.240 ;
-        RECT 1450.720 3499.180 1450.860 3499.380 ;
-        RECT 1472.250 3499.320 1472.570 3499.380 ;
-        RECT 1029.730 3499.040 1450.860 3499.180 ;
-        RECT 1029.730 3498.980 1030.050 3499.040 ;
-      LAYER via ;
-        RECT 1029.760 3498.980 1030.020 3499.240 ;
-        RECT 1472.280 3499.320 1472.540 3499.580 ;
       LAYER met2 ;
-        RECT 1029.750 3517.600 1030.030 3520.000 ;
-        RECT 1029.820 3499.270 1029.960 3517.600 ;
-        RECT 1472.280 3499.290 1472.540 3499.610 ;
-        RECT 1029.760 3498.950 1030.020 3499.270 ;
-        RECT 1472.340 3466.000 1472.480 3499.290 ;
+        RECT 1051.410 3549.720 1051.690 3552.120 ;
     END
   END io_oeb[20]
   PIN io_oeb[21]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1461.285 3499.195 1461.455 3501.235 ;
-        RECT 1461.285 3499.025 1463.295 3499.195 ;
-      LAYER mcon ;
-        RECT 1461.285 3501.065 1461.455 3501.235 ;
-        RECT 1463.125 3499.025 1463.295 3499.195 ;
-      LAYER met1 ;
-        RECT 704.970 3501.220 705.290 3501.280 ;
-        RECT 1461.225 3501.220 1461.515 3501.265 ;
-        RECT 704.970 3501.080 1461.515 3501.220 ;
-        RECT 704.970 3501.020 705.290 3501.080 ;
-        RECT 1461.225 3501.035 1461.515 3501.080 ;
-        RECT 1463.065 3499.180 1463.355 3499.225 ;
-        RECT 1463.065 3499.040 1480.300 3499.180 ;
-        RECT 1463.065 3498.995 1463.355 3499.040 ;
-        RECT 1480.160 3498.840 1480.300 3499.040 ;
-        RECT 1486.050 3498.840 1486.370 3498.900 ;
-        RECT 1480.160 3498.700 1486.370 3498.840 ;
-        RECT 1486.050 3498.640 1486.370 3498.700 ;
-      LAYER via ;
-        RECT 705.000 3501.020 705.260 3501.280 ;
-        RECT 1486.080 3498.640 1486.340 3498.900 ;
       LAYER met2 ;
-        RECT 704.990 3517.600 705.270 3520.000 ;
-        RECT 705.060 3501.310 705.200 3517.600 ;
-        RECT 705.000 3500.990 705.260 3501.310 ;
-        RECT 1486.080 3498.610 1486.340 3498.930 ;
-        RECT 1486.140 3466.000 1486.280 3498.610 ;
+        RECT 726.650 3549.720 726.930 3552.120 ;
     END
   END io_oeb[21]
   PIN io_oeb[22]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 380.670 3502.920 380.990 3502.980 ;
-        RECT 1507.210 3502.920 1507.530 3502.980 ;
-        RECT 380.670 3502.780 1507.530 3502.920 ;
-        RECT 380.670 3502.720 380.990 3502.780 ;
-        RECT 1507.210 3502.720 1507.530 3502.780 ;
-      LAYER via ;
-        RECT 380.700 3502.720 380.960 3502.980 ;
-        RECT 1507.240 3502.720 1507.500 3502.980 ;
       LAYER met2 ;
-        RECT 380.690 3517.600 380.970 3520.000 ;
-        RECT 380.760 3503.010 380.900 3517.600 ;
-        RECT 380.700 3502.690 380.960 3503.010 ;
-        RECT 1507.240 3502.690 1507.500 3503.010 ;
-        RECT 1507.300 3466.000 1507.440 3502.690 ;
+        RECT 402.350 3549.720 402.630 3552.120 ;
     END
   END io_oeb[22]
   PIN io_oeb[23]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 56.390 3517.600 56.670 3520.000 ;
-        RECT 56.460 3501.845 56.600 3517.600 ;
-        RECT 56.390 3501.475 56.670 3501.845 ;
-        RECT 1520.570 3501.475 1520.850 3501.845 ;
-        RECT 1520.640 3466.000 1520.780 3501.475 ;
-      LAYER via2 ;
-        RECT 56.390 3501.520 56.670 3501.800 ;
-        RECT 1520.570 3501.520 1520.850 3501.800 ;
-      LAYER met3 ;
-        RECT 56.365 3501.810 56.695 3501.825 ;
-        RECT 1520.545 3501.810 1520.875 3501.825 ;
-        RECT 56.365 3501.510 1520.875 3501.810 ;
-        RECT 56.365 3501.495 56.695 3501.510 ;
-        RECT 1520.545 3501.495 1520.875 3501.510 ;
+        RECT 78.050 3549.720 78.330 3552.120 ;
     END
   END io_oeb[23]
   PIN io_oeb[24]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 3305.380 19.890 3305.440 ;
-        RECT 19.570 3305.240 54.000 3305.380 ;
-        RECT 19.570 3305.180 19.890 3305.240 ;
-      LAYER via ;
-        RECT 19.600 3305.180 19.860 3305.440 ;
-      LAYER met2 ;
-        RECT 19.590 3309.715 19.870 3310.085 ;
-        RECT 19.660 3305.470 19.800 3309.715 ;
-        RECT 19.600 3305.150 19.860 3305.470 ;
-      LAYER via2 ;
-        RECT 19.590 3309.760 19.870 3310.040 ;
       LAYER met3 ;
-        RECT 2.480 3310.050 4.880 3310.200 ;
-        RECT 19.565 3310.050 19.895 3310.065 ;
-        RECT 2.480 3309.750 19.895 3310.050 ;
-        RECT 2.480 3309.600 4.880 3309.750 ;
-        RECT 19.565 3309.735 19.895 3309.750 ;
+        RECT 37.480 3299.560 39.880 3300.160 ;
     END
   END io_oeb[24]
   PIN io_oeb[25]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 3056.840 19.430 3056.900 ;
-        RECT 19.110 3056.700 54.000 3056.840 ;
-        RECT 19.110 3056.640 19.430 3056.700 ;
-      LAYER via ;
-        RECT 19.140 3056.640 19.400 3056.900 ;
-      LAYER met2 ;
-        RECT 19.130 3058.115 19.410 3058.485 ;
-        RECT 19.200 3056.930 19.340 3058.115 ;
-        RECT 19.140 3056.610 19.400 3056.930 ;
-      LAYER via2 ;
-        RECT 19.130 3058.160 19.410 3058.440 ;
       LAYER met3 ;
-        RECT 2.480 3058.450 4.880 3058.600 ;
-        RECT 19.105 3058.450 19.435 3058.465 ;
-        RECT 2.480 3058.150 19.435 3058.450 ;
-        RECT 2.480 3058.000 4.880 3058.150 ;
-        RECT 19.105 3058.135 19.435 3058.150 ;
+        RECT 37.480 3011.920 39.880 3012.520 ;
     END
   END io_oeb[25]
   PIN io_oeb[26]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 2802.180 19.890 2802.240 ;
-        RECT 19.570 2802.040 54.000 2802.180 ;
-        RECT 19.570 2801.980 19.890 2802.040 ;
-      LAYER via ;
-        RECT 19.600 2801.980 19.860 2802.240 ;
-      LAYER met2 ;
-        RECT 19.590 2806.515 19.870 2806.885 ;
-        RECT 19.660 2802.270 19.800 2806.515 ;
-        RECT 19.600 2801.950 19.860 2802.270 ;
-      LAYER via2 ;
-        RECT 19.590 2806.560 19.870 2806.840 ;
       LAYER met3 ;
-        RECT 2.480 2806.850 4.880 2807.000 ;
-        RECT 19.565 2806.850 19.895 2806.865 ;
-        RECT 2.480 2806.550 19.895 2806.850 ;
-        RECT 2.480 2806.400 4.880 2806.550 ;
-        RECT 19.565 2806.535 19.895 2806.550 ;
+        RECT 37.480 2724.960 39.880 2725.560 ;
     END
   END io_oeb[26]
   PIN io_oeb[27]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 20.030 2553.300 20.350 2553.360 ;
-        RECT 20.030 2553.160 54.000 2553.300 ;
-        RECT 20.030 2553.100 20.350 2553.160 ;
-      LAYER via ;
-        RECT 20.060 2553.100 20.320 2553.360 ;
-      LAYER met2 ;
-        RECT 20.050 2555.595 20.330 2555.965 ;
-        RECT 20.120 2553.390 20.260 2555.595 ;
-        RECT 20.060 2553.070 20.320 2553.390 ;
-      LAYER via2 ;
-        RECT 20.050 2555.640 20.330 2555.920 ;
       LAYER met3 ;
-        RECT 2.480 2555.930 4.880 2556.080 ;
-        RECT 20.025 2555.930 20.355 2555.945 ;
-        RECT 2.480 2555.630 20.355 2555.930 ;
-        RECT 2.480 2555.480 4.880 2555.630 ;
-        RECT 20.025 2555.615 20.355 2555.630 ;
+        RECT 37.480 2437.320 39.880 2437.920 ;
     END
   END io_oeb[27]
   PIN io_oeb[28]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 36.665 2297.465 36.835 2298.995 ;
-        RECT 37.125 2297.805 37.295 2298.995 ;
-      LAYER mcon ;
-        RECT 36.665 2298.825 36.835 2298.995 ;
-        RECT 37.125 2298.825 37.295 2298.995 ;
-      LAYER met1 ;
-        RECT 18.190 2298.980 18.510 2299.040 ;
-        RECT 36.605 2298.980 36.895 2299.025 ;
-        RECT 18.190 2298.840 36.895 2298.980 ;
-        RECT 18.190 2298.780 18.510 2298.840 ;
-        RECT 36.605 2298.795 36.895 2298.840 ;
-        RECT 37.065 2298.980 37.355 2299.025 ;
-        RECT 37.065 2298.840 54.000 2298.980 ;
-        RECT 37.065 2298.795 37.355 2298.840 ;
-        RECT 37.065 2297.775 37.355 2298.005 ;
-        RECT 36.605 2297.620 36.895 2297.665 ;
-        RECT 37.140 2297.620 37.280 2297.775 ;
-        RECT 36.605 2297.480 37.280 2297.620 ;
-        RECT 36.605 2297.435 36.895 2297.480 ;
-      LAYER via ;
-        RECT 18.220 2298.780 18.480 2299.040 ;
-      LAYER met2 ;
-        RECT 18.210 2303.995 18.490 2304.365 ;
-        RECT 18.280 2299.070 18.420 2303.995 ;
-        RECT 18.220 2298.750 18.480 2299.070 ;
-      LAYER via2 ;
-        RECT 18.210 2304.040 18.490 2304.320 ;
       LAYER met3 ;
-        RECT 2.480 2304.330 4.880 2304.480 ;
-        RECT 18.185 2304.330 18.515 2304.345 ;
-        RECT 2.480 2304.030 18.515 2304.330 ;
-        RECT 2.480 2303.880 4.880 2304.030 ;
-        RECT 18.185 2304.015 18.515 2304.030 ;
+        RECT 37.480 2150.360 39.880 2150.960 ;
     END
   END io_oeb[28]
   PIN io_oeb[29]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 2055.880 19.430 2055.940 ;
-        RECT 19.110 2055.740 54.000 2055.880 ;
-        RECT 19.110 2055.680 19.430 2055.740 ;
-      LAYER via ;
-        RECT 19.140 2055.680 19.400 2055.940 ;
-      LAYER met2 ;
-        RECT 19.140 2055.650 19.400 2055.970 ;
-        RECT 19.200 2052.765 19.340 2055.650 ;
-        RECT 19.130 2052.395 19.410 2052.765 ;
-      LAYER via2 ;
-        RECT 19.130 2052.440 19.410 2052.720 ;
       LAYER met3 ;
-        RECT 2.480 2052.730 4.880 2052.880 ;
-        RECT 19.105 2052.730 19.435 2052.745 ;
-        RECT 2.480 2052.430 19.435 2052.730 ;
-        RECT 2.480 2052.280 4.880 2052.430 ;
-        RECT 19.105 2052.415 19.435 2052.430 ;
+        RECT 37.480 1862.720 39.880 1863.320 ;
     END
   END io_oeb[29]
   PIN io_oeb[2]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 664.850 2922.480 665.000 ;
-        RECT 2919.190 664.550 2922.480 664.850 ;
-        RECT 2919.190 663.490 2919.490 664.550 ;
-        RECT 2920.080 664.400 2922.480 664.550 ;
-        RECT 2870.580 663.190 2886.370 663.490 ;
-        RECT 2886.070 662.810 2886.370 663.190 ;
-        RECT 2886.990 663.190 2919.490 663.490 ;
-        RECT 2886.990 662.810 2887.290 663.190 ;
-        RECT 2886.070 662.510 2887.290 662.810 ;
+        RECT 2955.080 706.040 2957.480 706.640 ;
     END
   END io_oeb[2]
   PIN io_oeb[30]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 1807.680 19.430 1807.740 ;
-        RECT 19.110 1807.540 54.000 1807.680 ;
-        RECT 19.110 1807.480 19.430 1807.540 ;
-      LAYER via ;
-        RECT 19.140 1807.480 19.400 1807.740 ;
-      LAYER met2 ;
-        RECT 19.140 1807.450 19.400 1807.770 ;
-        RECT 19.200 1801.845 19.340 1807.450 ;
-        RECT 19.130 1801.475 19.410 1801.845 ;
-      LAYER via2 ;
-        RECT 19.130 1801.520 19.410 1801.800 ;
       LAYER met3 ;
-        RECT 2.480 1801.810 4.880 1801.960 ;
-        RECT 19.105 1801.810 19.435 1801.825 ;
-        RECT 2.480 1801.510 19.435 1801.810 ;
-        RECT 2.480 1801.360 4.880 1801.510 ;
-        RECT 19.105 1801.495 19.435 1801.510 ;
+        RECT 37.480 1575.760 39.880 1576.360 ;
     END
   END io_oeb[30]
   PIN io_oeb[31]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.190 1552.340 18.510 1552.400 ;
-        RECT 18.190 1552.200 54.000 1552.340 ;
-        RECT 18.190 1552.140 18.510 1552.200 ;
-      LAYER via ;
-        RECT 18.220 1552.140 18.480 1552.400 ;
-      LAYER met2 ;
-        RECT 18.220 1552.110 18.480 1552.430 ;
-        RECT 18.280 1550.245 18.420 1552.110 ;
-        RECT 18.210 1549.875 18.490 1550.245 ;
-      LAYER via2 ;
-        RECT 18.210 1549.920 18.490 1550.200 ;
       LAYER met3 ;
-        RECT 2.480 1550.210 4.880 1550.360 ;
-        RECT 18.185 1550.210 18.515 1550.225 ;
-        RECT 2.480 1549.910 18.515 1550.210 ;
-        RECT 2.480 1549.760 4.880 1549.910 ;
-        RECT 18.185 1549.895 18.515 1549.910 ;
+        RECT 37.480 1360.200 39.880 1360.800 ;
     END
   END io_oeb[31]
   PIN io_oeb[32]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.110 1304.140 19.430 1304.200 ;
-        RECT 19.110 1304.000 54.000 1304.140 ;
-        RECT 19.110 1303.940 19.430 1304.000 ;
-      LAYER via ;
-        RECT 19.140 1303.940 19.400 1304.200 ;
-      LAYER met2 ;
-        RECT 19.140 1303.910 19.400 1304.230 ;
-        RECT 19.200 1298.645 19.340 1303.910 ;
-        RECT 19.130 1298.275 19.410 1298.645 ;
-      LAYER via2 ;
-        RECT 19.130 1298.320 19.410 1298.600 ;
       LAYER met3 ;
-        RECT 2.480 1298.610 4.880 1298.760 ;
-        RECT 19.105 1298.610 19.435 1298.625 ;
-        RECT 2.480 1298.310 19.435 1298.610 ;
-        RECT 2.480 1298.160 4.880 1298.310 ;
-        RECT 19.105 1298.295 19.435 1298.310 ;
+        RECT 37.480 1144.640 39.880 1145.240 ;
     END
   END io_oeb[32]
   PIN io_oeb[33]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.650 1048.800 18.970 1048.860 ;
-        RECT 18.650 1048.660 54.000 1048.800 ;
-        RECT 18.650 1048.600 18.970 1048.660 ;
-      LAYER via ;
-        RECT 18.680 1048.600 18.940 1048.860 ;
-      LAYER met2 ;
-        RECT 18.680 1048.570 18.940 1048.890 ;
-        RECT 18.740 1047.045 18.880 1048.570 ;
-        RECT 18.670 1046.675 18.950 1047.045 ;
-      LAYER via2 ;
-        RECT 18.670 1046.720 18.950 1047.000 ;
       LAYER met3 ;
-        RECT 2.480 1047.010 4.880 1047.160 ;
-        RECT 18.645 1047.010 18.975 1047.025 ;
-        RECT 2.480 1046.710 18.975 1047.010 ;
-        RECT 2.480 1046.560 4.880 1046.710 ;
-        RECT 18.645 1046.695 18.975 1046.710 ;
+        RECT 37.480 929.080 39.880 929.680 ;
     END
   END io_oeb[33]
   PIN io_oeb[34]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 20.030 2312.920 20.350 2312.980 ;
-        RECT 20.030 2312.780 54.000 2312.920 ;
-        RECT 20.030 2312.720 20.350 2312.780 ;
-      LAYER via ;
-        RECT 20.060 2312.720 20.320 2312.980 ;
-      LAYER met2 ;
-        RECT 20.060 2312.690 20.320 2313.010 ;
-        RECT 20.120 796.125 20.260 2312.690 ;
-        RECT 20.050 795.755 20.330 796.125 ;
-      LAYER via2 ;
-        RECT 20.050 795.800 20.330 796.080 ;
       LAYER met3 ;
-        RECT 2.480 796.090 4.880 796.240 ;
-        RECT 20.025 796.090 20.355 796.105 ;
-        RECT 2.480 795.790 20.355 796.090 ;
-        RECT 2.480 795.640 4.880 795.790 ;
-        RECT 20.025 795.775 20.355 795.790 ;
+        RECT 37.480 713.520 39.880 714.120 ;
     END
   END io_oeb[34]
   PIN io_oeb[35]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met2 ;
-        RECT 19.590 2312.155 19.870 2312.525 ;
-        RECT 19.660 544.525 19.800 2312.155 ;
-        RECT 19.590 544.155 19.870 544.525 ;
-      LAYER via2 ;
-        RECT 19.590 2312.200 19.870 2312.480 ;
-        RECT 19.590 544.200 19.870 544.480 ;
       LAYER met3 ;
-        RECT 19.565 2312.490 19.895 2312.505 ;
-        RECT 19.565 2312.190 54.000 2312.490 ;
-        RECT 19.565 2312.175 19.895 2312.190 ;
-        RECT 2.480 544.490 4.880 544.640 ;
-        RECT 19.565 544.490 19.895 544.505 ;
-        RECT 2.480 544.190 19.895 544.490 ;
-        RECT 2.480 544.040 4.880 544.190 ;
-        RECT 19.565 544.175 19.895 544.190 ;
+        RECT 37.480 497.960 39.880 498.560 ;
     END
   END io_oeb[35]
   PIN io_oeb[36]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.190 296.040 18.510 296.100 ;
-        RECT 18.190 295.900 54.000 296.040 ;
-        RECT 18.190 295.840 18.510 295.900 ;
-      LAYER via ;
-        RECT 18.220 295.840 18.480 296.100 ;
-      LAYER met2 ;
-        RECT 18.220 295.810 18.480 296.130 ;
-        RECT 18.280 292.925 18.420 295.810 ;
-        RECT 18.210 292.555 18.490 292.925 ;
-      LAYER via2 ;
-        RECT 18.210 292.600 18.490 292.880 ;
       LAYER met3 ;
-        RECT 2.480 292.890 4.880 293.040 ;
-        RECT 18.185 292.890 18.515 292.905 ;
-        RECT 2.480 292.590 18.515 292.890 ;
-        RECT 2.480 292.440 4.880 292.590 ;
-        RECT 18.185 292.575 18.515 292.590 ;
+        RECT 37.480 282.400 39.880 283.000 ;
     END
   END io_oeb[36]
   PIN io_oeb[37]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met2 ;
-        RECT 19.590 47.755 19.870 48.125 ;
-        RECT 19.660 42.005 19.800 47.755 ;
-        RECT 19.590 41.635 19.870 42.005 ;
-      LAYER via2 ;
-        RECT 19.590 47.800 19.870 48.080 ;
-        RECT 19.590 41.680 19.870 41.960 ;
       LAYER met3 ;
-        RECT 19.565 48.090 19.895 48.105 ;
-        RECT 1741.550 48.090 1741.930 48.100 ;
-        RECT 19.565 47.790 1741.930 48.090 ;
-        RECT 19.565 47.775 19.895 47.790 ;
-        RECT 1741.550 47.780 1741.930 47.790 ;
-        RECT 2.480 41.970 4.880 42.120 ;
-        RECT 19.565 41.970 19.895 41.985 ;
-        RECT 2.480 41.670 19.895 41.970 ;
-        RECT 2.480 41.520 4.880 41.670 ;
-        RECT 19.565 41.655 19.895 41.670 ;
-      LAYER via3 ;
-        RECT 1741.580 47.780 1741.900 48.100 ;
-      LAYER met4 ;
-        RECT 1741.590 48.105 1741.890 54.000 ;
-        RECT 1741.575 47.775 1741.905 48.105 ;
+        RECT 37.480 67.520 39.880 68.120 ;
     END
   END io_oeb[37]
   PIN io_oeb[3]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 899.450 2922.480 899.600 ;
-        RECT 2919.190 899.150 2922.480 899.450 ;
-        RECT 2919.190 898.090 2919.490 899.150 ;
-        RECT 2920.080 899.000 2922.480 899.150 ;
-        RECT 2870.580 897.790 2886.370 898.090 ;
-        RECT 2886.070 897.410 2886.370 897.790 ;
-        RECT 2886.990 897.790 2919.490 898.090 ;
-        RECT 2886.990 897.410 2887.290 897.790 ;
-        RECT 2886.070 897.110 2887.290 897.410 ;
+        RECT 2955.080 941.320 2957.480 941.920 ;
     END
   END io_oeb[3]
   PIN io_oeb[4]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1134.050 2922.480 1134.200 ;
-        RECT 2919.190 1133.750 2922.480 1134.050 ;
-        RECT 2919.190 1132.690 2919.490 1133.750 ;
-        RECT 2920.080 1133.600 2922.480 1133.750 ;
-        RECT 2870.580 1132.390 2886.370 1132.690 ;
-        RECT 2886.070 1132.010 2886.370 1132.390 ;
-        RECT 2886.990 1132.390 2919.490 1132.690 ;
-        RECT 2886.990 1132.010 2887.290 1132.390 ;
-        RECT 2886.070 1131.710 2887.290 1132.010 ;
+        RECT 2955.080 1175.920 2957.480 1176.520 ;
     END
   END io_oeb[4]
   PIN io_oeb[5]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 1373.160 2903.630 1373.220 ;
-        RECT 2870.580 1373.020 2903.630 1373.160 ;
-        RECT 2903.310 1372.960 2903.630 1373.020 ;
-      LAYER via ;
-        RECT 2903.340 1372.960 2903.600 1373.220 ;
-      LAYER met2 ;
-        RECT 2903.340 1372.930 2903.600 1373.250 ;
-        RECT 2903.400 1368.685 2903.540 1372.930 ;
-        RECT 2903.330 1368.315 2903.610 1368.685 ;
-      LAYER via2 ;
-        RECT 2903.330 1368.360 2903.610 1368.640 ;
       LAYER met3 ;
-        RECT 2903.305 1368.650 2903.635 1368.665 ;
-        RECT 2920.080 1368.650 2922.480 1368.800 ;
-        RECT 2903.305 1368.350 2922.480 1368.650 ;
-        RECT 2903.305 1368.335 2903.635 1368.350 ;
-        RECT 2920.080 1368.200 2922.480 1368.350 ;
+        RECT 2955.080 1410.520 2957.480 1411.120 ;
     END
   END io_oeb[5]
   PIN io_oeb[6]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1603.250 2922.480 1603.400 ;
-        RECT 2919.190 1602.950 2922.480 1603.250 ;
-        RECT 2919.190 1601.890 2919.490 1602.950 ;
-        RECT 2920.080 1602.800 2922.480 1602.950 ;
-        RECT 2870.580 1601.590 2886.370 1601.890 ;
-        RECT 2886.070 1601.210 2886.370 1601.590 ;
-        RECT 2886.990 1601.590 2919.490 1601.890 ;
-        RECT 2886.990 1601.210 2887.290 1601.590 ;
-        RECT 2886.070 1600.910 2887.290 1601.210 ;
+        RECT 2955.080 1645.120 2957.480 1645.720 ;
     END
   END io_oeb[6]
   PIN io_oeb[7]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 1842.360 2903.630 1842.420 ;
-        RECT 2870.580 1842.220 2903.630 1842.360 ;
-        RECT 2903.310 1842.160 2903.630 1842.220 ;
-      LAYER via ;
-        RECT 2903.340 1842.160 2903.600 1842.420 ;
-      LAYER met2 ;
-        RECT 2903.340 1842.130 2903.600 1842.450 ;
-        RECT 2903.400 1837.885 2903.540 1842.130 ;
-        RECT 2903.330 1837.515 2903.610 1837.885 ;
-      LAYER via2 ;
-        RECT 2903.330 1837.560 2903.610 1837.840 ;
       LAYER met3 ;
-        RECT 2903.305 1837.850 2903.635 1837.865 ;
-        RECT 2920.080 1837.850 2922.480 1838.000 ;
-        RECT 2903.305 1837.550 2922.480 1837.850 ;
-        RECT 2903.305 1837.535 2903.635 1837.550 ;
-        RECT 2920.080 1837.400 2922.480 1837.550 ;
+        RECT 2955.080 1879.720 2957.480 1880.320 ;
     END
   END io_oeb[7]
   PIN io_oeb[8]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 2076.960 2903.630 2077.020 ;
-        RECT 2870.580 2076.820 2903.630 2076.960 ;
-        RECT 2903.310 2076.760 2903.630 2076.820 ;
-      LAYER via ;
-        RECT 2903.340 2076.760 2903.600 2077.020 ;
-      LAYER met2 ;
-        RECT 2903.340 2076.730 2903.600 2077.050 ;
-        RECT 2903.400 2072.485 2903.540 2076.730 ;
-        RECT 2903.330 2072.115 2903.610 2072.485 ;
-      LAYER via2 ;
-        RECT 2903.330 2072.160 2903.610 2072.440 ;
       LAYER met3 ;
-        RECT 2903.305 2072.450 2903.635 2072.465 ;
-        RECT 2920.080 2072.450 2922.480 2072.600 ;
-        RECT 2903.305 2072.150 2922.480 2072.450 ;
-        RECT 2903.305 2072.135 2903.635 2072.150 ;
-        RECT 2920.080 2072.000 2922.480 2072.150 ;
+        RECT 2955.080 2114.320 2957.480 2114.920 ;
     END
   END io_oeb[8]
   PIN io_oeb[9]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 2304.760 2903.630 2304.820 ;
-        RECT 2870.580 2304.620 2903.630 2304.760 ;
-        RECT 2903.310 2304.560 2903.630 2304.620 ;
-      LAYER via ;
-        RECT 2903.340 2304.560 2903.600 2304.820 ;
-      LAYER met2 ;
-        RECT 2903.330 2306.715 2903.610 2307.085 ;
-        RECT 2903.400 2304.850 2903.540 2306.715 ;
-        RECT 2903.340 2304.530 2903.600 2304.850 ;
-      LAYER via2 ;
-        RECT 2903.330 2306.760 2903.610 2307.040 ;
       LAYER met3 ;
-        RECT 2903.305 2307.050 2903.635 2307.065 ;
-        RECT 2920.080 2307.050 2922.480 2307.200 ;
-        RECT 2903.305 2306.750 2922.480 2307.050 ;
-        RECT 2903.305 2306.735 2903.635 2306.750 ;
-        RECT 2920.080 2306.600 2922.480 2306.750 ;
+        RECT 2955.080 2348.920 2957.480 2349.520 ;
     END
   END io_oeb[9]
   PIN io_out[0]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 117.450 2922.480 117.600 ;
-        RECT 2870.580 117.150 2922.480 117.450 ;
-        RECT 2920.080 117.000 2922.480 117.150 ;
+        RECT 2955.080 178.360 2957.480 178.960 ;
     END
   END io_out[0]
   PIN io_out[10]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2463.540 2900.870 2463.600 ;
-        RECT 2870.580 2463.400 2900.870 2463.540 ;
-        RECT 2900.550 2463.340 2900.870 2463.400 ;
-      LAYER via ;
-        RECT 2900.580 2463.340 2900.840 2463.600 ;
-      LAYER met2 ;
-        RECT 2900.580 2463.485 2900.840 2463.630 ;
-        RECT 2900.570 2463.115 2900.850 2463.485 ;
-      LAYER via2 ;
-        RECT 2900.570 2463.160 2900.850 2463.440 ;
       LAYER met3 ;
-        RECT 2900.545 2463.450 2900.875 2463.465 ;
-        RECT 2920.080 2463.450 2922.480 2463.600 ;
-        RECT 2900.545 2463.150 2922.480 2463.450 ;
-        RECT 2900.545 2463.135 2900.875 2463.150 ;
-        RECT 2920.080 2463.000 2922.480 2463.150 ;
+        RECT 2955.080 2525.040 2957.480 2525.640 ;
     END
   END io_out[10]
   PIN io_out[11]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2698.820 2900.870 2698.880 ;
-        RECT 2870.580 2698.680 2900.870 2698.820 ;
-        RECT 2900.550 2698.620 2900.870 2698.680 ;
-      LAYER via ;
-        RECT 2900.580 2698.620 2900.840 2698.880 ;
-      LAYER met2 ;
-        RECT 2900.580 2698.590 2900.840 2698.910 ;
-        RECT 2900.640 2698.085 2900.780 2698.590 ;
-        RECT 2900.570 2697.715 2900.850 2698.085 ;
-      LAYER via2 ;
-        RECT 2900.570 2697.760 2900.850 2698.040 ;
       LAYER met3 ;
-        RECT 2900.545 2698.050 2900.875 2698.065 ;
-        RECT 2920.080 2698.050 2922.480 2698.200 ;
-        RECT 2900.545 2697.750 2922.480 2698.050 ;
-        RECT 2900.545 2697.735 2900.875 2697.750 ;
-        RECT 2920.080 2697.600 2922.480 2697.750 ;
+        RECT 2955.080 2759.640 2957.480 2760.240 ;
     END
   END io_out[11]
   PIN io_out[12]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 2932.740 2900.870 2932.800 ;
-        RECT 2870.580 2932.600 2900.870 2932.740 ;
-        RECT 2900.550 2932.540 2900.870 2932.600 ;
-      LAYER via ;
-        RECT 2900.580 2932.540 2900.840 2932.800 ;
-      LAYER met2 ;
-        RECT 2900.580 2932.685 2900.840 2932.830 ;
-        RECT 2900.570 2932.315 2900.850 2932.685 ;
-      LAYER via2 ;
-        RECT 2900.570 2932.360 2900.850 2932.640 ;
       LAYER met3 ;
-        RECT 2900.545 2932.650 2900.875 2932.665 ;
-        RECT 2920.080 2932.650 2922.480 2932.800 ;
-        RECT 2900.545 2932.350 2922.480 2932.650 ;
-        RECT 2900.545 2932.335 2900.875 2932.350 ;
-        RECT 2920.080 2932.200 2922.480 2932.350 ;
+        RECT 2955.080 2994.240 2957.480 2994.840 ;
     END
   END io_out[12]
   PIN io_out[13]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3167.340 2900.870 3167.400 ;
-        RECT 2870.580 3167.200 2900.870 3167.340 ;
-        RECT 2900.550 3167.140 2900.870 3167.200 ;
-      LAYER via ;
-        RECT 2900.580 3167.140 2900.840 3167.400 ;
-      LAYER met2 ;
-        RECT 2900.580 3167.285 2900.840 3167.430 ;
-        RECT 2900.570 3166.915 2900.850 3167.285 ;
-      LAYER via2 ;
-        RECT 2900.570 3166.960 2900.850 3167.240 ;
       LAYER met3 ;
-        RECT 2900.545 3167.250 2900.875 3167.265 ;
-        RECT 2920.080 3167.250 2922.480 3167.400 ;
-        RECT 2900.545 3166.950 2922.480 3167.250 ;
-        RECT 2900.545 3166.935 2900.875 3166.950 ;
-        RECT 2920.080 3166.800 2922.480 3166.950 ;
+        RECT 2955.080 3228.840 2957.480 3229.440 ;
     END
   END io_out[13]
   PIN io_out[14]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2900.550 3401.940 2900.870 3402.000 ;
-        RECT 2870.580 3401.800 2900.870 3401.940 ;
-        RECT 2900.550 3401.740 2900.870 3401.800 ;
-      LAYER via ;
-        RECT 2900.580 3401.740 2900.840 3402.000 ;
-      LAYER met2 ;
-        RECT 2900.580 3401.885 2900.840 3402.030 ;
-        RECT 2900.570 3401.515 2900.850 3401.885 ;
-      LAYER via2 ;
-        RECT 2900.570 3401.560 2900.850 3401.840 ;
       LAYER met3 ;
-        RECT 2900.545 3401.850 2900.875 3401.865 ;
-        RECT 2920.080 3401.850 2922.480 3402.000 ;
-        RECT 2900.545 3401.550 2922.480 3401.850 ;
-        RECT 2900.545 3401.535 2900.875 3401.550 ;
-        RECT 2920.080 3401.400 2922.480 3401.550 ;
+        RECT 2955.080 3463.440 2957.480 3464.040 ;
     END
   END io_out[14]
   PIN io_out[15]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1402.330 3501.900 1402.650 3501.960 ;
-        RECT 2759.790 3501.900 2760.110 3501.960 ;
-        RECT 1402.330 3501.760 2760.110 3501.900 ;
-        RECT 1402.330 3501.700 1402.650 3501.760 ;
-        RECT 2759.790 3501.700 2760.110 3501.760 ;
-      LAYER via ;
-        RECT 1402.360 3501.700 1402.620 3501.960 ;
-        RECT 2759.820 3501.700 2760.080 3501.960 ;
       LAYER met2 ;
-        RECT 2759.810 3517.600 2760.090 3520.000 ;
-        RECT 2759.880 3501.990 2760.020 3517.600 ;
-        RECT 1402.360 3501.670 1402.620 3501.990 ;
-        RECT 2759.820 3501.670 2760.080 3501.990 ;
-        RECT 1402.420 3466.000 1402.560 3501.670 ;
+        RECT 2754.790 3549.720 2755.070 3552.120 ;
     END
   END io_out[15]
   PIN io_out[16]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1423.490 3504.620 1423.810 3504.680 ;
-        RECT 1423.490 3504.480 1461.900 3504.620 ;
-        RECT 1423.490 3504.420 1423.810 3504.480 ;
-        RECT 1461.760 3504.280 1461.900 3504.480 ;
-        RECT 2435.490 3504.280 2435.810 3504.340 ;
-        RECT 1461.760 3504.140 2435.810 3504.280 ;
-        RECT 2435.490 3504.080 2435.810 3504.140 ;
-      LAYER via ;
-        RECT 1423.520 3504.420 1423.780 3504.680 ;
-        RECT 2435.520 3504.080 2435.780 3504.340 ;
       LAYER met2 ;
-        RECT 2435.510 3517.600 2435.790 3520.000 ;
-        RECT 1423.520 3504.390 1423.780 3504.710 ;
-        RECT 1423.580 3466.000 1423.720 3504.390 ;
-        RECT 2435.580 3504.370 2435.720 3517.600 ;
-        RECT 2435.520 3504.050 2435.780 3504.370 ;
+        RECT 2430.030 3549.720 2430.310 3552.120 ;
     END
   END io_out[16]
   PIN io_out[17]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1472.785 3499.705 1473.875 3499.875 ;
-      LAYER mcon ;
-        RECT 1473.705 3499.705 1473.875 3499.875 ;
-      LAYER met1 ;
-        RECT 1437.290 3499.860 1437.610 3499.920 ;
-        RECT 1472.725 3499.860 1473.015 3499.905 ;
-        RECT 1437.290 3499.720 1473.015 3499.860 ;
-        RECT 1437.290 3499.660 1437.610 3499.720 ;
-        RECT 1472.725 3499.675 1473.015 3499.720 ;
-        RECT 1473.645 3499.860 1473.935 3499.905 ;
-        RECT 2111.190 3499.860 2111.510 3499.920 ;
-        RECT 1473.645 3499.720 2111.510 3499.860 ;
-        RECT 1473.645 3499.675 1473.935 3499.720 ;
-        RECT 2111.190 3499.660 2111.510 3499.720 ;
-      LAYER via ;
-        RECT 1437.320 3499.660 1437.580 3499.920 ;
-        RECT 2111.220 3499.660 2111.480 3499.920 ;
       LAYER met2 ;
-        RECT 2111.210 3517.600 2111.490 3520.000 ;
-        RECT 2111.280 3499.950 2111.420 3517.600 ;
-        RECT 1437.320 3499.630 1437.580 3499.950 ;
-        RECT 2111.220 3499.630 2111.480 3499.950 ;
-        RECT 1437.380 3466.000 1437.520 3499.630 ;
+        RECT 2105.730 3549.720 2106.010 3552.120 ;
     END
   END io_out[17]
   PIN io_out[18]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1451.090 3499.180 1451.410 3499.240 ;
-        RECT 1462.590 3499.180 1462.910 3499.240 ;
-        RECT 1451.090 3499.040 1462.910 3499.180 ;
-        RECT 1451.090 3498.980 1451.410 3499.040 ;
-        RECT 1462.590 3498.980 1462.910 3499.040 ;
-        RECT 1462.590 3498.500 1462.910 3498.560 ;
-        RECT 1786.430 3498.500 1786.750 3498.560 ;
-        RECT 1462.590 3498.360 1786.750 3498.500 ;
-        RECT 1462.590 3498.300 1462.910 3498.360 ;
-        RECT 1786.430 3498.300 1786.750 3498.360 ;
-      LAYER via ;
-        RECT 1451.120 3498.980 1451.380 3499.240 ;
-        RECT 1462.620 3498.980 1462.880 3499.240 ;
-        RECT 1462.620 3498.300 1462.880 3498.560 ;
-        RECT 1786.460 3498.300 1786.720 3498.560 ;
       LAYER met2 ;
-        RECT 1786.450 3517.600 1786.730 3520.000 ;
-        RECT 1451.120 3498.950 1451.380 3499.270 ;
-        RECT 1462.620 3498.950 1462.880 3499.270 ;
-        RECT 1451.180 3466.000 1451.320 3498.950 ;
-        RECT 1462.680 3498.590 1462.820 3498.950 ;
-        RECT 1786.520 3498.590 1786.660 3517.600 ;
-        RECT 1462.620 3498.270 1462.880 3498.590 ;
-        RECT 1786.460 3498.270 1786.720 3498.590 ;
+        RECT 1781.430 3549.720 1781.710 3552.120 ;
     END
   END io_out[18]
   PIN io_out[19]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1458.450 3498.500 1458.770 3498.560 ;
-        RECT 1462.130 3498.500 1462.450 3498.560 ;
-        RECT 1458.450 3498.360 1462.450 3498.500 ;
-        RECT 1458.450 3498.300 1458.770 3498.360 ;
-        RECT 1462.130 3498.300 1462.450 3498.360 ;
-      LAYER via ;
-        RECT 1458.480 3498.300 1458.740 3498.560 ;
-        RECT 1462.160 3498.300 1462.420 3498.560 ;
       LAYER met2 ;
-        RECT 1462.150 3517.600 1462.430 3520.000 ;
-        RECT 1462.220 3498.590 1462.360 3517.600 ;
-        RECT 1458.480 3498.270 1458.740 3498.590 ;
-        RECT 1462.160 3498.270 1462.420 3498.590 ;
-        RECT 1458.540 3466.000 1458.680 3498.270 ;
+        RECT 1456.670 3549.720 1456.950 3552.120 ;
     END
   END io_out[19]
   PIN io_out[1]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 352.050 2922.480 352.200 ;
-        RECT 2870.580 351.750 2922.480 352.050 ;
-        RECT 2920.080 351.600 2922.480 351.750 ;
+        RECT 2955.080 412.960 2957.480 413.560 ;
     END
   END io_out[1]
   PIN io_out[20]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1148.025 3498.685 1148.195 3499.535 ;
-        RECT 1196.325 3498.345 1196.495 3499.535 ;
-        RECT 1296.145 3498.345 1296.315 3499.535 ;
-        RECT 1320.525 3498.345 1320.695 3499.535 ;
-        RECT 1392.745 3498.345 1392.915 3499.875 ;
-        RECT 1436.905 3498.855 1437.075 3499.875 ;
-        RECT 1436.905 3498.685 1437.535 3498.855 ;
-        RECT 1458.065 3498.685 1459.615 3498.855 ;
-        RECT 1458.065 3498.345 1458.235 3498.685 ;
-      LAYER mcon ;
-        RECT 1392.745 3499.705 1392.915 3499.875 ;
-        RECT 1148.025 3499.365 1148.195 3499.535 ;
-        RECT 1196.325 3499.365 1196.495 3499.535 ;
-        RECT 1296.145 3499.365 1296.315 3499.535 ;
-        RECT 1320.525 3499.365 1320.695 3499.535 ;
-        RECT 1436.905 3499.705 1437.075 3499.875 ;
-        RECT 1437.365 3498.685 1437.535 3498.855 ;
-        RECT 1459.445 3498.685 1459.615 3498.855 ;
-      LAYER met1 ;
-        RECT 1392.685 3499.860 1392.975 3499.905 ;
-        RECT 1436.845 3499.860 1437.135 3499.905 ;
-        RECT 1392.685 3499.720 1437.135 3499.860 ;
-        RECT 1392.685 3499.675 1392.975 3499.720 ;
-        RECT 1436.845 3499.675 1437.135 3499.720 ;
-        RECT 1147.965 3499.520 1148.255 3499.565 ;
-        RECT 1196.265 3499.520 1196.555 3499.565 ;
-        RECT 1147.965 3499.380 1196.555 3499.520 ;
-        RECT 1147.965 3499.335 1148.255 3499.380 ;
-        RECT 1196.265 3499.335 1196.555 3499.380 ;
-        RECT 1296.085 3499.520 1296.375 3499.565 ;
-        RECT 1320.465 3499.520 1320.755 3499.565 ;
-        RECT 1296.085 3499.380 1320.755 3499.520 ;
-        RECT 1296.085 3499.335 1296.375 3499.380 ;
-        RECT 1320.465 3499.335 1320.755 3499.380 ;
-        RECT 1137.830 3498.840 1138.150 3498.900 ;
-        RECT 1147.965 3498.840 1148.255 3498.885 ;
-        RECT 1137.830 3498.700 1148.255 3498.840 ;
-        RECT 1137.830 3498.640 1138.150 3498.700 ;
-        RECT 1147.965 3498.655 1148.255 3498.700 ;
-        RECT 1437.305 3498.840 1437.595 3498.885 ;
-        RECT 1459.385 3498.840 1459.675 3498.885 ;
-        RECT 1479.610 3498.840 1479.930 3498.900 ;
-        RECT 1437.305 3498.700 1444.880 3498.840 ;
-        RECT 1437.305 3498.655 1437.595 3498.700 ;
-        RECT 1196.265 3498.500 1196.555 3498.545 ;
-        RECT 1296.085 3498.500 1296.375 3498.545 ;
-        RECT 1196.265 3498.360 1296.375 3498.500 ;
-        RECT 1196.265 3498.315 1196.555 3498.360 ;
-        RECT 1296.085 3498.315 1296.375 3498.360 ;
-        RECT 1320.465 3498.500 1320.755 3498.545 ;
-        RECT 1392.685 3498.500 1392.975 3498.545 ;
-        RECT 1320.465 3498.360 1392.975 3498.500 ;
-        RECT 1444.740 3498.500 1444.880 3498.700 ;
-        RECT 1459.385 3498.700 1479.930 3498.840 ;
-        RECT 1459.385 3498.655 1459.675 3498.700 ;
-        RECT 1479.610 3498.640 1479.930 3498.700 ;
-        RECT 1458.005 3498.500 1458.295 3498.545 ;
-        RECT 1444.740 3498.360 1458.295 3498.500 ;
-        RECT 1320.465 3498.315 1320.755 3498.360 ;
-        RECT 1392.685 3498.315 1392.975 3498.360 ;
-        RECT 1458.005 3498.315 1458.295 3498.360 ;
-      LAYER via ;
-        RECT 1137.860 3498.640 1138.120 3498.900 ;
-        RECT 1479.640 3498.640 1479.900 3498.900 ;
       LAYER met2 ;
-        RECT 1137.850 3517.600 1138.130 3520.000 ;
-        RECT 1137.920 3498.930 1138.060 3517.600 ;
-        RECT 1137.860 3498.610 1138.120 3498.930 ;
-        RECT 1479.640 3498.610 1479.900 3498.930 ;
-        RECT 1479.700 3466.000 1479.840 3498.610 ;
+        RECT 1132.370 3549.720 1132.650 3552.120 ;
     END
   END io_out[20]
   PIN io_out[21]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1461.745 3500.045 1461.915 3501.235 ;
-      LAYER mcon ;
-        RECT 1461.745 3501.065 1461.915 3501.235 ;
-      LAYER met1 ;
-        RECT 1461.685 3501.220 1461.975 3501.265 ;
-        RECT 1492.950 3501.220 1493.270 3501.280 ;
-        RECT 1461.685 3501.080 1493.270 3501.220 ;
-        RECT 1461.685 3501.035 1461.975 3501.080 ;
-        RECT 1492.950 3501.020 1493.270 3501.080 ;
-        RECT 813.070 3500.200 813.390 3500.260 ;
-        RECT 1461.685 3500.200 1461.975 3500.245 ;
-        RECT 813.070 3500.060 1461.975 3500.200 ;
-        RECT 813.070 3500.000 813.390 3500.060 ;
-        RECT 1461.685 3500.015 1461.975 3500.060 ;
-      LAYER via ;
-        RECT 1492.980 3501.020 1493.240 3501.280 ;
-        RECT 813.100 3500.000 813.360 3500.260 ;
       LAYER met2 ;
-        RECT 813.090 3517.600 813.370 3520.000 ;
-        RECT 813.160 3500.290 813.300 3517.600 ;
-        RECT 1492.980 3500.990 1493.240 3501.310 ;
-        RECT 813.100 3499.970 813.360 3500.290 ;
-        RECT 1493.040 3466.000 1493.180 3500.990 ;
+        RECT 808.070 3549.720 808.350 3552.120 ;
     END
   END io_out[21]
   PIN io_out[22]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 488.770 3503.940 489.090 3504.000 ;
-        RECT 1506.750 3503.940 1507.070 3504.000 ;
-        RECT 488.770 3503.800 1507.070 3503.940 ;
-        RECT 488.770 3503.740 489.090 3503.800 ;
-        RECT 1506.750 3503.740 1507.070 3503.800 ;
-      LAYER via ;
-        RECT 488.800 3503.740 489.060 3504.000 ;
-        RECT 1506.780 3503.740 1507.040 3504.000 ;
       LAYER met2 ;
-        RECT 488.790 3517.600 489.070 3520.000 ;
-        RECT 488.860 3504.030 489.000 3517.600 ;
-        RECT 488.800 3503.710 489.060 3504.030 ;
-        RECT 1506.780 3503.710 1507.040 3504.030 ;
-        RECT 1506.840 3466.000 1506.980 3503.710 ;
+        RECT 483.310 3549.720 483.590 3552.120 ;
     END
   END io_out[22]
   PIN io_out[23]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 164.470 3501.560 164.790 3501.620 ;
-        RECT 1527.450 3501.560 1527.770 3501.620 ;
-        RECT 164.470 3501.420 1527.770 3501.560 ;
-        RECT 164.470 3501.360 164.790 3501.420 ;
-        RECT 1527.450 3501.360 1527.770 3501.420 ;
-      LAYER via ;
-        RECT 164.500 3501.360 164.760 3501.620 ;
-        RECT 1527.480 3501.360 1527.740 3501.620 ;
       LAYER met2 ;
-        RECT 164.490 3517.600 164.770 3520.000 ;
-        RECT 164.560 3501.650 164.700 3517.600 ;
-        RECT 164.500 3501.330 164.760 3501.650 ;
-        RECT 1527.480 3501.330 1527.740 3501.650 ;
-        RECT 1527.540 3466.000 1527.680 3501.330 ;
+        RECT 159.010 3549.720 159.290 3552.120 ;
     END
   END io_out[23]
   PIN io_out[24]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 3388.000 19.890 3388.060 ;
-        RECT 19.570 3387.860 54.000 3388.000 ;
-        RECT 19.570 3387.800 19.890 3387.860 ;
-      LAYER via ;
-        RECT 19.600 3387.800 19.860 3388.060 ;
-      LAYER met2 ;
-        RECT 19.590 3393.355 19.870 3393.725 ;
-        RECT 19.660 3388.090 19.800 3393.355 ;
-        RECT 19.600 3387.770 19.860 3388.090 ;
-      LAYER via2 ;
-        RECT 19.590 3393.400 19.870 3393.680 ;
       LAYER met3 ;
-        RECT 2.480 3393.690 4.880 3393.840 ;
-        RECT 19.565 3393.690 19.895 3393.705 ;
-        RECT 2.480 3393.390 19.895 3393.690 ;
-        RECT 2.480 3393.240 4.880 3393.390 ;
-        RECT 19.565 3393.375 19.895 3393.390 ;
+        RECT 37.480 3371.640 39.880 3372.240 ;
     END
   END io_out[24]
   PIN io_out[25]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 3139.800 19.890 3139.860 ;
-        RECT 19.570 3139.660 54.000 3139.800 ;
-        RECT 19.570 3139.600 19.890 3139.660 ;
-      LAYER via ;
-        RECT 19.600 3139.600 19.860 3139.860 ;
-      LAYER met2 ;
-        RECT 19.590 3141.755 19.870 3142.125 ;
-        RECT 19.660 3139.890 19.800 3141.755 ;
-        RECT 19.600 3139.570 19.860 3139.890 ;
-      LAYER via2 ;
-        RECT 19.590 3141.800 19.870 3142.080 ;
       LAYER met3 ;
-        RECT 2.480 3142.090 4.880 3142.240 ;
-        RECT 19.565 3142.090 19.895 3142.105 ;
-        RECT 2.480 3141.790 19.895 3142.090 ;
-        RECT 2.480 3141.640 4.880 3141.790 ;
-        RECT 19.565 3141.775 19.895 3141.790 ;
+        RECT 37.480 3084.000 39.880 3084.600 ;
     END
   END io_out[25]
   PIN io_out[26]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.650 2884.460 18.970 2884.520 ;
-        RECT 18.650 2884.320 54.000 2884.460 ;
-        RECT 18.650 2884.260 18.970 2884.320 ;
-      LAYER via ;
-        RECT 18.680 2884.260 18.940 2884.520 ;
-      LAYER met2 ;
-        RECT 18.670 2890.835 18.950 2891.205 ;
-        RECT 18.740 2884.550 18.880 2890.835 ;
-        RECT 18.680 2884.230 18.940 2884.550 ;
-      LAYER via2 ;
-        RECT 18.670 2890.880 18.950 2891.160 ;
       LAYER met3 ;
-        RECT 2.480 2891.170 4.880 2891.320 ;
-        RECT 18.645 2891.170 18.975 2891.185 ;
-        RECT 2.480 2890.870 18.975 2891.170 ;
-        RECT 2.480 2890.720 4.880 2890.870 ;
-        RECT 18.645 2890.855 18.975 2890.870 ;
+        RECT 37.480 2797.040 39.880 2797.640 ;
     END
   END io_out[26]
   PIN io_out[27]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.190 2636.260 18.510 2636.320 ;
-        RECT 18.190 2636.120 54.000 2636.260 ;
-        RECT 18.190 2636.060 18.510 2636.120 ;
-      LAYER via ;
-        RECT 18.220 2636.060 18.480 2636.320 ;
-      LAYER met2 ;
-        RECT 18.210 2639.235 18.490 2639.605 ;
-        RECT 18.280 2636.350 18.420 2639.235 ;
-        RECT 18.220 2636.030 18.480 2636.350 ;
-      LAYER via2 ;
-        RECT 18.210 2639.280 18.490 2639.560 ;
       LAYER met3 ;
-        RECT 2.480 2639.570 4.880 2639.720 ;
-        RECT 18.185 2639.570 18.515 2639.585 ;
-        RECT 2.480 2639.270 18.515 2639.570 ;
-        RECT 2.480 2639.120 4.880 2639.270 ;
-        RECT 18.185 2639.255 18.515 2639.270 ;
+        RECT 37.480 2509.400 39.880 2510.000 ;
     END
   END io_out[27]
   PIN io_out[28]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 2387.720 19.890 2387.780 ;
-        RECT 19.570 2387.580 54.000 2387.720 ;
-        RECT 19.570 2387.520 19.890 2387.580 ;
-      LAYER via ;
-        RECT 19.600 2387.520 19.860 2387.780 ;
-      LAYER met2 ;
-        RECT 19.590 2387.635 19.870 2388.005 ;
-        RECT 19.600 2387.490 19.860 2387.635 ;
-      LAYER via2 ;
-        RECT 19.590 2387.680 19.870 2387.960 ;
       LAYER met3 ;
-        RECT 2.480 2387.970 4.880 2388.120 ;
-        RECT 19.565 2387.970 19.895 2387.985 ;
-        RECT 2.480 2387.670 19.895 2387.970 ;
-        RECT 2.480 2387.520 4.880 2387.670 ;
-        RECT 19.565 2387.655 19.895 2387.670 ;
+        RECT 37.480 2221.760 39.880 2222.360 ;
     END
   END io_out[28]
   PIN io_out[29]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 18.190 2138.840 18.510 2138.900 ;
-        RECT 18.190 2138.700 54.000 2138.840 ;
-        RECT 18.190 2138.640 18.510 2138.700 ;
-      LAYER via ;
-        RECT 18.220 2138.640 18.480 2138.900 ;
-      LAYER met2 ;
-        RECT 18.220 2138.610 18.480 2138.930 ;
-        RECT 18.280 2136.405 18.420 2138.610 ;
-        RECT 18.210 2136.035 18.490 2136.405 ;
-      LAYER via2 ;
-        RECT 18.210 2136.080 18.490 2136.360 ;
       LAYER met3 ;
-        RECT 2.480 2136.370 4.880 2136.520 ;
-        RECT 18.185 2136.370 18.515 2136.385 ;
-        RECT 2.480 2136.070 18.515 2136.370 ;
-        RECT 2.480 2135.920 4.880 2136.070 ;
-        RECT 18.185 2136.055 18.515 2136.070 ;
+        RECT 37.480 1934.800 39.880 1935.400 ;
     END
   END io_out[29]
   PIN io_out[2]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 586.650 2922.480 586.800 ;
-        RECT 2870.580 586.350 2922.480 586.650 ;
-        RECT 2920.080 586.200 2922.480 586.350 ;
+        RECT 2955.080 647.560 2957.480 648.160 ;
     END
   END io_out[2]
   PIN io_out[30]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 17.730 1889.960 18.050 1890.020 ;
-        RECT 17.730 1889.820 54.000 1889.960 ;
-        RECT 17.730 1889.760 18.050 1889.820 ;
-      LAYER via ;
-        RECT 17.760 1889.760 18.020 1890.020 ;
-      LAYER met2 ;
-        RECT 17.760 1889.730 18.020 1890.050 ;
-        RECT 17.820 1885.485 17.960 1889.730 ;
-        RECT 17.750 1885.115 18.030 1885.485 ;
-      LAYER via2 ;
-        RECT 17.750 1885.160 18.030 1885.440 ;
       LAYER met3 ;
-        RECT 2.480 1885.450 4.880 1885.600 ;
-        RECT 17.725 1885.450 18.055 1885.465 ;
-        RECT 2.480 1885.150 18.055 1885.450 ;
-        RECT 2.480 1885.000 4.880 1885.150 ;
-        RECT 17.725 1885.135 18.055 1885.150 ;
+        RECT 37.480 1647.160 39.880 1647.760 ;
     END
   END io_out[30]
   PIN io_out[31]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 21.870 2313.940 22.190 2314.000 ;
-        RECT 21.870 2313.800 54.000 2313.940 ;
-        RECT 21.870 2313.740 22.190 2313.800 ;
-      LAYER via ;
-        RECT 21.900 2313.740 22.160 2314.000 ;
-      LAYER met2 ;
-        RECT 21.900 2313.710 22.160 2314.030 ;
-        RECT 21.960 1633.885 22.100 2313.710 ;
-        RECT 21.890 1633.515 22.170 1633.885 ;
-      LAYER via2 ;
-        RECT 21.890 1633.560 22.170 1633.840 ;
       LAYER met3 ;
-        RECT 2.480 1633.850 4.880 1634.000 ;
-        RECT 21.865 1633.850 22.195 1633.865 ;
-        RECT 2.480 1633.550 22.195 1633.850 ;
-        RECT 2.480 1633.400 4.880 1633.550 ;
-        RECT 21.865 1633.535 22.195 1633.550 ;
+        RECT 37.480 1432.280 39.880 1432.880 ;
     END
   END io_out[31]
   PIN io_out[32]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 21.410 2313.600 21.730 2313.660 ;
-        RECT 21.410 2313.460 54.000 2313.600 ;
-        RECT 21.410 2313.400 21.730 2313.460 ;
-      LAYER via ;
-        RECT 21.440 2313.400 21.700 2313.660 ;
-      LAYER met2 ;
-        RECT 21.440 2313.370 21.700 2313.690 ;
-        RECT 21.500 1382.285 21.640 2313.370 ;
-        RECT 21.430 1381.915 21.710 1382.285 ;
-      LAYER via2 ;
-        RECT 21.430 1381.960 21.710 1382.240 ;
       LAYER met3 ;
-        RECT 2.480 1382.250 4.880 1382.400 ;
-        RECT 21.405 1382.250 21.735 1382.265 ;
-        RECT 2.480 1381.950 21.735 1382.250 ;
-        RECT 2.480 1381.800 4.880 1381.950 ;
-        RECT 21.405 1381.935 21.735 1381.950 ;
+        RECT 37.480 1216.720 39.880 1217.320 ;
     END
   END io_out[32]
   PIN io_out[33]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 20.950 2313.260 21.270 2313.320 ;
-        RECT 20.950 2313.120 54.000 2313.260 ;
-        RECT 20.950 2313.060 21.270 2313.120 ;
-      LAYER via ;
-        RECT 20.980 2313.060 21.240 2313.320 ;
-      LAYER met2 ;
-        RECT 20.980 2313.030 21.240 2313.350 ;
-        RECT 21.040 1131.365 21.180 2313.030 ;
-        RECT 20.970 1130.995 21.250 1131.365 ;
-      LAYER via2 ;
-        RECT 20.970 1131.040 21.250 1131.320 ;
       LAYER met3 ;
-        RECT 2.480 1131.330 4.880 1131.480 ;
-        RECT 20.945 1131.330 21.275 1131.345 ;
-        RECT 2.480 1131.030 21.275 1131.330 ;
-        RECT 2.480 1130.880 4.880 1131.030 ;
-        RECT 20.945 1131.015 21.275 1131.030 ;
+        RECT 37.480 1001.160 39.880 1001.760 ;
     END
   END io_out[33]
   PIN io_out[34]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 20.490 2312.580 20.810 2312.640 ;
-        RECT 20.490 2312.440 54.000 2312.580 ;
-        RECT 20.490 2312.380 20.810 2312.440 ;
-      LAYER via ;
-        RECT 20.520 2312.380 20.780 2312.640 ;
-      LAYER met2 ;
-        RECT 20.520 2312.350 20.780 2312.670 ;
-        RECT 20.580 879.765 20.720 2312.350 ;
-        RECT 20.510 879.395 20.790 879.765 ;
-      LAYER via2 ;
-        RECT 20.510 879.440 20.790 879.720 ;
       LAYER met3 ;
-        RECT 2.480 879.730 4.880 879.880 ;
-        RECT 20.485 879.730 20.815 879.745 ;
-        RECT 2.480 879.430 20.815 879.730 ;
-        RECT 2.480 879.280 4.880 879.430 ;
-        RECT 20.485 879.415 20.815 879.430 ;
+        RECT 37.480 785.600 39.880 786.200 ;
     END
   END io_out[34]
   PIN io_out[35]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met2 ;
-        RECT 20.050 634.595 20.330 634.965 ;
-        RECT 20.120 628.165 20.260 634.595 ;
-        RECT 20.050 627.795 20.330 628.165 ;
-      LAYER via2 ;
-        RECT 20.050 634.640 20.330 634.920 ;
-        RECT 20.050 627.840 20.330 628.120 ;
       LAYER met3 ;
-        RECT 20.025 634.930 20.355 634.945 ;
-        RECT 20.025 634.630 54.000 634.930 ;
-        RECT 20.025 634.615 20.355 634.630 ;
-        RECT 2.480 628.130 4.880 628.280 ;
-        RECT 20.025 628.130 20.355 628.145 ;
-        RECT 2.480 627.830 20.355 628.130 ;
-        RECT 2.480 627.680 4.880 627.830 ;
-        RECT 20.025 627.815 20.355 627.830 ;
+        RECT 37.480 570.040 39.880 570.640 ;
     END
   END io_out[35]
   PIN io_out[36]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 5.550 378.950 54.000 379.250 ;
-        RECT 2.480 376.530 4.880 376.680 ;
-        RECT 5.550 376.530 5.850 378.950 ;
-        RECT 2.480 376.230 5.850 376.530 ;
-        RECT 2.480 376.080 4.880 376.230 ;
+        RECT 37.480 354.480 39.880 355.080 ;
     END
   END io_out[36]
   PIN io_out[37]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 19.570 131.140 19.890 131.200 ;
-        RECT 19.570 131.000 54.000 131.140 ;
-        RECT 19.570 130.940 19.890 131.000 ;
-      LAYER via ;
-        RECT 19.600 130.940 19.860 131.200 ;
-      LAYER met2 ;
-        RECT 19.600 130.910 19.860 131.230 ;
-        RECT 19.660 125.645 19.800 130.910 ;
-        RECT 19.590 125.275 19.870 125.645 ;
-      LAYER via2 ;
-        RECT 19.590 125.320 19.870 125.600 ;
       LAYER met3 ;
-        RECT 2.480 125.610 4.880 125.760 ;
-        RECT 19.565 125.610 19.895 125.625 ;
-        RECT 2.480 125.310 19.895 125.610 ;
-        RECT 2.480 125.160 4.880 125.310 ;
-        RECT 19.565 125.295 19.895 125.310 ;
+        RECT 37.480 138.920 39.880 139.520 ;
     END
   END io_out[37]
   PIN io_out[3]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 821.250 2922.480 821.400 ;
-        RECT 2870.580 820.950 2922.480 821.250 ;
-        RECT 2920.080 820.800 2922.480 820.950 ;
+        RECT 2955.080 882.160 2957.480 882.760 ;
     END
   END io_out[3]
   PIN io_out[4]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1055.850 2922.480 1056.000 ;
-        RECT 2870.580 1055.550 2922.480 1055.850 ;
-        RECT 2920.080 1055.400 2922.480 1055.550 ;
+        RECT 2955.080 1116.760 2957.480 1117.360 ;
     END
   END io_out[4]
   PIN io_out[5]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1290.450 2922.480 1290.600 ;
-        RECT 2870.580 1290.150 2922.480 1290.450 ;
-        RECT 2920.080 1290.000 2922.480 1290.150 ;
+        RECT 2955.080 1351.360 2957.480 1351.960 ;
     END
   END io_out[5]
   PIN io_out[6]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met3 ;
-        RECT 2920.080 1525.050 2922.480 1525.200 ;
-        RECT 2870.580 1524.750 2922.480 1525.050 ;
-        RECT 2920.080 1524.600 2922.480 1524.750 ;
+        RECT 2955.080 1585.960 2957.480 1586.560 ;
     END
   END io_out[6]
   PIN io_out[7]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 1766.200 2903.630 1766.260 ;
-        RECT 2870.580 1766.060 2903.630 1766.200 ;
-        RECT 2903.310 1766.000 2903.630 1766.060 ;
-      LAYER via ;
-        RECT 2903.340 1766.000 2903.600 1766.260 ;
-      LAYER met2 ;
-        RECT 2903.340 1765.970 2903.600 1766.290 ;
-        RECT 2903.400 1759.685 2903.540 1765.970 ;
-        RECT 2903.330 1759.315 2903.610 1759.685 ;
-      LAYER via2 ;
-        RECT 2903.330 1759.360 2903.610 1759.640 ;
       LAYER met3 ;
-        RECT 2903.305 1759.650 2903.635 1759.665 ;
-        RECT 2920.080 1759.650 2922.480 1759.800 ;
-        RECT 2903.305 1759.350 2922.480 1759.650 ;
-        RECT 2903.305 1759.335 2903.635 1759.350 ;
-        RECT 2920.080 1759.200 2922.480 1759.350 ;
+        RECT 2955.080 1821.240 2957.480 1821.840 ;
     END
   END io_out[7]
   PIN io_out[8]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 2000.800 2903.630 2000.860 ;
-        RECT 2870.580 2000.660 2903.630 2000.800 ;
-        RECT 2903.310 2000.600 2903.630 2000.660 ;
-      LAYER via ;
-        RECT 2903.340 2000.600 2903.600 2000.860 ;
-      LAYER met2 ;
-        RECT 2903.340 2000.570 2903.600 2000.890 ;
-        RECT 2903.400 1994.285 2903.540 2000.570 ;
-        RECT 2903.330 1993.915 2903.610 1994.285 ;
-      LAYER via2 ;
-        RECT 2903.330 1993.960 2903.610 1994.240 ;
       LAYER met3 ;
-        RECT 2903.305 1994.250 2903.635 1994.265 ;
-        RECT 2920.080 1994.250 2922.480 1994.400 ;
-        RECT 2903.305 1993.950 2922.480 1994.250 ;
-        RECT 2903.305 1993.935 2903.635 1993.950 ;
-        RECT 2920.080 1993.800 2922.480 1993.950 ;
+        RECT 2955.080 2055.840 2957.480 2056.440 ;
     END
   END io_out[8]
   PIN io_out[9]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2903.310 2235.400 2903.630 2235.460 ;
-        RECT 2870.580 2235.260 2903.630 2235.400 ;
-        RECT 2903.310 2235.200 2903.630 2235.260 ;
-      LAYER via ;
-        RECT 2903.340 2235.200 2903.600 2235.460 ;
-      LAYER met2 ;
-        RECT 2903.340 2235.170 2903.600 2235.490 ;
-        RECT 2903.400 2228.885 2903.540 2235.170 ;
-        RECT 2903.330 2228.515 2903.610 2228.885 ;
-      LAYER via2 ;
-        RECT 2903.330 2228.560 2903.610 2228.840 ;
       LAYER met3 ;
-        RECT 2903.305 2228.850 2903.635 2228.865 ;
-        RECT 2920.080 2228.850 2922.480 2229.000 ;
-        RECT 2903.305 2228.550 2922.480 2228.850 ;
-        RECT 2903.305 2228.535 2903.635 2228.550 ;
-        RECT 2920.080 2228.400 2922.480 2228.550 ;
+        RECT 2955.080 2290.440 2957.480 2291.040 ;
     END
   END io_out[9]
   PIN la_data_in[0]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 636.980 16.730 637.120 54.000 ;
-        RECT 635.600 16.590 637.120 16.730 ;
-        RECT 635.600 2.400 635.740 16.590 ;
-        RECT 635.530 0.000 635.810 2.400 ;
+        RECT 670.530 32.120 670.810 34.520 ;
     END
   END la_data_in[0]
   PIN la_data_in[100]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2419.940 2.400 2420.080 54.000 ;
-        RECT 2419.870 0.000 2420.150 2.400 ;
+        RECT 2454.870 32.120 2455.150 34.520 ;
     END
   END la_data_in[100]
   PIN la_data_in[101]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2431.350 35.940 2431.670 36.000 ;
-        RECT 2437.330 35.940 2437.650 36.000 ;
-        RECT 2431.350 35.800 2437.650 35.940 ;
-        RECT 2431.350 35.740 2431.670 35.800 ;
-        RECT 2437.330 35.740 2437.650 35.800 ;
-      LAYER via ;
-        RECT 2431.380 35.740 2431.640 36.000 ;
-        RECT 2437.360 35.740 2437.620 36.000 ;
       LAYER met2 ;
-        RECT 2431.440 36.030 2431.580 54.000 ;
-        RECT 2431.380 35.710 2431.640 36.030 ;
-        RECT 2437.360 35.710 2437.620 36.030 ;
-        RECT 2437.420 2.400 2437.560 35.710 ;
-        RECT 2437.350 0.000 2437.630 2.400 ;
+        RECT 2472.350 32.120 2472.630 34.520 ;
     END
   END la_data_in[101]
   PIN la_data_in[102]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2452.050 2.960 2452.370 3.020 ;
-        RECT 2455.270 2.960 2455.590 3.020 ;
-        RECT 2452.050 2.820 2455.590 2.960 ;
-        RECT 2452.050 2.760 2452.370 2.820 ;
-        RECT 2455.270 2.760 2455.590 2.820 ;
-      LAYER via ;
-        RECT 2452.080 2.760 2452.340 3.020 ;
-        RECT 2455.300 2.760 2455.560 3.020 ;
       LAYER met2 ;
-        RECT 2452.140 3.050 2452.280 54.000 ;
-        RECT 2452.080 2.730 2452.340 3.050 ;
-        RECT 2455.300 2.730 2455.560 3.050 ;
-        RECT 2455.360 2.400 2455.500 2.730 ;
-        RECT 2455.290 0.000 2455.570 2.400 ;
+        RECT 2490.290 32.120 2490.570 34.520 ;
     END
   END la_data_in[102]
   PIN la_data_in[103]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2472.840 2.960 2472.980 54.000 ;
-        RECT 2472.840 2.820 2473.440 2.960 ;
-        RECT 2473.300 2.400 2473.440 2.820 ;
-        RECT 2473.230 0.000 2473.510 2.400 ;
+        RECT 2508.230 32.120 2508.510 34.520 ;
     END
   END la_data_in[103]
   PIN la_data_in[104]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2486.550 2.960 2486.870 3.020 ;
-        RECT 2491.150 2.960 2491.470 3.020 ;
-        RECT 2486.550 2.820 2491.470 2.960 ;
-        RECT 2486.550 2.760 2486.870 2.820 ;
-        RECT 2491.150 2.760 2491.470 2.820 ;
-      LAYER via ;
-        RECT 2486.580 2.760 2486.840 3.020 ;
-        RECT 2491.180 2.760 2491.440 3.020 ;
       LAYER met2 ;
-        RECT 2486.640 3.050 2486.780 54.000 ;
-        RECT 2486.580 2.730 2486.840 3.050 ;
-        RECT 2491.180 2.730 2491.440 3.050 ;
-        RECT 2491.240 2.400 2491.380 2.730 ;
-        RECT 2491.170 0.000 2491.450 2.400 ;
+        RECT 2526.170 32.120 2526.450 34.520 ;
     END
   END la_data_in[104]
   PIN la_data_in[105]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2507.250 2.960 2507.570 3.020 ;
-        RECT 2508.630 2.960 2508.950 3.020 ;
-        RECT 2507.250 2.820 2508.950 2.960 ;
-        RECT 2507.250 2.760 2507.570 2.820 ;
-        RECT 2508.630 2.760 2508.950 2.820 ;
-      LAYER via ;
-        RECT 2507.280 2.760 2507.540 3.020 ;
-        RECT 2508.660 2.760 2508.920 3.020 ;
       LAYER met2 ;
-        RECT 2507.340 3.050 2507.480 54.000 ;
-        RECT 2507.280 2.730 2507.540 3.050 ;
-        RECT 2508.660 2.730 2508.920 3.050 ;
-        RECT 2508.720 2.400 2508.860 2.730 ;
-        RECT 2508.650 0.000 2508.930 2.400 ;
+        RECT 2543.650 32.120 2543.930 34.520 ;
     END
   END la_data_in[105]
   PIN la_data_in[106]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2521.050 2.960 2521.370 3.020 ;
-        RECT 2526.570 2.960 2526.890 3.020 ;
-        RECT 2521.050 2.820 2526.890 2.960 ;
-        RECT 2521.050 2.760 2521.370 2.820 ;
-        RECT 2526.570 2.760 2526.890 2.820 ;
-      LAYER via ;
-        RECT 2521.080 2.760 2521.340 3.020 ;
-        RECT 2526.600 2.760 2526.860 3.020 ;
       LAYER met2 ;
-        RECT 2521.140 3.050 2521.280 54.000 ;
-        RECT 2521.080 2.730 2521.340 3.050 ;
-        RECT 2526.600 2.730 2526.860 3.050 ;
-        RECT 2526.660 2.400 2526.800 2.730 ;
-        RECT 2526.590 0.000 2526.870 2.400 ;
+        RECT 2561.590 32.120 2561.870 34.520 ;
     END
   END la_data_in[106]
   PIN la_data_in[107]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2541.750 2.960 2542.070 3.020 ;
-        RECT 2544.510 2.960 2544.830 3.020 ;
-        RECT 2541.750 2.820 2544.830 2.960 ;
-        RECT 2541.750 2.760 2542.070 2.820 ;
-        RECT 2544.510 2.760 2544.830 2.820 ;
-      LAYER via ;
-        RECT 2541.780 2.760 2542.040 3.020 ;
-        RECT 2544.540 2.760 2544.800 3.020 ;
       LAYER met2 ;
-        RECT 2541.840 3.050 2541.980 54.000 ;
-        RECT 2541.780 2.730 2542.040 3.050 ;
-        RECT 2544.540 2.730 2544.800 3.050 ;
-        RECT 2544.600 2.400 2544.740 2.730 ;
-        RECT 2544.530 0.000 2544.810 2.400 ;
+        RECT 2579.530 32.120 2579.810 34.520 ;
     END
   END la_data_in[107]
   PIN la_data_in[108]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2528.025 20.145 2528.195 25.075 ;
-      LAYER mcon ;
-        RECT 2528.025 24.905 2528.195 25.075 ;
-      LAYER met1 ;
-        RECT 1678.790 25.060 1679.110 25.120 ;
-        RECT 2527.965 25.060 2528.255 25.105 ;
-        RECT 1678.790 24.920 2528.255 25.060 ;
-        RECT 1678.790 24.860 1679.110 24.920 ;
-        RECT 2527.965 24.875 2528.255 24.920 ;
-        RECT 2527.965 20.300 2528.255 20.345 ;
-        RECT 2562.450 20.300 2562.770 20.360 ;
-        RECT 2527.965 20.160 2562.770 20.300 ;
-        RECT 2527.965 20.115 2528.255 20.160 ;
-        RECT 2562.450 20.100 2562.770 20.160 ;
-      LAYER via ;
-        RECT 1678.820 24.860 1679.080 25.120 ;
-        RECT 2562.480 20.100 2562.740 20.360 ;
       LAYER met2 ;
-        RECT 1678.880 25.150 1679.020 54.000 ;
-        RECT 1678.820 24.830 1679.080 25.150 ;
-        RECT 2562.480 20.070 2562.740 20.390 ;
-        RECT 2562.540 2.400 2562.680 20.070 ;
-        RECT 2562.470 0.000 2562.750 2.400 ;
+        RECT 2597.470 32.120 2597.750 34.520 ;
     END
   END la_data_in[108]
   PIN la_data_in[109]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1727.165 23.205 1727.335 25.415 ;
-        RECT 2528.945 19.805 2529.115 25.415 ;
-      LAYER mcon ;
-        RECT 1727.165 25.245 1727.335 25.415 ;
-        RECT 2528.945 25.245 2529.115 25.415 ;
-      LAYER met1 ;
-        RECT 1727.105 25.400 1727.395 25.445 ;
-        RECT 2528.885 25.400 2529.175 25.445 ;
-        RECT 1727.105 25.260 2529.175 25.400 ;
-        RECT 1727.105 25.215 1727.395 25.260 ;
-        RECT 2528.885 25.215 2529.175 25.260 ;
-        RECT 1685.690 23.360 1686.010 23.420 ;
-        RECT 1727.105 23.360 1727.395 23.405 ;
-        RECT 1685.690 23.220 1727.395 23.360 ;
-        RECT 1685.690 23.160 1686.010 23.220 ;
-        RECT 1727.105 23.175 1727.395 23.220 ;
-        RECT 2528.885 19.960 2529.175 20.005 ;
-        RECT 2580.390 19.960 2580.710 20.020 ;
-        RECT 2528.885 19.820 2580.710 19.960 ;
-        RECT 2528.885 19.775 2529.175 19.820 ;
-        RECT 2580.390 19.760 2580.710 19.820 ;
-      LAYER via ;
-        RECT 1685.720 23.160 1685.980 23.420 ;
-        RECT 2580.420 19.760 2580.680 20.020 ;
       LAYER met2 ;
-        RECT 1685.780 23.450 1685.920 54.000 ;
-        RECT 1685.720 23.130 1685.980 23.450 ;
-        RECT 2580.420 19.730 2580.680 20.050 ;
-        RECT 2580.480 2.400 2580.620 19.730 ;
-        RECT 2580.410 0.000 2580.690 2.400 ;
+        RECT 2615.410 32.120 2615.690 34.520 ;
     END
   END la_data_in[109]
   PIN la_data_in[10]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 813.990 18.260 814.310 18.320 ;
-        RECT 816.290 18.260 816.610 18.320 ;
-        RECT 813.990 18.120 816.610 18.260 ;
-        RECT 813.990 18.060 814.310 18.120 ;
-        RECT 816.290 18.060 816.610 18.120 ;
-      LAYER via ;
-        RECT 814.020 18.060 814.280 18.320 ;
-        RECT 816.320 18.060 816.580 18.320 ;
       LAYER met2 ;
-        RECT 816.380 18.350 816.520 54.000 ;
-        RECT 814.020 18.030 814.280 18.350 ;
-        RECT 816.320 18.030 816.580 18.350 ;
-        RECT 814.080 2.400 814.220 18.030 ;
-        RECT 814.010 0.000 814.290 2.400 ;
+        RECT 849.010 32.120 849.290 34.520 ;
     END
   END la_data_in[10]
   PIN la_data_in[110]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1706.925 26.435 1707.095 26.775 ;
-        RECT 1706.465 26.265 1707.095 26.435 ;
-        RECT 1731.765 23.885 1731.935 26.775 ;
-      LAYER mcon ;
-        RECT 1706.925 26.605 1707.095 26.775 ;
-        RECT 1731.765 26.605 1731.935 26.775 ;
-      LAYER met1 ;
-        RECT 1706.865 26.760 1707.155 26.805 ;
-        RECT 1731.705 26.760 1731.995 26.805 ;
-        RECT 1706.865 26.620 1731.995 26.760 ;
-        RECT 1706.865 26.575 1707.155 26.620 ;
-        RECT 1731.705 26.575 1731.995 26.620 ;
-        RECT 1690.290 26.420 1690.610 26.480 ;
-        RECT 1706.405 26.420 1706.695 26.465 ;
-        RECT 1690.290 26.280 1706.695 26.420 ;
-        RECT 1690.290 26.220 1690.610 26.280 ;
-        RECT 1706.405 26.235 1706.695 26.280 ;
-        RECT 1731.705 24.040 1731.995 24.085 ;
-        RECT 2576.250 24.040 2576.570 24.100 ;
-        RECT 1731.705 23.900 2576.570 24.040 ;
-        RECT 1731.705 23.855 1731.995 23.900 ;
-        RECT 2576.250 23.840 2576.570 23.900 ;
-      LAYER via ;
-        RECT 1690.320 26.220 1690.580 26.480 ;
-        RECT 2576.280 23.840 2576.540 24.100 ;
       LAYER met2 ;
-        RECT 1690.380 26.510 1690.520 54.000 ;
-        RECT 1690.320 26.190 1690.580 26.510 ;
-        RECT 2576.280 23.810 2576.540 24.130 ;
-        RECT 2576.340 23.645 2576.480 23.810 ;
-        RECT 2576.270 23.275 2576.550 23.645 ;
-        RECT 2597.890 23.275 2598.170 23.645 ;
-        RECT 2597.960 2.400 2598.100 23.275 ;
-        RECT 2597.890 0.000 2598.170 2.400 ;
-      LAYER via2 ;
-        RECT 2576.270 23.320 2576.550 23.600 ;
-        RECT 2597.890 23.320 2598.170 23.600 ;
-      LAYER met3 ;
-        RECT 2576.245 23.610 2576.575 23.625 ;
-        RECT 2597.865 23.610 2598.195 23.625 ;
-        RECT 2576.245 23.310 2598.195 23.610 ;
-        RECT 2576.245 23.295 2576.575 23.310 ;
-        RECT 2597.865 23.295 2598.195 23.310 ;
+        RECT 2632.890 32.120 2633.170 34.520 ;
     END
   END la_data_in[110]
   PIN la_data_in[111]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2576.325 20.655 2576.495 20.995 ;
-        RECT 2576.325 20.485 2577.415 20.655 ;
-      LAYER mcon ;
-        RECT 2576.325 20.825 2576.495 20.995 ;
-        RECT 2577.245 20.485 2577.415 20.655 ;
-      LAYER met1 ;
-        RECT 1692.130 20.980 1692.450 21.040 ;
-        RECT 2576.265 20.980 2576.555 21.025 ;
-        RECT 2615.810 20.980 2616.130 21.040 ;
-        RECT 1692.130 20.840 2576.555 20.980 ;
-        RECT 1692.130 20.780 1692.450 20.840 ;
-        RECT 2576.265 20.795 2576.555 20.840 ;
-        RECT 2580.940 20.840 2616.130 20.980 ;
-        RECT 2577.185 20.640 2577.475 20.685 ;
-        RECT 2580.940 20.640 2581.080 20.840 ;
-        RECT 2615.810 20.780 2616.130 20.840 ;
-        RECT 2577.185 20.500 2581.080 20.640 ;
-        RECT 2577.185 20.455 2577.475 20.500 ;
-      LAYER via ;
-        RECT 1692.160 20.780 1692.420 21.040 ;
-        RECT 2615.840 20.780 2616.100 21.040 ;
       LAYER met2 ;
-        RECT 1692.220 21.070 1692.360 54.000 ;
-        RECT 1692.160 20.750 1692.420 21.070 ;
-        RECT 2615.840 20.750 2616.100 21.070 ;
-        RECT 2615.900 2.400 2616.040 20.750 ;
-        RECT 2615.830 0.000 2616.110 2.400 ;
+        RECT 2650.830 32.120 2651.110 34.520 ;
     END
   END la_data_in[111]
   PIN la_data_in[112]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1731.305 21.165 1731.475 24.055 ;
-      LAYER mcon ;
-        RECT 1731.305 23.885 1731.475 24.055 ;
-      LAYER met1 ;
-        RECT 1699.030 24.040 1699.350 24.100 ;
-        RECT 1731.245 24.040 1731.535 24.085 ;
-        RECT 1699.030 23.900 1731.535 24.040 ;
-        RECT 1699.030 23.840 1699.350 23.900 ;
-        RECT 1731.245 23.855 1731.535 23.900 ;
-        RECT 2576.710 24.040 2577.030 24.100 ;
-        RECT 2633.750 24.040 2634.070 24.100 ;
-        RECT 2576.710 23.900 2634.070 24.040 ;
-        RECT 2576.710 23.840 2577.030 23.900 ;
-        RECT 2633.750 23.840 2634.070 23.900 ;
-        RECT 1731.245 21.320 1731.535 21.365 ;
-        RECT 2576.710 21.320 2577.030 21.380 ;
-        RECT 1731.245 21.180 2577.030 21.320 ;
-        RECT 1731.245 21.135 1731.535 21.180 ;
-        RECT 2576.710 21.120 2577.030 21.180 ;
-      LAYER via ;
-        RECT 1699.060 23.840 1699.320 24.100 ;
-        RECT 2576.740 23.840 2577.000 24.100 ;
-        RECT 2633.780 23.840 2634.040 24.100 ;
-        RECT 2576.740 21.120 2577.000 21.380 ;
       LAYER met2 ;
-        RECT 1699.120 24.130 1699.260 54.000 ;
-        RECT 1699.060 23.810 1699.320 24.130 ;
-        RECT 2576.740 23.810 2577.000 24.130 ;
-        RECT 2633.780 23.810 2634.040 24.130 ;
-        RECT 2576.800 21.410 2576.940 23.810 ;
-        RECT 2576.740 21.090 2577.000 21.410 ;
-        RECT 2633.840 2.400 2633.980 23.810 ;
-        RECT 2633.770 0.000 2634.050 2.400 ;
+        RECT 2668.770 32.120 2669.050 34.520 ;
     END
   END la_data_in[112]
   PIN la_data_in[113]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1730.845 21.165 1731.015 22.355 ;
-      LAYER mcon ;
-        RECT 1730.845 22.185 1731.015 22.355 ;
-      LAYER met1 ;
-        RECT 1730.785 22.340 1731.075 22.385 ;
-        RECT 2651.690 22.340 2652.010 22.400 ;
-        RECT 1730.785 22.200 2652.010 22.340 ;
-        RECT 1730.785 22.155 1731.075 22.200 ;
-        RECT 2651.690 22.140 2652.010 22.200 ;
-        RECT 1697.190 21.320 1697.510 21.380 ;
-        RECT 1730.785 21.320 1731.075 21.365 ;
-        RECT 1697.190 21.180 1731.075 21.320 ;
-        RECT 1697.190 21.120 1697.510 21.180 ;
-        RECT 1730.785 21.135 1731.075 21.180 ;
-      LAYER via ;
-        RECT 2651.720 22.140 2651.980 22.400 ;
-        RECT 1697.220 21.120 1697.480 21.380 ;
       LAYER met2 ;
-        RECT 1697.280 21.410 1697.420 54.000 ;
-        RECT 2651.720 22.110 2651.980 22.430 ;
-        RECT 1697.220 21.090 1697.480 21.410 ;
-        RECT 2651.780 2.400 2651.920 22.110 ;
-        RECT 2651.710 0.000 2651.990 2.400 ;
+        RECT 2686.710 32.120 2686.990 34.520 ;
     END
   END la_data_in[113]
   PIN la_data_in[114]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1732.685 22.525 1732.855 46.835 ;
-      LAYER mcon ;
-        RECT 1732.685 46.665 1732.855 46.835 ;
-      LAYER met1 ;
-        RECT 1706.390 46.820 1706.710 46.880 ;
-        RECT 1732.625 46.820 1732.915 46.865 ;
-        RECT 1706.390 46.680 1732.915 46.820 ;
-        RECT 1706.390 46.620 1706.710 46.680 ;
-        RECT 1732.625 46.635 1732.915 46.680 ;
-        RECT 1732.625 22.680 1732.915 22.725 ;
-        RECT 2669.630 22.680 2669.950 22.740 ;
-        RECT 1732.625 22.540 2669.950 22.680 ;
-        RECT 1732.625 22.495 1732.915 22.540 ;
-        RECT 2669.630 22.480 2669.950 22.540 ;
-      LAYER via ;
-        RECT 1706.420 46.620 1706.680 46.880 ;
-        RECT 2669.660 22.480 2669.920 22.740 ;
       LAYER met2 ;
-        RECT 1706.480 46.910 1706.620 54.000 ;
-        RECT 1706.420 46.590 1706.680 46.910 ;
-        RECT 2669.660 22.450 2669.920 22.770 ;
-        RECT 2669.720 2.400 2669.860 22.450 ;
-        RECT 2669.650 0.000 2669.930 2.400 ;
+        RECT 2704.650 32.120 2704.930 34.520 ;
     END
   END la_data_in[114]
   PIN la_data_in[115]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2648.085 21.165 2648.255 23.035 ;
-      LAYER mcon ;
-        RECT 2648.085 22.865 2648.255 23.035 ;
-      LAYER met1 ;
-        RECT 1704.550 23.700 1704.870 23.760 ;
-        RECT 1704.550 23.560 1727.780 23.700 ;
-        RECT 1704.550 23.500 1704.870 23.560 ;
-        RECT 1727.640 23.020 1727.780 23.560 ;
-        RECT 2648.025 23.020 2648.315 23.065 ;
-        RECT 1727.640 22.880 2648.315 23.020 ;
-        RECT 2648.025 22.835 2648.315 22.880 ;
-        RECT 2648.025 21.320 2648.315 21.365 ;
-        RECT 2687.110 21.320 2687.430 21.380 ;
-        RECT 2648.025 21.180 2687.430 21.320 ;
-        RECT 2648.025 21.135 2648.315 21.180 ;
-        RECT 2687.110 21.120 2687.430 21.180 ;
-      LAYER via ;
-        RECT 1704.580 23.500 1704.840 23.760 ;
-        RECT 2687.140 21.120 2687.400 21.380 ;
       LAYER met2 ;
-        RECT 1703.720 48.805 1703.860 54.000 ;
-        RECT 1703.650 48.435 1703.930 48.805 ;
-        RECT 1704.570 48.435 1704.850 48.805 ;
-        RECT 1704.640 23.790 1704.780 48.435 ;
-        RECT 1704.580 23.470 1704.840 23.790 ;
-        RECT 2687.140 21.090 2687.400 21.410 ;
-        RECT 2687.200 2.400 2687.340 21.090 ;
-        RECT 2687.130 0.000 2687.410 2.400 ;
-      LAYER via2 ;
-        RECT 1703.650 48.480 1703.930 48.760 ;
-        RECT 1704.570 48.480 1704.850 48.760 ;
-      LAYER met3 ;
-        RECT 1703.625 48.770 1703.955 48.785 ;
-        RECT 1704.545 48.770 1704.875 48.785 ;
-        RECT 1703.625 48.470 1704.875 48.770 ;
-        RECT 1703.625 48.455 1703.955 48.470 ;
-        RECT 1704.545 48.455 1704.875 48.470 ;
+        RECT 2722.130 32.120 2722.410 34.520 ;
     END
   END la_data_in[115]
   PIN la_data_in[116]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1754.230 23.360 1754.550 23.420 ;
-        RECT 1754.230 23.220 2648.700 23.360 ;
-        RECT 1754.230 23.160 1754.550 23.220 ;
-        RECT 2648.560 23.020 2648.700 23.220 ;
-        RECT 2705.050 23.020 2705.370 23.080 ;
-        RECT 2648.560 22.880 2705.370 23.020 ;
-        RECT 2705.050 22.820 2705.370 22.880 ;
-      LAYER via ;
-        RECT 1754.260 23.160 1754.520 23.420 ;
-        RECT 2705.080 22.820 2705.340 23.080 ;
       LAYER met2 ;
-        RECT 1713.380 22.285 1713.520 54.000 ;
-        RECT 1754.260 23.130 1754.520 23.450 ;
-        RECT 1754.320 22.285 1754.460 23.130 ;
-        RECT 2705.080 22.790 2705.340 23.110 ;
-        RECT 1713.310 21.915 1713.590 22.285 ;
-        RECT 1754.250 21.915 1754.530 22.285 ;
-        RECT 2705.140 2.400 2705.280 22.790 ;
-        RECT 2705.070 0.000 2705.350 2.400 ;
-      LAYER via2 ;
-        RECT 1713.310 21.960 1713.590 22.240 ;
-        RECT 1754.250 21.960 1754.530 22.240 ;
-      LAYER met3 ;
-        RECT 1713.285 22.250 1713.615 22.265 ;
-        RECT 1754.225 22.250 1754.555 22.265 ;
-        RECT 1713.285 21.950 1754.555 22.250 ;
-        RECT 1713.285 21.935 1713.615 21.950 ;
-        RECT 1754.225 21.935 1754.555 21.950 ;
+        RECT 2740.070 32.120 2740.350 34.520 ;
     END
   END la_data_in[116]
   PIN la_data_in[117]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1712.830 25.400 1713.150 25.460 ;
-        RECT 1726.630 25.400 1726.950 25.460 ;
-        RECT 1712.830 25.260 1726.950 25.400 ;
-        RECT 1712.830 25.200 1713.150 25.260 ;
-        RECT 1726.630 25.200 1726.950 25.260 ;
-        RECT 1754.690 23.700 1755.010 23.760 ;
-        RECT 2722.990 23.700 2723.310 23.760 ;
-        RECT 1754.690 23.560 2723.310 23.700 ;
-        RECT 1754.690 23.500 1755.010 23.560 ;
-        RECT 2722.990 23.500 2723.310 23.560 ;
-      LAYER via ;
-        RECT 1712.860 25.200 1713.120 25.460 ;
-        RECT 1726.660 25.200 1726.920 25.460 ;
-        RECT 1754.720 23.500 1754.980 23.760 ;
-        RECT 2723.020 23.500 2723.280 23.760 ;
       LAYER met2 ;
-        RECT 1712.920 25.490 1713.060 54.000 ;
-        RECT 1712.860 25.170 1713.120 25.490 ;
-        RECT 1726.660 25.170 1726.920 25.490 ;
-        RECT 1726.720 22.965 1726.860 25.170 ;
-        RECT 1754.720 23.470 1754.980 23.790 ;
-        RECT 2723.020 23.470 2723.280 23.790 ;
-        RECT 1754.780 22.965 1754.920 23.470 ;
-        RECT 1726.650 22.595 1726.930 22.965 ;
-        RECT 1754.710 22.595 1754.990 22.965 ;
-        RECT 2723.080 2.400 2723.220 23.470 ;
-        RECT 2723.010 0.000 2723.290 2.400 ;
-      LAYER via2 ;
-        RECT 1726.650 22.640 1726.930 22.920 ;
-        RECT 1754.710 22.640 1754.990 22.920 ;
-      LAYER met3 ;
-        RECT 1726.625 22.930 1726.955 22.945 ;
-        RECT 1754.685 22.930 1755.015 22.945 ;
-        RECT 1726.625 22.630 1755.015 22.930 ;
-        RECT 1726.625 22.615 1726.955 22.630 ;
-        RECT 1754.685 22.615 1755.015 22.630 ;
+        RECT 2758.010 32.120 2758.290 34.520 ;
     END
   END la_data_in[117]
   PIN la_data_in[118]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1726.705 26.945 1732.395 27.115 ;
-        RECT 1726.705 26.265 1726.875 26.945 ;
-        RECT 1732.225 26.605 1732.395 26.945 ;
-      LAYER met1 ;
-        RECT 1732.165 26.760 1732.455 26.805 ;
-        RECT 2740.930 26.760 2741.250 26.820 ;
-        RECT 1732.165 26.620 2741.250 26.760 ;
-        RECT 1732.165 26.575 1732.455 26.620 ;
-        RECT 2740.930 26.560 2741.250 26.620 ;
-        RECT 1717.890 26.420 1718.210 26.480 ;
-        RECT 1726.645 26.420 1726.935 26.465 ;
-        RECT 1717.890 26.280 1726.935 26.420 ;
-        RECT 1717.890 26.220 1718.210 26.280 ;
-        RECT 1726.645 26.235 1726.935 26.280 ;
-      LAYER via ;
-        RECT 2740.960 26.560 2741.220 26.820 ;
-        RECT 1717.920 26.220 1718.180 26.480 ;
       LAYER met2 ;
-        RECT 1717.980 26.510 1718.120 54.000 ;
-        RECT 2740.960 26.530 2741.220 26.850 ;
-        RECT 1717.920 26.190 1718.180 26.510 ;
-        RECT 2741.020 2.400 2741.160 26.530 ;
-        RECT 2740.950 0.000 2741.230 2.400 ;
+        RECT 2775.950 32.120 2776.230 34.520 ;
     END
   END la_data_in[118]
   PIN la_data_in[119]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2758.410 26.420 2758.730 26.480 ;
-        RECT 1730.860 26.280 2758.730 26.420 ;
-        RECT 1719.730 25.740 1720.050 25.800 ;
-        RECT 1730.860 25.740 1731.000 26.280 ;
-        RECT 2758.410 26.220 2758.730 26.280 ;
-        RECT 1719.730 25.600 1731.000 25.740 ;
-        RECT 1719.730 25.540 1720.050 25.600 ;
-      LAYER via ;
-        RECT 1719.760 25.540 1720.020 25.800 ;
-        RECT 2758.440 26.220 2758.700 26.480 ;
       LAYER met2 ;
-        RECT 1719.820 25.830 1719.960 54.000 ;
-        RECT 2758.440 26.190 2758.700 26.510 ;
-        RECT 1719.760 25.510 1720.020 25.830 ;
-        RECT 2758.500 2.400 2758.640 26.190 ;
-        RECT 2758.430 0.000 2758.710 2.400 ;
+        RECT 2793.430 32.120 2793.710 34.520 ;
     END
   END la_data_in[119]
   PIN la_data_in[11]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 831.930 18.260 832.250 18.320 ;
-        RECT 836.070 18.260 836.390 18.320 ;
-        RECT 831.930 18.120 836.390 18.260 ;
-        RECT 831.930 18.060 832.250 18.120 ;
-        RECT 836.070 18.060 836.390 18.120 ;
-      LAYER via ;
-        RECT 831.960 18.060 832.220 18.320 ;
-        RECT 836.100 18.060 836.360 18.320 ;
       LAYER met2 ;
-        RECT 831.960 18.030 832.220 18.350 ;
-        RECT 836.100 18.090 836.360 18.350 ;
-        RECT 837.080 18.090 837.220 54.000 ;
-        RECT 836.100 18.030 837.220 18.090 ;
-        RECT 832.020 2.400 832.160 18.030 ;
-        RECT 836.160 17.950 837.220 18.030 ;
-        RECT 831.950 0.000 832.230 2.400 ;
+        RECT 866.950 32.120 867.230 34.520 ;
     END
   END la_data_in[11]
   PIN la_data_in[120]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1730.385 25.755 1730.555 26.435 ;
-        RECT 1730.385 25.585 1731.475 25.755 ;
-      LAYER mcon ;
-        RECT 1730.385 26.265 1730.555 26.435 ;
-        RECT 1731.305 25.585 1731.475 25.755 ;
-      LAYER met1 ;
-        RECT 1727.090 26.420 1727.410 26.480 ;
-        RECT 1730.325 26.420 1730.615 26.465 ;
-        RECT 1727.090 26.280 1730.615 26.420 ;
-        RECT 1727.090 26.220 1727.410 26.280 ;
-        RECT 1730.325 26.235 1730.615 26.280 ;
-        RECT 2776.350 26.080 2776.670 26.140 ;
-        RECT 1731.780 25.940 2776.670 26.080 ;
-        RECT 1731.245 25.740 1731.535 25.785 ;
-        RECT 1731.780 25.740 1731.920 25.940 ;
-        RECT 2776.350 25.880 2776.670 25.940 ;
-        RECT 1731.245 25.600 1731.920 25.740 ;
-        RECT 1731.245 25.555 1731.535 25.600 ;
-      LAYER via ;
-        RECT 1727.120 26.220 1727.380 26.480 ;
-        RECT 2776.380 25.880 2776.640 26.140 ;
       LAYER met2 ;
-        RECT 1727.180 26.510 1727.320 54.000 ;
-        RECT 1727.120 26.190 1727.380 26.510 ;
-        RECT 2776.380 25.850 2776.640 26.170 ;
-        RECT 2776.440 2.400 2776.580 25.850 ;
-        RECT 2776.370 0.000 2776.650 2.400 ;
+        RECT 2811.370 32.120 2811.650 34.520 ;
     END
   END la_data_in[120]
   PIN la_data_in[121]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1754.690 25.740 1755.010 25.800 ;
-        RECT 2794.290 25.740 2794.610 25.800 ;
-        RECT 1754.690 25.600 2794.610 25.740 ;
-        RECT 1754.690 25.540 1755.010 25.600 ;
-        RECT 2794.290 25.540 2794.610 25.600 ;
-      LAYER via ;
-        RECT 1754.720 25.540 1754.980 25.800 ;
-        RECT 2794.320 25.540 2794.580 25.800 ;
       LAYER met2 ;
-        RECT 1726.720 26.365 1726.860 54.000 ;
-        RECT 1726.650 25.995 1726.930 26.365 ;
-        RECT 1754.710 25.995 1754.990 26.365 ;
-        RECT 1754.780 25.830 1754.920 25.995 ;
-        RECT 1754.720 25.510 1754.980 25.830 ;
-        RECT 2794.320 25.510 2794.580 25.830 ;
-        RECT 2794.380 2.400 2794.520 25.510 ;
-        RECT 2794.310 0.000 2794.590 2.400 ;
-      LAYER via2 ;
-        RECT 1726.650 26.040 1726.930 26.320 ;
-        RECT 1754.710 26.040 1754.990 26.320 ;
-      LAYER met3 ;
-        RECT 1726.625 26.330 1726.955 26.345 ;
-        RECT 1754.685 26.330 1755.015 26.345 ;
-        RECT 1726.625 26.030 1755.015 26.330 ;
-        RECT 1726.625 26.015 1726.955 26.030 ;
-        RECT 1754.685 26.015 1755.015 26.030 ;
+        RECT 2829.310 32.120 2829.590 34.520 ;
     END
   END la_data_in[121]
   PIN la_data_in[122]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2529.405 25.245 2529.575 27.795 ;
-      LAYER mcon ;
-        RECT 2529.405 27.625 2529.575 27.795 ;
-      LAYER met1 ;
-        RECT 1854.510 27.780 1854.830 27.840 ;
-        RECT 2529.345 27.780 2529.635 27.825 ;
-        RECT 1854.510 27.640 2529.635 27.780 ;
-        RECT 1854.510 27.580 1854.830 27.640 ;
-        RECT 2529.345 27.595 2529.635 27.640 ;
-        RECT 1733.990 25.740 1734.310 25.800 ;
-        RECT 1754.230 25.740 1754.550 25.800 ;
-        RECT 1733.990 25.600 1754.550 25.740 ;
-        RECT 1733.990 25.540 1734.310 25.600 ;
-        RECT 1754.230 25.540 1754.550 25.600 ;
-        RECT 2529.345 25.400 2529.635 25.445 ;
-        RECT 2812.230 25.400 2812.550 25.460 ;
-        RECT 2529.345 25.260 2812.550 25.400 ;
-        RECT 2529.345 25.215 2529.635 25.260 ;
-        RECT 2812.230 25.200 2812.550 25.260 ;
-      LAYER via ;
-        RECT 1854.540 27.580 1854.800 27.840 ;
-        RECT 1734.020 25.540 1734.280 25.800 ;
-        RECT 1754.260 25.540 1754.520 25.800 ;
-        RECT 2812.260 25.200 2812.520 25.460 ;
       LAYER met2 ;
-        RECT 1734.080 25.830 1734.220 54.000 ;
-        RECT 1854.540 27.550 1854.800 27.870 ;
-        RECT 1734.020 25.510 1734.280 25.830 ;
-        RECT 1754.260 25.685 1754.520 25.830 ;
-        RECT 1854.600 25.685 1854.740 27.550 ;
-        RECT 1754.250 25.315 1754.530 25.685 ;
-        RECT 1854.530 25.315 1854.810 25.685 ;
-        RECT 2812.260 25.170 2812.520 25.490 ;
-        RECT 2812.320 2.400 2812.460 25.170 ;
-        RECT 2812.250 0.000 2812.530 2.400 ;
-      LAYER via2 ;
-        RECT 1754.250 25.360 1754.530 25.640 ;
-        RECT 1854.530 25.360 1854.810 25.640 ;
-      LAYER met3 ;
-        RECT 1754.225 25.650 1754.555 25.665 ;
-        RECT 1854.505 25.650 1854.835 25.665 ;
-        RECT 1754.225 25.350 1854.835 25.650 ;
-        RECT 1754.225 25.335 1754.555 25.350 ;
-        RECT 1854.505 25.335 1854.835 25.350 ;
+        RECT 2847.250 32.120 2847.530 34.520 ;
     END
   END la_data_in[122]
   PIN la_data_in[123]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2575.865 24.905 2576.035 28.135 ;
-      LAYER mcon ;
-        RECT 2575.865 27.965 2576.035 28.135 ;
-      LAYER met1 ;
-        RECT 1854.970 28.120 1855.290 28.180 ;
-        RECT 2575.805 28.120 2576.095 28.165 ;
-        RECT 1854.970 27.980 2576.095 28.120 ;
-        RECT 1854.970 27.920 1855.290 27.980 ;
-        RECT 2575.805 27.935 2576.095 27.980 ;
-        RECT 2575.805 25.060 2576.095 25.105 ;
-        RECT 2830.170 25.060 2830.490 25.120 ;
-        RECT 2575.805 24.920 2830.490 25.060 ;
-        RECT 2575.805 24.875 2576.095 24.920 ;
-        RECT 2830.170 24.860 2830.490 24.920 ;
-        RECT 1733.530 23.360 1733.850 23.420 ;
-        RECT 1753.310 23.360 1753.630 23.420 ;
-        RECT 1733.530 23.220 1753.630 23.360 ;
-        RECT 1733.530 23.160 1733.850 23.220 ;
-        RECT 1753.310 23.160 1753.630 23.220 ;
-      LAYER via ;
-        RECT 1855.000 27.920 1855.260 28.180 ;
-        RECT 2830.200 24.860 2830.460 25.120 ;
-        RECT 1733.560 23.160 1733.820 23.420 ;
-        RECT 1753.340 23.160 1753.600 23.420 ;
       LAYER met2 ;
-        RECT 1733.620 23.450 1733.760 54.000 ;
-        RECT 1855.000 27.890 1855.260 28.210 ;
-        RECT 1855.060 25.005 1855.200 27.890 ;
-        RECT 1753.330 24.635 1753.610 25.005 ;
-        RECT 1854.990 24.635 1855.270 25.005 ;
-        RECT 2830.200 24.830 2830.460 25.150 ;
-        RECT 1753.400 23.450 1753.540 24.635 ;
-        RECT 1733.560 23.130 1733.820 23.450 ;
-        RECT 1753.340 23.130 1753.600 23.450 ;
-        RECT 2830.260 2.400 2830.400 24.830 ;
-        RECT 2830.190 0.000 2830.470 2.400 ;
-      LAYER via2 ;
-        RECT 1753.330 24.680 1753.610 24.960 ;
-        RECT 1854.990 24.680 1855.270 24.960 ;
-      LAYER met3 ;
-        RECT 1753.305 24.970 1753.635 24.985 ;
-        RECT 1854.965 24.970 1855.295 24.985 ;
-        RECT 1753.305 24.670 1855.295 24.970 ;
-        RECT 1753.305 24.655 1753.635 24.670 ;
-        RECT 1854.965 24.655 1855.295 24.670 ;
+        RECT 2865.190 32.120 2865.470 34.520 ;
     END
   END la_data_in[123]
   PIN la_data_in[124]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2528.485 20.485 2528.655 25.075 ;
-        RECT 2575.405 20.485 2575.575 25.075 ;
-        RECT 2647.625 21.165 2647.795 24.055 ;
-        RECT 2672.925 23.205 2673.095 24.055 ;
-        RECT 2797.125 23.545 2797.755 23.715 ;
-        RECT 2693.625 22.185 2693.795 23.375 ;
-        RECT 2749.285 23.035 2749.455 23.375 ;
-        RECT 2741.465 22.185 2741.635 23.035 ;
-        RECT 2748.825 22.865 2749.455 23.035 ;
-      LAYER mcon ;
-        RECT 2528.485 24.905 2528.655 25.075 ;
-        RECT 2575.405 24.905 2575.575 25.075 ;
-        RECT 2647.625 23.885 2647.795 24.055 ;
-        RECT 2672.925 23.885 2673.095 24.055 ;
-        RECT 2797.585 23.545 2797.755 23.715 ;
-        RECT 2693.625 23.205 2693.795 23.375 ;
-        RECT 2749.285 23.205 2749.455 23.375 ;
-        RECT 2741.465 22.865 2741.635 23.035 ;
-      LAYER met1 ;
-        RECT 2528.425 25.060 2528.715 25.105 ;
-        RECT 2575.345 25.060 2575.635 25.105 ;
-        RECT 2528.425 24.920 2575.635 25.060 ;
-        RECT 2528.425 24.875 2528.715 24.920 ;
-        RECT 2575.345 24.875 2575.635 24.920 ;
-        RECT 2647.565 24.040 2647.855 24.085 ;
-        RECT 2672.865 24.040 2673.155 24.085 ;
-        RECT 2647.565 23.900 2673.155 24.040 ;
-        RECT 2647.565 23.855 2647.855 23.900 ;
-        RECT 2672.865 23.855 2673.155 23.900 ;
-        RECT 1739.510 23.700 1739.830 23.760 ;
-        RECT 1752.850 23.700 1753.170 23.760 ;
-        RECT 1739.510 23.560 1753.170 23.700 ;
-        RECT 1739.510 23.500 1739.830 23.560 ;
-        RECT 1752.850 23.500 1753.170 23.560 ;
-        RECT 2797.065 23.515 2797.355 23.745 ;
-        RECT 2797.525 23.700 2797.815 23.745 ;
-        RECT 2847.650 23.700 2847.970 23.760 ;
-        RECT 2797.525 23.560 2847.970 23.700 ;
-        RECT 2797.525 23.515 2797.815 23.560 ;
-        RECT 2672.865 23.360 2673.155 23.405 ;
-        RECT 2693.565 23.360 2693.855 23.405 ;
-        RECT 2672.865 23.220 2693.855 23.360 ;
-        RECT 2672.865 23.175 2673.155 23.220 ;
-        RECT 2693.565 23.175 2693.855 23.220 ;
-        RECT 2749.225 23.360 2749.515 23.405 ;
-        RECT 2797.140 23.360 2797.280 23.515 ;
-        RECT 2847.650 23.500 2847.970 23.560 ;
-        RECT 2749.225 23.220 2797.280 23.360 ;
-        RECT 2749.225 23.175 2749.515 23.220 ;
-        RECT 2741.405 23.020 2741.695 23.065 ;
-        RECT 2748.765 23.020 2749.055 23.065 ;
-        RECT 2741.405 22.880 2749.055 23.020 ;
-        RECT 2741.405 22.835 2741.695 22.880 ;
-        RECT 2748.765 22.835 2749.055 22.880 ;
-        RECT 2693.565 22.340 2693.855 22.385 ;
-        RECT 2741.405 22.340 2741.695 22.385 ;
-        RECT 2693.565 22.200 2741.695 22.340 ;
-        RECT 2693.565 22.155 2693.855 22.200 ;
-        RECT 2741.405 22.155 2741.695 22.200 ;
-        RECT 2647.565 21.320 2647.855 21.365 ;
-        RECT 2577.260 21.180 2647.855 21.320 ;
-        RECT 2577.260 20.980 2577.400 21.180 ;
-        RECT 2647.565 21.135 2647.855 21.180 ;
-        RECT 2576.800 20.840 2577.400 20.980 ;
-        RECT 2094.170 20.640 2094.490 20.700 ;
-        RECT 2528.425 20.640 2528.715 20.685 ;
-        RECT 2094.170 20.500 2528.715 20.640 ;
-        RECT 2094.170 20.440 2094.490 20.500 ;
-        RECT 2528.425 20.455 2528.715 20.500 ;
-        RECT 2575.345 20.640 2575.635 20.685 ;
-        RECT 2576.800 20.640 2576.940 20.840 ;
-        RECT 2575.345 20.500 2576.940 20.640 ;
-        RECT 2575.345 20.455 2575.635 20.500 ;
-      LAYER via ;
-        RECT 1739.540 23.500 1739.800 23.760 ;
-        RECT 1752.880 23.500 1753.140 23.760 ;
-        RECT 2847.680 23.500 2847.940 23.760 ;
-        RECT 2094.200 20.440 2094.460 20.700 ;
       LAYER met2 ;
-        RECT 1739.600 23.790 1739.740 54.000 ;
-        RECT 1752.870 23.955 1753.150 24.325 ;
-        RECT 1752.940 23.790 1753.080 23.955 ;
-        RECT 1739.540 23.470 1739.800 23.790 ;
-        RECT 1752.880 23.470 1753.140 23.790 ;
-        RECT 2094.190 23.275 2094.470 23.645 ;
-        RECT 2847.680 23.470 2847.940 23.790 ;
-        RECT 2094.260 20.730 2094.400 23.275 ;
-        RECT 2094.200 20.410 2094.460 20.730 ;
-        RECT 2847.740 2.400 2847.880 23.470 ;
-        RECT 2847.670 0.000 2847.950 2.400 ;
-      LAYER via2 ;
-        RECT 1752.870 24.000 1753.150 24.280 ;
-        RECT 2094.190 23.320 2094.470 23.600 ;
-      LAYER met3 ;
-        RECT 1752.845 24.290 1753.175 24.305 ;
-        RECT 1752.845 23.990 1759.370 24.290 ;
-        RECT 1752.845 23.975 1753.175 23.990 ;
-        RECT 1759.070 23.610 1759.370 23.990 ;
-        RECT 2094.165 23.610 2094.495 23.625 ;
-        RECT 1759.070 23.310 2094.495 23.610 ;
-        RECT 2094.165 23.295 2094.495 23.310 ;
+        RECT 2882.670 32.120 2882.950 34.520 ;
     END
   END la_data_in[124]
   PIN la_data_in[125]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1746.040 23.645 1746.180 54.000 ;
-        RECT 1779.090 23.955 1779.370 24.325 ;
-        RECT 2865.610 23.955 2865.890 24.325 ;
-        RECT 1745.970 23.275 1746.250 23.645 ;
-        RECT 1779.160 22.965 1779.300 23.955 ;
-        RECT 1779.090 22.595 1779.370 22.965 ;
-        RECT 2865.680 2.400 2865.820 23.955 ;
-        RECT 2865.610 0.000 2865.890 2.400 ;
-      LAYER via2 ;
-        RECT 1779.090 24.000 1779.370 24.280 ;
-        RECT 2865.610 24.000 2865.890 24.280 ;
-        RECT 1745.970 23.320 1746.250 23.600 ;
-        RECT 1779.090 22.640 1779.370 22.920 ;
-      LAYER met3 ;
-        RECT 1779.065 24.290 1779.395 24.305 ;
-        RECT 2865.585 24.290 2865.915 24.305 ;
-        RECT 1779.065 23.990 2865.915 24.290 ;
-        RECT 1779.065 23.975 1779.395 23.990 ;
-        RECT 2865.585 23.975 2865.915 23.990 ;
-        RECT 1745.945 23.610 1746.275 23.625 ;
-        RECT 1745.945 23.310 1758.450 23.610 ;
-        RECT 1745.945 23.295 1746.275 23.310 ;
-        RECT 1758.150 22.930 1758.450 23.310 ;
-        RECT 1779.065 22.930 1779.395 22.945 ;
-        RECT 1758.150 22.630 1779.395 22.930 ;
-        RECT 1779.065 22.615 1779.395 22.630 ;
+        RECT 2900.610 32.120 2900.890 34.520 ;
     END
   END la_data_in[125]
   PIN la_data_in[126]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2879.850 1666.240 2880.170 1666.300 ;
-        RECT 2870.580 1666.100 2880.170 1666.240 ;
-        RECT 2879.850 1666.040 2880.170 1666.100 ;
-        RECT 2879.850 62.120 2880.170 62.180 ;
-        RECT 2883.530 62.120 2883.850 62.180 ;
-        RECT 2879.850 61.980 2883.850 62.120 ;
-        RECT 2879.850 61.920 2880.170 61.980 ;
-        RECT 2883.530 61.920 2883.850 61.980 ;
-      LAYER via ;
-        RECT 2879.880 1666.040 2880.140 1666.300 ;
-        RECT 2879.880 61.920 2880.140 62.180 ;
-        RECT 2883.560 61.920 2883.820 62.180 ;
       LAYER met2 ;
-        RECT 2879.880 1666.010 2880.140 1666.330 ;
-        RECT 2879.940 62.210 2880.080 1666.010 ;
-        RECT 2879.880 61.890 2880.140 62.210 ;
-        RECT 2883.560 61.890 2883.820 62.210 ;
-        RECT 2883.620 2.400 2883.760 61.890 ;
-        RECT 2883.550 0.000 2883.830 2.400 ;
+        RECT 2918.550 32.120 2918.830 34.520 ;
     END
   END la_data_in[126]
   PIN la_data_in[127]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2896.870 1562.880 2897.190 1562.940 ;
-        RECT 2870.580 1562.740 2897.190 1562.880 ;
-        RECT 2896.870 1562.680 2897.190 1562.740 ;
-        RECT 2896.870 20.640 2897.190 20.700 ;
-        RECT 2901.470 20.640 2901.790 20.700 ;
-        RECT 2896.870 20.500 2901.790 20.640 ;
-        RECT 2896.870 20.440 2897.190 20.500 ;
-        RECT 2901.470 20.440 2901.790 20.500 ;
-      LAYER via ;
-        RECT 2896.900 1562.680 2897.160 1562.940 ;
-        RECT 2896.900 20.440 2897.160 20.700 ;
-        RECT 2901.500 20.440 2901.760 20.700 ;
       LAYER met2 ;
-        RECT 2896.900 1562.650 2897.160 1562.970 ;
-        RECT 2896.960 20.730 2897.100 1562.650 ;
-        RECT 2896.900 20.410 2897.160 20.730 ;
-        RECT 2901.500 20.410 2901.760 20.730 ;
-        RECT 2901.560 2.400 2901.700 20.410 ;
-        RECT 2901.490 0.000 2901.770 2.400 ;
+        RECT 2936.490 32.120 2936.770 34.520 ;
     END
   END la_data_in[127]
   PIN la_data_in[12]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 849.410 2.960 849.730 3.020 ;
-        RECT 849.870 2.960 850.190 3.020 ;
-        RECT 849.410 2.820 850.190 2.960 ;
-        RECT 849.410 2.760 849.730 2.820 ;
-        RECT 849.870 2.760 850.190 2.820 ;
-      LAYER via ;
-        RECT 849.440 2.760 849.700 3.020 ;
-        RECT 849.900 2.760 850.160 3.020 ;
       LAYER met2 ;
-        RECT 848.580 48.805 848.720 54.000 ;
-        RECT 848.510 48.435 848.790 48.805 ;
-        RECT 849.430 48.435 849.710 48.805 ;
-        RECT 849.500 48.010 849.640 48.435 ;
-        RECT 849.500 47.870 850.100 48.010 ;
-        RECT 849.960 3.050 850.100 47.870 ;
-        RECT 849.440 2.730 849.700 3.050 ;
-        RECT 849.900 2.730 850.160 3.050 ;
-        RECT 849.500 2.400 849.640 2.730 ;
-        RECT 849.430 0.000 849.710 2.400 ;
-      LAYER via2 ;
-        RECT 848.510 48.480 848.790 48.760 ;
-        RECT 849.430 48.480 849.710 48.760 ;
-      LAYER met3 ;
-        RECT 848.485 48.770 848.815 48.785 ;
-        RECT 849.405 48.770 849.735 48.785 ;
-        RECT 848.485 48.470 849.735 48.770 ;
-        RECT 848.485 48.455 848.815 48.470 ;
-        RECT 849.405 48.455 849.735 48.470 ;
+        RECT 884.430 32.120 884.710 34.520 ;
     END
   END la_data_in[12]
   PIN la_data_in[13]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 867.350 2.960 867.670 3.020 ;
-        RECT 871.490 2.960 871.810 3.020 ;
-        RECT 867.350 2.820 871.810 2.960 ;
-        RECT 867.350 2.760 867.670 2.820 ;
-        RECT 871.490 2.760 871.810 2.820 ;
-      LAYER via ;
-        RECT 867.380 2.760 867.640 3.020 ;
-        RECT 871.520 2.760 871.780 3.020 ;
       LAYER met2 ;
-        RECT 871.580 3.050 871.720 54.000 ;
-        RECT 867.380 2.730 867.640 3.050 ;
-        RECT 871.520 2.730 871.780 3.050 ;
-        RECT 867.440 2.400 867.580 2.730 ;
-        RECT 867.370 0.000 867.650 2.400 ;
+        RECT 902.370 32.120 902.650 34.520 ;
     END
   END la_data_in[13]
   PIN la_data_in[14]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 885.380 2.400 885.520 54.000 ;
-        RECT 885.310 0.000 885.590 2.400 ;
+        RECT 920.310 32.120 920.590 34.520 ;
     END
   END la_data_in[14]
   PIN la_data_in[15]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 903.230 2.960 903.550 3.020 ;
-        RECT 905.990 2.960 906.310 3.020 ;
-        RECT 903.230 2.820 906.310 2.960 ;
-        RECT 903.230 2.760 903.550 2.820 ;
-        RECT 905.990 2.760 906.310 2.820 ;
-      LAYER via ;
-        RECT 903.260 2.760 903.520 3.020 ;
-        RECT 906.020 2.760 906.280 3.020 ;
       LAYER met2 ;
-        RECT 906.080 3.050 906.220 54.000 ;
-        RECT 903.260 2.730 903.520 3.050 ;
-        RECT 906.020 2.730 906.280 3.050 ;
-        RECT 903.320 2.400 903.460 2.730 ;
-        RECT 903.250 0.000 903.530 2.400 ;
+        RECT 938.250 32.120 938.530 34.520 ;
     END
   END la_data_in[15]
   PIN la_data_in[16]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 921.170 42.060 921.490 42.120 ;
-        RECT 926.690 42.060 927.010 42.120 ;
-        RECT 921.170 41.920 927.010 42.060 ;
-        RECT 921.170 41.860 921.490 41.920 ;
-        RECT 926.690 41.860 927.010 41.920 ;
-      LAYER via ;
-        RECT 921.200 41.860 921.460 42.120 ;
-        RECT 926.720 41.860 926.980 42.120 ;
       LAYER met2 ;
-        RECT 926.780 42.150 926.920 54.000 ;
-        RECT 921.200 41.830 921.460 42.150 ;
-        RECT 926.720 41.830 926.980 42.150 ;
-        RECT 921.260 2.400 921.400 41.830 ;
-        RECT 921.190 0.000 921.470 2.400 ;
+        RECT 956.190 32.120 956.470 34.520 ;
     END
   END la_data_in[16]
   PIN la_data_in[17]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1343.540 24.325 1343.680 54.000 ;
-        RECT 938.670 23.955 938.950 24.325 ;
-        RECT 1343.470 23.955 1343.750 24.325 ;
-        RECT 938.740 2.400 938.880 23.955 ;
-        RECT 938.670 0.000 938.950 2.400 ;
-      LAYER via2 ;
-        RECT 938.670 24.000 938.950 24.280 ;
-        RECT 1343.470 24.000 1343.750 24.280 ;
-      LAYER met3 ;
-        RECT 938.645 24.290 938.975 24.305 ;
-        RECT 1343.445 24.290 1343.775 24.305 ;
-        RECT 938.645 23.990 1343.775 24.290 ;
-        RECT 938.645 23.975 938.975 23.990 ;
-        RECT 1343.445 23.975 1343.775 23.990 ;
+        RECT 973.670 32.120 973.950 34.520 ;
     END
   END la_data_in[17]
   PIN la_data_in[18]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1320.985 26.945 1322.075 27.115 ;
-        RECT 1320.985 26.605 1321.155 26.945 ;
-        RECT 1321.905 26.775 1322.075 26.945 ;
-        RECT 1321.905 26.605 1322.535 26.775 ;
-        RECT 1322.365 22.525 1322.535 26.605 ;
-      LAYER met1 ;
-        RECT 956.590 26.760 956.910 26.820 ;
-        RECT 1320.925 26.760 1321.215 26.805 ;
-        RECT 956.590 26.620 1321.215 26.760 ;
-        RECT 956.590 26.560 956.910 26.620 ;
-        RECT 1320.925 26.575 1321.215 26.620 ;
-        RECT 1322.305 22.680 1322.595 22.725 ;
-        RECT 1348.510 22.680 1348.830 22.740 ;
-        RECT 1322.305 22.540 1348.830 22.680 ;
-        RECT 1322.305 22.495 1322.595 22.540 ;
-        RECT 1348.510 22.480 1348.830 22.540 ;
-      LAYER via ;
-        RECT 956.620 26.560 956.880 26.820 ;
-        RECT 1348.540 22.480 1348.800 22.740 ;
       LAYER met2 ;
-        RECT 956.620 26.530 956.880 26.850 ;
-        RECT 956.680 2.400 956.820 26.530 ;
-        RECT 1348.600 22.770 1348.740 54.000 ;
-        RECT 1348.540 22.450 1348.800 22.770 ;
-        RECT 956.610 0.000 956.890 2.400 ;
+        RECT 991.610 32.120 991.890 34.520 ;
     END
   END la_data_in[18]
   PIN la_data_in[19]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1295.225 23.545 1295.395 31.875 ;
-      LAYER mcon ;
-        RECT 1295.225 31.705 1295.395 31.875 ;
-      LAYER met1 ;
-        RECT 1295.165 31.860 1295.455 31.905 ;
-        RECT 1350.350 31.860 1350.670 31.920 ;
-        RECT 1295.165 31.720 1350.670 31.860 ;
-        RECT 1295.165 31.675 1295.455 31.720 ;
-        RECT 1350.350 31.660 1350.670 31.720 ;
-        RECT 974.990 23.700 975.310 23.760 ;
-        RECT 1295.165 23.700 1295.455 23.745 ;
-        RECT 974.990 23.560 1295.455 23.700 ;
-        RECT 974.990 23.500 975.310 23.560 ;
-        RECT 1295.165 23.515 1295.455 23.560 ;
-      LAYER via ;
-        RECT 1350.380 31.660 1350.640 31.920 ;
-        RECT 975.020 23.500 975.280 23.760 ;
       LAYER met2 ;
-        RECT 1350.440 31.950 1350.580 54.000 ;
-        RECT 1350.380 31.630 1350.640 31.950 ;
-        RECT 975.020 23.470 975.280 23.790 ;
-        RECT 975.080 11.970 975.220 23.470 ;
-        RECT 974.620 11.830 975.220 11.970 ;
-        RECT 974.620 2.400 974.760 11.830 ;
-        RECT 974.550 0.000 974.830 2.400 ;
+        RECT 1009.550 32.120 1009.830 34.520 ;
     END
   END la_data_in[19]
   PIN la_data_in[1]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 653.450 26.080 653.770 26.140 ;
-        RECT 1224.310 26.080 1224.630 26.140 ;
-        RECT 653.450 25.940 1224.630 26.080 ;
-        RECT 653.450 25.880 653.770 25.940 ;
-        RECT 1224.310 25.880 1224.630 25.940 ;
-      LAYER via ;
-        RECT 653.480 25.880 653.740 26.140 ;
-        RECT 1224.340 25.880 1224.600 26.140 ;
       LAYER met2 ;
-        RECT 1286.500 27.045 1286.640 54.000 ;
-        RECT 1224.330 26.675 1224.610 27.045 ;
-        RECT 1286.430 26.675 1286.710 27.045 ;
-        RECT 1224.400 26.170 1224.540 26.675 ;
-        RECT 653.480 25.850 653.740 26.170 ;
-        RECT 1224.340 25.850 1224.600 26.170 ;
-        RECT 653.540 2.400 653.680 25.850 ;
-        RECT 653.470 0.000 653.750 2.400 ;
-      LAYER via2 ;
-        RECT 1224.330 26.720 1224.610 27.000 ;
-        RECT 1286.430 26.720 1286.710 27.000 ;
-      LAYER met3 ;
-        RECT 1224.305 27.010 1224.635 27.025 ;
-        RECT 1286.405 27.010 1286.735 27.025 ;
-        RECT 1224.305 26.710 1286.735 27.010 ;
-        RECT 1224.305 26.695 1224.635 26.710 ;
-        RECT 1286.405 26.695 1286.735 26.710 ;
+        RECT 688.470 32.120 688.750 34.520 ;
     END
   END la_data_in[1]
   PIN la_data_in[20]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1337.545 22.185 1337.715 23.035 ;
-      LAYER mcon ;
-        RECT 1337.545 22.865 1337.715 23.035 ;
-      LAYER met1 ;
-        RECT 992.470 23.360 992.790 23.420 ;
-        RECT 992.470 23.220 1322.060 23.360 ;
-        RECT 992.470 23.160 992.790 23.220 ;
-        RECT 1321.920 23.020 1322.060 23.220 ;
-        RECT 1337.485 23.020 1337.775 23.065 ;
-        RECT 1321.920 22.880 1337.775 23.020 ;
-        RECT 1337.485 22.835 1337.775 22.880 ;
-        RECT 1337.485 22.340 1337.775 22.385 ;
-        RECT 1354.950 22.340 1355.270 22.400 ;
-        RECT 1337.485 22.200 1355.270 22.340 ;
-        RECT 1337.485 22.155 1337.775 22.200 ;
-        RECT 1354.950 22.140 1355.270 22.200 ;
-      LAYER via ;
-        RECT 992.500 23.160 992.760 23.420 ;
-        RECT 1354.980 22.140 1355.240 22.400 ;
       LAYER met2 ;
-        RECT 992.500 23.130 992.760 23.450 ;
-        RECT 992.560 2.400 992.700 23.130 ;
-        RECT 1355.040 22.430 1355.180 54.000 ;
-        RECT 1354.980 22.110 1355.240 22.430 ;
-        RECT 992.490 0.000 992.770 2.400 ;
+        RECT 1027.490 32.120 1027.770 34.520 ;
     END
   END la_data_in[20]
   PIN la_data_in[21]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1337.085 20.485 1337.255 22.355 ;
-      LAYER mcon ;
-        RECT 1337.085 22.185 1337.255 22.355 ;
-      LAYER met1 ;
-        RECT 1009.950 23.020 1010.270 23.080 ;
-        RECT 1321.370 23.020 1321.690 23.080 ;
-        RECT 1009.950 22.880 1321.690 23.020 ;
-        RECT 1009.950 22.820 1010.270 22.880 ;
-        RECT 1321.370 22.820 1321.690 22.880 ;
-        RECT 1321.370 22.340 1321.690 22.400 ;
-        RECT 1337.025 22.340 1337.315 22.385 ;
-        RECT 1321.370 22.200 1337.315 22.340 ;
-        RECT 1321.370 22.140 1321.690 22.200 ;
-        RECT 1337.025 22.155 1337.315 22.200 ;
-        RECT 1356.790 20.980 1357.110 21.040 ;
-        RECT 1344.920 20.840 1357.110 20.980 ;
-        RECT 1337.025 20.640 1337.315 20.685 ;
-        RECT 1344.920 20.640 1345.060 20.840 ;
-        RECT 1356.790 20.780 1357.110 20.840 ;
-        RECT 1337.025 20.500 1345.060 20.640 ;
-        RECT 1337.025 20.455 1337.315 20.500 ;
-      LAYER via ;
-        RECT 1009.980 22.820 1010.240 23.080 ;
-        RECT 1321.400 22.820 1321.660 23.080 ;
-        RECT 1321.400 22.140 1321.660 22.400 ;
-        RECT 1356.820 20.780 1357.080 21.040 ;
       LAYER met2 ;
-        RECT 1356.880 48.690 1357.020 54.000 ;
-        RECT 1356.880 48.550 1357.940 48.690 ;
-        RECT 1357.800 48.010 1357.940 48.550 ;
-        RECT 1356.880 47.870 1357.940 48.010 ;
-        RECT 1009.980 22.790 1010.240 23.110 ;
-        RECT 1321.400 22.790 1321.660 23.110 ;
-        RECT 1010.040 2.400 1010.180 22.790 ;
-        RECT 1321.460 22.430 1321.600 22.790 ;
-        RECT 1321.400 22.110 1321.660 22.430 ;
-        RECT 1356.880 21.070 1357.020 47.870 ;
-        RECT 1356.820 20.750 1357.080 21.070 ;
-        RECT 1009.970 0.000 1010.250 2.400 ;
+        RECT 1044.970 32.120 1045.250 34.520 ;
     END
   END la_data_in[21]
   PIN la_data_in[22]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1027.890 22.680 1028.210 22.740 ;
-        RECT 1321.830 22.680 1322.150 22.740 ;
-        RECT 1027.890 22.540 1322.150 22.680 ;
-        RECT 1027.890 22.480 1028.210 22.540 ;
-        RECT 1321.830 22.480 1322.150 22.540 ;
-        RECT 1348.970 22.680 1349.290 22.740 ;
-        RECT 1362.310 22.680 1362.630 22.740 ;
-        RECT 1348.970 22.540 1362.630 22.680 ;
-        RECT 1348.970 22.480 1349.290 22.540 ;
-        RECT 1362.310 22.480 1362.630 22.540 ;
-      LAYER via ;
-        RECT 1027.920 22.480 1028.180 22.740 ;
-        RECT 1321.860 22.480 1322.120 22.740 ;
-        RECT 1349.000 22.480 1349.260 22.740 ;
-        RECT 1362.340 22.480 1362.600 22.740 ;
       LAYER met2 ;
-        RECT 1027.920 22.450 1028.180 22.770 ;
-        RECT 1321.850 22.595 1322.130 22.965 ;
-        RECT 1348.990 22.595 1349.270 22.965 ;
-        RECT 1362.400 22.770 1362.540 54.000 ;
-        RECT 1321.860 22.450 1322.120 22.595 ;
-        RECT 1349.000 22.450 1349.260 22.595 ;
-        RECT 1362.340 22.450 1362.600 22.770 ;
-        RECT 1027.980 2.400 1028.120 22.450 ;
-        RECT 1027.910 0.000 1028.190 2.400 ;
-      LAYER via2 ;
-        RECT 1321.850 22.640 1322.130 22.920 ;
-        RECT 1348.990 22.640 1349.270 22.920 ;
-      LAYER met3 ;
-        RECT 1321.825 22.930 1322.155 22.945 ;
-        RECT 1348.965 22.930 1349.295 22.945 ;
-        RECT 1321.825 22.630 1349.295 22.930 ;
-        RECT 1321.825 22.615 1322.155 22.630 ;
-        RECT 1348.965 22.615 1349.295 22.630 ;
+        RECT 1062.910 32.120 1063.190 34.520 ;
     END
   END la_data_in[22]
   PIN la_data_in[23]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1045.830 22.340 1046.150 22.400 ;
-        RECT 1320.910 22.340 1321.230 22.400 ;
-        RECT 1045.830 22.200 1321.230 22.340 ;
-        RECT 1045.830 22.140 1046.150 22.200 ;
-        RECT 1320.910 22.140 1321.230 22.200 ;
-      LAYER via ;
-        RECT 1045.860 22.140 1046.120 22.400 ;
-        RECT 1320.940 22.140 1321.200 22.400 ;
       LAYER met2 ;
-        RECT 1045.860 22.110 1046.120 22.430 ;
-        RECT 1320.940 22.285 1321.200 22.430 ;
-        RECT 1364.700 22.285 1364.840 54.000 ;
-        RECT 1045.920 2.400 1046.060 22.110 ;
-        RECT 1320.930 21.915 1321.210 22.285 ;
-        RECT 1364.630 21.915 1364.910 22.285 ;
-        RECT 1045.850 0.000 1046.130 2.400 ;
-      LAYER via2 ;
-        RECT 1320.930 21.960 1321.210 22.240 ;
-        RECT 1364.630 21.960 1364.910 22.240 ;
-      LAYER met3 ;
-        RECT 1320.905 22.250 1321.235 22.265 ;
-        RECT 1364.605 22.250 1364.935 22.265 ;
-        RECT 1320.905 21.950 1364.935 22.250 ;
-        RECT 1320.905 21.935 1321.235 21.950 ;
-        RECT 1364.605 21.935 1364.935 21.950 ;
+        RECT 1080.850 32.120 1081.130 34.520 ;
     END
   END la_data_in[23]
   PIN la_data_in[24]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1102.485 21.165 1103.575 21.335 ;
-        RECT 1102.485 20.825 1102.655 21.165 ;
-      LAYER mcon ;
-        RECT 1103.405 21.165 1103.575 21.335 ;
-      LAYER met1 ;
-        RECT 1103.345 21.320 1103.635 21.365 ;
-        RECT 1371.050 21.320 1371.370 21.380 ;
-        RECT 1103.345 21.180 1371.370 21.320 ;
-        RECT 1103.345 21.135 1103.635 21.180 ;
-        RECT 1371.050 21.120 1371.370 21.180 ;
-        RECT 1063.770 20.980 1064.090 21.040 ;
-        RECT 1102.425 20.980 1102.715 21.025 ;
-        RECT 1063.770 20.840 1102.715 20.980 ;
-        RECT 1063.770 20.780 1064.090 20.840 ;
-        RECT 1102.425 20.795 1102.715 20.840 ;
-      LAYER via ;
-        RECT 1371.080 21.120 1371.340 21.380 ;
-        RECT 1063.800 20.780 1064.060 21.040 ;
       LAYER met2 ;
-        RECT 1371.140 21.410 1371.280 54.000 ;
-        RECT 1371.080 21.090 1371.340 21.410 ;
-        RECT 1063.800 20.750 1064.060 21.070 ;
-        RECT 1063.860 2.400 1064.000 20.750 ;
-        RECT 1063.790 0.000 1064.070 2.400 ;
+        RECT 1098.790 32.120 1099.070 34.520 ;
     END
   END la_data_in[24]
   PIN la_data_in[25]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1344.445 20.825 1344.615 23.035 ;
-      LAYER mcon ;
-        RECT 1344.445 22.865 1344.615 23.035 ;
-      LAYER met1 ;
-        RECT 1344.385 23.020 1344.675 23.065 ;
-        RECT 1370.590 23.020 1370.910 23.080 ;
-        RECT 1344.385 22.880 1370.910 23.020 ;
-        RECT 1344.385 22.835 1344.675 22.880 ;
-        RECT 1370.590 22.820 1370.910 22.880 ;
-        RECT 1093.210 21.320 1093.530 21.380 ;
-        RECT 1093.210 21.180 1103.100 21.320 ;
-        RECT 1093.210 21.120 1093.530 21.180 ;
-        RECT 1102.960 20.980 1103.100 21.180 ;
-        RECT 1344.385 20.980 1344.675 21.025 ;
-        RECT 1102.960 20.840 1344.675 20.980 ;
-        RECT 1344.385 20.795 1344.675 20.840 ;
-      LAYER via ;
-        RECT 1370.620 22.820 1370.880 23.080 ;
-        RECT 1093.240 21.120 1093.500 21.380 ;
       LAYER met2 ;
-        RECT 1370.680 23.110 1370.820 54.000 ;
-        RECT 1370.620 22.790 1370.880 23.110 ;
-        RECT 1081.730 21.235 1082.010 21.605 ;
-        RECT 1093.230 21.235 1093.510 21.605 ;
-        RECT 1081.800 2.400 1081.940 21.235 ;
-        RECT 1093.240 21.090 1093.500 21.235 ;
-        RECT 1081.730 0.000 1082.010 2.400 ;
-      LAYER via2 ;
-        RECT 1081.730 21.280 1082.010 21.560 ;
-        RECT 1093.230 21.280 1093.510 21.560 ;
-      LAYER met3 ;
-        RECT 1081.705 21.570 1082.035 21.585 ;
-        RECT 1093.205 21.570 1093.535 21.585 ;
-        RECT 1081.705 21.270 1093.535 21.570 ;
-        RECT 1081.705 21.255 1082.035 21.270 ;
-        RECT 1093.205 21.255 1093.535 21.270 ;
+        RECT 1116.730 32.120 1117.010 34.520 ;
     END
   END la_data_in[25]
   PIN la_data_in[26]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1126.790 24.040 1127.110 24.100 ;
-        RECT 1376.110 24.040 1376.430 24.100 ;
-        RECT 1126.790 23.900 1376.430 24.040 ;
-        RECT 1126.790 23.840 1127.110 23.900 ;
-        RECT 1376.110 23.840 1376.430 23.900 ;
-      LAYER via ;
-        RECT 1126.820 23.840 1127.080 24.100 ;
-        RECT 1376.140 23.840 1376.400 24.100 ;
       LAYER met2 ;
-        RECT 1376.200 24.130 1376.340 54.000 ;
-        RECT 1126.820 23.810 1127.080 24.130 ;
-        RECT 1376.140 23.810 1376.400 24.130 ;
-        RECT 1098.290 23.275 1098.570 23.645 ;
-        RECT 1126.350 23.530 1126.630 23.645 ;
-        RECT 1126.880 23.530 1127.020 23.810 ;
-        RECT 1126.350 23.390 1127.020 23.530 ;
-        RECT 1126.350 23.275 1126.630 23.390 ;
-        RECT 1098.360 6.530 1098.500 23.275 ;
-        RECT 1098.360 6.390 1099.420 6.530 ;
-        RECT 1099.280 2.400 1099.420 6.390 ;
-        RECT 1099.210 0.000 1099.490 2.400 ;
-      LAYER via2 ;
-        RECT 1098.290 23.320 1098.570 23.600 ;
-        RECT 1126.350 23.320 1126.630 23.600 ;
-      LAYER met3 ;
-        RECT 1098.265 23.610 1098.595 23.625 ;
-        RECT 1126.325 23.610 1126.655 23.625 ;
-        RECT 1098.265 23.310 1126.655 23.610 ;
-        RECT 1098.265 23.295 1098.595 23.310 ;
-        RECT 1126.325 23.295 1126.655 23.310 ;
+        RECT 1134.210 32.120 1134.490 34.520 ;
     END
   END la_data_in[26]
   PIN la_data_in[27]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1147.105 13.005 1147.275 25.415 ;
-      LAYER mcon ;
-        RECT 1147.105 25.245 1147.275 25.415 ;
-      LAYER met1 ;
-        RECT 1147.045 25.400 1147.335 25.445 ;
-        RECT 1378.410 25.400 1378.730 25.460 ;
-        RECT 1147.045 25.260 1378.730 25.400 ;
-        RECT 1147.045 25.215 1147.335 25.260 ;
-        RECT 1378.410 25.200 1378.730 25.260 ;
-        RECT 1117.130 13.160 1117.450 13.220 ;
-        RECT 1147.045 13.160 1147.335 13.205 ;
-        RECT 1117.130 13.020 1147.335 13.160 ;
-        RECT 1117.130 12.960 1117.450 13.020 ;
-        RECT 1147.045 12.975 1147.335 13.020 ;
-      LAYER via ;
-        RECT 1378.440 25.200 1378.700 25.460 ;
-        RECT 1117.160 12.960 1117.420 13.220 ;
       LAYER met2 ;
-        RECT 1378.500 25.490 1378.640 54.000 ;
-        RECT 1378.440 25.170 1378.700 25.490 ;
-        RECT 1117.160 12.930 1117.420 13.250 ;
-        RECT 1117.220 2.400 1117.360 12.930 ;
-        RECT 1117.150 0.000 1117.430 2.400 ;
+        RECT 1152.150 32.120 1152.430 34.520 ;
     END
   END la_data_in[27]
   PIN la_data_in[28]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1135.070 25.740 1135.390 25.800 ;
-        RECT 1382.550 25.740 1382.870 25.800 ;
-        RECT 1135.070 25.600 1382.870 25.740 ;
-        RECT 1135.070 25.540 1135.390 25.600 ;
-        RECT 1382.550 25.540 1382.870 25.600 ;
-      LAYER via ;
-        RECT 1135.100 25.540 1135.360 25.800 ;
-        RECT 1382.580 25.540 1382.840 25.800 ;
       LAYER met2 ;
-        RECT 1382.640 25.830 1382.780 54.000 ;
-        RECT 1135.100 25.510 1135.360 25.830 ;
-        RECT 1382.580 25.510 1382.840 25.830 ;
-        RECT 1135.160 2.400 1135.300 25.510 ;
-        RECT 1135.090 0.000 1135.370 2.400 ;
+        RECT 1170.090 32.120 1170.370 34.520 ;
     END
   END la_data_in[28]
   PIN la_data_in[29]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1153.010 25.060 1153.330 25.120 ;
-        RECT 1384.850 25.060 1385.170 25.120 ;
-        RECT 1153.010 24.920 1385.170 25.060 ;
-        RECT 1153.010 24.860 1153.330 24.920 ;
-        RECT 1384.850 24.860 1385.170 24.920 ;
-      LAYER via ;
-        RECT 1153.040 24.860 1153.300 25.120 ;
-        RECT 1384.880 24.860 1385.140 25.120 ;
       LAYER met2 ;
-        RECT 1384.940 25.150 1385.080 54.000 ;
-        RECT 1153.040 24.830 1153.300 25.150 ;
-        RECT 1384.880 24.830 1385.140 25.150 ;
-        RECT 1153.100 2.400 1153.240 24.830 ;
-        RECT 1153.030 0.000 1153.310 2.400 ;
+        RECT 1188.030 32.120 1188.310 34.520 ;
     END
   END la_data_in[29]
   PIN la_data_in[2]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 671.390 26.420 671.710 26.480 ;
-        RECT 1223.850 26.420 1224.170 26.480 ;
-        RECT 671.390 26.280 1224.170 26.420 ;
-        RECT 671.390 26.220 671.710 26.280 ;
-        RECT 1223.850 26.220 1224.170 26.280 ;
-      LAYER via ;
-        RECT 671.420 26.220 671.680 26.480 ;
-        RECT 1223.880 26.220 1224.140 26.480 ;
       LAYER met2 ;
-        RECT 1288.800 27.725 1288.940 54.000 ;
-        RECT 1223.870 27.355 1224.150 27.725 ;
-        RECT 1288.730 27.355 1289.010 27.725 ;
-        RECT 1223.940 26.510 1224.080 27.355 ;
-        RECT 671.420 26.190 671.680 26.510 ;
-        RECT 1223.880 26.190 1224.140 26.510 ;
-        RECT 671.480 2.400 671.620 26.190 ;
-        RECT 671.410 0.000 671.690 2.400 ;
-      LAYER via2 ;
-        RECT 1223.870 27.400 1224.150 27.680 ;
-        RECT 1288.730 27.400 1289.010 27.680 ;
-      LAYER met3 ;
-        RECT 1223.845 27.690 1224.175 27.705 ;
-        RECT 1288.705 27.690 1289.035 27.705 ;
-        RECT 1223.845 27.390 1289.035 27.690 ;
-        RECT 1223.845 27.375 1224.175 27.390 ;
-        RECT 1288.705 27.375 1289.035 27.390 ;
+        RECT 706.410 32.120 706.690 34.520 ;
     END
   END la_data_in[2]
   PIN la_data_in[30]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1176.085 2.805 1176.255 31.535 ;
-        RECT 1225.305 26.265 1225.475 31.535 ;
-        RECT 1270.845 26.265 1271.015 31.535 ;
-      LAYER mcon ;
-        RECT 1176.085 31.365 1176.255 31.535 ;
-        RECT 1225.305 31.365 1225.475 31.535 ;
-        RECT 1270.845 31.365 1271.015 31.535 ;
-      LAYER met1 ;
-        RECT 1176.025 31.520 1176.315 31.565 ;
-        RECT 1225.245 31.520 1225.535 31.565 ;
-        RECT 1176.025 31.380 1225.535 31.520 ;
-        RECT 1176.025 31.335 1176.315 31.380 ;
-        RECT 1225.245 31.335 1225.535 31.380 ;
-        RECT 1270.785 31.520 1271.075 31.565 ;
-        RECT 1319.990 31.520 1320.310 31.580 ;
-        RECT 1270.785 31.380 1320.310 31.520 ;
-        RECT 1270.785 31.335 1271.075 31.380 ;
-        RECT 1319.990 31.320 1320.310 31.380 ;
-        RECT 1225.245 26.420 1225.535 26.465 ;
-        RECT 1270.785 26.420 1271.075 26.465 ;
-        RECT 1225.245 26.280 1271.075 26.420 ;
-        RECT 1225.245 26.235 1225.535 26.280 ;
-        RECT 1270.785 26.235 1271.075 26.280 ;
-        RECT 1319.990 26.420 1320.310 26.480 ;
-        RECT 1391.290 26.420 1391.610 26.480 ;
-        RECT 1319.990 26.280 1391.610 26.420 ;
-        RECT 1319.990 26.220 1320.310 26.280 ;
-        RECT 1391.290 26.220 1391.610 26.280 ;
-        RECT 1170.950 2.960 1171.270 3.020 ;
-        RECT 1176.025 2.960 1176.315 3.005 ;
-        RECT 1170.950 2.820 1176.315 2.960 ;
-        RECT 1170.950 2.760 1171.270 2.820 ;
-        RECT 1176.025 2.775 1176.315 2.820 ;
-      LAYER via ;
-        RECT 1320.020 31.320 1320.280 31.580 ;
-        RECT 1320.020 26.220 1320.280 26.480 ;
-        RECT 1391.320 26.220 1391.580 26.480 ;
-        RECT 1170.980 2.760 1171.240 3.020 ;
       LAYER met2 ;
-        RECT 1320.020 31.290 1320.280 31.610 ;
-        RECT 1320.080 26.510 1320.220 31.290 ;
-        RECT 1391.380 26.510 1391.520 54.000 ;
-        RECT 1320.020 26.190 1320.280 26.510 ;
-        RECT 1391.320 26.190 1391.580 26.510 ;
-        RECT 1170.980 2.730 1171.240 3.050 ;
-        RECT 1171.040 2.400 1171.180 2.730 ;
-        RECT 1170.970 0.000 1171.250 2.400 ;
+        RECT 1205.970 32.120 1206.250 34.520 ;
     END
   END la_data_in[30]
   PIN la_data_in[31]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1296.145 26.265 1297.235 26.435 ;
-        RECT 1297.065 25.925 1297.235 26.265 ;
-      LAYER met1 ;
-        RECT 1392.210 34.580 1392.530 34.640 ;
-        RECT 1393.590 34.580 1393.910 34.640 ;
-        RECT 1392.210 34.440 1393.910 34.580 ;
-        RECT 1392.210 34.380 1392.530 34.440 ;
-        RECT 1393.590 34.380 1393.910 34.440 ;
-        RECT 1296.085 26.420 1296.375 26.465 ;
-        RECT 1271.320 26.280 1296.375 26.420 ;
-        RECT 1224.770 26.080 1225.090 26.140 ;
-        RECT 1271.320 26.080 1271.460 26.280 ;
-        RECT 1296.085 26.235 1296.375 26.280 ;
-        RECT 1224.770 25.940 1271.460 26.080 ;
-        RECT 1297.005 26.080 1297.295 26.125 ;
-        RECT 1393.590 26.080 1393.910 26.140 ;
-        RECT 1297.005 25.940 1393.910 26.080 ;
-        RECT 1224.770 25.880 1225.090 25.940 ;
-        RECT 1297.005 25.895 1297.295 25.940 ;
-        RECT 1393.590 25.880 1393.910 25.940 ;
-      LAYER via ;
-        RECT 1392.240 34.380 1392.500 34.640 ;
-        RECT 1393.620 34.380 1393.880 34.640 ;
-        RECT 1224.800 25.880 1225.060 26.140 ;
-        RECT 1393.620 25.880 1393.880 26.140 ;
       LAYER met2 ;
-        RECT 1392.300 34.670 1392.440 54.000 ;
-        RECT 1392.240 34.350 1392.500 34.670 ;
-        RECT 1393.620 34.350 1393.880 34.670 ;
-        RECT 1188.450 25.995 1188.730 26.365 ;
-        RECT 1224.790 25.995 1225.070 26.365 ;
-        RECT 1393.680 26.170 1393.820 34.350 ;
-        RECT 1188.520 2.400 1188.660 25.995 ;
-        RECT 1224.800 25.850 1225.060 25.995 ;
-        RECT 1393.620 25.850 1393.880 26.170 ;
-        RECT 1188.450 0.000 1188.730 2.400 ;
-      LAYER via2 ;
-        RECT 1188.450 26.040 1188.730 26.320 ;
-        RECT 1224.790 26.040 1225.070 26.320 ;
-      LAYER met3 ;
-        RECT 1188.425 26.330 1188.755 26.345 ;
-        RECT 1224.765 26.330 1225.095 26.345 ;
-        RECT 1188.425 26.030 1225.095 26.330 ;
-        RECT 1188.425 26.015 1188.755 26.030 ;
-        RECT 1224.765 26.015 1225.095 26.030 ;
+        RECT 1223.450 32.120 1223.730 34.520 ;
     END
   END la_data_in[31]
   PIN la_data_in[32]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1319.605 26.265 1320.235 26.435 ;
-        RECT 1271.765 20.145 1271.935 26.095 ;
-        RECT 1320.065 23.545 1320.235 26.265 ;
-      LAYER mcon ;
-        RECT 1271.765 25.925 1271.935 26.095 ;
-      LAYER met1 ;
-        RECT 1319.545 26.420 1319.835 26.465 ;
-        RECT 1296.620 26.280 1319.835 26.420 ;
-        RECT 1271.705 26.080 1271.995 26.125 ;
-        RECT 1296.620 26.080 1296.760 26.280 ;
-        RECT 1319.545 26.235 1319.835 26.280 ;
-        RECT 1271.705 25.940 1296.760 26.080 ;
-        RECT 1271.705 25.895 1271.995 25.940 ;
-        RECT 1320.005 23.700 1320.295 23.745 ;
-        RECT 1396.810 23.700 1397.130 23.760 ;
-        RECT 1320.005 23.560 1397.130 23.700 ;
-        RECT 1320.005 23.515 1320.295 23.560 ;
-        RECT 1396.810 23.500 1397.130 23.560 ;
-        RECT 1206.370 20.300 1206.690 20.360 ;
-        RECT 1271.705 20.300 1271.995 20.345 ;
-        RECT 1206.370 20.160 1271.995 20.300 ;
-        RECT 1206.370 20.100 1206.690 20.160 ;
-        RECT 1271.705 20.115 1271.995 20.160 ;
-      LAYER via ;
-        RECT 1396.840 23.500 1397.100 23.760 ;
-        RECT 1206.400 20.100 1206.660 20.360 ;
       LAYER met2 ;
-        RECT 1396.900 23.790 1397.040 54.000 ;
-        RECT 1396.840 23.470 1397.100 23.790 ;
-        RECT 1206.400 20.070 1206.660 20.390 ;
-        RECT 1206.460 2.400 1206.600 20.070 ;
-        RECT 1206.390 0.000 1206.670 2.400 ;
+        RECT 1241.390 32.120 1241.670 34.520 ;
     END
   END la_data_in[32]
   PIN la_data_in[33]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1224.310 20.640 1224.630 20.700 ;
-        RECT 1230.290 20.640 1230.610 20.700 ;
-        RECT 1224.310 20.500 1230.610 20.640 ;
-        RECT 1224.310 20.440 1224.630 20.500 ;
-        RECT 1230.290 20.440 1230.610 20.500 ;
-      LAYER via ;
-        RECT 1224.340 20.440 1224.600 20.700 ;
-        RECT 1230.320 20.440 1230.580 20.700 ;
       LAYER met2 ;
-        RECT 1230.380 20.730 1230.520 54.000 ;
-        RECT 1224.340 20.410 1224.600 20.730 ;
-        RECT 1230.320 20.410 1230.580 20.730 ;
-        RECT 1224.400 2.400 1224.540 20.410 ;
-        RECT 1224.330 0.000 1224.610 2.400 ;
+        RECT 1259.330 32.120 1259.610 34.520 ;
     END
   END la_data_in[33]
   PIN la_data_in[34]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1242.250 2.960 1242.570 3.020 ;
-        RECT 1244.090 2.960 1244.410 3.020 ;
-        RECT 1242.250 2.820 1244.410 2.960 ;
-        RECT 1242.250 2.760 1242.570 2.820 ;
-        RECT 1244.090 2.760 1244.410 2.820 ;
-      LAYER via ;
-        RECT 1242.280 2.760 1242.540 3.020 ;
-        RECT 1244.120 2.760 1244.380 3.020 ;
       LAYER met2 ;
-        RECT 1244.180 3.050 1244.320 54.000 ;
-        RECT 1242.280 2.730 1242.540 3.050 ;
-        RECT 1244.120 2.730 1244.380 3.050 ;
-        RECT 1242.340 2.400 1242.480 2.730 ;
-        RECT 1242.270 0.000 1242.550 2.400 ;
+        RECT 1277.270 32.120 1277.550 34.520 ;
     END
   END la_data_in[34]
   PIN la_data_in[35]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1259.730 20.640 1260.050 20.700 ;
-        RECT 1264.790 20.640 1265.110 20.700 ;
-        RECT 1259.730 20.500 1265.110 20.640 ;
-        RECT 1259.730 20.440 1260.050 20.500 ;
-        RECT 1264.790 20.440 1265.110 20.500 ;
-      LAYER via ;
-        RECT 1259.760 20.440 1260.020 20.700 ;
-        RECT 1264.820 20.440 1265.080 20.700 ;
       LAYER met2 ;
-        RECT 1264.880 20.730 1265.020 54.000 ;
-        RECT 1259.760 20.410 1260.020 20.730 ;
-        RECT 1264.820 20.410 1265.080 20.730 ;
-        RECT 1259.820 2.400 1259.960 20.410 ;
-        RECT 1259.750 0.000 1260.030 2.400 ;
+        RECT 1294.750 32.120 1295.030 34.520 ;
     END
   END la_data_in[35]
   PIN la_data_in[36]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1278.590 52.260 1278.910 52.320 ;
-        RECT 1412.450 52.260 1412.770 52.320 ;
-        RECT 1278.590 52.120 1412.770 52.260 ;
-        RECT 1278.590 52.060 1278.910 52.120 ;
-        RECT 1412.450 52.060 1412.770 52.120 ;
-      LAYER via ;
-        RECT 1278.620 52.060 1278.880 52.320 ;
-        RECT 1412.480 52.060 1412.740 52.320 ;
       LAYER met2 ;
-        RECT 1412.540 52.350 1412.680 54.000 ;
-        RECT 1278.620 52.030 1278.880 52.350 ;
-        RECT 1412.480 52.030 1412.740 52.350 ;
-        RECT 1278.680 3.130 1278.820 52.030 ;
-        RECT 1277.760 2.990 1278.820 3.130 ;
-        RECT 1277.760 2.400 1277.900 2.990 ;
-        RECT 1277.690 0.000 1277.970 2.400 ;
+        RECT 1312.690 32.120 1312.970 34.520 ;
     END
   END la_data_in[36]
   PIN la_data_in[37]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1319.605 20.485 1319.775 23.715 ;
-        RECT 1321.445 20.485 1321.615 26.775 ;
-      LAYER mcon ;
-        RECT 1321.445 26.605 1321.615 26.775 ;
-        RECT 1319.605 23.545 1319.775 23.715 ;
-      LAYER met1 ;
-        RECT 1321.385 26.760 1321.675 26.805 ;
-        RECT 1417.970 26.760 1418.290 26.820 ;
-        RECT 1321.385 26.620 1418.290 26.760 ;
-        RECT 1321.385 26.575 1321.675 26.620 ;
-        RECT 1417.970 26.560 1418.290 26.620 ;
-        RECT 1295.610 23.700 1295.930 23.760 ;
-        RECT 1319.545 23.700 1319.835 23.745 ;
-        RECT 1295.610 23.560 1319.835 23.700 ;
-        RECT 1295.610 23.500 1295.930 23.560 ;
-        RECT 1319.545 23.515 1319.835 23.560 ;
-        RECT 1319.545 20.640 1319.835 20.685 ;
-        RECT 1321.385 20.640 1321.675 20.685 ;
-        RECT 1319.545 20.500 1321.675 20.640 ;
-        RECT 1319.545 20.455 1319.835 20.500 ;
-        RECT 1321.385 20.455 1321.675 20.500 ;
-      LAYER via ;
-        RECT 1418.000 26.560 1418.260 26.820 ;
-        RECT 1295.640 23.500 1295.900 23.760 ;
       LAYER met2 ;
-        RECT 1418.060 26.850 1418.200 54.000 ;
-        RECT 1418.000 26.530 1418.260 26.850 ;
-        RECT 1295.640 23.470 1295.900 23.790 ;
-        RECT 1295.700 2.400 1295.840 23.470 ;
-        RECT 1295.630 0.000 1295.910 2.400 ;
+        RECT 1330.630 32.120 1330.910 34.520 ;
     END
   END la_data_in[37]
   PIN la_data_in[38]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1322.825 16.745 1322.995 23.375 ;
-      LAYER mcon ;
-        RECT 1322.825 23.205 1322.995 23.375 ;
-      LAYER met1 ;
-        RECT 1322.765 23.360 1323.055 23.405 ;
-        RECT 1417.510 23.360 1417.830 23.420 ;
-        RECT 1322.765 23.220 1417.830 23.360 ;
-        RECT 1322.765 23.175 1323.055 23.220 ;
-        RECT 1417.510 23.160 1417.830 23.220 ;
-        RECT 1314.470 16.900 1314.790 16.960 ;
-        RECT 1322.765 16.900 1323.055 16.945 ;
-        RECT 1314.470 16.760 1323.055 16.900 ;
-        RECT 1314.470 16.700 1314.790 16.760 ;
-        RECT 1322.765 16.715 1323.055 16.760 ;
-      LAYER via ;
-        RECT 1417.540 23.160 1417.800 23.420 ;
-        RECT 1314.500 16.700 1314.760 16.960 ;
       LAYER met2 ;
-        RECT 1417.600 23.450 1417.740 54.000 ;
-        RECT 1417.540 23.130 1417.800 23.450 ;
-        RECT 1313.640 17.270 1314.700 17.410 ;
-        RECT 1313.640 2.400 1313.780 17.270 ;
-        RECT 1314.560 16.990 1314.700 17.270 ;
-        RECT 1314.500 16.670 1314.760 16.990 ;
-        RECT 1313.570 0.000 1313.850 2.400 ;
+        RECT 1348.570 32.120 1348.850 34.520 ;
     END
   END la_data_in[38]
   PIN la_data_in[39]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1331.490 19.620 1331.810 19.680 ;
-        RECT 1420.270 19.620 1420.590 19.680 ;
-        RECT 1331.490 19.480 1420.590 19.620 ;
-        RECT 1331.490 19.420 1331.810 19.480 ;
-        RECT 1420.270 19.420 1420.590 19.480 ;
-      LAYER via ;
-        RECT 1331.520 19.420 1331.780 19.680 ;
-        RECT 1420.300 19.420 1420.560 19.680 ;
       LAYER met2 ;
-        RECT 1420.820 21.490 1420.960 54.000 ;
-        RECT 1420.360 21.350 1420.960 21.490 ;
-        RECT 1420.360 19.710 1420.500 21.350 ;
-        RECT 1331.520 19.390 1331.780 19.710 ;
-        RECT 1420.300 19.390 1420.560 19.710 ;
-        RECT 1331.580 2.400 1331.720 19.390 ;
-        RECT 1331.510 0.000 1331.790 2.400 ;
+        RECT 1366.510 32.120 1366.790 34.520 ;
     END
   END la_data_in[39]
   PIN la_data_in[3]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 688.870 19.960 689.190 20.020 ;
-        RECT 690.250 19.960 690.570 20.020 ;
-        RECT 688.870 19.820 690.570 19.960 ;
-        RECT 688.870 19.760 689.190 19.820 ;
-        RECT 690.250 19.760 690.570 19.820 ;
-      LAYER via ;
-        RECT 688.900 19.760 689.160 20.020 ;
-        RECT 690.280 19.760 690.540 20.020 ;
       LAYER met2 ;
-        RECT 692.180 53.450 692.320 54.000 ;
-        RECT 690.340 53.310 692.320 53.450 ;
-        RECT 690.340 20.050 690.480 53.310 ;
-        RECT 688.900 19.730 689.160 20.050 ;
-        RECT 690.280 19.730 690.540 20.050 ;
-        RECT 688.960 2.400 689.100 19.730 ;
-        RECT 688.890 0.000 689.170 2.400 ;
+        RECT 723.890 32.120 724.170 34.520 ;
     END
   END la_data_in[3]
   PIN la_data_in[40]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1348.970 20.640 1349.290 20.700 ;
-        RECT 1348.970 20.500 1420.500 20.640 ;
-        RECT 1348.970 20.440 1349.290 20.500 ;
-        RECT 1420.360 20.300 1420.500 20.500 ;
-        RECT 1424.870 20.300 1425.190 20.360 ;
-        RECT 1420.360 20.160 1425.190 20.300 ;
-        RECT 1424.870 20.100 1425.190 20.160 ;
-      LAYER via ;
-        RECT 1349.000 20.440 1349.260 20.700 ;
-        RECT 1424.900 20.100 1425.160 20.360 ;
       LAYER met2 ;
-        RECT 1349.000 20.410 1349.260 20.730 ;
-        RECT 1349.060 2.400 1349.200 20.410 ;
-        RECT 1424.960 20.390 1425.100 54.000 ;
-        RECT 1424.900 20.070 1425.160 20.390 ;
-        RECT 1348.990 0.000 1349.270 2.400 ;
+        RECT 1383.990 32.120 1384.270 34.520 ;
     END
   END la_data_in[40]
   PIN la_data_in[41]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1366.910 17.920 1367.230 17.980 ;
-        RECT 1392.210 17.920 1392.530 17.980 ;
-        RECT 1366.910 17.780 1392.530 17.920 ;
-        RECT 1366.910 17.720 1367.230 17.780 ;
-        RECT 1392.210 17.720 1392.530 17.780 ;
-      LAYER via ;
-        RECT 1366.940 17.720 1367.200 17.980 ;
-        RECT 1392.240 17.720 1392.500 17.980 ;
       LAYER met2 ;
-        RECT 1366.940 17.690 1367.200 18.010 ;
-        RECT 1392.240 17.920 1392.500 18.010 ;
-        RECT 1392.760 17.920 1392.900 54.000 ;
-        RECT 1392.240 17.780 1392.900 17.920 ;
-        RECT 1392.240 17.690 1392.500 17.780 ;
-        RECT 1367.000 2.400 1367.140 17.690 ;
-        RECT 1366.930 0.000 1367.210 2.400 ;
+        RECT 1401.930 32.120 1402.210 34.520 ;
     END
   END la_data_in[41]
   PIN la_data_in[42]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1384.850 14.520 1385.170 14.580 ;
-        RECT 1399.570 14.520 1399.890 14.580 ;
-        RECT 1384.850 14.380 1399.890 14.520 ;
-        RECT 1384.850 14.320 1385.170 14.380 ;
-        RECT 1399.570 14.320 1399.890 14.380 ;
-      LAYER via ;
-        RECT 1384.880 14.320 1385.140 14.580 ;
-        RECT 1399.600 14.320 1399.860 14.580 ;
       LAYER met2 ;
-        RECT 1399.660 14.610 1399.800 54.000 ;
-        RECT 1384.880 14.290 1385.140 14.610 ;
-        RECT 1399.600 14.290 1399.860 14.610 ;
-        RECT 1384.940 2.400 1385.080 14.290 ;
-        RECT 1384.870 0.000 1385.150 2.400 ;
+        RECT 1419.870 32.120 1420.150 34.520 ;
     END
   END la_data_in[42]
   PIN la_data_in[43]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1402.790 14.520 1403.110 14.580 ;
-        RECT 1419.810 14.520 1420.130 14.580 ;
-        RECT 1402.790 14.380 1420.130 14.520 ;
-        RECT 1402.790 14.320 1403.110 14.380 ;
-        RECT 1419.810 14.320 1420.130 14.380 ;
-      LAYER via ;
-        RECT 1402.820 14.320 1403.080 14.580 ;
-        RECT 1419.840 14.320 1420.100 14.580 ;
       LAYER met2 ;
-        RECT 1420.360 22.170 1420.500 54.000 ;
-        RECT 1419.900 22.030 1420.500 22.170 ;
-        RECT 1419.900 14.610 1420.040 22.030 ;
-        RECT 1402.820 14.290 1403.080 14.610 ;
-        RECT 1419.840 14.290 1420.100 14.610 ;
-        RECT 1402.880 2.400 1403.020 14.290 ;
-        RECT 1402.810 0.000 1403.090 2.400 ;
+        RECT 1437.810 32.120 1438.090 34.520 ;
     END
   END la_data_in[43]
   PIN la_data_in[44]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1420.730 20.640 1421.050 20.700 ;
-        RECT 1427.170 20.640 1427.490 20.700 ;
-        RECT 1420.730 20.500 1427.490 20.640 ;
-        RECT 1420.730 20.440 1421.050 20.500 ;
-        RECT 1427.170 20.440 1427.490 20.500 ;
-      LAYER via ;
-        RECT 1420.760 20.440 1421.020 20.700 ;
-        RECT 1427.200 20.440 1427.460 20.700 ;
       LAYER met2 ;
-        RECT 1427.260 20.730 1427.400 54.000 ;
-        RECT 1420.760 20.410 1421.020 20.730 ;
-        RECT 1427.200 20.410 1427.460 20.730 ;
-        RECT 1420.820 2.400 1420.960 20.410 ;
-        RECT 1420.750 0.000 1421.030 2.400 ;
+        RECT 1455.750 32.120 1456.030 34.520 ;
     END
   END la_data_in[44]
   PIN la_data_in[45]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1444.190 21.120 1444.510 21.380 ;
-        RECT 1444.280 20.980 1444.420 21.120 ;
-        RECT 1443.820 20.840 1444.420 20.980 ;
-        RECT 1438.210 20.640 1438.530 20.700 ;
-        RECT 1443.820 20.640 1443.960 20.840 ;
-        RECT 1438.210 20.500 1443.960 20.640 ;
-        RECT 1438.210 20.440 1438.530 20.500 ;
-      LAYER via ;
-        RECT 1444.220 21.120 1444.480 21.380 ;
-        RECT 1438.240 20.440 1438.500 20.700 ;
       LAYER met2 ;
-        RECT 1444.280 21.410 1444.420 54.000 ;
-        RECT 1444.220 21.090 1444.480 21.410 ;
-        RECT 1438.240 20.410 1438.500 20.730 ;
-        RECT 1438.300 2.400 1438.440 20.410 ;
-        RECT 1438.230 0.000 1438.510 2.400 ;
+        RECT 1473.230 32.120 1473.510 34.520 ;
     END
   END la_data_in[45]
   PIN la_data_in[46]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1452.930 2.960 1453.250 3.020 ;
-        RECT 1456.150 2.960 1456.470 3.020 ;
-        RECT 1452.930 2.820 1456.470 2.960 ;
-        RECT 1452.930 2.760 1453.250 2.820 ;
-        RECT 1456.150 2.760 1456.470 2.820 ;
-      LAYER via ;
-        RECT 1452.960 2.760 1453.220 3.020 ;
-        RECT 1456.180 2.760 1456.440 3.020 ;
       LAYER met2 ;
-        RECT 1453.020 3.050 1453.160 54.000 ;
-        RECT 1452.960 2.730 1453.220 3.050 ;
-        RECT 1456.180 2.730 1456.440 3.050 ;
-        RECT 1456.240 2.400 1456.380 2.730 ;
-        RECT 1456.170 0.000 1456.450 2.400 ;
+        RECT 1491.170 32.120 1491.450 34.520 ;
     END
   END la_data_in[46]
   PIN la_data_in[47]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1457.990 14.860 1458.310 14.920 ;
-        RECT 1474.090 14.860 1474.410 14.920 ;
-        RECT 1457.990 14.720 1474.410 14.860 ;
-        RECT 1457.990 14.660 1458.310 14.720 ;
-        RECT 1474.090 14.660 1474.410 14.720 ;
-      LAYER via ;
-        RECT 1458.020 14.660 1458.280 14.920 ;
-        RECT 1474.120 14.660 1474.380 14.920 ;
       LAYER met2 ;
-        RECT 1458.080 14.950 1458.220 54.000 ;
-        RECT 1458.020 14.630 1458.280 14.950 ;
-        RECT 1474.120 14.630 1474.380 14.950 ;
-        RECT 1474.180 2.400 1474.320 14.630 ;
-        RECT 1474.110 0.000 1474.390 2.400 ;
+        RECT 1509.110 32.120 1509.390 34.520 ;
     END
   END la_data_in[47]
   PIN la_data_in[48]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1463.970 19.620 1464.290 19.680 ;
-        RECT 1492.030 19.620 1492.350 19.680 ;
-        RECT 1463.970 19.480 1492.350 19.620 ;
-        RECT 1463.970 19.420 1464.290 19.480 ;
-        RECT 1492.030 19.420 1492.350 19.480 ;
-      LAYER via ;
-        RECT 1464.000 19.420 1464.260 19.680 ;
-        RECT 1492.060 19.420 1492.320 19.680 ;
       LAYER met2 ;
-        RECT 1464.060 19.710 1464.200 54.000 ;
-        RECT 1464.000 19.390 1464.260 19.710 ;
-        RECT 1492.060 19.390 1492.320 19.710 ;
-        RECT 1492.120 2.400 1492.260 19.390 ;
-        RECT 1492.050 0.000 1492.330 2.400 ;
+        RECT 1527.050 32.120 1527.330 34.520 ;
     END
   END la_data_in[48]
   PIN la_data_in[49]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1464.890 14.520 1465.210 14.580 ;
-        RECT 1509.510 14.520 1509.830 14.580 ;
-        RECT 1464.890 14.380 1509.830 14.520 ;
-        RECT 1464.890 14.320 1465.210 14.380 ;
-        RECT 1509.510 14.320 1509.830 14.380 ;
-      LAYER via ;
-        RECT 1464.920 14.320 1465.180 14.580 ;
-        RECT 1509.540 14.320 1509.800 14.580 ;
       LAYER met2 ;
-        RECT 1464.980 14.610 1465.120 54.000 ;
-        RECT 1464.920 14.290 1465.180 14.610 ;
-        RECT 1509.540 14.290 1509.800 14.610 ;
-        RECT 1509.600 2.400 1509.740 14.290 ;
-        RECT 1509.530 0.000 1509.810 2.400 ;
+        RECT 1544.530 32.120 1544.810 34.520 ;
     END
   END la_data_in[49]
   PIN la_data_in[4]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 706.810 18.260 707.130 18.320 ;
-        RECT 712.790 18.260 713.110 18.320 ;
-        RECT 706.810 18.120 713.110 18.260 ;
-        RECT 706.810 18.060 707.130 18.120 ;
-        RECT 712.790 18.060 713.110 18.120 ;
-      LAYER via ;
-        RECT 706.840 18.060 707.100 18.320 ;
-        RECT 712.820 18.060 713.080 18.320 ;
       LAYER met2 ;
-        RECT 712.880 18.350 713.020 54.000 ;
-        RECT 706.840 18.030 707.100 18.350 ;
-        RECT 712.820 18.030 713.080 18.350 ;
-        RECT 706.900 2.400 707.040 18.030 ;
-        RECT 706.830 0.000 707.110 2.400 ;
+        RECT 741.830 32.120 742.110 34.520 ;
     END
   END la_data_in[4]
   PIN la_data_in[50]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1512.345 20.485 1513.435 20.655 ;
-        RECT 1512.345 19.805 1512.515 20.485 ;
-      LAYER mcon ;
-        RECT 1513.265 20.485 1513.435 20.655 ;
-      LAYER met1 ;
-        RECT 1513.205 20.640 1513.495 20.685 ;
-        RECT 1527.450 20.640 1527.770 20.700 ;
-        RECT 1513.205 20.500 1527.770 20.640 ;
-        RECT 1513.205 20.455 1513.495 20.500 ;
-        RECT 1527.450 20.440 1527.770 20.500 ;
-        RECT 1475.470 19.960 1475.790 20.020 ;
-        RECT 1512.285 19.960 1512.575 20.005 ;
-        RECT 1475.470 19.820 1512.575 19.960 ;
-        RECT 1475.470 19.760 1475.790 19.820 ;
-        RECT 1512.285 19.775 1512.575 19.820 ;
-      LAYER via ;
-        RECT 1527.480 20.440 1527.740 20.700 ;
-        RECT 1475.500 19.760 1475.760 20.020 ;
       LAYER met2 ;
-        RECT 1475.560 20.050 1475.700 54.000 ;
-        RECT 1527.480 20.410 1527.740 20.730 ;
-        RECT 1475.500 19.730 1475.760 20.050 ;
-        RECT 1527.540 2.400 1527.680 20.410 ;
-        RECT 1527.470 0.000 1527.750 2.400 ;
+        RECT 1562.470 32.120 1562.750 34.520 ;
     END
   END la_data_in[50]
   PIN la_data_in[51]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1541.325 48.365 1541.495 54.000 ;
-        RECT 1545.465 2.805 1545.635 14.195 ;
-      LAYER mcon ;
-        RECT 1545.465 14.025 1545.635 14.195 ;
-      LAYER met1 ;
-        RECT 1541.250 48.520 1541.570 48.580 ;
-        RECT 1541.055 48.380 1541.570 48.520 ;
-        RECT 1541.250 48.320 1541.570 48.380 ;
-        RECT 1541.250 14.180 1541.570 14.240 ;
-        RECT 1545.405 14.180 1545.695 14.225 ;
-        RECT 1541.250 14.040 1545.695 14.180 ;
-        RECT 1541.250 13.980 1541.570 14.040 ;
-        RECT 1545.405 13.995 1545.695 14.040 ;
-        RECT 1545.390 2.960 1545.710 3.020 ;
-        RECT 1545.195 2.820 1545.710 2.960 ;
-        RECT 1545.390 2.760 1545.710 2.820 ;
-      LAYER via ;
-        RECT 1541.280 48.320 1541.540 48.580 ;
-        RECT 1541.280 13.980 1541.540 14.240 ;
-        RECT 1545.420 2.760 1545.680 3.020 ;
       LAYER met2 ;
-        RECT 1541.280 48.290 1541.540 48.610 ;
-        RECT 1541.340 14.270 1541.480 48.290 ;
-        RECT 1541.280 13.950 1541.540 14.270 ;
-        RECT 1545.420 2.730 1545.680 3.050 ;
-        RECT 1545.480 2.400 1545.620 2.730 ;
-        RECT 1545.410 0.000 1545.690 2.400 ;
+        RECT 1580.410 32.120 1580.690 34.520 ;
     END
   END la_data_in[51]
   PIN la_data_in[52]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1551.370 41.380 1551.690 41.440 ;
-        RECT 1563.330 41.380 1563.650 41.440 ;
-        RECT 1551.370 41.240 1563.650 41.380 ;
-        RECT 1551.370 41.180 1551.690 41.240 ;
-        RECT 1563.330 41.180 1563.650 41.240 ;
-      LAYER via ;
-        RECT 1551.400 41.180 1551.660 41.440 ;
-        RECT 1563.360 41.180 1563.620 41.440 ;
       LAYER met2 ;
-        RECT 1551.460 41.470 1551.600 54.000 ;
-        RECT 1551.400 41.150 1551.660 41.470 ;
-        RECT 1563.360 41.150 1563.620 41.470 ;
-        RECT 1563.420 2.400 1563.560 41.150 ;
-        RECT 1563.350 0.000 1563.630 2.400 ;
+        RECT 1598.350 32.120 1598.630 34.520 ;
     END
   END la_data_in[52]
   PIN la_data_in[53]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1580.900 32.370 1581.040 54.000 ;
-        RECT 1580.900 32.230 1581.500 32.370 ;
-        RECT 1581.360 2.400 1581.500 32.230 ;
-        RECT 1581.290 0.000 1581.570 2.400 ;
+        RECT 1616.290 32.120 1616.570 34.520 ;
     END
   END la_data_in[53]
   PIN la_data_in[54]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1562.025 20.485 1562.195 23.715 ;
-      LAYER mcon ;
-        RECT 1562.025 23.545 1562.195 23.715 ;
-      LAYER met1 ;
-        RECT 1485.130 23.700 1485.450 23.760 ;
-        RECT 1561.965 23.700 1562.255 23.745 ;
-        RECT 1485.130 23.560 1562.255 23.700 ;
-        RECT 1485.130 23.500 1485.450 23.560 ;
-        RECT 1561.965 23.515 1562.255 23.560 ;
-        RECT 1561.965 20.640 1562.255 20.685 ;
-        RECT 1598.750 20.640 1599.070 20.700 ;
-        RECT 1561.965 20.500 1599.070 20.640 ;
-        RECT 1561.965 20.455 1562.255 20.500 ;
-        RECT 1598.750 20.440 1599.070 20.500 ;
-      LAYER via ;
-        RECT 1485.160 23.500 1485.420 23.760 ;
-        RECT 1598.780 20.440 1599.040 20.700 ;
       LAYER met2 ;
-        RECT 1485.220 23.790 1485.360 54.000 ;
-        RECT 1485.160 23.470 1485.420 23.790 ;
-        RECT 1598.780 20.410 1599.040 20.730 ;
-        RECT 1598.840 2.400 1598.980 20.410 ;
-        RECT 1598.770 0.000 1599.050 2.400 ;
+        RECT 1633.770 32.120 1634.050 34.520 ;
     END
   END la_data_in[54]
   PIN la_data_in[55]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1505.445 20.485 1505.615 24.055 ;
-      LAYER mcon ;
-        RECT 1505.445 23.885 1505.615 24.055 ;
-      LAYER met1 ;
-        RECT 1484.670 24.040 1484.990 24.100 ;
-        RECT 1505.385 24.040 1505.675 24.085 ;
-        RECT 1484.670 23.900 1505.675 24.040 ;
-        RECT 1484.670 23.840 1484.990 23.900 ;
-        RECT 1505.385 23.855 1505.675 23.900 ;
-        RECT 1616.690 20.980 1617.010 21.040 ;
-        RECT 1512.820 20.840 1617.010 20.980 ;
-        RECT 1505.385 20.640 1505.675 20.685 ;
-        RECT 1512.820 20.640 1512.960 20.840 ;
-        RECT 1616.690 20.780 1617.010 20.840 ;
-        RECT 1505.385 20.500 1512.960 20.640 ;
-        RECT 1505.385 20.455 1505.675 20.500 ;
-      LAYER via ;
-        RECT 1484.700 23.840 1484.960 24.100 ;
-        RECT 1616.720 20.780 1616.980 21.040 ;
       LAYER met2 ;
-        RECT 1484.760 24.130 1484.900 54.000 ;
-        RECT 1484.700 23.810 1484.960 24.130 ;
-        RECT 1616.720 20.750 1616.980 21.070 ;
-        RECT 1616.780 2.400 1616.920 20.750 ;
-        RECT 1616.710 0.000 1616.990 2.400 ;
+        RECT 1651.710 32.120 1651.990 34.520 ;
     END
   END la_data_in[55]
   PIN la_data_in[56]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1515.030 22.340 1515.350 22.400 ;
-        RECT 1634.630 22.340 1634.950 22.400 ;
-        RECT 1515.030 22.200 1634.950 22.340 ;
-        RECT 1515.030 22.140 1515.350 22.200 ;
-        RECT 1634.630 22.140 1634.950 22.200 ;
-        RECT 1491.570 20.980 1491.890 21.040 ;
-        RECT 1512.270 20.980 1512.590 21.040 ;
-        RECT 1491.570 20.840 1512.590 20.980 ;
-        RECT 1491.570 20.780 1491.890 20.840 ;
-        RECT 1512.270 20.780 1512.590 20.840 ;
-      LAYER via ;
-        RECT 1515.060 22.140 1515.320 22.400 ;
-        RECT 1634.660 22.140 1634.920 22.400 ;
-        RECT 1491.600 20.780 1491.860 21.040 ;
-        RECT 1512.300 20.780 1512.560 21.040 ;
       LAYER met2 ;
-        RECT 1491.660 21.070 1491.800 54.000 ;
-        RECT 1515.060 22.285 1515.320 22.430 ;
-        RECT 1512.290 21.915 1512.570 22.285 ;
-        RECT 1515.050 21.915 1515.330 22.285 ;
-        RECT 1634.660 22.110 1634.920 22.430 ;
-        RECT 1512.360 21.070 1512.500 21.915 ;
-        RECT 1491.600 20.750 1491.860 21.070 ;
-        RECT 1512.300 20.750 1512.560 21.070 ;
-        RECT 1634.720 2.400 1634.860 22.110 ;
-        RECT 1634.650 0.000 1634.930 2.400 ;
-      LAYER via2 ;
-        RECT 1512.290 21.960 1512.570 22.240 ;
-        RECT 1515.050 21.960 1515.330 22.240 ;
-      LAYER met3 ;
-        RECT 1512.265 22.250 1512.595 22.265 ;
-        RECT 1515.025 22.250 1515.355 22.265 ;
-        RECT 1512.265 21.950 1515.355 22.250 ;
-        RECT 1512.265 21.935 1512.595 21.950 ;
-        RECT 1515.025 21.935 1515.355 21.950 ;
+        RECT 1669.650 32.120 1669.930 34.520 ;
     END
   END la_data_in[56]
   PIN la_data_in[57]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1652.570 23.020 1652.890 23.080 ;
-        RECT 1514.660 22.880 1652.890 23.020 ;
-        RECT 1492.490 22.340 1492.810 22.400 ;
-        RECT 1514.660 22.340 1514.800 22.880 ;
-        RECT 1652.570 22.820 1652.890 22.880 ;
-        RECT 1492.490 22.200 1514.800 22.340 ;
-        RECT 1492.490 22.140 1492.810 22.200 ;
-      LAYER via ;
-        RECT 1492.520 22.140 1492.780 22.400 ;
-        RECT 1652.600 22.820 1652.860 23.080 ;
       LAYER met2 ;
-        RECT 1492.580 22.430 1492.720 54.000 ;
-        RECT 1652.600 22.790 1652.860 23.110 ;
-        RECT 1492.520 22.110 1492.780 22.430 ;
-        RECT 1652.660 2.400 1652.800 22.790 ;
-        RECT 1652.590 0.000 1652.870 2.400 ;
+        RECT 1687.590 32.120 1687.870 34.520 ;
     END
   END la_data_in[57]
   PIN la_data_in[58]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1512.805 26.265 1512.975 28.135 ;
-      LAYER mcon ;
-        RECT 1512.805 27.965 1512.975 28.135 ;
-      LAYER met1 ;
-        RECT 1512.745 28.120 1513.035 28.165 ;
-        RECT 1560.110 28.120 1560.430 28.180 ;
-        RECT 1512.745 27.980 1560.430 28.120 ;
-        RECT 1512.745 27.935 1513.035 27.980 ;
-        RECT 1560.110 27.920 1560.430 27.980 ;
-        RECT 1498.930 26.420 1499.250 26.480 ;
-        RECT 1512.745 26.420 1513.035 26.465 ;
-        RECT 1498.930 26.280 1513.035 26.420 ;
-        RECT 1498.930 26.220 1499.250 26.280 ;
-        RECT 1512.745 26.235 1513.035 26.280 ;
-        RECT 1561.490 23.360 1561.810 23.420 ;
-        RECT 1670.510 23.360 1670.830 23.420 ;
-        RECT 1561.490 23.220 1670.830 23.360 ;
-        RECT 1561.490 23.160 1561.810 23.220 ;
-        RECT 1670.510 23.160 1670.830 23.220 ;
-      LAYER via ;
-        RECT 1560.140 27.920 1560.400 28.180 ;
-        RECT 1498.960 26.220 1499.220 26.480 ;
-        RECT 1561.520 23.160 1561.780 23.420 ;
-        RECT 1670.540 23.160 1670.800 23.420 ;
       LAYER met2 ;
-        RECT 1499.020 26.510 1499.160 54.000 ;
-        RECT 1560.140 27.890 1560.400 28.210 ;
-        RECT 1560.200 26.930 1560.340 27.890 ;
-        RECT 1560.200 26.790 1561.720 26.930 ;
-        RECT 1498.960 26.190 1499.220 26.510 ;
-        RECT 1561.580 23.450 1561.720 26.790 ;
-        RECT 1561.520 23.130 1561.780 23.450 ;
-        RECT 1670.540 23.130 1670.800 23.450 ;
-        RECT 1670.600 2.400 1670.740 23.130 ;
-        RECT 1670.530 0.000 1670.810 2.400 ;
+        RECT 1705.530 32.120 1705.810 34.520 ;
     END
   END la_data_in[58]
   PIN la_data_in[59]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1514.185 23.035 1514.355 24.055 ;
-        RECT 1513.725 22.865 1514.355 23.035 ;
-      LAYER mcon ;
-        RECT 1514.185 23.885 1514.355 24.055 ;
-      LAYER met1 ;
-        RECT 1514.125 24.040 1514.415 24.085 ;
-        RECT 1609.790 24.040 1610.110 24.100 ;
-        RECT 1514.125 23.900 1610.110 24.040 ;
-        RECT 1514.125 23.855 1514.415 23.900 ;
-        RECT 1609.790 23.840 1610.110 23.900 ;
-        RECT 1610.710 24.040 1611.030 24.100 ;
-        RECT 1687.990 24.040 1688.310 24.100 ;
-        RECT 1610.710 23.900 1688.310 24.040 ;
-        RECT 1610.710 23.840 1611.030 23.900 ;
-        RECT 1687.990 23.840 1688.310 23.900 ;
-        RECT 1498.470 23.020 1498.790 23.080 ;
-        RECT 1513.665 23.020 1513.955 23.065 ;
-        RECT 1498.470 22.880 1513.955 23.020 ;
-        RECT 1498.470 22.820 1498.790 22.880 ;
-        RECT 1513.665 22.835 1513.955 22.880 ;
-      LAYER via ;
-        RECT 1609.820 23.840 1610.080 24.100 ;
-        RECT 1610.740 23.840 1611.000 24.100 ;
-        RECT 1688.020 23.840 1688.280 24.100 ;
-        RECT 1498.500 22.820 1498.760 23.080 ;
       LAYER met2 ;
-        RECT 1498.560 23.110 1498.700 54.000 ;
-        RECT 1609.820 23.810 1610.080 24.130 ;
-        RECT 1610.740 23.810 1611.000 24.130 ;
-        RECT 1688.020 23.810 1688.280 24.130 ;
-        RECT 1609.880 23.645 1610.020 23.810 ;
-        RECT 1610.800 23.645 1610.940 23.810 ;
-        RECT 1609.810 23.275 1610.090 23.645 ;
-        RECT 1610.730 23.275 1611.010 23.645 ;
-        RECT 1498.500 22.790 1498.760 23.110 ;
-        RECT 1688.080 2.400 1688.220 23.810 ;
-        RECT 1688.010 0.000 1688.290 2.400 ;
-      LAYER via2 ;
-        RECT 1609.810 23.320 1610.090 23.600 ;
-        RECT 1610.730 23.320 1611.010 23.600 ;
-      LAYER met3 ;
-        RECT 1609.785 23.610 1610.115 23.625 ;
-        RECT 1610.705 23.610 1611.035 23.625 ;
-        RECT 1609.785 23.310 1611.035 23.610 ;
-        RECT 1609.785 23.295 1610.115 23.310 ;
-        RECT 1610.705 23.295 1611.035 23.310 ;
+        RECT 1723.010 32.120 1723.290 34.520 ;
     END
   END la_data_in[59]
   PIN la_data_in[5]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 725.300 3.130 725.440 54.000 ;
-        RECT 724.840 2.990 725.440 3.130 ;
-        RECT 724.840 2.400 724.980 2.990 ;
-        RECT 724.770 0.000 725.050 2.400 ;
+        RECT 759.770 32.120 760.050 34.520 ;
     END
   END la_data_in[5]
   PIN la_data_in[60]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1586.405 25.585 1586.575 26.775 ;
-      LAYER mcon ;
-        RECT 1586.405 26.605 1586.575 26.775 ;
-      LAYER met1 ;
-        RECT 1586.345 26.760 1586.635 26.805 ;
-        RECT 1705.930 26.760 1706.250 26.820 ;
-        RECT 1586.345 26.620 1706.250 26.760 ;
-        RECT 1586.345 26.575 1586.635 26.620 ;
-        RECT 1705.930 26.560 1706.250 26.620 ;
-        RECT 1537.570 25.740 1537.890 25.800 ;
-        RECT 1586.345 25.740 1586.635 25.785 ;
-        RECT 1537.570 25.600 1586.635 25.740 ;
-        RECT 1537.570 25.540 1537.890 25.600 ;
-        RECT 1586.345 25.555 1586.635 25.600 ;
-        RECT 1504.910 25.060 1505.230 25.120 ;
-        RECT 1537.570 25.060 1537.890 25.120 ;
-        RECT 1504.910 24.920 1537.890 25.060 ;
-        RECT 1504.910 24.860 1505.230 24.920 ;
-        RECT 1537.570 24.860 1537.890 24.920 ;
-      LAYER via ;
-        RECT 1705.960 26.560 1706.220 26.820 ;
-        RECT 1537.600 25.540 1537.860 25.800 ;
-        RECT 1504.940 24.860 1505.200 25.120 ;
-        RECT 1537.600 24.860 1537.860 25.120 ;
       LAYER met2 ;
-        RECT 1505.000 25.150 1505.140 54.000 ;
-        RECT 1705.960 26.530 1706.220 26.850 ;
-        RECT 1537.600 25.510 1537.860 25.830 ;
-        RECT 1537.660 25.150 1537.800 25.510 ;
-        RECT 1504.940 24.830 1505.200 25.150 ;
-        RECT 1537.600 24.830 1537.860 25.150 ;
-        RECT 1706.020 2.400 1706.160 26.530 ;
-        RECT 1705.950 0.000 1706.230 2.400 ;
+        RECT 1740.950 32.120 1741.230 34.520 ;
     END
   END la_data_in[60]
   PIN la_data_in[61]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1561.105 26.605 1561.275 28.815 ;
-        RECT 1563.405 26.265 1563.575 28.815 ;
-        RECT 1585.485 25.415 1585.655 26.435 ;
-        RECT 1586.865 25.415 1587.035 25.755 ;
-        RECT 1585.485 25.245 1587.035 25.415 ;
-        RECT 1661.845 22.865 1662.015 25.755 ;
-      LAYER mcon ;
-        RECT 1561.105 28.645 1561.275 28.815 ;
-        RECT 1563.405 28.645 1563.575 28.815 ;
-        RECT 1585.485 26.265 1585.655 26.435 ;
-        RECT 1586.865 25.585 1587.035 25.755 ;
-        RECT 1661.845 25.585 1662.015 25.755 ;
-      LAYER met1 ;
-        RECT 1561.045 28.800 1561.335 28.845 ;
-        RECT 1563.345 28.800 1563.635 28.845 ;
-        RECT 1561.045 28.660 1563.635 28.800 ;
-        RECT 1561.045 28.615 1561.335 28.660 ;
-        RECT 1563.345 28.615 1563.635 28.660 ;
-        RECT 1505.370 26.760 1505.690 26.820 ;
-        RECT 1561.045 26.760 1561.335 26.805 ;
-        RECT 1505.370 26.620 1561.335 26.760 ;
-        RECT 1505.370 26.560 1505.690 26.620 ;
-        RECT 1561.045 26.575 1561.335 26.620 ;
-        RECT 1563.345 26.420 1563.635 26.465 ;
-        RECT 1585.425 26.420 1585.715 26.465 ;
-        RECT 1563.345 26.280 1585.715 26.420 ;
-        RECT 1563.345 26.235 1563.635 26.280 ;
-        RECT 1585.425 26.235 1585.715 26.280 ;
-        RECT 1586.805 25.740 1587.095 25.785 ;
-        RECT 1661.785 25.740 1662.075 25.785 ;
-        RECT 1586.805 25.600 1662.075 25.740 ;
-        RECT 1586.805 25.555 1587.095 25.600 ;
-        RECT 1661.785 25.555 1662.075 25.600 ;
-        RECT 1661.785 23.020 1662.075 23.065 ;
-        RECT 1723.870 23.020 1724.190 23.080 ;
-        RECT 1661.785 22.880 1724.190 23.020 ;
-        RECT 1661.785 22.835 1662.075 22.880 ;
-        RECT 1723.870 22.820 1724.190 22.880 ;
-      LAYER via ;
-        RECT 1505.400 26.560 1505.660 26.820 ;
-        RECT 1723.900 22.820 1724.160 23.080 ;
       LAYER met2 ;
-        RECT 1505.460 26.850 1505.600 54.000 ;
-        RECT 1505.400 26.530 1505.660 26.850 ;
-        RECT 1723.900 22.790 1724.160 23.110 ;
-        RECT 1723.960 2.400 1724.100 22.790 ;
-        RECT 1723.890 0.000 1724.170 2.400 ;
+        RECT 1758.890 32.120 1759.170 34.520 ;
     END
   END la_data_in[61]
   PIN la_data_in[62]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1512.820 24.325 1512.960 54.000 ;
-        RECT 1512.750 23.955 1513.030 24.325 ;
-        RECT 1741.830 23.955 1742.110 24.325 ;
-        RECT 1741.900 2.400 1742.040 23.955 ;
-        RECT 1741.830 0.000 1742.110 2.400 ;
-      LAYER via2 ;
-        RECT 1512.750 24.000 1513.030 24.280 ;
-        RECT 1741.830 24.000 1742.110 24.280 ;
-      LAYER met3 ;
-        RECT 1512.725 24.290 1513.055 24.305 ;
-        RECT 1741.805 24.290 1742.135 24.305 ;
-        RECT 1512.725 23.990 1742.135 24.290 ;
-        RECT 1512.725 23.975 1513.055 23.990 ;
-        RECT 1741.805 23.975 1742.135 23.990 ;
+        RECT 1776.830 32.120 1777.110 34.520 ;
     END
   END la_data_in[62]
   PIN la_data_in[63]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1756.620 48.805 1756.760 54.000 ;
-        RECT 1756.550 48.435 1756.830 48.805 ;
-        RECT 1757.930 48.435 1758.210 48.805 ;
-        RECT 1758.000 24.210 1758.140 48.435 ;
-        RECT 1758.000 24.070 1759.520 24.210 ;
-        RECT 1759.380 2.400 1759.520 24.070 ;
-        RECT 1759.310 0.000 1759.590 2.400 ;
-      LAYER via2 ;
-        RECT 1756.550 48.480 1756.830 48.760 ;
-        RECT 1757.930 48.480 1758.210 48.760 ;
-      LAYER met3 ;
-        RECT 1756.525 48.770 1756.855 48.785 ;
-        RECT 1757.905 48.770 1758.235 48.785 ;
-        RECT 1756.525 48.470 1758.235 48.770 ;
-        RECT 1756.525 48.455 1756.855 48.470 ;
-        RECT 1757.905 48.455 1758.235 48.470 ;
+        RECT 1794.310 32.120 1794.590 34.520 ;
     END
   END la_data_in[63]
   PIN la_data_in[64]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1775.940 14.010 1776.080 54.000 ;
-        RECT 1775.940 13.870 1776.540 14.010 ;
-        RECT 1776.400 13.330 1776.540 13.870 ;
-        RECT 1776.400 13.190 1777.460 13.330 ;
-        RECT 1777.320 2.400 1777.460 13.190 ;
-        RECT 1777.250 0.000 1777.530 2.400 ;
+        RECT 1812.250 32.120 1812.530 34.520 ;
     END
   END la_data_in[64]
   PIN la_data_in[65]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1790.110 13.160 1790.430 13.220 ;
-        RECT 1795.170 13.160 1795.490 13.220 ;
-        RECT 1790.110 13.020 1795.490 13.160 ;
-        RECT 1790.110 12.960 1790.430 13.020 ;
-        RECT 1795.170 12.960 1795.490 13.020 ;
-      LAYER via ;
-        RECT 1790.140 12.960 1790.400 13.220 ;
-        RECT 1795.200 12.960 1795.460 13.220 ;
       LAYER met2 ;
-        RECT 1789.740 37.810 1789.880 54.000 ;
-        RECT 1789.740 37.670 1790.340 37.810 ;
-        RECT 1790.200 13.250 1790.340 37.670 ;
-        RECT 1790.140 12.930 1790.400 13.250 ;
-        RECT 1795.200 12.930 1795.460 13.250 ;
-        RECT 1795.260 2.400 1795.400 12.930 ;
-        RECT 1795.190 0.000 1795.470 2.400 ;
+        RECT 1830.190 32.120 1830.470 34.520 ;
     END
   END la_data_in[65]
   PIN la_data_in[66]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1810.350 13.160 1810.670 13.220 ;
-        RECT 1813.110 13.160 1813.430 13.220 ;
-        RECT 1810.350 13.020 1813.430 13.160 ;
-        RECT 1810.350 12.960 1810.670 13.020 ;
-        RECT 1813.110 12.960 1813.430 13.020 ;
-      LAYER via ;
-        RECT 1810.380 12.960 1810.640 13.220 ;
-        RECT 1813.140 12.960 1813.400 13.220 ;
       LAYER met2 ;
-        RECT 1810.440 13.250 1810.580 54.000 ;
-        RECT 1810.380 12.930 1810.640 13.250 ;
-        RECT 1813.140 12.930 1813.400 13.250 ;
-        RECT 1813.200 2.400 1813.340 12.930 ;
-        RECT 1813.130 0.000 1813.410 2.400 ;
+        RECT 1848.130 32.120 1848.410 34.520 ;
     END
   END la_data_in[66]
   PIN la_data_in[67]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1831.140 2.400 1831.280 54.000 ;
-        RECT 1831.070 0.000 1831.350 2.400 ;
+        RECT 1866.070 32.120 1866.350 34.520 ;
     END
   END la_data_in[67]
   PIN la_data_in[68]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1848.160 12.650 1848.300 54.000 ;
-        RECT 1848.160 12.510 1848.760 12.650 ;
-        RECT 1848.620 2.400 1848.760 12.510 ;
-        RECT 1848.550 0.000 1848.830 2.400 ;
+        RECT 1883.550 32.120 1883.830 34.520 ;
     END
   END la_data_in[68]
   PIN la_data_in[69]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1865.625 41.905 1865.795 54.000 ;
-      LAYER met1 ;
-        RECT 1865.550 42.060 1865.870 42.120 ;
-        RECT 1865.355 41.920 1865.870 42.060 ;
-        RECT 1865.550 41.860 1865.870 41.920 ;
-      LAYER via ;
-        RECT 1865.580 41.860 1865.840 42.120 ;
       LAYER met2 ;
-        RECT 1865.580 41.830 1865.840 42.150 ;
-        RECT 1865.640 14.010 1865.780 41.830 ;
-        RECT 1865.180 13.870 1865.780 14.010 ;
-        RECT 1865.180 12.650 1865.320 13.870 ;
-        RECT 1865.180 12.510 1866.700 12.650 ;
-        RECT 1866.560 2.400 1866.700 12.510 ;
-        RECT 1866.490 0.000 1866.770 2.400 ;
+        RECT 1901.490 32.120 1901.770 34.520 ;
     END
   END la_data_in[69]
   PIN la_data_in[6]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 742.690 18.260 743.010 18.320 ;
-        RECT 747.290 18.260 747.610 18.320 ;
-        RECT 742.690 18.120 747.610 18.260 ;
-        RECT 742.690 18.060 743.010 18.120 ;
-        RECT 747.290 18.060 747.610 18.120 ;
-      LAYER via ;
-        RECT 742.720 18.060 742.980 18.320 ;
-        RECT 747.320 18.060 747.580 18.320 ;
       LAYER met2 ;
-        RECT 747.380 18.350 747.520 54.000 ;
-        RECT 742.720 18.030 742.980 18.350 ;
-        RECT 747.320 18.030 747.580 18.350 ;
-        RECT 742.780 2.400 742.920 18.030 ;
-        RECT 742.710 0.000 742.990 2.400 ;
+        RECT 777.710 32.120 777.990 34.520 ;
     END
   END la_data_in[6]
   PIN la_data_in[70]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1538.950 30.840 1539.270 30.900 ;
-        RECT 1851.750 30.840 1852.070 30.900 ;
-        RECT 1538.950 30.700 1852.070 30.840 ;
-        RECT 1538.950 30.640 1539.270 30.700 ;
-        RECT 1851.750 30.640 1852.070 30.700 ;
-      LAYER via ;
-        RECT 1538.980 30.640 1539.240 30.900 ;
-        RECT 1851.780 30.640 1852.040 30.900 ;
       LAYER met2 ;
-        RECT 1539.040 30.930 1539.180 54.000 ;
-        RECT 1538.980 30.610 1539.240 30.930 ;
-        RECT 1851.780 30.610 1852.040 30.930 ;
-        RECT 1851.840 29.765 1851.980 30.610 ;
-        RECT 1851.770 29.395 1852.050 29.765 ;
-        RECT 1884.430 29.395 1884.710 29.765 ;
-        RECT 1884.500 2.400 1884.640 29.395 ;
-        RECT 1884.430 0.000 1884.710 2.400 ;
-      LAYER via2 ;
-        RECT 1851.770 29.440 1852.050 29.720 ;
-        RECT 1884.430 29.440 1884.710 29.720 ;
-      LAYER met3 ;
-        RECT 1851.745 29.730 1852.075 29.745 ;
-        RECT 1884.405 29.730 1884.735 29.745 ;
-        RECT 1851.745 29.430 1884.735 29.730 ;
-        RECT 1851.745 29.415 1852.075 29.430 ;
-        RECT 1884.405 29.415 1884.735 29.430 ;
+        RECT 1919.430 32.120 1919.710 34.520 ;
     END
   END la_data_in[70]
   PIN la_data_in[71]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1561.565 27.625 1561.735 30.515 ;
-        RECT 1854.125 15.895 1854.295 27.795 ;
-        RECT 1854.125 15.725 1873.615 15.895 ;
-        RECT 1873.445 12.325 1873.615 15.725 ;
-      LAYER mcon ;
-        RECT 1561.565 30.345 1561.735 30.515 ;
-        RECT 1854.125 27.625 1854.295 27.795 ;
-      LAYER met1 ;
-        RECT 1546.770 30.500 1547.090 30.560 ;
-        RECT 1561.505 30.500 1561.795 30.545 ;
-        RECT 1546.770 30.360 1561.795 30.500 ;
-        RECT 1546.770 30.300 1547.090 30.360 ;
-        RECT 1561.505 30.315 1561.795 30.360 ;
-        RECT 1561.505 27.780 1561.795 27.825 ;
-        RECT 1854.065 27.780 1854.355 27.825 ;
-        RECT 1561.505 27.640 1854.355 27.780 ;
-        RECT 1561.505 27.595 1561.795 27.640 ;
-        RECT 1854.065 27.595 1854.355 27.640 ;
-        RECT 1873.385 12.480 1873.675 12.525 ;
-        RECT 1902.350 12.480 1902.670 12.540 ;
-        RECT 1873.385 12.340 1902.670 12.480 ;
-        RECT 1873.385 12.295 1873.675 12.340 ;
-        RECT 1902.350 12.280 1902.670 12.340 ;
-      LAYER via ;
-        RECT 1546.800 30.300 1547.060 30.560 ;
-        RECT 1902.380 12.280 1902.640 12.540 ;
       LAYER met2 ;
-        RECT 1546.860 30.590 1547.000 54.000 ;
-        RECT 1546.800 30.270 1547.060 30.590 ;
-        RECT 1902.380 12.250 1902.640 12.570 ;
-        RECT 1902.440 2.400 1902.580 12.250 ;
-        RECT 1902.370 0.000 1902.650 2.400 ;
+        RECT 1937.370 32.120 1937.650 34.520 ;
     END
   END la_data_in[71]
   PIN la_data_in[72]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1853.665 27.965 1854.755 28.135 ;
-        RECT 1854.585 16.235 1854.755 27.965 ;
-        RECT 1854.585 16.065 1874.075 16.235 ;
-        RECT 1873.905 11.985 1874.075 16.065 ;
-      LAYER met1 ;
-        RECT 1853.605 28.120 1853.895 28.165 ;
-        RECT 1561.120 27.980 1853.895 28.120 ;
-        RECT 1547.230 27.780 1547.550 27.840 ;
-        RECT 1561.120 27.780 1561.260 27.980 ;
-        RECT 1853.605 27.935 1853.895 27.980 ;
-        RECT 1547.230 27.640 1561.260 27.780 ;
-        RECT 1547.230 27.580 1547.550 27.640 ;
-        RECT 1873.845 12.140 1874.135 12.185 ;
-        RECT 1920.290 12.140 1920.610 12.200 ;
-        RECT 1873.845 12.000 1920.610 12.140 ;
-        RECT 1873.845 11.955 1874.135 12.000 ;
-        RECT 1920.290 11.940 1920.610 12.000 ;
-      LAYER via ;
-        RECT 1547.260 27.580 1547.520 27.840 ;
-        RECT 1920.320 11.940 1920.580 12.200 ;
       LAYER met2 ;
-        RECT 1547.320 27.870 1547.460 54.000 ;
-        RECT 1547.260 27.550 1547.520 27.870 ;
-        RECT 1920.320 11.910 1920.580 12.230 ;
-        RECT 1920.380 2.400 1920.520 11.910 ;
-        RECT 1920.310 0.000 1920.590 2.400 ;
+        RECT 1955.310 32.120 1955.590 34.520 ;
     END
   END la_data_in[72]
   PIN la_data_in[73]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1573.065 28.305 1573.235 31.535 ;
-      LAYER mcon ;
-        RECT 1573.065 31.365 1573.235 31.535 ;
-      LAYER met1 ;
-        RECT 1554.130 31.520 1554.450 31.580 ;
-        RECT 1573.005 31.520 1573.295 31.565 ;
-        RECT 1554.130 31.380 1573.295 31.520 ;
-        RECT 1554.130 31.320 1554.450 31.380 ;
-        RECT 1573.005 31.335 1573.295 31.380 ;
-        RECT 1573.005 28.460 1573.295 28.505 ;
-        RECT 1937.770 28.460 1938.090 28.520 ;
-        RECT 1573.005 28.320 1938.090 28.460 ;
-        RECT 1573.005 28.275 1573.295 28.320 ;
-        RECT 1937.770 28.260 1938.090 28.320 ;
-      LAYER via ;
-        RECT 1554.160 31.320 1554.420 31.580 ;
-        RECT 1937.800 28.260 1938.060 28.520 ;
       LAYER met2 ;
-        RECT 1554.220 31.610 1554.360 54.000 ;
-        RECT 1554.160 31.290 1554.420 31.610 ;
-        RECT 1937.800 28.230 1938.060 28.550 ;
-        RECT 1937.860 2.400 1938.000 28.230 ;
-        RECT 1937.790 0.000 1938.070 2.400 ;
+        RECT 1972.790 32.120 1973.070 34.520 ;
     END
   END la_data_in[73]
   PIN la_data_in[74]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1585.945 28.645 1586.115 31.875 ;
-      LAYER mcon ;
-        RECT 1585.945 31.705 1586.115 31.875 ;
-      LAYER met1 ;
-        RECT 1553.670 31.860 1553.990 31.920 ;
-        RECT 1585.885 31.860 1586.175 31.905 ;
-        RECT 1553.670 31.720 1586.175 31.860 ;
-        RECT 1553.670 31.660 1553.990 31.720 ;
-        RECT 1585.885 31.675 1586.175 31.720 ;
-        RECT 1585.885 28.800 1586.175 28.845 ;
-        RECT 1955.710 28.800 1956.030 28.860 ;
-        RECT 1585.885 28.660 1956.030 28.800 ;
-        RECT 1585.885 28.615 1586.175 28.660 ;
-        RECT 1955.710 28.600 1956.030 28.660 ;
-      LAYER via ;
-        RECT 1553.700 31.660 1553.960 31.920 ;
-        RECT 1955.740 28.600 1956.000 28.860 ;
       LAYER met2 ;
-        RECT 1553.760 31.950 1553.900 54.000 ;
-        RECT 1553.700 31.630 1553.960 31.950 ;
-        RECT 1955.740 28.570 1956.000 28.890 ;
-        RECT 1955.800 2.400 1955.940 28.570 ;
-        RECT 1955.730 0.000 1956.010 2.400 ;
+        RECT 1990.730 32.120 1991.010 34.520 ;
     END
   END la_data_in[74]
   PIN la_data_in[75]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1560.570 29.280 1560.890 29.540 ;
-        RECT 1560.660 29.140 1560.800 29.280 ;
-        RECT 1973.650 29.140 1973.970 29.200 ;
-        RECT 1560.660 29.000 1973.970 29.140 ;
-        RECT 1973.650 28.940 1973.970 29.000 ;
-      LAYER via ;
-        RECT 1560.600 29.280 1560.860 29.540 ;
-        RECT 1973.680 28.940 1973.940 29.200 ;
       LAYER met2 ;
-        RECT 1560.660 29.570 1560.800 54.000 ;
-        RECT 1560.600 29.250 1560.860 29.570 ;
-        RECT 1973.680 28.910 1973.940 29.230 ;
-        RECT 1973.740 2.400 1973.880 28.910 ;
-        RECT 1973.670 0.000 1973.950 2.400 ;
+        RECT 2008.670 32.120 2008.950 34.520 ;
     END
   END la_data_in[75]
   PIN la_data_in[76]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1561.030 29.480 1561.350 29.540 ;
-        RECT 1991.590 29.480 1991.910 29.540 ;
-        RECT 1561.030 29.340 1991.910 29.480 ;
-        RECT 1561.030 29.280 1561.350 29.340 ;
-        RECT 1991.590 29.280 1991.910 29.340 ;
-      LAYER via ;
-        RECT 1561.060 29.280 1561.320 29.540 ;
-        RECT 1991.620 29.280 1991.880 29.540 ;
       LAYER met2 ;
-        RECT 1561.120 29.570 1561.260 54.000 ;
-        RECT 1561.060 29.250 1561.320 29.570 ;
-        RECT 1991.620 29.250 1991.880 29.570 ;
-        RECT 1991.680 2.400 1991.820 29.250 ;
-        RECT 1991.610 0.000 1991.890 2.400 ;
+        RECT 2026.610 32.120 2026.890 34.520 ;
     END
   END la_data_in[76]
   PIN la_data_in[77]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1567.930 32.200 1568.250 32.260 ;
-        RECT 1567.930 32.060 1586.560 32.200 ;
-        RECT 1567.930 32.000 1568.250 32.060 ;
-        RECT 1586.420 31.860 1586.560 32.060 ;
-        RECT 1594.150 31.860 1594.470 31.920 ;
-        RECT 1586.420 31.720 1594.470 31.860 ;
-        RECT 1594.150 31.660 1594.470 31.720 ;
-        RECT 1609.790 30.500 1610.110 30.560 ;
-        RECT 2009.070 30.500 2009.390 30.560 ;
-        RECT 1609.790 30.360 2009.390 30.500 ;
-        RECT 1609.790 30.300 1610.110 30.360 ;
-        RECT 2009.070 30.300 2009.390 30.360 ;
-      LAYER via ;
-        RECT 1567.960 32.000 1568.220 32.260 ;
-        RECT 1594.180 31.660 1594.440 31.920 ;
-        RECT 1609.820 30.300 1610.080 30.560 ;
-        RECT 2009.100 30.300 2009.360 30.560 ;
       LAYER met2 ;
-        RECT 1568.020 32.290 1568.160 54.000 ;
-        RECT 1567.960 31.970 1568.220 32.290 ;
-        RECT 1594.180 31.805 1594.440 31.950 ;
-        RECT 1594.170 31.435 1594.450 31.805 ;
-        RECT 1609.810 31.435 1610.090 31.805 ;
-        RECT 1609.880 30.590 1610.020 31.435 ;
-        RECT 1609.820 30.270 1610.080 30.590 ;
-        RECT 2009.100 30.270 2009.360 30.590 ;
-        RECT 2009.160 2.400 2009.300 30.270 ;
-        RECT 2009.090 0.000 2009.370 2.400 ;
-      LAYER via2 ;
-        RECT 1594.170 31.480 1594.450 31.760 ;
-        RECT 1609.810 31.480 1610.090 31.760 ;
-      LAYER met3 ;
-        RECT 1594.145 31.770 1594.475 31.785 ;
-        RECT 1609.785 31.770 1610.115 31.785 ;
-        RECT 1594.145 31.470 1610.115 31.770 ;
-        RECT 1594.145 31.455 1594.475 31.470 ;
-        RECT 1609.785 31.455 1610.115 31.470 ;
+        RECT 2044.090 32.120 2044.370 34.520 ;
     END
   END la_data_in[77]
   PIN la_data_in[78]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1567.470 34.240 1567.790 34.300 ;
-        RECT 2027.010 34.240 2027.330 34.300 ;
-        RECT 1567.470 34.100 2027.330 34.240 ;
-        RECT 1567.470 34.040 1567.790 34.100 ;
-        RECT 2027.010 34.040 2027.330 34.100 ;
-      LAYER via ;
-        RECT 1567.500 34.040 1567.760 34.300 ;
-        RECT 2027.040 34.040 2027.300 34.300 ;
       LAYER met2 ;
-        RECT 1567.560 34.330 1567.700 54.000 ;
-        RECT 1567.500 34.010 1567.760 34.330 ;
-        RECT 2027.040 34.010 2027.300 34.330 ;
-        RECT 2027.100 2.400 2027.240 34.010 ;
-        RECT 2027.030 0.000 2027.310 2.400 ;
+        RECT 2062.030 32.120 2062.310 34.520 ;
     END
   END la_data_in[78]
   PIN la_data_in[79]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1574.830 33.900 1575.150 33.960 ;
-        RECT 2044.950 33.900 2045.270 33.960 ;
-        RECT 1574.830 33.760 2045.270 33.900 ;
-        RECT 1574.830 33.700 1575.150 33.760 ;
-        RECT 2044.950 33.700 2045.270 33.760 ;
-      LAYER via ;
-        RECT 1574.860 33.700 1575.120 33.960 ;
-        RECT 2044.980 33.700 2045.240 33.960 ;
       LAYER met2 ;
-        RECT 1574.920 33.990 1575.060 54.000 ;
-        RECT 1574.860 33.670 1575.120 33.990 ;
-        RECT 2044.980 33.670 2045.240 33.990 ;
-        RECT 2045.040 2.400 2045.180 33.670 ;
-        RECT 2044.970 0.000 2045.250 2.400 ;
+        RECT 2079.970 32.120 2080.250 34.520 ;
     END
   END la_data_in[79]
   PIN la_data_in[7]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 760.170 2.960 760.490 3.020 ;
-        RECT 760.630 2.960 760.950 3.020 ;
-        RECT 760.170 2.820 760.950 2.960 ;
-        RECT 760.170 2.760 760.490 2.820 ;
-        RECT 760.630 2.760 760.950 2.820 ;
-      LAYER via ;
-        RECT 760.200 2.760 760.460 3.020 ;
-        RECT 760.660 2.760 760.920 3.020 ;
       LAYER met2 ;
-        RECT 759.340 48.805 759.480 54.000 ;
-        RECT 759.270 48.435 759.550 48.805 ;
-        RECT 760.190 48.435 760.470 48.805 ;
-        RECT 760.260 47.840 760.400 48.435 ;
-        RECT 760.260 47.700 760.860 47.840 ;
-        RECT 760.720 3.050 760.860 47.700 ;
-        RECT 760.200 2.730 760.460 3.050 ;
-        RECT 760.660 2.730 760.920 3.050 ;
-        RECT 760.260 2.400 760.400 2.730 ;
-        RECT 760.190 0.000 760.470 2.400 ;
-      LAYER via2 ;
-        RECT 759.270 48.480 759.550 48.760 ;
-        RECT 760.190 48.480 760.470 48.760 ;
-      LAYER met3 ;
-        RECT 759.245 48.770 759.575 48.785 ;
-        RECT 760.165 48.770 760.495 48.785 ;
-        RECT 759.245 48.470 760.495 48.770 ;
-        RECT 759.245 48.455 759.575 48.470 ;
-        RECT 760.165 48.455 760.495 48.470 ;
+        RECT 795.190 32.120 795.470 34.520 ;
     END
   END la_data_in[7]
   PIN la_data_in[80]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1581.730 33.560 1582.050 33.620 ;
-        RECT 2062.890 33.560 2063.210 33.620 ;
-        RECT 1581.730 33.420 2063.210 33.560 ;
-        RECT 1581.730 33.360 1582.050 33.420 ;
-        RECT 2062.890 33.360 2063.210 33.420 ;
-      LAYER via ;
-        RECT 1581.760 33.360 1582.020 33.620 ;
-        RECT 2062.920 33.360 2063.180 33.620 ;
       LAYER met2 ;
-        RECT 1581.820 33.650 1581.960 54.000 ;
-        RECT 1581.760 33.330 1582.020 33.650 ;
-        RECT 2062.920 33.330 2063.180 33.650 ;
-        RECT 2062.980 2.400 2063.120 33.330 ;
-        RECT 2062.910 0.000 2063.190 2.400 ;
+        RECT 2097.910 32.120 2098.190 34.520 ;
     END
   END la_data_in[80]
   PIN la_data_in[81]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1581.270 33.220 1581.590 33.280 ;
-        RECT 2080.830 33.220 2081.150 33.280 ;
-        RECT 1581.270 33.080 2081.150 33.220 ;
-        RECT 1581.270 33.020 1581.590 33.080 ;
-        RECT 2080.830 33.020 2081.150 33.080 ;
-      LAYER via ;
-        RECT 1581.300 33.020 1581.560 33.280 ;
-        RECT 2080.860 33.020 2081.120 33.280 ;
       LAYER met2 ;
-        RECT 1581.360 33.310 1581.500 54.000 ;
-        RECT 1581.300 32.990 1581.560 33.310 ;
-        RECT 2080.860 32.990 2081.120 33.310 ;
-        RECT 2080.920 2.400 2081.060 32.990 ;
-        RECT 2080.850 0.000 2081.130 2.400 ;
+        RECT 2115.850 32.120 2116.130 34.520 ;
     END
   END la_data_in[81]
   PIN la_data_in[82]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1619.065 32.045 1619.235 36.295 ;
-      LAYER mcon ;
-        RECT 1619.065 36.125 1619.235 36.295 ;
-      LAYER met1 ;
-        RECT 1588.170 36.280 1588.490 36.340 ;
-        RECT 1619.005 36.280 1619.295 36.325 ;
-        RECT 1588.170 36.140 1619.295 36.280 ;
-        RECT 1588.170 36.080 1588.490 36.140 ;
-        RECT 1619.005 36.095 1619.295 36.140 ;
-        RECT 1619.005 32.200 1619.295 32.245 ;
-        RECT 2098.310 32.200 2098.630 32.260 ;
-        RECT 1619.005 32.060 2098.630 32.200 ;
-        RECT 1619.005 32.015 1619.295 32.060 ;
-        RECT 2098.310 32.000 2098.630 32.060 ;
-      LAYER via ;
-        RECT 1588.200 36.080 1588.460 36.340 ;
-        RECT 2098.340 32.000 2098.600 32.260 ;
       LAYER met2 ;
-        RECT 1588.260 36.370 1588.400 54.000 ;
-        RECT 1588.200 36.050 1588.460 36.370 ;
-        RECT 2098.340 31.970 2098.600 32.290 ;
-        RECT 2098.400 2.400 2098.540 31.970 ;
-        RECT 2098.330 0.000 2098.610 2.400 ;
+        RECT 2133.330 32.120 2133.610 34.520 ;
     END
   END la_data_in[82]
   PIN la_data_in[83]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1609.405 31.875 1609.575 32.215 ;
-        RECT 1609.405 31.705 1610.495 31.875 ;
-        RECT 1789.725 31.705 1790.815 31.875 ;
-      LAYER mcon ;
-        RECT 1609.405 32.045 1609.575 32.215 ;
-        RECT 1610.325 31.705 1610.495 31.875 ;
-        RECT 1790.645 31.705 1790.815 31.875 ;
-      LAYER met1 ;
-        RECT 1588.630 32.200 1588.950 32.260 ;
-        RECT 1609.345 32.200 1609.635 32.245 ;
-        RECT 1588.630 32.060 1609.635 32.200 ;
-        RECT 1588.630 32.000 1588.950 32.060 ;
-        RECT 1609.345 32.015 1609.635 32.060 ;
-        RECT 1610.265 31.860 1610.555 31.905 ;
-        RECT 1789.665 31.860 1789.955 31.905 ;
-        RECT 1610.265 31.720 1789.955 31.860 ;
-        RECT 1610.265 31.675 1610.555 31.720 ;
-        RECT 1789.665 31.675 1789.955 31.720 ;
-        RECT 1790.585 31.860 1790.875 31.905 ;
-        RECT 2116.250 31.860 2116.570 31.920 ;
-        RECT 1790.585 31.720 2116.570 31.860 ;
-        RECT 1790.585 31.675 1790.875 31.720 ;
-        RECT 2116.250 31.660 2116.570 31.720 ;
-      LAYER via ;
-        RECT 1588.660 32.000 1588.920 32.260 ;
-        RECT 2116.280 31.660 2116.540 31.920 ;
       LAYER met2 ;
-        RECT 1588.720 32.290 1588.860 54.000 ;
-        RECT 1588.660 31.970 1588.920 32.290 ;
-        RECT 2116.280 31.630 2116.540 31.950 ;
-        RECT 2116.340 2.400 2116.480 31.630 ;
-        RECT 2116.270 0.000 2116.550 2.400 ;
+        RECT 2151.270 32.120 2151.550 34.520 ;
     END
   END la_data_in[83]
   PIN la_data_in[84]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1643.445 31.365 1644.535 31.535 ;
-        RECT 1734.525 31.365 1735.155 31.535 ;
-        RECT 2120.925 30.345 2121.095 31.535 ;
-      LAYER mcon ;
-        RECT 1644.365 31.365 1644.535 31.535 ;
-        RECT 1734.985 31.365 1735.155 31.535 ;
-        RECT 2120.925 31.365 2121.095 31.535 ;
-      LAYER met1 ;
-        RECT 1643.370 31.520 1643.690 31.580 ;
-        RECT 1644.305 31.520 1644.595 31.565 ;
-        RECT 1686.150 31.520 1686.470 31.580 ;
-        RECT 1643.370 31.380 1643.885 31.520 ;
-        RECT 1644.305 31.380 1686.470 31.520 ;
-        RECT 1643.370 31.320 1643.690 31.380 ;
-        RECT 1644.305 31.335 1644.595 31.380 ;
-        RECT 1686.150 31.320 1686.470 31.380 ;
-        RECT 1693.970 31.520 1694.290 31.580 ;
-        RECT 1734.465 31.520 1734.755 31.565 ;
-        RECT 1734.910 31.520 1735.230 31.580 ;
-        RECT 1693.970 31.380 1735.230 31.520 ;
-        RECT 1693.970 31.320 1694.290 31.380 ;
-        RECT 1734.465 31.335 1734.755 31.380 ;
-        RECT 1734.910 31.320 1735.230 31.380 ;
-        RECT 1782.290 31.520 1782.610 31.580 ;
-        RECT 2120.865 31.520 2121.155 31.565 ;
-        RECT 1782.290 31.380 2121.155 31.520 ;
-        RECT 1782.290 31.320 1782.610 31.380 ;
-        RECT 2120.865 31.335 2121.155 31.380 ;
-        RECT 2120.865 30.500 2121.155 30.545 ;
-        RECT 2134.190 30.500 2134.510 30.560 ;
-        RECT 2120.865 30.360 2134.510 30.500 ;
-        RECT 2120.865 30.315 2121.155 30.360 ;
-        RECT 2134.190 30.300 2134.510 30.360 ;
-      LAYER via ;
-        RECT 1643.400 31.320 1643.660 31.580 ;
-        RECT 1686.180 31.320 1686.440 31.580 ;
-        RECT 1694.000 31.320 1694.260 31.580 ;
-        RECT 1734.940 31.320 1735.200 31.580 ;
-        RECT 1782.320 31.320 1782.580 31.580 ;
-        RECT 2134.220 30.300 2134.480 30.560 ;
       LAYER met2 ;
-        RECT 1594.700 32.485 1594.840 54.000 ;
-        RECT 1594.630 32.115 1594.910 32.485 ;
-        RECT 1643.390 32.115 1643.670 32.485 ;
-        RECT 1686.170 32.115 1686.450 32.485 ;
-        RECT 1693.990 32.115 1694.270 32.485 ;
-        RECT 1734.930 32.115 1735.210 32.485 ;
-        RECT 1782.310 32.115 1782.590 32.485 ;
-        RECT 1643.460 31.610 1643.600 32.115 ;
-        RECT 1686.240 31.610 1686.380 32.115 ;
-        RECT 1694.060 31.610 1694.200 32.115 ;
-        RECT 1735.000 31.610 1735.140 32.115 ;
-        RECT 1782.380 31.610 1782.520 32.115 ;
-        RECT 1643.400 31.290 1643.660 31.610 ;
-        RECT 1686.180 31.290 1686.440 31.610 ;
-        RECT 1694.000 31.290 1694.260 31.610 ;
-        RECT 1734.940 31.290 1735.200 31.610 ;
-        RECT 1782.320 31.290 1782.580 31.610 ;
-        RECT 2134.220 30.270 2134.480 30.590 ;
-        RECT 2134.280 2.400 2134.420 30.270 ;
-        RECT 2134.210 0.000 2134.490 2.400 ;
-      LAYER via2 ;
-        RECT 1594.630 32.160 1594.910 32.440 ;
-        RECT 1643.390 32.160 1643.670 32.440 ;
-        RECT 1686.170 32.160 1686.450 32.440 ;
-        RECT 1693.990 32.160 1694.270 32.440 ;
-        RECT 1734.930 32.160 1735.210 32.440 ;
-        RECT 1782.310 32.160 1782.590 32.440 ;
-      LAYER met3 ;
-        RECT 1594.605 32.450 1594.935 32.465 ;
-        RECT 1643.365 32.450 1643.695 32.465 ;
-        RECT 1594.605 32.150 1643.695 32.450 ;
-        RECT 1594.605 32.135 1594.935 32.150 ;
-        RECT 1643.365 32.135 1643.695 32.150 ;
-        RECT 1686.145 32.450 1686.475 32.465 ;
-        RECT 1693.965 32.450 1694.295 32.465 ;
-        RECT 1686.145 32.150 1694.295 32.450 ;
-        RECT 1686.145 32.135 1686.475 32.150 ;
-        RECT 1693.965 32.135 1694.295 32.150 ;
-        RECT 1734.905 32.450 1735.235 32.465 ;
-        RECT 1782.285 32.450 1782.615 32.465 ;
-        RECT 1734.905 32.150 1782.615 32.450 ;
-        RECT 1734.905 32.135 1735.235 32.150 ;
-        RECT 1782.285 32.135 1782.615 32.150 ;
+        RECT 2169.210 32.120 2169.490 34.520 ;
     END
   END la_data_in[84]
   PIN la_data_in[85]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1595.070 31.520 1595.390 31.580 ;
-        RECT 1595.070 31.380 1609.560 31.520 ;
-        RECT 1595.070 31.320 1595.390 31.380 ;
-        RECT 1609.420 31.180 1609.560 31.380 ;
-        RECT 2152.130 31.180 2152.450 31.240 ;
-        RECT 1609.420 31.040 2152.450 31.180 ;
-        RECT 2152.130 30.980 2152.450 31.040 ;
-      LAYER via ;
-        RECT 1595.100 31.320 1595.360 31.580 ;
-        RECT 2152.160 30.980 2152.420 31.240 ;
       LAYER met2 ;
-        RECT 1595.160 31.610 1595.300 54.000 ;
-        RECT 1595.100 31.290 1595.360 31.610 ;
-        RECT 2152.160 30.950 2152.420 31.270 ;
-        RECT 2152.220 2.400 2152.360 30.950 ;
-        RECT 2152.150 0.000 2152.430 2.400 ;
+        RECT 2187.150 32.120 2187.430 34.520 ;
     END
   END la_data_in[85]
   PIN la_data_in[86]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1610.785 34.765 1610.955 35.955 ;
-      LAYER mcon ;
-        RECT 1610.785 35.785 1610.955 35.955 ;
-      LAYER met1 ;
-        RECT 1601.510 35.940 1601.830 36.000 ;
-        RECT 1610.725 35.940 1611.015 35.985 ;
-        RECT 1601.510 35.800 1611.015 35.940 ;
-        RECT 1601.510 35.740 1601.830 35.800 ;
-        RECT 1610.725 35.755 1611.015 35.800 ;
-        RECT 1610.725 34.920 1611.015 34.965 ;
-        RECT 1658.550 34.920 1658.870 34.980 ;
-        RECT 1610.725 34.780 1658.870 34.920 ;
-        RECT 1610.725 34.735 1611.015 34.780 ;
-        RECT 1658.550 34.720 1658.870 34.780 ;
-        RECT 1852.210 30.840 1852.530 30.900 ;
-        RECT 2170.070 30.840 2170.390 30.900 ;
-        RECT 1852.210 30.700 2170.390 30.840 ;
-        RECT 1852.210 30.640 1852.530 30.700 ;
-        RECT 2170.070 30.640 2170.390 30.700 ;
-      LAYER via ;
-        RECT 1601.540 35.740 1601.800 36.000 ;
-        RECT 1658.580 34.720 1658.840 34.980 ;
-        RECT 1852.240 30.640 1852.500 30.900 ;
-        RECT 2170.100 30.640 2170.360 30.900 ;
       LAYER met2 ;
-        RECT 1601.600 36.030 1601.740 54.000 ;
-        RECT 1601.540 35.710 1601.800 36.030 ;
-        RECT 1658.580 34.690 1658.840 35.010 ;
-        RECT 1658.640 30.445 1658.780 34.690 ;
-        RECT 1852.240 30.610 1852.500 30.930 ;
-        RECT 2170.100 30.610 2170.360 30.930 ;
-        RECT 1852.300 30.445 1852.440 30.610 ;
-        RECT 1658.570 30.075 1658.850 30.445 ;
-        RECT 1852.230 30.075 1852.510 30.445 ;
-        RECT 2170.160 2.400 2170.300 30.610 ;
-        RECT 2170.090 0.000 2170.370 2.400 ;
-      LAYER via2 ;
-        RECT 1658.570 30.120 1658.850 30.400 ;
-        RECT 1852.230 30.120 1852.510 30.400 ;
-      LAYER met3 ;
-        RECT 1658.545 30.410 1658.875 30.425 ;
-        RECT 1852.205 30.410 1852.535 30.425 ;
-        RECT 1658.545 30.110 1852.535 30.410 ;
-        RECT 1658.545 30.095 1658.875 30.110 ;
-        RECT 1852.205 30.095 1852.535 30.110 ;
+        RECT 2205.090 32.120 2205.370 34.520 ;
     END
   END la_data_in[86]
   PIN la_data_in[87]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1602.060 31.125 1602.200 54.000 ;
-        RECT 1601.990 30.755 1602.270 31.125 ;
-        RECT 2187.570 30.755 2187.850 31.125 ;
-        RECT 2187.640 2.400 2187.780 30.755 ;
-        RECT 2187.570 0.000 2187.850 2.400 ;
-      LAYER via2 ;
-        RECT 1601.990 30.800 1602.270 31.080 ;
-        RECT 2187.570 30.800 2187.850 31.080 ;
-      LAYER met3 ;
-        RECT 1601.965 31.090 1602.295 31.105 ;
-        RECT 2187.545 31.090 2187.875 31.105 ;
-        RECT 1601.965 30.790 2187.875 31.090 ;
-        RECT 1601.965 30.775 1602.295 30.790 ;
-        RECT 2187.545 30.775 2187.875 30.790 ;
+        RECT 2222.570 32.120 2222.850 34.520 ;
     END
   END la_data_in[87]
   PIN la_data_in[88]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2203.740 3.130 2203.880 54.000 ;
-        RECT 2203.740 2.990 2205.260 3.130 ;
-        RECT 2205.120 2.960 2205.260 2.990 ;
-        RECT 2205.120 2.820 2205.720 2.960 ;
-        RECT 2205.580 2.400 2205.720 2.820 ;
-        RECT 2205.510 0.000 2205.790 2.400 ;
+        RECT 2240.510 32.120 2240.790 34.520 ;
     END
   END la_data_in[88]
   PIN la_data_in[89]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2217.910 2.960 2218.230 3.020 ;
-        RECT 2223.430 2.960 2223.750 3.020 ;
-        RECT 2217.910 2.820 2223.750 2.960 ;
-        RECT 2217.910 2.760 2218.230 2.820 ;
-        RECT 2223.430 2.760 2223.750 2.820 ;
-      LAYER via ;
-        RECT 2217.940 2.760 2218.200 3.020 ;
-        RECT 2223.460 2.760 2223.720 3.020 ;
       LAYER met2 ;
-        RECT 2218.000 3.050 2218.140 54.000 ;
-        RECT 2217.940 2.730 2218.200 3.050 ;
-        RECT 2223.460 2.730 2223.720 3.050 ;
-        RECT 2223.520 2.400 2223.660 2.730 ;
-        RECT 2223.450 0.000 2223.730 2.400 ;
+        RECT 2258.450 32.120 2258.730 34.520 ;
     END
   END la_data_in[89]
   PIN la_data_in[8]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 778.110 2.960 778.430 3.020 ;
-        RECT 781.790 2.960 782.110 3.020 ;
-        RECT 778.110 2.820 782.110 2.960 ;
-        RECT 778.110 2.760 778.430 2.820 ;
-        RECT 781.790 2.760 782.110 2.820 ;
-      LAYER via ;
-        RECT 778.140 2.760 778.400 3.020 ;
-        RECT 781.820 2.760 782.080 3.020 ;
       LAYER met2 ;
-        RECT 781.880 3.050 782.020 54.000 ;
-        RECT 778.140 2.730 778.400 3.050 ;
-        RECT 781.820 2.730 782.080 3.050 ;
-        RECT 778.200 2.400 778.340 2.730 ;
-        RECT 778.130 0.000 778.410 2.400 ;
+        RECT 813.130 32.120 813.410 34.520 ;
     END
   END la_data_in[8]
   PIN la_data_in[90]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2238.150 2.960 2238.470 3.020 ;
-        RECT 2241.370 2.960 2241.690 3.020 ;
-        RECT 2238.150 2.820 2241.690 2.960 ;
-        RECT 2238.150 2.760 2238.470 2.820 ;
-        RECT 2241.370 2.760 2241.690 2.820 ;
-      LAYER via ;
-        RECT 2238.180 2.760 2238.440 3.020 ;
-        RECT 2241.400 2.760 2241.660 3.020 ;
       LAYER met2 ;
-        RECT 2238.240 3.050 2238.380 54.000 ;
-        RECT 2238.180 2.730 2238.440 3.050 ;
-        RECT 2241.400 2.730 2241.660 3.050 ;
-        RECT 2241.460 2.400 2241.600 2.730 ;
-        RECT 2241.390 0.000 2241.670 2.400 ;
+        RECT 2276.390 32.120 2276.670 34.520 ;
     END
   END la_data_in[90]
   PIN la_data_in[91]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2258.940 2.400 2259.080 54.000 ;
-        RECT 2258.870 0.000 2259.150 2.400 ;
+        RECT 2293.870 32.120 2294.150 34.520 ;
     END
   END la_data_in[91]
   PIN la_data_in[92]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2272.650 2.960 2272.970 3.020 ;
-        RECT 2276.790 2.960 2277.110 3.020 ;
-        RECT 2272.650 2.820 2277.110 2.960 ;
-        RECT 2272.650 2.760 2272.970 2.820 ;
-        RECT 2276.790 2.760 2277.110 2.820 ;
-      LAYER via ;
-        RECT 2272.680 2.760 2272.940 3.020 ;
-        RECT 2276.820 2.760 2277.080 3.020 ;
       LAYER met2 ;
-        RECT 2272.740 3.050 2272.880 54.000 ;
-        RECT 2272.680 2.730 2272.940 3.050 ;
-        RECT 2276.820 2.730 2277.080 3.050 ;
-        RECT 2276.880 2.400 2277.020 2.730 ;
-        RECT 2276.810 0.000 2277.090 2.400 ;
+        RECT 2311.810 32.120 2312.090 34.520 ;
     END
   END la_data_in[92]
   PIN la_data_in[93]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2293.440 3.130 2293.580 54.000 ;
-        RECT 2293.440 2.990 2294.500 3.130 ;
-        RECT 2294.360 2.960 2294.500 2.990 ;
-        RECT 2294.360 2.820 2294.960 2.960 ;
-        RECT 2294.820 2.400 2294.960 2.820 ;
-        RECT 2294.750 0.000 2295.030 2.400 ;
+        RECT 2329.750 32.120 2330.030 34.520 ;
     END
   END la_data_in[93]
   PIN la_data_in[94]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2307.150 2.960 2307.470 3.020 ;
-        RECT 2312.670 2.960 2312.990 3.020 ;
-        RECT 2307.150 2.820 2312.990 2.960 ;
-        RECT 2307.150 2.760 2307.470 2.820 ;
-        RECT 2312.670 2.760 2312.990 2.820 ;
-      LAYER via ;
-        RECT 2307.180 2.760 2307.440 3.020 ;
-        RECT 2312.700 2.760 2312.960 3.020 ;
       LAYER met2 ;
-        RECT 2307.240 3.050 2307.380 54.000 ;
-        RECT 2307.180 2.730 2307.440 3.050 ;
-        RECT 2312.700 2.730 2312.960 3.050 ;
-        RECT 2312.760 2.400 2312.900 2.730 ;
-        RECT 2312.690 0.000 2312.970 2.400 ;
+        RECT 2347.690 32.120 2347.970 34.520 ;
     END
   END la_data_in[94]
   PIN la_data_in[95]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2327.850 2.960 2328.170 3.020 ;
-        RECT 2330.610 2.960 2330.930 3.020 ;
-        RECT 2327.850 2.820 2330.930 2.960 ;
-        RECT 2327.850 2.760 2328.170 2.820 ;
-        RECT 2330.610 2.760 2330.930 2.820 ;
-      LAYER via ;
-        RECT 2327.880 2.760 2328.140 3.020 ;
-        RECT 2330.640 2.760 2330.900 3.020 ;
       LAYER met2 ;
-        RECT 2327.940 3.050 2328.080 54.000 ;
-        RECT 2327.880 2.730 2328.140 3.050 ;
-        RECT 2330.640 2.730 2330.900 3.050 ;
-        RECT 2330.700 2.400 2330.840 2.730 ;
-        RECT 2330.630 0.000 2330.910 2.400 ;
+        RECT 2365.630 32.120 2365.910 34.520 ;
     END
   END la_data_in[95]
   PIN la_data_in[96]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2286.985 34.085 2287.155 39.015 ;
-      LAYER mcon ;
-        RECT 2286.985 38.845 2287.155 39.015 ;
-      LAYER met1 ;
-        RECT 1636.470 39.000 1636.790 39.060 ;
-        RECT 2286.925 39.000 2287.215 39.045 ;
-        RECT 1636.470 38.860 2287.215 39.000 ;
-        RECT 1636.470 38.800 1636.790 38.860 ;
-        RECT 2286.925 38.815 2287.215 38.860 ;
-        RECT 2286.925 34.240 2287.215 34.285 ;
-        RECT 2348.090 34.240 2348.410 34.300 ;
-        RECT 2286.925 34.100 2348.410 34.240 ;
-        RECT 2286.925 34.055 2287.215 34.100 ;
-        RECT 2348.090 34.040 2348.410 34.100 ;
-      LAYER via ;
-        RECT 1636.500 38.800 1636.760 39.060 ;
-        RECT 2348.120 34.040 2348.380 34.300 ;
       LAYER met2 ;
-        RECT 1636.560 39.090 1636.700 54.000 ;
-        RECT 1636.500 38.770 1636.760 39.090 ;
-        RECT 2348.120 34.010 2348.380 34.330 ;
-        RECT 2348.180 2.400 2348.320 34.010 ;
-        RECT 2348.110 0.000 2348.390 2.400 ;
+        RECT 2383.110 32.120 2383.390 34.520 ;
     END
   END la_data_in[96]
   PIN la_data_in[97]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2286.525 33.745 2286.695 38.675 ;
-      LAYER mcon ;
-        RECT 2286.525 38.505 2286.695 38.675 ;
-      LAYER met1 ;
-        RECT 1643.370 38.660 1643.690 38.720 ;
-        RECT 2286.465 38.660 2286.755 38.705 ;
-        RECT 1643.370 38.520 2286.755 38.660 ;
-        RECT 1643.370 38.460 1643.690 38.520 ;
-        RECT 2286.465 38.475 2286.755 38.520 ;
-        RECT 2286.465 33.900 2286.755 33.945 ;
-        RECT 2366.030 33.900 2366.350 33.960 ;
-        RECT 2286.465 33.760 2366.350 33.900 ;
-        RECT 2286.465 33.715 2286.755 33.760 ;
-        RECT 2366.030 33.700 2366.350 33.760 ;
-      LAYER via ;
-        RECT 1643.400 38.460 1643.660 38.720 ;
-        RECT 2366.060 33.700 2366.320 33.960 ;
       LAYER met2 ;
-        RECT 1643.460 38.750 1643.600 54.000 ;
-        RECT 1643.400 38.430 1643.660 38.750 ;
-        RECT 2366.060 33.670 2366.320 33.990 ;
-        RECT 2366.120 2.400 2366.260 33.670 ;
-        RECT 2366.050 0.000 2366.330 2.400 ;
+        RECT 2401.050 32.120 2401.330 34.520 ;
     END
   END la_data_in[97]
   PIN la_data_in[98]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1642.910 34.580 1643.230 34.640 ;
-        RECT 2383.970 34.580 2384.290 34.640 ;
-        RECT 1642.910 34.440 2384.290 34.580 ;
-        RECT 1642.910 34.380 1643.230 34.440 ;
-        RECT 2383.970 34.380 2384.290 34.440 ;
-      LAYER via ;
-        RECT 1642.940 34.380 1643.200 34.640 ;
-        RECT 2384.000 34.380 2384.260 34.640 ;
       LAYER met2 ;
-        RECT 1643.000 34.670 1643.140 54.000 ;
-        RECT 1642.940 34.350 1643.200 34.670 ;
-        RECT 2384.000 34.350 2384.260 34.670 ;
-        RECT 2384.060 2.400 2384.200 34.350 ;
-        RECT 2383.990 0.000 2384.270 2.400 ;
+        RECT 2418.990 32.120 2419.270 34.520 ;
     END
   END la_data_in[98]
   PIN la_data_in[99]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1682.545 34.765 1682.715 39.355 ;
-      LAYER mcon ;
-        RECT 1682.545 39.185 1682.715 39.355 ;
-      LAYER met1 ;
-        RECT 1650.270 39.340 1650.590 39.400 ;
-        RECT 1682.485 39.340 1682.775 39.385 ;
-        RECT 1650.270 39.200 1682.775 39.340 ;
-        RECT 1650.270 39.140 1650.590 39.200 ;
-        RECT 1682.485 39.155 1682.775 39.200 ;
-        RECT 1682.485 34.920 1682.775 34.965 ;
-        RECT 2401.910 34.920 2402.230 34.980 ;
-        RECT 1682.485 34.780 2402.230 34.920 ;
-        RECT 1682.485 34.735 1682.775 34.780 ;
-        RECT 2401.910 34.720 2402.230 34.780 ;
-      LAYER via ;
-        RECT 1650.300 39.140 1650.560 39.400 ;
-        RECT 2401.940 34.720 2402.200 34.980 ;
       LAYER met2 ;
-        RECT 1650.360 39.430 1650.500 54.000 ;
-        RECT 1650.300 39.110 1650.560 39.430 ;
-        RECT 2401.940 34.690 2402.200 35.010 ;
-        RECT 2402.000 2.400 2402.140 34.690 ;
-        RECT 2401.930 0.000 2402.210 2.400 ;
+        RECT 2436.930 32.120 2437.210 34.520 ;
     END
   END la_data_in[99]
   PIN la_data_in[9]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 796.050 18.260 796.370 18.320 ;
-        RECT 802.490 18.260 802.810 18.320 ;
-        RECT 796.050 18.120 802.810 18.260 ;
-        RECT 796.050 18.060 796.370 18.120 ;
-        RECT 802.490 18.060 802.810 18.120 ;
-      LAYER via ;
-        RECT 796.080 18.060 796.340 18.320 ;
-        RECT 802.520 18.060 802.780 18.320 ;
       LAYER met2 ;
-        RECT 802.580 18.350 802.720 54.000 ;
-        RECT 796.080 18.030 796.340 18.350 ;
-        RECT 802.520 18.030 802.780 18.350 ;
-        RECT 796.140 2.400 796.280 18.030 ;
-        RECT 796.070 0.000 796.350 2.400 ;
+        RECT 831.070 32.120 831.350 34.520 ;
     END
   END la_data_in[9]
   PIN la_data_out[0]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 641.490 17.580 641.810 17.640 ;
-        RECT 643.790 17.580 644.110 17.640 ;
-        RECT 641.490 17.440 644.110 17.580 ;
-        RECT 641.490 17.380 641.810 17.440 ;
-        RECT 643.790 17.380 644.110 17.440 ;
-      LAYER via ;
-        RECT 641.520 17.380 641.780 17.640 ;
-        RECT 643.820 17.380 644.080 17.640 ;
       LAYER met2 ;
-        RECT 643.880 17.670 644.020 54.000 ;
-        RECT 641.520 17.350 641.780 17.670 ;
-        RECT 643.820 17.350 644.080 17.670 ;
-        RECT 641.580 2.400 641.720 17.350 ;
-        RECT 641.510 0.000 641.790 2.400 ;
+        RECT 676.510 32.120 676.790 34.520 ;
     END
   END la_data_out[0]
   PIN la_data_out[100]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1650.730 35.940 1651.050 36.000 ;
-        RECT 2369.250 35.940 2369.570 36.000 ;
-        RECT 1650.730 35.800 2369.570 35.940 ;
-        RECT 1650.730 35.740 1651.050 35.800 ;
-        RECT 2369.250 35.740 2369.570 35.800 ;
-        RECT 2371.090 35.940 2371.410 36.000 ;
-        RECT 2425.370 35.940 2425.690 36.000 ;
-        RECT 2371.090 35.800 2425.690 35.940 ;
-        RECT 2371.090 35.740 2371.410 35.800 ;
-        RECT 2425.370 35.740 2425.690 35.800 ;
-      LAYER via ;
-        RECT 1650.760 35.740 1651.020 36.000 ;
-        RECT 2369.280 35.740 2369.540 36.000 ;
-        RECT 2371.120 35.740 2371.380 36.000 ;
-        RECT 2425.400 35.740 2425.660 36.000 ;
       LAYER met2 ;
-        RECT 1650.820 36.030 1650.960 54.000 ;
-        RECT 1650.760 35.710 1651.020 36.030 ;
-        RECT 2369.280 35.885 2369.540 36.030 ;
-        RECT 2371.120 35.885 2371.380 36.030 ;
-        RECT 2369.270 35.515 2369.550 35.885 ;
-        RECT 2371.110 35.515 2371.390 35.885 ;
-        RECT 2425.400 35.710 2425.660 36.030 ;
-        RECT 2425.460 2.400 2425.600 35.710 ;
-        RECT 2425.390 0.000 2425.670 2.400 ;
-      LAYER via2 ;
-        RECT 2369.270 35.560 2369.550 35.840 ;
-        RECT 2371.110 35.560 2371.390 35.840 ;
-      LAYER met3 ;
-        RECT 2369.245 35.850 2369.575 35.865 ;
-        RECT 2371.085 35.850 2371.415 35.865 ;
-        RECT 2369.245 35.550 2371.415 35.850 ;
-        RECT 2369.245 35.535 2369.575 35.550 ;
-        RECT 2371.085 35.535 2371.415 35.550 ;
+        RECT 2460.390 32.120 2460.670 34.520 ;
     END
   END la_data_out[100]
   PIN la_data_out[101]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2369.785 36.125 2370.875 36.295 ;
-      LAYER mcon ;
-        RECT 2370.705 36.125 2370.875 36.295 ;
-      LAYER met1 ;
-        RECT 1655.790 36.280 1656.110 36.340 ;
-        RECT 2369.725 36.280 2370.015 36.325 ;
-        RECT 1655.790 36.140 2370.015 36.280 ;
-        RECT 1655.790 36.080 1656.110 36.140 ;
-        RECT 2369.725 36.095 2370.015 36.140 ;
-        RECT 2370.645 36.280 2370.935 36.325 ;
-        RECT 2443.310 36.280 2443.630 36.340 ;
-        RECT 2370.645 36.140 2443.630 36.280 ;
-        RECT 2370.645 36.095 2370.935 36.140 ;
-        RECT 2443.310 36.080 2443.630 36.140 ;
-      LAYER via ;
-        RECT 1655.820 36.080 1656.080 36.340 ;
-        RECT 2443.340 36.080 2443.600 36.340 ;
       LAYER met2 ;
-        RECT 1655.880 36.370 1656.020 54.000 ;
-        RECT 1655.820 36.050 1656.080 36.370 ;
-        RECT 2443.340 36.050 2443.600 36.370 ;
-        RECT 2443.400 2.400 2443.540 36.050 ;
-        RECT 2443.330 0.000 2443.610 2.400 ;
+        RECT 2478.330 32.120 2478.610 34.520 ;
     END
   END la_data_out[101]
   PIN la_data_out[102]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1657.170 36.620 1657.490 36.680 ;
-        RECT 2461.250 36.620 2461.570 36.680 ;
-        RECT 1657.170 36.480 2461.570 36.620 ;
-        RECT 1657.170 36.420 1657.490 36.480 ;
-        RECT 2461.250 36.420 2461.570 36.480 ;
-      LAYER via ;
-        RECT 1657.200 36.420 1657.460 36.680 ;
-        RECT 2461.280 36.420 2461.540 36.680 ;
       LAYER met2 ;
-        RECT 1657.260 53.990 1657.860 54.000 ;
-        RECT 1657.260 36.710 1657.400 53.990 ;
-        RECT 1657.200 36.390 1657.460 36.710 ;
-        RECT 2461.280 36.390 2461.540 36.710 ;
-        RECT 2461.340 2.400 2461.480 36.390 ;
-        RECT 2461.270 0.000 2461.550 2.400 ;
+        RECT 2496.270 32.120 2496.550 34.520 ;
     END
   END la_data_out[102]
   PIN la_data_out[103]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1734.525 36.805 1735.155 36.975 ;
-        RECT 2120.925 34.085 2121.095 36.975 ;
-        RECT 2168.765 34.085 2168.935 36.975 ;
-        RECT 2314.125 33.405 2314.295 36.975 ;
-        RECT 2361.965 33.405 2362.135 36.975 ;
-        RECT 2369.785 36.805 2370.875 36.975 ;
-      LAYER mcon ;
-        RECT 1734.985 36.805 1735.155 36.975 ;
-        RECT 2120.925 36.805 2121.095 36.975 ;
-        RECT 2168.765 36.805 2168.935 36.975 ;
-        RECT 2314.125 36.805 2314.295 36.975 ;
-        RECT 2361.965 36.805 2362.135 36.975 ;
-        RECT 2370.705 36.805 2370.875 36.975 ;
-      LAYER met1 ;
-        RECT 1664.990 40.020 1665.310 40.080 ;
-        RECT 1690.750 40.020 1691.070 40.080 ;
-        RECT 1664.990 39.880 1691.070 40.020 ;
-        RECT 1664.990 39.820 1665.310 39.880 ;
-        RECT 1690.750 39.820 1691.070 39.880 ;
-        RECT 1716.970 36.960 1717.290 37.020 ;
-        RECT 1734.465 36.960 1734.755 37.005 ;
-        RECT 1734.910 36.960 1735.230 37.020 ;
-        RECT 1716.970 36.820 1735.230 36.960 ;
-        RECT 1716.970 36.760 1717.290 36.820 ;
-        RECT 1734.465 36.775 1734.755 36.820 ;
-        RECT 1734.910 36.760 1735.230 36.820 ;
-        RECT 1782.290 36.960 1782.610 37.020 ;
-        RECT 2120.865 36.960 2121.155 37.005 ;
-        RECT 1782.290 36.820 2121.155 36.960 ;
-        RECT 1782.290 36.760 1782.610 36.820 ;
-        RECT 2120.865 36.775 2121.155 36.820 ;
-        RECT 2168.705 36.960 2168.995 37.005 ;
-        RECT 2314.065 36.960 2314.355 37.005 ;
-        RECT 2168.705 36.820 2314.355 36.960 ;
-        RECT 2168.705 36.775 2168.995 36.820 ;
-        RECT 2314.065 36.775 2314.355 36.820 ;
-        RECT 2361.905 36.960 2362.195 37.005 ;
-        RECT 2369.725 36.960 2370.015 37.005 ;
-        RECT 2361.905 36.820 2370.015 36.960 ;
-        RECT 2361.905 36.775 2362.195 36.820 ;
-        RECT 2369.725 36.775 2370.015 36.820 ;
-        RECT 2370.645 36.960 2370.935 37.005 ;
-        RECT 2479.190 36.960 2479.510 37.020 ;
-        RECT 2370.645 36.820 2479.510 36.960 ;
-        RECT 2370.645 36.775 2370.935 36.820 ;
-        RECT 2479.190 36.760 2479.510 36.820 ;
-        RECT 2120.865 34.240 2121.155 34.285 ;
-        RECT 2168.705 34.240 2168.995 34.285 ;
-        RECT 2120.865 34.100 2168.995 34.240 ;
-        RECT 2120.865 34.055 2121.155 34.100 ;
-        RECT 2168.705 34.055 2168.995 34.100 ;
-        RECT 2314.065 33.560 2314.355 33.605 ;
-        RECT 2361.905 33.560 2362.195 33.605 ;
-        RECT 2314.065 33.420 2362.195 33.560 ;
-        RECT 2314.065 33.375 2314.355 33.420 ;
-        RECT 2361.905 33.375 2362.195 33.420 ;
-      LAYER via ;
-        RECT 1665.020 39.820 1665.280 40.080 ;
-        RECT 1690.780 39.820 1691.040 40.080 ;
-        RECT 1717.000 36.760 1717.260 37.020 ;
-        RECT 1734.940 36.760 1735.200 37.020 ;
-        RECT 1782.320 36.760 1782.580 37.020 ;
-        RECT 2479.220 36.760 2479.480 37.020 ;
       LAYER met2 ;
-        RECT 1665.080 40.110 1665.220 54.000 ;
-        RECT 1665.020 39.790 1665.280 40.110 ;
-        RECT 1690.780 39.790 1691.040 40.110 ;
-        RECT 1690.840 37.245 1690.980 39.790 ;
-        RECT 1690.770 36.875 1691.050 37.245 ;
-        RECT 1716.990 36.875 1717.270 37.245 ;
-        RECT 1734.930 36.875 1735.210 37.245 ;
-        RECT 1782.310 36.875 1782.590 37.245 ;
-        RECT 1717.000 36.730 1717.260 36.875 ;
-        RECT 1734.940 36.730 1735.200 36.875 ;
-        RECT 1782.320 36.730 1782.580 36.875 ;
-        RECT 2479.220 36.730 2479.480 37.050 ;
-        RECT 2479.280 2.400 2479.420 36.730 ;
-        RECT 2479.210 0.000 2479.490 2.400 ;
-      LAYER via2 ;
-        RECT 1690.770 36.920 1691.050 37.200 ;
-        RECT 1716.990 36.920 1717.270 37.200 ;
-        RECT 1734.930 36.920 1735.210 37.200 ;
-        RECT 1782.310 36.920 1782.590 37.200 ;
-      LAYER met3 ;
-        RECT 1690.745 37.210 1691.075 37.225 ;
-        RECT 1716.965 37.210 1717.295 37.225 ;
-        RECT 1690.745 36.910 1717.295 37.210 ;
-        RECT 1690.745 36.895 1691.075 36.910 ;
-        RECT 1716.965 36.895 1717.295 36.910 ;
-        RECT 1734.905 37.210 1735.235 37.225 ;
-        RECT 1782.285 37.210 1782.615 37.225 ;
-        RECT 1734.905 36.910 1782.615 37.210 ;
-        RECT 1734.905 36.895 1735.235 36.910 ;
-        RECT 1782.285 36.895 1782.615 36.910 ;
+        RECT 2514.210 32.120 2514.490 34.520 ;
     END
   END la_data_out[103]
   PIN la_data_out[104]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1698.185 37.655 1698.355 39.695 ;
-        RECT 1698.185 37.485 1704.795 37.655 ;
-        RECT 1789.725 37.145 1790.815 37.315 ;
-      LAYER mcon ;
-        RECT 1698.185 39.525 1698.355 39.695 ;
-        RECT 1704.625 37.485 1704.795 37.655 ;
-        RECT 1790.645 37.145 1790.815 37.315 ;
-      LAYER met1 ;
-        RECT 1671.430 39.680 1671.750 39.740 ;
-        RECT 1698.125 39.680 1698.415 39.725 ;
-        RECT 1671.430 39.540 1698.415 39.680 ;
-        RECT 1671.430 39.480 1671.750 39.540 ;
-        RECT 1698.125 39.495 1698.415 39.540 ;
-        RECT 1704.565 37.640 1704.855 37.685 ;
-        RECT 1704.565 37.500 1705.700 37.640 ;
-        RECT 1704.565 37.455 1704.855 37.500 ;
-        RECT 1705.560 37.300 1705.700 37.500 ;
-        RECT 1789.665 37.300 1789.955 37.345 ;
-        RECT 1705.560 37.160 1789.955 37.300 ;
-        RECT 1789.665 37.115 1789.955 37.160 ;
-        RECT 1790.585 37.300 1790.875 37.345 ;
-        RECT 2497.130 37.300 2497.450 37.360 ;
-        RECT 1790.585 37.160 2497.450 37.300 ;
-        RECT 1790.585 37.115 1790.875 37.160 ;
-        RECT 2497.130 37.100 2497.450 37.160 ;
-      LAYER via ;
-        RECT 1671.460 39.480 1671.720 39.740 ;
-        RECT 2497.160 37.100 2497.420 37.360 ;
       LAYER met2 ;
-        RECT 1671.520 39.770 1671.660 54.000 ;
-        RECT 1671.460 39.450 1671.720 39.770 ;
-        RECT 2497.160 37.070 2497.420 37.390 ;
-        RECT 2497.220 2.400 2497.360 37.070 ;
-        RECT 2497.150 0.000 2497.430 2.400 ;
+        RECT 2532.150 32.120 2532.430 34.520 ;
     END
   END la_data_out[104]
   PIN la_data_out[105]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1706.465 36.975 1706.635 37.655 ;
-        RECT 2369.325 37.485 2370.415 37.655 ;
-        RECT 1706.005 36.805 1706.635 36.975 ;
-      LAYER mcon ;
-        RECT 1706.465 37.485 1706.635 37.655 ;
-        RECT 2370.245 37.485 2370.415 37.655 ;
-      LAYER met1 ;
-        RECT 1706.405 37.640 1706.695 37.685 ;
-        RECT 2369.265 37.640 2369.555 37.685 ;
-        RECT 1706.405 37.500 2369.555 37.640 ;
-        RECT 1706.405 37.455 1706.695 37.500 ;
-        RECT 2369.265 37.455 2369.555 37.500 ;
-        RECT 2370.185 37.640 2370.475 37.685 ;
-        RECT 2514.610 37.640 2514.930 37.700 ;
-        RECT 2370.185 37.500 2514.930 37.640 ;
-        RECT 2370.185 37.455 2370.475 37.500 ;
-        RECT 2514.610 37.440 2514.930 37.500 ;
-        RECT 1671.890 36.960 1672.210 37.020 ;
-        RECT 1705.945 36.960 1706.235 37.005 ;
-        RECT 1671.890 36.820 1706.235 36.960 ;
-        RECT 1671.890 36.760 1672.210 36.820 ;
-        RECT 1705.945 36.775 1706.235 36.820 ;
-      LAYER via ;
-        RECT 2514.640 37.440 2514.900 37.700 ;
-        RECT 1671.920 36.760 1672.180 37.020 ;
       LAYER met2 ;
-        RECT 1671.980 37.050 1672.120 54.000 ;
-        RECT 2514.640 37.410 2514.900 37.730 ;
-        RECT 1671.920 36.730 1672.180 37.050 ;
-        RECT 2514.700 2.400 2514.840 37.410 ;
-        RECT 2514.630 0.000 2514.910 2.400 ;
+        RECT 2549.630 32.120 2549.910 34.520 ;
     END
   END la_data_out[105]
   PIN la_data_out[106]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1706.390 41.380 1706.710 41.440 ;
-        RECT 2532.550 41.380 2532.870 41.440 ;
-        RECT 1706.390 41.240 2532.870 41.380 ;
-        RECT 1706.390 41.180 1706.710 41.240 ;
-        RECT 2532.550 41.180 2532.870 41.240 ;
-        RECT 1678.330 37.640 1678.650 37.700 ;
-        RECT 1704.090 37.640 1704.410 37.700 ;
-        RECT 1678.330 37.500 1704.410 37.640 ;
-        RECT 1678.330 37.440 1678.650 37.500 ;
-        RECT 1704.090 37.440 1704.410 37.500 ;
-      LAYER via ;
-        RECT 1706.420 41.180 1706.680 41.440 ;
-        RECT 2532.580 41.180 2532.840 41.440 ;
-        RECT 1678.360 37.440 1678.620 37.700 ;
-        RECT 1704.120 37.440 1704.380 37.700 ;
       LAYER met2 ;
-        RECT 1678.420 37.730 1678.560 54.000 ;
-        RECT 1706.420 41.325 1706.680 41.470 ;
-        RECT 1704.110 40.955 1704.390 41.325 ;
-        RECT 1706.410 40.955 1706.690 41.325 ;
-        RECT 2532.580 41.150 2532.840 41.470 ;
-        RECT 1704.180 37.730 1704.320 40.955 ;
-        RECT 1678.360 37.410 1678.620 37.730 ;
-        RECT 1704.120 37.410 1704.380 37.730 ;
-        RECT 2532.640 2.400 2532.780 41.150 ;
-        RECT 2532.570 0.000 2532.850 2.400 ;
-      LAYER via2 ;
-        RECT 1704.110 41.000 1704.390 41.280 ;
-        RECT 1706.410 41.000 1706.690 41.280 ;
-      LAYER met3 ;
-        RECT 1704.085 41.290 1704.415 41.305 ;
-        RECT 1706.385 41.290 1706.715 41.305 ;
-        RECT 1704.085 40.990 1706.715 41.290 ;
-        RECT 1704.085 40.975 1704.415 40.990 ;
-        RECT 1706.385 40.975 1706.715 40.990 ;
+        RECT 2567.570 32.120 2567.850 34.520 ;
     END
   END la_data_out[106]
   PIN la_data_out[107]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1706.850 40.360 1707.170 40.420 ;
-        RECT 2550.490 40.360 2550.810 40.420 ;
-        RECT 1706.850 40.220 2550.810 40.360 ;
-        RECT 1706.850 40.160 1707.170 40.220 ;
-        RECT 2550.490 40.160 2550.810 40.220 ;
-        RECT 1677.870 37.300 1678.190 37.360 ;
-        RECT 1703.630 37.300 1703.950 37.360 ;
-        RECT 1677.870 37.160 1703.950 37.300 ;
-        RECT 1677.870 37.100 1678.190 37.160 ;
-        RECT 1703.630 37.100 1703.950 37.160 ;
-      LAYER via ;
-        RECT 1706.880 40.160 1707.140 40.420 ;
-        RECT 2550.520 40.160 2550.780 40.420 ;
-        RECT 1677.900 37.100 1678.160 37.360 ;
-        RECT 1703.660 37.100 1703.920 37.360 ;
       LAYER met2 ;
-        RECT 1677.960 37.390 1678.100 54.000 ;
-        RECT 1703.650 40.275 1703.930 40.645 ;
-        RECT 1706.870 40.275 1707.150 40.645 ;
-        RECT 1703.720 37.390 1703.860 40.275 ;
-        RECT 1706.880 40.130 1707.140 40.275 ;
-        RECT 2550.520 40.130 2550.780 40.450 ;
-        RECT 1677.900 37.070 1678.160 37.390 ;
-        RECT 1703.660 37.070 1703.920 37.390 ;
-        RECT 2550.580 2.400 2550.720 40.130 ;
-        RECT 2550.510 0.000 2550.790 2.400 ;
-      LAYER via2 ;
-        RECT 1703.650 40.320 1703.930 40.600 ;
-        RECT 1706.870 40.320 1707.150 40.600 ;
-      LAYER met3 ;
-        RECT 1703.625 40.610 1703.955 40.625 ;
-        RECT 1706.845 40.610 1707.175 40.625 ;
-        RECT 1703.625 40.310 1707.175 40.610 ;
-        RECT 1703.625 40.295 1703.955 40.310 ;
-        RECT 1706.845 40.295 1707.175 40.310 ;
+        RECT 2585.510 32.120 2585.790 34.520 ;
     END
   END la_data_out[107]
   PIN la_data_out[108]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1706.465 40.205 1706.635 45.815 ;
-        RECT 1753.385 39.355 1753.555 45.815 ;
-        RECT 1754.305 39.865 1754.935 40.035 ;
-        RECT 1754.305 39.355 1754.475 39.865 ;
-        RECT 1753.385 39.185 1754.475 39.355 ;
-      LAYER mcon ;
-        RECT 1706.465 45.645 1706.635 45.815 ;
-        RECT 1753.385 45.645 1753.555 45.815 ;
-        RECT 1754.765 39.865 1754.935 40.035 ;
-      LAYER met1 ;
-        RECT 1706.405 45.800 1706.695 45.845 ;
-        RECT 1753.325 45.800 1753.615 45.845 ;
-        RECT 1706.405 45.660 1753.615 45.800 ;
-        RECT 1706.405 45.615 1706.695 45.660 ;
-        RECT 1753.325 45.615 1753.615 45.660 ;
-        RECT 1684.770 40.360 1685.090 40.420 ;
-        RECT 1706.405 40.360 1706.695 40.405 ;
-        RECT 1684.770 40.220 1706.695 40.360 ;
-        RECT 1684.770 40.160 1685.090 40.220 ;
-        RECT 1706.405 40.175 1706.695 40.220 ;
-        RECT 1754.705 40.020 1754.995 40.065 ;
-        RECT 2568.430 40.020 2568.750 40.080 ;
-        RECT 1754.705 39.880 2568.750 40.020 ;
-        RECT 1754.705 39.835 1754.995 39.880 ;
-        RECT 2568.430 39.820 2568.750 39.880 ;
-      LAYER via ;
-        RECT 1684.800 40.160 1685.060 40.420 ;
-        RECT 2568.460 39.820 2568.720 40.080 ;
       LAYER met2 ;
-        RECT 1684.860 40.450 1685.000 54.000 ;
-        RECT 1684.800 40.130 1685.060 40.450 ;
-        RECT 2568.460 39.790 2568.720 40.110 ;
-        RECT 2568.520 2.400 2568.660 39.790 ;
-        RECT 2568.450 0.000 2568.730 2.400 ;
+        RECT 2603.450 32.120 2603.730 34.520 ;
     END
   END la_data_out[108]
   PIN la_data_out[109]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1706.005 41.225 1706.175 45.475 ;
-        RECT 1753.845 39.525 1754.015 45.475 ;
-      LAYER mcon ;
-        RECT 1706.005 45.305 1706.175 45.475 ;
-        RECT 1753.845 45.305 1754.015 45.475 ;
-      LAYER met1 ;
-        RECT 1705.945 45.460 1706.235 45.505 ;
-        RECT 1753.785 45.460 1754.075 45.505 ;
-        RECT 1705.945 45.320 1754.075 45.460 ;
-        RECT 1705.945 45.275 1706.235 45.320 ;
-        RECT 1753.785 45.275 1754.075 45.320 ;
-        RECT 1685.230 41.380 1685.550 41.440 ;
-        RECT 1705.945 41.380 1706.235 41.425 ;
-        RECT 1685.230 41.240 1706.235 41.380 ;
-        RECT 1685.230 41.180 1685.550 41.240 ;
-        RECT 1705.945 41.195 1706.235 41.240 ;
-        RECT 1753.785 39.680 1754.075 39.725 ;
-        RECT 2586.370 39.680 2586.690 39.740 ;
-        RECT 1753.785 39.540 2586.690 39.680 ;
-        RECT 1753.785 39.495 1754.075 39.540 ;
-        RECT 2586.370 39.480 2586.690 39.540 ;
-      LAYER via ;
-        RECT 1685.260 41.180 1685.520 41.440 ;
-        RECT 2586.400 39.480 2586.660 39.740 ;
       LAYER met2 ;
-        RECT 1685.320 41.470 1685.460 54.000 ;
-        RECT 1685.260 41.150 1685.520 41.470 ;
-        RECT 2586.400 39.450 2586.660 39.770 ;
-        RECT 2586.460 2.400 2586.600 39.450 ;
-        RECT 2586.390 0.000 2586.670 2.400 ;
+        RECT 2621.390 32.120 2621.670 34.520 ;
     END
   END la_data_out[109]
   PIN la_data_out[10]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 819.970 32.200 820.290 32.260 ;
-        RECT 1315.850 32.200 1316.170 32.260 ;
-        RECT 819.970 32.060 1316.170 32.200 ;
-        RECT 819.970 32.000 820.290 32.060 ;
-        RECT 1315.850 32.000 1316.170 32.060 ;
-      LAYER via ;
-        RECT 820.000 32.000 820.260 32.260 ;
-        RECT 1315.880 32.000 1316.140 32.260 ;
       LAYER met2 ;
-        RECT 1315.940 32.290 1316.080 54.000 ;
-        RECT 820.000 31.970 820.260 32.290 ;
-        RECT 1315.880 31.970 1316.140 32.290 ;
-        RECT 820.060 2.400 820.200 31.970 ;
-        RECT 819.990 0.000 820.270 2.400 ;
+        RECT 854.990 32.120 855.270 34.520 ;
     END
   END la_data_out[10]
   PIN la_data_out[110]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1691.210 39.340 1691.530 39.400 ;
-        RECT 2604.310 39.340 2604.630 39.400 ;
-        RECT 1691.210 39.200 2604.630 39.340 ;
-        RECT 1691.210 39.140 1691.530 39.200 ;
-        RECT 2604.310 39.140 2604.630 39.200 ;
-      LAYER via ;
-        RECT 1691.240 39.140 1691.500 39.400 ;
-        RECT 2604.340 39.140 2604.600 39.400 ;
       LAYER met2 ;
-        RECT 1691.300 39.430 1691.440 54.000 ;
-        RECT 1691.240 39.110 1691.500 39.430 ;
-        RECT 2604.340 39.110 2604.600 39.430 ;
-        RECT 2604.400 7.210 2604.540 39.110 ;
-        RECT 2603.940 7.070 2604.540 7.210 ;
-        RECT 2603.940 2.400 2604.080 7.070 ;
-        RECT 2603.870 0.000 2604.150 2.400 ;
+        RECT 2638.870 32.120 2639.150 34.520 ;
     END
   END la_data_out[110]
   PIN la_data_out[111]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2287.905 38.845 2288.075 41.735 ;
-      LAYER mcon ;
-        RECT 2287.905 41.565 2288.075 41.735 ;
-      LAYER met1 ;
-        RECT 1806.210 41.720 1806.530 41.780 ;
-        RECT 2287.845 41.720 2288.135 41.765 ;
-        RECT 1806.210 41.580 2288.135 41.720 ;
-        RECT 1806.210 41.520 1806.530 41.580 ;
-        RECT 2287.845 41.535 2288.135 41.580 ;
-        RECT 1691.670 40.020 1691.990 40.080 ;
-        RECT 1754.230 40.020 1754.550 40.080 ;
-        RECT 1691.670 39.880 1754.550 40.020 ;
-        RECT 1691.670 39.820 1691.990 39.880 ;
-        RECT 1754.230 39.820 1754.550 39.880 ;
-        RECT 2287.845 39.000 2288.135 39.045 ;
-        RECT 2621.790 39.000 2622.110 39.060 ;
-        RECT 2287.845 38.860 2622.110 39.000 ;
-        RECT 2287.845 38.815 2288.135 38.860 ;
-        RECT 2621.790 38.800 2622.110 38.860 ;
-      LAYER via ;
-        RECT 1806.240 41.520 1806.500 41.780 ;
-        RECT 1691.700 39.820 1691.960 40.080 ;
-        RECT 1754.260 39.820 1754.520 40.080 ;
-        RECT 2621.820 38.800 2622.080 39.060 ;
       LAYER met2 ;
-        RECT 1691.760 40.110 1691.900 54.000 ;
-        RECT 1806.240 41.490 1806.500 41.810 ;
-        RECT 1691.700 39.790 1691.960 40.110 ;
-        RECT 1754.260 39.790 1754.520 40.110 ;
-        RECT 1754.320 39.285 1754.460 39.790 ;
-        RECT 1806.300 39.285 1806.440 41.490 ;
-        RECT 1754.250 38.915 1754.530 39.285 ;
-        RECT 1806.230 38.915 1806.510 39.285 ;
-        RECT 2621.820 38.770 2622.080 39.090 ;
-        RECT 2621.880 2.400 2622.020 38.770 ;
-        RECT 2621.810 0.000 2622.090 2.400 ;
-      LAYER via2 ;
-        RECT 1754.250 38.960 1754.530 39.240 ;
-        RECT 1806.230 38.960 1806.510 39.240 ;
-      LAYER met3 ;
-        RECT 1754.225 39.250 1754.555 39.265 ;
-        RECT 1806.205 39.250 1806.535 39.265 ;
-        RECT 1754.225 38.950 1806.535 39.250 ;
-        RECT 1754.225 38.935 1754.555 38.950 ;
-        RECT 1806.205 38.935 1806.535 38.950 ;
+        RECT 2656.810 32.120 2657.090 34.520 ;
     END
   END la_data_out[111]
   PIN la_data_out[112]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2287.445 38.505 2287.615 42.075 ;
-      LAYER mcon ;
-        RECT 2287.445 41.905 2287.615 42.075 ;
-      LAYER met1 ;
-        RECT 1780.910 42.060 1781.230 42.120 ;
-        RECT 1851.750 42.060 1852.070 42.120 ;
-        RECT 1780.910 41.920 1852.070 42.060 ;
-        RECT 1780.910 41.860 1781.230 41.920 ;
-        RECT 1851.750 41.860 1852.070 41.920 ;
-        RECT 1997.110 42.060 1997.430 42.120 ;
-        RECT 2045.870 42.060 2046.190 42.120 ;
-        RECT 1997.110 41.920 2046.190 42.060 ;
-        RECT 1997.110 41.860 1997.430 41.920 ;
-        RECT 2045.870 41.860 2046.190 41.920 ;
-        RECT 2191.230 42.060 2191.550 42.120 ;
-        RECT 2287.385 42.060 2287.675 42.105 ;
-        RECT 2191.230 41.920 2287.675 42.060 ;
-        RECT 2191.230 41.860 2191.550 41.920 ;
-        RECT 2287.385 41.875 2287.675 41.920 ;
-        RECT 1698.570 39.680 1698.890 39.740 ;
-        RECT 1753.310 39.680 1753.630 39.740 ;
-        RECT 1698.570 39.540 1753.630 39.680 ;
-        RECT 1698.570 39.480 1698.890 39.540 ;
-        RECT 1753.310 39.480 1753.630 39.540 ;
-        RECT 2287.385 38.660 2287.675 38.705 ;
-        RECT 2639.730 38.660 2640.050 38.720 ;
-        RECT 2287.385 38.520 2640.050 38.660 ;
-        RECT 2287.385 38.475 2287.675 38.520 ;
-        RECT 2639.730 38.460 2640.050 38.520 ;
-      LAYER via ;
-        RECT 1780.940 41.860 1781.200 42.120 ;
-        RECT 1851.780 41.860 1852.040 42.120 ;
-        RECT 1997.140 41.860 1997.400 42.120 ;
-        RECT 2045.900 41.860 2046.160 42.120 ;
-        RECT 2191.260 41.860 2191.520 42.120 ;
-        RECT 1698.600 39.480 1698.860 39.740 ;
-        RECT 1753.340 39.480 1753.600 39.740 ;
-        RECT 2639.760 38.460 2640.020 38.720 ;
       LAYER met2 ;
-        RECT 1698.660 39.770 1698.800 54.000 ;
-        RECT 1780.940 41.830 1781.200 42.150 ;
-        RECT 1851.780 41.830 1852.040 42.150 ;
-        RECT 1997.140 41.830 1997.400 42.150 ;
-        RECT 2045.900 41.830 2046.160 42.150 ;
-        RECT 2191.260 41.830 2191.520 42.150 ;
-        RECT 1698.600 39.450 1698.860 39.770 ;
-        RECT 1753.340 39.450 1753.600 39.770 ;
-        RECT 1753.400 38.605 1753.540 39.450 ;
-        RECT 1781.000 38.605 1781.140 41.830 ;
-        RECT 1851.840 38.605 1851.980 41.830 ;
-        RECT 1997.200 38.605 1997.340 41.830 ;
-        RECT 2045.960 38.605 2046.100 41.830 ;
-        RECT 2191.320 38.605 2191.460 41.830 ;
-        RECT 1753.330 38.235 1753.610 38.605 ;
-        RECT 1780.930 38.235 1781.210 38.605 ;
-        RECT 1851.770 38.235 1852.050 38.605 ;
-        RECT 1997.130 38.235 1997.410 38.605 ;
-        RECT 2045.890 38.235 2046.170 38.605 ;
-        RECT 2191.250 38.235 2191.530 38.605 ;
-        RECT 2639.760 38.430 2640.020 38.750 ;
-        RECT 2639.820 2.400 2639.960 38.430 ;
-        RECT 2639.750 0.000 2640.030 2.400 ;
-      LAYER via2 ;
-        RECT 1753.330 38.280 1753.610 38.560 ;
-        RECT 1780.930 38.280 1781.210 38.560 ;
-        RECT 1851.770 38.280 1852.050 38.560 ;
-        RECT 1997.130 38.280 1997.410 38.560 ;
-        RECT 2045.890 38.280 2046.170 38.560 ;
-        RECT 2191.250 38.280 2191.530 38.560 ;
-      LAYER met3 ;
-        RECT 1753.305 38.570 1753.635 38.585 ;
-        RECT 1780.905 38.570 1781.235 38.585 ;
-        RECT 1753.305 38.270 1781.235 38.570 ;
-        RECT 1753.305 38.255 1753.635 38.270 ;
-        RECT 1780.905 38.255 1781.235 38.270 ;
-        RECT 1851.745 38.570 1852.075 38.585 ;
-        RECT 1997.105 38.570 1997.435 38.585 ;
-        RECT 1851.745 38.270 1997.435 38.570 ;
-        RECT 1851.745 38.255 1852.075 38.270 ;
-        RECT 1997.105 38.255 1997.435 38.270 ;
-        RECT 2045.865 38.570 2046.195 38.585 ;
-        RECT 2191.225 38.570 2191.555 38.585 ;
-        RECT 2045.865 38.270 2191.555 38.570 ;
-        RECT 2045.865 38.255 2046.195 38.270 ;
-        RECT 2191.225 38.255 2191.555 38.270 ;
+        RECT 2674.750 32.120 2675.030 34.520 ;
     END
   END la_data_out[112]
   PIN la_data_out[113]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2657.760 2.400 2657.900 54.000 ;
-        RECT 2657.690 0.000 2657.970 2.400 ;
+        RECT 2692.690 32.120 2692.970 34.520 ;
     END
   END la_data_out[113]
   PIN la_data_out[114]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2675.240 2.400 2675.380 54.000 ;
-        RECT 2675.170 0.000 2675.450 2.400 ;
+        RECT 2710.170 32.120 2710.450 34.520 ;
     END
   END la_data_out[114]
   PIN la_data_out[115]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2686.650 37.640 2686.970 37.700 ;
-        RECT 2693.090 37.640 2693.410 37.700 ;
-        RECT 2686.650 37.500 2693.410 37.640 ;
-        RECT 2686.650 37.440 2686.970 37.500 ;
-        RECT 2693.090 37.440 2693.410 37.500 ;
-      LAYER via ;
-        RECT 2686.680 37.440 2686.940 37.700 ;
-        RECT 2693.120 37.440 2693.380 37.700 ;
       LAYER met2 ;
-        RECT 2686.740 37.730 2686.880 54.000 ;
-        RECT 2686.680 37.410 2686.940 37.730 ;
-        RECT 2693.120 37.410 2693.380 37.730 ;
-        RECT 2693.180 2.400 2693.320 37.410 ;
-        RECT 2693.110 0.000 2693.390 2.400 ;
+        RECT 2728.110 32.120 2728.390 34.520 ;
     END
   END la_data_out[115]
   PIN la_data_out[116]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2707.425 48.365 2707.595 54.000 ;
-      LAYER met1 ;
-        RECT 2707.365 48.520 2707.655 48.565 ;
-        RECT 2711.030 48.520 2711.350 48.580 ;
-        RECT 2707.365 48.380 2711.350 48.520 ;
-        RECT 2707.365 48.335 2707.655 48.380 ;
-        RECT 2711.030 48.320 2711.350 48.380 ;
-      LAYER via ;
-        RECT 2711.060 48.320 2711.320 48.580 ;
       LAYER met2 ;
-        RECT 2711.060 48.290 2711.320 48.610 ;
-        RECT 2711.120 2.400 2711.260 48.290 ;
-        RECT 2711.050 0.000 2711.330 2.400 ;
+        RECT 2746.050 32.120 2746.330 34.520 ;
     END
   END la_data_out[116]
   PIN la_data_out[117]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2727.590 48.520 2727.910 48.580 ;
-        RECT 2728.970 48.520 2729.290 48.580 ;
-        RECT 2727.590 48.380 2729.290 48.520 ;
-        RECT 2727.590 48.320 2727.910 48.380 ;
-        RECT 2728.970 48.320 2729.290 48.380 ;
-      LAYER via ;
-        RECT 2727.620 48.320 2727.880 48.580 ;
-        RECT 2729.000 48.320 2729.260 48.580 ;
       LAYER met2 ;
-        RECT 2727.680 48.610 2727.820 54.000 ;
-        RECT 2727.620 48.290 2727.880 48.610 ;
-        RECT 2729.000 48.290 2729.260 48.610 ;
-        RECT 2729.060 2.400 2729.200 48.290 ;
-        RECT 2728.990 0.000 2729.270 2.400 ;
+        RECT 2763.990 32.120 2764.270 34.520 ;
     END
   END la_data_out[117]
   PIN la_data_out[118]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2747.000 2.400 2747.140 54.000 ;
-        RECT 2746.930 0.000 2747.210 2.400 ;
+        RECT 2781.930 32.120 2782.210 34.520 ;
     END
   END la_data_out[118]
   PIN la_data_out[119]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2762.625 48.365 2762.795 54.000 ;
-      LAYER met1 ;
-        RECT 2762.565 48.520 2762.855 48.565 ;
-        RECT 2764.390 48.520 2764.710 48.580 ;
-        RECT 2762.565 48.380 2764.710 48.520 ;
-        RECT 2762.565 48.335 2762.855 48.380 ;
-        RECT 2764.390 48.320 2764.710 48.380 ;
-      LAYER via ;
-        RECT 2764.420 48.320 2764.680 48.580 ;
       LAYER met2 ;
-        RECT 2764.420 48.290 2764.680 48.610 ;
-        RECT 2764.480 2.400 2764.620 48.290 ;
-        RECT 2764.410 0.000 2764.690 2.400 ;
+        RECT 2799.410 32.120 2799.690 34.520 ;
     END
   END la_data_out[119]
   PIN la_data_out[11]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 837.910 33.220 838.230 33.280 ;
-        RECT 1322.750 33.220 1323.070 33.280 ;
-        RECT 837.910 33.080 1323.070 33.220 ;
-        RECT 837.910 33.020 838.230 33.080 ;
-        RECT 1322.750 33.020 1323.070 33.080 ;
-      LAYER via ;
-        RECT 837.940 33.020 838.200 33.280 ;
-        RECT 1322.780 33.020 1323.040 33.280 ;
       LAYER met2 ;
-        RECT 1322.840 33.310 1322.980 54.000 ;
-        RECT 837.940 32.990 838.200 33.310 ;
-        RECT 1322.780 32.990 1323.040 33.310 ;
-        RECT 838.000 2.400 838.140 32.990 ;
-        RECT 837.930 0.000 838.210 2.400 ;
+        RECT 872.930 32.120 873.210 34.520 ;
     END
   END la_data_out[11]
   PIN la_data_out[120]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2776.350 37.640 2776.670 37.700 ;
-        RECT 2782.330 37.640 2782.650 37.700 ;
-        RECT 2776.350 37.500 2782.650 37.640 ;
-        RECT 2776.350 37.440 2776.670 37.500 ;
-        RECT 2782.330 37.440 2782.650 37.500 ;
-      LAYER via ;
-        RECT 2776.380 37.440 2776.640 37.700 ;
-        RECT 2782.360 37.440 2782.620 37.700 ;
       LAYER met2 ;
-        RECT 2776.440 37.730 2776.580 54.000 ;
-        RECT 2776.380 37.410 2776.640 37.730 ;
-        RECT 2782.360 37.410 2782.620 37.730 ;
-        RECT 2782.420 2.400 2782.560 37.410 ;
-        RECT 2782.350 0.000 2782.630 2.400 ;
+        RECT 2817.350 32.120 2817.630 34.520 ;
     END
   END la_data_out[120]
   PIN la_data_out[121]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1755.610 47.160 1755.930 47.220 ;
-        RECT 2800.270 47.160 2800.590 47.220 ;
-        RECT 1755.610 47.020 2800.590 47.160 ;
-        RECT 1755.610 46.960 1755.930 47.020 ;
-        RECT 2800.270 46.960 2800.590 47.020 ;
-        RECT 1733.070 46.820 1733.390 46.880 ;
-        RECT 1746.410 46.820 1746.730 46.880 ;
-        RECT 1733.070 46.680 1746.730 46.820 ;
-        RECT 1733.070 46.620 1733.390 46.680 ;
-        RECT 1746.410 46.620 1746.730 46.680 ;
-      LAYER via ;
-        RECT 1755.640 46.960 1755.900 47.220 ;
-        RECT 2800.300 46.960 2800.560 47.220 ;
-        RECT 1733.100 46.620 1733.360 46.880 ;
-        RECT 1746.440 46.620 1746.700 46.880 ;
       LAYER met2 ;
-        RECT 1733.160 46.910 1733.300 54.000 ;
-        RECT 1755.640 46.930 1755.900 47.250 ;
-        RECT 2800.300 46.930 2800.560 47.250 ;
-        RECT 1733.100 46.590 1733.360 46.910 ;
-        RECT 1746.440 46.590 1746.700 46.910 ;
-        RECT 1746.500 46.085 1746.640 46.590 ;
-        RECT 1755.700 46.085 1755.840 46.930 ;
-        RECT 1746.430 45.715 1746.710 46.085 ;
-        RECT 1755.630 45.715 1755.910 46.085 ;
-        RECT 2800.360 2.400 2800.500 46.930 ;
-        RECT 2800.290 0.000 2800.570 2.400 ;
-      LAYER via2 ;
-        RECT 1746.430 45.760 1746.710 46.040 ;
-        RECT 1755.630 45.760 1755.910 46.040 ;
-      LAYER met3 ;
-        RECT 1746.405 46.050 1746.735 46.065 ;
-        RECT 1755.605 46.050 1755.935 46.065 ;
-        RECT 1746.405 45.750 1755.935 46.050 ;
-        RECT 1746.405 45.735 1746.735 45.750 ;
-        RECT 1755.605 45.735 1755.935 45.750 ;
+        RECT 2835.290 32.120 2835.570 34.520 ;
     END
   END la_data_out[121]
   PIN la_data_out[122]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1756.605 44.965 1756.775 54.000 ;
-        RECT 1780.065 45.135 1780.235 46.835 ;
-        RECT 1778.685 44.965 1780.235 45.135 ;
-      LAYER mcon ;
-        RECT 1780.065 46.665 1780.235 46.835 ;
-      LAYER met1 ;
-        RECT 1780.005 46.820 1780.295 46.865 ;
-        RECT 2818.210 46.820 2818.530 46.880 ;
-        RECT 1780.005 46.680 2818.530 46.820 ;
-        RECT 1780.005 46.635 1780.295 46.680 ;
-        RECT 2818.210 46.620 2818.530 46.680 ;
-        RECT 1756.545 45.120 1756.835 45.165 ;
-        RECT 1778.625 45.120 1778.915 45.165 ;
-        RECT 1756.545 44.980 1778.915 45.120 ;
-        RECT 1756.545 44.935 1756.835 44.980 ;
-        RECT 1778.625 44.935 1778.915 44.980 ;
-      LAYER via ;
-        RECT 2818.240 46.620 2818.500 46.880 ;
       LAYER met2 ;
-        RECT 2818.240 46.590 2818.500 46.910 ;
-        RECT 2818.300 2.400 2818.440 46.590 ;
-        RECT 2818.230 0.000 2818.510 2.400 ;
+        RECT 2853.230 32.120 2853.510 34.520 ;
     END
   END la_data_out[122]
   PIN la_data_out[123]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1755.225 47.005 1755.855 47.175 ;
-        RECT 1755.685 45.645 1755.855 47.005 ;
-      LAYER met1 ;
-        RECT 1754.230 47.160 1754.550 47.220 ;
-        RECT 1755.165 47.160 1755.455 47.205 ;
-        RECT 1754.230 47.020 1755.455 47.160 ;
-        RECT 1754.230 46.960 1754.550 47.020 ;
-        RECT 1755.165 46.975 1755.455 47.020 ;
-        RECT 1755.625 45.800 1755.915 45.845 ;
-        RECT 2836.150 45.800 2836.470 45.860 ;
-        RECT 1755.625 45.660 2836.470 45.800 ;
-        RECT 1755.625 45.615 1755.915 45.660 ;
-        RECT 2836.150 45.600 2836.470 45.660 ;
-      LAYER via ;
-        RECT 1754.260 46.960 1754.520 47.220 ;
-        RECT 2836.180 45.600 2836.440 45.860 ;
       LAYER met2 ;
-        RECT 1740.060 47.445 1740.200 54.000 ;
-        RECT 1739.990 47.075 1740.270 47.445 ;
-        RECT 1754.250 47.075 1754.530 47.445 ;
-        RECT 1754.260 46.930 1754.520 47.075 ;
-        RECT 2836.180 45.570 2836.440 45.890 ;
-        RECT 2836.240 2.400 2836.380 45.570 ;
-        RECT 2836.170 0.000 2836.450 2.400 ;
-      LAYER via2 ;
-        RECT 1739.990 47.120 1740.270 47.400 ;
-        RECT 1754.250 47.120 1754.530 47.400 ;
-      LAYER met3 ;
-        RECT 1739.965 47.410 1740.295 47.425 ;
-        RECT 1754.225 47.410 1754.555 47.425 ;
-        RECT 1739.965 47.110 1754.555 47.410 ;
-        RECT 1739.965 47.095 1740.295 47.110 ;
-        RECT 1754.225 47.095 1754.555 47.110 ;
+        RECT 2871.170 32.120 2871.450 34.520 ;
     END
   END la_data_out[123]
   PIN la_data_out[124]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1754.765 46.665 1754.935 54.000 ;
-        RECT 1779.605 45.305 1779.775 46.835 ;
-      LAYER mcon ;
-        RECT 1779.605 46.665 1779.775 46.835 ;
-      LAYER met1 ;
-        RECT 1754.705 46.820 1754.995 46.865 ;
-        RECT 1779.545 46.820 1779.835 46.865 ;
-        RECT 1754.705 46.680 1779.835 46.820 ;
-        RECT 1754.705 46.635 1754.995 46.680 ;
-        RECT 1779.545 46.635 1779.835 46.680 ;
-        RECT 1779.545 45.460 1779.835 45.505 ;
-        RECT 2853.630 45.460 2853.950 45.520 ;
-        RECT 1779.545 45.320 2853.950 45.460 ;
-        RECT 1779.545 45.275 1779.835 45.320 ;
-        RECT 2853.630 45.260 2853.950 45.320 ;
-      LAYER via ;
-        RECT 2853.660 45.260 2853.920 45.520 ;
       LAYER met2 ;
-        RECT 2853.660 45.230 2853.920 45.550 ;
-        RECT 2853.720 2.400 2853.860 45.230 ;
-        RECT 2853.650 0.000 2853.930 2.400 ;
+        RECT 2888.650 32.120 2888.930 34.520 ;
     END
   END la_data_out[124]
   PIN la_data_out[125]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1753.385 47.345 1754.475 47.515 ;
-        RECT 1753.385 46.665 1753.555 47.345 ;
-        RECT 1754.305 46.495 1754.475 47.345 ;
-        RECT 1754.305 46.325 1754.935 46.495 ;
-        RECT 1754.765 45.305 1754.935 46.325 ;
-      LAYER met1 ;
-        RECT 1747.330 46.820 1747.650 46.880 ;
-        RECT 1753.325 46.820 1753.615 46.865 ;
-        RECT 1747.330 46.680 1753.615 46.820 ;
-        RECT 1747.330 46.620 1747.650 46.680 ;
-        RECT 1753.325 46.635 1753.615 46.680 ;
-        RECT 1754.705 45.460 1754.995 45.505 ;
-        RECT 1754.705 45.320 1779.300 45.460 ;
-        RECT 1754.705 45.275 1754.995 45.320 ;
-        RECT 1779.160 45.120 1779.300 45.320 ;
-        RECT 2871.570 45.120 2871.890 45.180 ;
-        RECT 1779.160 44.980 2871.890 45.120 ;
-        RECT 2871.570 44.920 2871.890 44.980 ;
-      LAYER via ;
-        RECT 1747.360 46.620 1747.620 46.880 ;
-        RECT 2871.600 44.920 2871.860 45.180 ;
       LAYER met2 ;
-        RECT 1747.420 46.910 1747.560 54.000 ;
-        RECT 1747.360 46.590 1747.620 46.910 ;
-        RECT 2871.600 44.890 2871.860 45.210 ;
-        RECT 2871.660 2.400 2871.800 44.890 ;
-        RECT 2871.590 0.000 2871.870 2.400 ;
+        RECT 2906.590 32.120 2906.870 34.520 ;
     END
   END la_data_out[125]
   PIN la_data_out[126]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1753.845 45.815 1754.015 47.175 ;
-        RECT 1753.845 45.645 1754.475 45.815 ;
-        RECT 1755.225 44.795 1755.395 45.815 ;
-        RECT 1755.225 44.625 1755.855 44.795 ;
-      LAYER mcon ;
-        RECT 1753.845 47.005 1754.015 47.175 ;
-        RECT 1754.305 45.645 1754.475 45.815 ;
-        RECT 1755.225 45.645 1755.395 45.815 ;
-        RECT 1755.685 44.625 1755.855 44.795 ;
-      LAYER met1 ;
-        RECT 1746.870 47.160 1747.190 47.220 ;
-        RECT 1753.785 47.160 1754.075 47.205 ;
-        RECT 1746.870 47.020 1754.075 47.160 ;
-        RECT 1746.870 46.960 1747.190 47.020 ;
-        RECT 1753.785 46.975 1754.075 47.020 ;
-        RECT 1754.245 45.800 1754.535 45.845 ;
-        RECT 1755.165 45.800 1755.455 45.845 ;
-        RECT 1754.245 45.660 1755.455 45.800 ;
-        RECT 1754.245 45.615 1754.535 45.660 ;
-        RECT 1755.165 45.615 1755.455 45.660 ;
-        RECT 1755.625 44.780 1755.915 44.825 ;
-        RECT 2889.510 44.780 2889.830 44.840 ;
-        RECT 1755.625 44.640 2889.830 44.780 ;
-        RECT 1755.625 44.595 1755.915 44.640 ;
-        RECT 2889.510 44.580 2889.830 44.640 ;
-      LAYER via ;
-        RECT 1746.900 46.960 1747.160 47.220 ;
-        RECT 2889.540 44.580 2889.800 44.840 ;
       LAYER met2 ;
-        RECT 1746.960 47.250 1747.100 54.000 ;
-        RECT 1746.900 46.930 1747.160 47.250 ;
-        RECT 2889.540 44.550 2889.800 44.870 ;
-        RECT 2889.600 2.400 2889.740 44.550 ;
-        RECT 2889.530 0.000 2889.810 2.400 ;
+        RECT 2924.530 32.120 2924.810 34.520 ;
     END
   END la_data_out[126]
   PIN la_data_out[127]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 1754.780 44.725 1754.920 54.000 ;
-        RECT 1754.710 44.355 1754.990 44.725 ;
-        RECT 2907.930 44.355 2908.210 44.725 ;
-        RECT 2908.000 7.210 2908.140 44.355 ;
-        RECT 2907.540 7.070 2908.140 7.210 ;
-        RECT 2907.540 2.400 2907.680 7.070 ;
-        RECT 2907.470 0.000 2907.750 2.400 ;
-      LAYER via2 ;
-        RECT 1754.710 44.400 1754.990 44.680 ;
-        RECT 2907.930 44.400 2908.210 44.680 ;
-      LAYER met3 ;
-        RECT 1754.685 44.690 1755.015 44.705 ;
-        RECT 2907.905 44.690 2908.235 44.705 ;
-        RECT 1754.685 44.390 2908.235 44.690 ;
-        RECT 1754.685 44.375 1755.015 44.390 ;
-        RECT 2907.905 44.375 2908.235 44.390 ;
+        RECT 2942.470 32.120 2942.750 34.520 ;
     END
   END la_data_out[127]
   PIN la_data_out[12]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 855.390 33.560 855.710 33.620 ;
-        RECT 1327.810 33.560 1328.130 33.620 ;
-        RECT 855.390 33.420 1328.130 33.560 ;
-        RECT 855.390 33.360 855.710 33.420 ;
-        RECT 1327.810 33.360 1328.130 33.420 ;
-      LAYER via ;
-        RECT 855.420 33.360 855.680 33.620 ;
-        RECT 1327.840 33.360 1328.100 33.620 ;
       LAYER met2 ;
-        RECT 1327.900 33.650 1328.040 54.000 ;
-        RECT 855.420 33.330 855.680 33.650 ;
-        RECT 1327.840 33.330 1328.100 33.650 ;
-        RECT 855.480 2.400 855.620 33.330 ;
-        RECT 855.410 0.000 855.690 2.400 ;
+        RECT 890.410 32.120 890.690 34.520 ;
     END
   END la_data_out[12]
   PIN la_data_out[13]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 873.330 33.900 873.650 33.960 ;
-        RECT 1330.110 33.900 1330.430 33.960 ;
-        RECT 873.330 33.760 1330.430 33.900 ;
-        RECT 873.330 33.700 873.650 33.760 ;
-        RECT 1330.110 33.700 1330.430 33.760 ;
-      LAYER via ;
-        RECT 873.360 33.700 873.620 33.960 ;
-        RECT 1330.140 33.700 1330.400 33.960 ;
       LAYER met2 ;
-        RECT 1330.200 33.990 1330.340 54.000 ;
-        RECT 873.360 33.670 873.620 33.990 ;
-        RECT 1330.140 33.670 1330.400 33.990 ;
-        RECT 873.420 2.400 873.560 33.670 ;
-        RECT 873.350 0.000 873.630 2.400 ;
+        RECT 908.350 32.120 908.630 34.520 ;
     END
   END la_data_out[13]
   PIN la_data_out[14]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 891.270 34.240 891.590 34.300 ;
-        RECT 1334.710 34.240 1335.030 34.300 ;
-        RECT 891.270 34.100 1335.030 34.240 ;
-        RECT 891.270 34.040 891.590 34.100 ;
-        RECT 1334.710 34.040 1335.030 34.100 ;
-      LAYER via ;
-        RECT 891.300 34.040 891.560 34.300 ;
-        RECT 1334.740 34.040 1335.000 34.300 ;
       LAYER met2 ;
-        RECT 1334.800 34.330 1334.940 54.000 ;
-        RECT 891.300 34.010 891.560 34.330 ;
-        RECT 1334.740 34.010 1335.000 34.330 ;
-        RECT 891.360 2.400 891.500 34.010 ;
-        RECT 891.290 0.000 891.570 2.400 ;
+        RECT 926.290 32.120 926.570 34.520 ;
     END
   END la_data_out[14]
   PIN la_data_out[15]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 909.210 30.500 909.530 30.560 ;
-        RECT 1336.550 30.500 1336.870 30.560 ;
-        RECT 909.210 30.360 1336.870 30.500 ;
-        RECT 909.210 30.300 909.530 30.360 ;
-        RECT 1336.550 30.300 1336.870 30.360 ;
-      LAYER via ;
-        RECT 909.240 30.300 909.500 30.560 ;
-        RECT 1336.580 30.300 1336.840 30.560 ;
       LAYER met2 ;
-        RECT 1336.640 30.590 1336.780 54.000 ;
-        RECT 909.240 30.270 909.500 30.590 ;
-        RECT 1336.580 30.270 1336.840 30.590 ;
-        RECT 909.300 2.400 909.440 30.270 ;
-        RECT 909.230 0.000 909.510 2.400 ;
+        RECT 944.230 32.120 944.510 34.520 ;
     END
   END la_data_out[15]
   PIN la_data_out[16]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 926.690 29.480 927.010 29.540 ;
-        RECT 1342.070 29.480 1342.390 29.540 ;
-        RECT 926.690 29.340 1342.390 29.480 ;
-        RECT 926.690 29.280 927.010 29.340 ;
-        RECT 1342.070 29.280 1342.390 29.340 ;
-      LAYER via ;
-        RECT 926.720 29.280 926.980 29.540 ;
-        RECT 1342.100 29.280 1342.360 29.540 ;
       LAYER met2 ;
-        RECT 1342.160 29.570 1342.300 54.000 ;
-        RECT 926.720 29.250 926.980 29.570 ;
-        RECT 1342.100 29.250 1342.360 29.570 ;
-        RECT 926.780 2.400 926.920 29.250 ;
-        RECT 926.710 0.000 926.990 2.400 ;
+        RECT 961.710 32.120 961.990 34.520 ;
     END
   END la_data_out[16]
   PIN la_data_out[17]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 944.630 29.140 944.950 29.200 ;
-        RECT 1341.610 29.140 1341.930 29.200 ;
-        RECT 944.630 29.000 1341.930 29.140 ;
-        RECT 944.630 28.940 944.950 29.000 ;
-        RECT 1341.610 28.940 1341.930 29.000 ;
-      LAYER via ;
-        RECT 944.660 28.940 944.920 29.200 ;
-        RECT 1341.640 28.940 1341.900 29.200 ;
       LAYER met2 ;
-        RECT 1341.700 29.230 1341.840 54.000 ;
-        RECT 944.660 28.910 944.920 29.230 ;
-        RECT 1341.640 28.910 1341.900 29.230 ;
-        RECT 944.720 2.400 944.860 28.910 ;
-        RECT 944.650 0.000 944.930 2.400 ;
+        RECT 979.650 32.120 979.930 34.520 ;
     END
   END la_data_out[17]
   PIN la_data_out[18]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 962.570 28.800 962.890 28.860 ;
-        RECT 1349.430 28.800 1349.750 28.860 ;
-        RECT 962.570 28.660 1349.750 28.800 ;
-        RECT 962.570 28.600 962.890 28.660 ;
-        RECT 1349.430 28.600 1349.750 28.660 ;
-      LAYER via ;
-        RECT 962.600 28.600 962.860 28.860 ;
-        RECT 1349.460 28.600 1349.720 28.860 ;
       LAYER met2 ;
-        RECT 1349.520 28.890 1349.660 54.000 ;
-        RECT 962.600 28.570 962.860 28.890 ;
-        RECT 1349.460 28.570 1349.720 28.890 ;
-        RECT 962.660 2.400 962.800 28.570 ;
-        RECT 962.590 0.000 962.870 2.400 ;
+        RECT 997.590 32.120 997.870 34.520 ;
     END
   END la_data_out[18]
   PIN la_data_out[19]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 980.585 13.005 980.755 28.475 ;
-      LAYER mcon ;
-        RECT 980.585 28.305 980.755 28.475 ;
-      LAYER met1 ;
-        RECT 980.525 28.460 980.815 28.505 ;
-        RECT 1348.970 28.460 1349.290 28.520 ;
-        RECT 980.525 28.320 1349.290 28.460 ;
-        RECT 980.525 28.275 980.815 28.320 ;
-        RECT 1348.970 28.260 1349.290 28.320 ;
-        RECT 980.510 13.160 980.830 13.220 ;
-        RECT 980.315 13.020 980.830 13.160 ;
-        RECT 980.510 12.960 980.830 13.020 ;
-      LAYER via ;
-        RECT 1349.000 28.260 1349.260 28.520 ;
-        RECT 980.540 12.960 980.800 13.220 ;
       LAYER met2 ;
-        RECT 1349.060 28.550 1349.200 54.000 ;
-        RECT 1349.000 28.230 1349.260 28.550 ;
-        RECT 980.540 12.930 980.800 13.250 ;
-        RECT 980.600 2.400 980.740 12.930 ;
-        RECT 980.530 0.000 980.810 2.400 ;
+        RECT 1015.530 32.120 1015.810 34.520 ;
     END
   END la_data_out[19]
   PIN la_data_out[1]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 659.430 31.860 659.750 31.920 ;
-        RECT 1286.870 31.860 1287.190 31.920 ;
-        RECT 659.430 31.720 1287.190 31.860 ;
-        RECT 659.430 31.660 659.750 31.720 ;
-        RECT 1286.870 31.660 1287.190 31.720 ;
-      LAYER via ;
-        RECT 659.460 31.660 659.720 31.920 ;
-        RECT 1286.900 31.660 1287.160 31.920 ;
       LAYER met2 ;
-        RECT 1286.960 31.950 1287.100 54.000 ;
-        RECT 659.460 31.630 659.720 31.950 ;
-        RECT 1286.900 31.630 1287.160 31.950 ;
-        RECT 659.520 2.400 659.660 31.630 ;
-        RECT 659.450 0.000 659.730 2.400 ;
+        RECT 694.450 32.120 694.730 34.520 ;
     END
   END la_data_out[1]
   PIN la_data_out[20]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 998.450 28.120 998.770 28.180 ;
-        RECT 1356.330 28.120 1356.650 28.180 ;
-        RECT 998.450 27.980 1356.650 28.120 ;
-        RECT 998.450 27.920 998.770 27.980 ;
-        RECT 1356.330 27.920 1356.650 27.980 ;
-      LAYER via ;
-        RECT 998.480 27.920 998.740 28.180 ;
-        RECT 1356.360 27.920 1356.620 28.180 ;
       LAYER met2 ;
-        RECT 1356.420 28.210 1356.560 54.000 ;
-        RECT 998.480 27.890 998.740 28.210 ;
-        RECT 1356.360 27.890 1356.620 28.210 ;
-        RECT 998.540 2.400 998.680 27.890 ;
-        RECT 998.470 0.000 998.750 2.400 ;
+        RECT 1033.470 32.120 1033.750 34.520 ;
     END
   END la_data_out[20]
   PIN la_data_out[21]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1015.930 27.780 1016.250 27.840 ;
-        RECT 1355.870 27.780 1356.190 27.840 ;
-        RECT 1015.930 27.640 1356.190 27.780 ;
-        RECT 1015.930 27.580 1016.250 27.640 ;
-        RECT 1355.870 27.580 1356.190 27.640 ;
-      LAYER via ;
-        RECT 1015.960 27.580 1016.220 27.840 ;
-        RECT 1355.900 27.580 1356.160 27.840 ;
       LAYER met2 ;
-        RECT 1355.960 27.870 1356.100 54.000 ;
-        RECT 1015.960 27.550 1016.220 27.870 ;
-        RECT 1355.900 27.550 1356.160 27.870 ;
-        RECT 1016.020 2.400 1016.160 27.550 ;
-        RECT 1015.950 0.000 1016.230 2.400 ;
+        RECT 1050.950 32.120 1051.230 34.520 ;
     END
   END la_data_out[21]
   PIN la_data_out[22]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1364.225 30.685 1364.395 41.395 ;
-      LAYER mcon ;
-        RECT 1364.225 41.225 1364.395 41.395 ;
-      LAYER met1 ;
-        RECT 1364.150 41.380 1364.470 41.440 ;
-        RECT 1363.955 41.240 1364.470 41.380 ;
-        RECT 1364.150 41.180 1364.470 41.240 ;
-        RECT 1079.410 30.840 1079.730 30.900 ;
-        RECT 1364.165 30.840 1364.455 30.885 ;
-        RECT 1079.410 30.700 1364.455 30.840 ;
-        RECT 1079.410 30.640 1079.730 30.700 ;
-        RECT 1364.165 30.655 1364.455 30.700 ;
-      LAYER via ;
-        RECT 1364.180 41.180 1364.440 41.440 ;
-        RECT 1079.440 30.640 1079.700 30.900 ;
       LAYER met2 ;
-        RECT 1364.240 41.470 1364.380 54.000 ;
-        RECT 1364.180 41.150 1364.440 41.470 ;
-        RECT 1079.440 30.610 1079.700 30.930 ;
-        RECT 1079.500 29.765 1079.640 30.610 ;
-        RECT 1033.890 29.395 1034.170 29.765 ;
-        RECT 1079.430 29.395 1079.710 29.765 ;
-        RECT 1033.960 2.400 1034.100 29.395 ;
-        RECT 1033.890 0.000 1034.170 2.400 ;
-      LAYER via2 ;
-        RECT 1033.890 29.440 1034.170 29.720 ;
-        RECT 1079.430 29.440 1079.710 29.720 ;
-      LAYER met3 ;
-        RECT 1033.865 29.730 1034.195 29.745 ;
-        RECT 1079.405 29.730 1079.735 29.745 ;
-        RECT 1033.865 29.430 1079.735 29.730 ;
-        RECT 1033.865 29.415 1034.195 29.430 ;
-        RECT 1079.405 29.415 1079.735 29.430 ;
+        RECT 1068.890 32.120 1069.170 34.520 ;
     END
   END la_data_out[22]
   PIN la_data_out[23]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1126.330 31.180 1126.650 31.240 ;
-        RECT 1363.230 31.180 1363.550 31.240 ;
-        RECT 1126.330 31.040 1363.550 31.180 ;
-        RECT 1126.330 30.980 1126.650 31.040 ;
-        RECT 1363.230 30.980 1363.550 31.040 ;
-      LAYER via ;
-        RECT 1126.360 30.980 1126.620 31.240 ;
-        RECT 1363.260 30.980 1363.520 31.240 ;
       LAYER met2 ;
-        RECT 1363.320 31.270 1363.460 54.000 ;
-        RECT 1126.360 30.950 1126.620 31.270 ;
-        RECT 1363.260 30.950 1363.520 31.270 ;
-        RECT 1126.420 29.085 1126.560 30.950 ;
-        RECT 1051.830 28.715 1052.110 29.085 ;
-        RECT 1126.350 28.715 1126.630 29.085 ;
-        RECT 1051.900 2.400 1052.040 28.715 ;
-        RECT 1051.830 0.000 1052.110 2.400 ;
-      LAYER via2 ;
-        RECT 1051.830 28.760 1052.110 29.040 ;
-        RECT 1126.350 28.760 1126.630 29.040 ;
-      LAYER met3 ;
-        RECT 1051.805 29.050 1052.135 29.065 ;
-        RECT 1126.325 29.050 1126.655 29.065 ;
-        RECT 1051.805 28.750 1126.655 29.050 ;
-        RECT 1051.805 28.735 1052.135 28.750 ;
-        RECT 1126.325 28.735 1126.655 28.750 ;
+        RECT 1086.830 32.120 1087.110 34.520 ;
     END
   END la_data_out[23]
   PIN la_data_out[24]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 1072.600 48.805 1072.740 54.000 ;
-        RECT 1069.770 48.435 1070.050 48.805 ;
-        RECT 1072.530 48.435 1072.810 48.805 ;
-        RECT 1069.840 2.400 1069.980 48.435 ;
-        RECT 1069.770 0.000 1070.050 2.400 ;
-      LAYER via2 ;
-        RECT 1069.770 48.480 1070.050 48.760 ;
-        RECT 1072.530 48.480 1072.810 48.760 ;
-      LAYER met3 ;
-        RECT 1069.745 48.770 1070.075 48.785 ;
-        RECT 1072.505 48.770 1072.835 48.785 ;
-        RECT 1069.745 48.470 1072.835 48.770 ;
-        RECT 1069.745 48.455 1070.075 48.470 ;
-        RECT 1072.505 48.455 1072.835 48.470 ;
+        RECT 1104.770 32.120 1105.050 34.520 ;
     END
   END la_data_out[24]
   PIN la_data_out[25]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1087.690 19.960 1088.010 20.020 ;
-        RECT 1092.290 19.960 1092.610 20.020 ;
-        RECT 1087.690 19.820 1092.610 19.960 ;
-        RECT 1087.690 19.760 1088.010 19.820 ;
-        RECT 1092.290 19.760 1092.610 19.820 ;
-      LAYER via ;
-        RECT 1087.720 19.760 1087.980 20.020 ;
-        RECT 1092.320 19.760 1092.580 20.020 ;
       LAYER met2 ;
-        RECT 1092.380 20.050 1092.520 54.000 ;
-        RECT 1087.720 19.730 1087.980 20.050 ;
-        RECT 1092.320 19.730 1092.580 20.050 ;
-        RECT 1087.780 2.400 1087.920 19.730 ;
-        RECT 1087.710 0.000 1087.990 2.400 ;
+        RECT 1122.710 32.120 1122.990 34.520 ;
     END
   END la_data_out[25]
   PIN la_data_out[26]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1105.170 2.960 1105.490 3.020 ;
-        RECT 1106.090 2.960 1106.410 3.020 ;
-        RECT 1105.170 2.820 1106.410 2.960 ;
-        RECT 1105.170 2.760 1105.490 2.820 ;
-        RECT 1106.090 2.760 1106.410 2.820 ;
-      LAYER via ;
-        RECT 1105.200 2.760 1105.460 3.020 ;
-        RECT 1106.120 2.760 1106.380 3.020 ;
       LAYER met2 ;
-        RECT 1106.180 3.050 1106.320 54.000 ;
-        RECT 1105.200 2.730 1105.460 3.050 ;
-        RECT 1106.120 2.730 1106.380 3.050 ;
-        RECT 1105.260 2.400 1105.400 2.730 ;
-        RECT 1105.190 0.000 1105.470 2.400 ;
+        RECT 1140.190 32.120 1140.470 34.520 ;
     END
   END la_data_out[26]
   PIN la_data_out[27]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 1125.500 48.805 1125.640 54.000 ;
-        RECT 1125.430 48.435 1125.710 48.805 ;
-        RECT 1126.350 48.435 1126.630 48.805 ;
-        RECT 1126.420 39.965 1126.560 48.435 ;
-        RECT 1123.130 39.595 1123.410 39.965 ;
-        RECT 1126.350 39.595 1126.630 39.965 ;
-        RECT 1123.200 2.400 1123.340 39.595 ;
-        RECT 1123.130 0.000 1123.410 2.400 ;
-      LAYER via2 ;
-        RECT 1125.430 48.480 1125.710 48.760 ;
-        RECT 1126.350 48.480 1126.630 48.760 ;
-        RECT 1123.130 39.640 1123.410 39.920 ;
-        RECT 1126.350 39.640 1126.630 39.920 ;
-      LAYER met3 ;
-        RECT 1125.405 48.770 1125.735 48.785 ;
-        RECT 1126.325 48.770 1126.655 48.785 ;
-        RECT 1125.405 48.470 1126.655 48.770 ;
-        RECT 1125.405 48.455 1125.735 48.470 ;
-        RECT 1126.325 48.455 1126.655 48.470 ;
-        RECT 1123.105 39.930 1123.435 39.945 ;
-        RECT 1126.325 39.930 1126.655 39.945 ;
-        RECT 1123.105 39.630 1126.655 39.930 ;
-        RECT 1123.105 39.615 1123.435 39.630 ;
-        RECT 1126.325 39.615 1126.655 39.630 ;
+        RECT 1158.130 32.120 1158.410 34.520 ;
     END
   END la_data_out[27]
   PIN la_data_out[28]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1141.050 20.300 1141.370 20.360 ;
-        RECT 1147.490 20.300 1147.810 20.360 ;
-        RECT 1141.050 20.160 1147.810 20.300 ;
-        RECT 1141.050 20.100 1141.370 20.160 ;
-        RECT 1147.490 20.100 1147.810 20.160 ;
-      LAYER via ;
-        RECT 1141.080 20.100 1141.340 20.360 ;
-        RECT 1147.520 20.100 1147.780 20.360 ;
       LAYER met2 ;
-        RECT 1147.580 20.390 1147.720 54.000 ;
-        RECT 1141.080 20.070 1141.340 20.390 ;
-        RECT 1147.520 20.070 1147.780 20.390 ;
-        RECT 1141.140 2.400 1141.280 20.070 ;
-        RECT 1141.070 0.000 1141.350 2.400 ;
+        RECT 1176.070 32.120 1176.350 34.520 ;
     END
   END la_data_out[28]
   PIN la_data_out[29]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1158.990 2.960 1159.310 3.020 ;
-        RECT 1159.910 2.960 1160.230 3.020 ;
-        RECT 1158.990 2.820 1160.230 2.960 ;
-        RECT 1158.990 2.760 1159.310 2.820 ;
-        RECT 1159.910 2.760 1160.230 2.820 ;
-      LAYER via ;
-        RECT 1159.020 2.760 1159.280 3.020 ;
-        RECT 1159.940 2.760 1160.200 3.020 ;
       LAYER met2 ;
-        RECT 1160.920 48.805 1161.060 54.000 ;
-        RECT 1159.470 48.435 1159.750 48.805 ;
-        RECT 1160.850 48.435 1161.130 48.805 ;
-        RECT 1159.540 24.890 1159.680 48.435 ;
-        RECT 1159.540 24.750 1160.140 24.890 ;
-        RECT 1160.000 3.050 1160.140 24.750 ;
-        RECT 1159.020 2.730 1159.280 3.050 ;
-        RECT 1159.940 2.730 1160.200 3.050 ;
-        RECT 1159.080 2.400 1159.220 2.730 ;
-        RECT 1159.010 0.000 1159.290 2.400 ;
-      LAYER via2 ;
-        RECT 1159.470 48.480 1159.750 48.760 ;
-        RECT 1160.850 48.480 1161.130 48.760 ;
-      LAYER met3 ;
-        RECT 1159.445 48.770 1159.775 48.785 ;
-        RECT 1160.825 48.770 1161.155 48.785 ;
-        RECT 1159.445 48.470 1161.155 48.770 ;
-        RECT 1159.445 48.455 1159.775 48.470 ;
-        RECT 1160.825 48.455 1161.155 48.470 ;
+        RECT 1194.010 32.120 1194.290 34.520 ;
     END
   END la_data_out[29]
   PIN la_data_out[2]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 676.540 48.805 676.680 54.000 ;
-        RECT 676.470 48.435 676.750 48.805 ;
-        RECT 677.390 48.435 677.670 48.805 ;
-        RECT 677.460 3.130 677.600 48.435 ;
-        RECT 677.000 2.990 677.600 3.130 ;
-        RECT 677.000 2.400 677.140 2.990 ;
-        RECT 676.930 0.000 677.210 2.400 ;
-      LAYER via2 ;
-        RECT 676.470 48.480 676.750 48.760 ;
-        RECT 677.390 48.480 677.670 48.760 ;
-      LAYER met3 ;
-        RECT 676.445 48.770 676.775 48.785 ;
-        RECT 677.365 48.770 677.695 48.785 ;
-        RECT 676.445 48.470 677.695 48.770 ;
-        RECT 676.445 48.455 676.775 48.470 ;
-        RECT 677.365 48.455 677.695 48.470 ;
+        RECT 711.930 32.120 712.210 34.520 ;
     END
   END la_data_out[2]
   PIN la_data_out[30]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1176.470 20.640 1176.790 20.700 ;
-        RECT 1181.990 20.640 1182.310 20.700 ;
-        RECT 1176.470 20.500 1182.310 20.640 ;
-        RECT 1176.470 20.440 1176.790 20.500 ;
-        RECT 1181.990 20.440 1182.310 20.500 ;
-      LAYER via ;
-        RECT 1176.500 20.440 1176.760 20.700 ;
-        RECT 1182.020 20.440 1182.280 20.700 ;
       LAYER met2 ;
-        RECT 1182.080 20.730 1182.220 54.000 ;
-        RECT 1176.500 20.410 1176.760 20.730 ;
-        RECT 1182.020 20.410 1182.280 20.730 ;
-        RECT 1176.560 2.400 1176.700 20.410 ;
-        RECT 1176.490 0.000 1176.770 2.400 ;
+        RECT 1211.490 32.120 1211.770 34.520 ;
     END
   END la_data_out[30]
   PIN la_data_out[31]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1194.410 39.340 1194.730 39.400 ;
-        RECT 1397.730 39.340 1398.050 39.400 ;
-        RECT 1194.410 39.200 1398.050 39.340 ;
-        RECT 1194.410 39.140 1194.730 39.200 ;
-        RECT 1397.730 39.140 1398.050 39.200 ;
-      LAYER via ;
-        RECT 1194.440 39.140 1194.700 39.400 ;
-        RECT 1397.760 39.140 1398.020 39.400 ;
       LAYER met2 ;
-        RECT 1397.820 39.430 1397.960 54.000 ;
-        RECT 1194.440 39.110 1194.700 39.430 ;
-        RECT 1397.760 39.110 1398.020 39.430 ;
-        RECT 1194.500 2.400 1194.640 39.110 ;
-        RECT 1194.430 0.000 1194.710 2.400 ;
+        RECT 1229.430 32.120 1229.710 34.520 ;
     END
   END la_data_out[31]
   PIN la_data_out[32]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1212.350 39.680 1212.670 39.740 ;
-        RECT 1399.110 39.680 1399.430 39.740 ;
-        RECT 1212.350 39.540 1399.430 39.680 ;
-        RECT 1212.350 39.480 1212.670 39.540 ;
-        RECT 1399.110 39.480 1399.430 39.540 ;
-      LAYER via ;
-        RECT 1212.380 39.480 1212.640 39.740 ;
-        RECT 1399.140 39.480 1399.400 39.740 ;
       LAYER met2 ;
-        RECT 1399.200 39.770 1399.340 54.000 ;
-        RECT 1212.380 39.450 1212.640 39.770 ;
-        RECT 1399.140 39.450 1399.400 39.770 ;
-        RECT 1212.440 2.400 1212.580 39.450 ;
-        RECT 1212.370 0.000 1212.650 2.400 ;
+        RECT 1247.370 32.120 1247.650 34.520 ;
     END
   END la_data_out[32]
   PIN la_data_out[33]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1229.830 40.020 1230.150 40.080 ;
-        RECT 1404.170 40.020 1404.490 40.080 ;
-        RECT 1229.830 39.880 1404.490 40.020 ;
-        RECT 1229.830 39.820 1230.150 39.880 ;
-        RECT 1404.170 39.820 1404.490 39.880 ;
-      LAYER via ;
-        RECT 1229.860 39.820 1230.120 40.080 ;
-        RECT 1404.200 39.820 1404.460 40.080 ;
       LAYER met2 ;
-        RECT 1404.260 40.110 1404.400 54.000 ;
-        RECT 1229.860 39.790 1230.120 40.110 ;
-        RECT 1404.200 39.790 1404.460 40.110 ;
-        RECT 1229.920 20.130 1230.060 39.790 ;
-        RECT 1229.920 19.990 1230.520 20.130 ;
-        RECT 1230.380 2.400 1230.520 19.990 ;
-        RECT 1230.310 0.000 1230.590 2.400 ;
+        RECT 1265.310 32.120 1265.590 34.520 ;
     END
   END la_data_out[33]
   PIN la_data_out[34]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1248.230 40.360 1248.550 40.420 ;
-        RECT 1403.710 40.360 1404.030 40.420 ;
-        RECT 1248.230 40.220 1404.030 40.360 ;
-        RECT 1248.230 40.160 1248.550 40.220 ;
-        RECT 1403.710 40.160 1404.030 40.220 ;
-      LAYER via ;
-        RECT 1248.260 40.160 1248.520 40.420 ;
-        RECT 1403.740 40.160 1404.000 40.420 ;
       LAYER met2 ;
-        RECT 1403.800 40.450 1403.940 54.000 ;
-        RECT 1248.260 40.130 1248.520 40.450 ;
-        RECT 1403.740 40.130 1404.000 40.450 ;
-        RECT 1248.320 2.400 1248.460 40.130 ;
-        RECT 1248.250 0.000 1248.530 2.400 ;
+        RECT 1283.250 32.120 1283.530 34.520 ;
     END
   END la_data_out[34]
   PIN la_data_out[35]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1265.710 15.880 1266.030 15.940 ;
-        RECT 1270.770 15.880 1271.090 15.940 ;
-        RECT 1265.710 15.740 1271.090 15.880 ;
-        RECT 1265.710 15.680 1266.030 15.740 ;
-        RECT 1270.770 15.680 1271.090 15.740 ;
-      LAYER via ;
-        RECT 1265.740 15.680 1266.000 15.940 ;
-        RECT 1270.800 15.680 1271.060 15.940 ;
       LAYER met2 ;
-        RECT 1271.320 46.650 1271.460 54.000 ;
-        RECT 1270.860 46.510 1271.460 46.650 ;
-        RECT 1270.860 15.970 1271.000 46.510 ;
-        RECT 1265.740 15.650 1266.000 15.970 ;
-        RECT 1270.800 15.650 1271.060 15.970 ;
-        RECT 1265.800 2.400 1265.940 15.650 ;
-        RECT 1265.730 0.000 1266.010 2.400 ;
+        RECT 1300.730 32.120 1301.010 34.520 ;
     END
   END la_data_out[35]
   PIN la_data_out[36]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1283.650 2.960 1283.970 3.020 ;
-        RECT 1285.490 2.960 1285.810 3.020 ;
-        RECT 1283.650 2.820 1285.810 2.960 ;
-        RECT 1283.650 2.760 1283.970 2.820 ;
-        RECT 1285.490 2.760 1285.810 2.820 ;
-      LAYER via ;
-        RECT 1283.680 2.760 1283.940 3.020 ;
-        RECT 1285.520 2.760 1285.780 3.020 ;
       LAYER met2 ;
-        RECT 1285.580 3.050 1285.720 54.000 ;
-        RECT 1283.680 2.730 1283.940 3.050 ;
-        RECT 1285.520 2.730 1285.780 3.050 ;
-        RECT 1283.740 2.400 1283.880 2.730 ;
-        RECT 1283.670 0.000 1283.950 2.400 ;
+        RECT 1318.670 32.120 1318.950 34.520 ;
     END
   END la_data_out[36]
   PIN la_data_out[37]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1301.590 20.640 1301.910 20.700 ;
-        RECT 1306.190 20.640 1306.510 20.700 ;
-        RECT 1301.590 20.500 1306.510 20.640 ;
-        RECT 1301.590 20.440 1301.910 20.500 ;
-        RECT 1306.190 20.440 1306.510 20.500 ;
-      LAYER via ;
-        RECT 1301.620 20.440 1301.880 20.700 ;
-        RECT 1306.220 20.440 1306.480 20.700 ;
       LAYER met2 ;
-        RECT 1306.280 20.730 1306.420 54.000 ;
-        RECT 1301.620 20.410 1301.880 20.730 ;
-        RECT 1306.220 20.410 1306.480 20.730 ;
-        RECT 1301.680 2.400 1301.820 20.410 ;
-        RECT 1301.610 0.000 1301.890 2.400 ;
+        RECT 1336.610 32.120 1336.890 34.520 ;
     END
   END la_data_out[37]
   PIN la_data_out[38]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1319.530 18.600 1319.850 18.660 ;
-        RECT 1418.430 18.600 1418.750 18.660 ;
-        RECT 1319.530 18.460 1418.750 18.600 ;
-        RECT 1319.530 18.400 1319.850 18.460 ;
-        RECT 1418.430 18.400 1418.750 18.460 ;
-      LAYER via ;
-        RECT 1319.560 18.400 1319.820 18.660 ;
-        RECT 1418.460 18.400 1418.720 18.660 ;
       LAYER met2 ;
-        RECT 1418.520 18.690 1418.660 54.000 ;
-        RECT 1319.560 18.370 1319.820 18.690 ;
-        RECT 1418.460 18.370 1418.720 18.690 ;
-        RECT 1319.620 2.400 1319.760 18.370 ;
-        RECT 1319.550 0.000 1319.830 2.400 ;
+        RECT 1354.550 32.120 1354.830 34.520 ;
     END
   END la_data_out[38]
   PIN la_data_out[39]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1337.470 19.960 1337.790 20.020 ;
-        RECT 1424.410 19.960 1424.730 20.020 ;
-        RECT 1337.470 19.820 1424.730 19.960 ;
-        RECT 1337.470 19.760 1337.790 19.820 ;
-        RECT 1424.410 19.760 1424.730 19.820 ;
-      LAYER via ;
-        RECT 1337.500 19.760 1337.760 20.020 ;
-        RECT 1424.440 19.760 1424.700 20.020 ;
       LAYER met2 ;
-        RECT 1424.500 20.050 1424.640 54.000 ;
-        RECT 1337.500 19.730 1337.760 20.050 ;
-        RECT 1424.440 19.730 1424.700 20.050 ;
-        RECT 1337.560 2.400 1337.700 19.730 ;
-        RECT 1337.490 0.000 1337.770 2.400 ;
+        RECT 1372.490 32.120 1372.770 34.520 ;
     END
   END la_data_out[39]
   PIN la_data_out[3]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 694.850 18.260 695.170 18.320 ;
-        RECT 698.990 18.260 699.310 18.320 ;
-        RECT 694.850 18.120 699.310 18.260 ;
-        RECT 694.850 18.060 695.170 18.120 ;
-        RECT 698.990 18.060 699.310 18.120 ;
-      LAYER via ;
-        RECT 694.880 18.060 695.140 18.320 ;
-        RECT 699.020 18.060 699.280 18.320 ;
       LAYER met2 ;
-        RECT 699.080 18.350 699.220 54.000 ;
-        RECT 694.880 18.030 695.140 18.350 ;
-        RECT 699.020 18.030 699.280 18.350 ;
-        RECT 694.940 2.400 695.080 18.030 ;
-        RECT 694.870 0.000 695.150 2.400 ;
+        RECT 729.870 32.120 730.150 34.520 ;
     END
   END la_data_out[3]
   PIN la_data_out[40]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1354.950 15.880 1355.270 15.940 ;
-        RECT 1354.950 15.740 1390.600 15.880 ;
-        RECT 1354.950 15.680 1355.270 15.740 ;
-        RECT 1390.460 15.540 1390.600 15.740 ;
-        RECT 1427.630 15.540 1427.950 15.600 ;
-        RECT 1390.460 15.400 1427.950 15.540 ;
-        RECT 1427.630 15.340 1427.950 15.400 ;
-      LAYER via ;
-        RECT 1354.980 15.680 1355.240 15.940 ;
-        RECT 1427.660 15.340 1427.920 15.600 ;
       LAYER met2 ;
-        RECT 1354.980 15.650 1355.240 15.970 ;
-        RECT 1355.040 2.400 1355.180 15.650 ;
-        RECT 1427.720 15.630 1427.860 54.000 ;
-        RECT 1427.660 15.310 1427.920 15.630 ;
-        RECT 1354.970 0.000 1355.250 2.400 ;
+        RECT 1389.970 32.120 1390.250 34.520 ;
     END
   END la_data_out[40]
   PIN la_data_out[41]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1372.890 14.860 1373.210 14.920 ;
-        RECT 1433.150 14.860 1433.470 14.920 ;
-        RECT 1372.890 14.720 1433.470 14.860 ;
-        RECT 1372.890 14.660 1373.210 14.720 ;
-        RECT 1433.150 14.660 1433.470 14.720 ;
-      LAYER via ;
-        RECT 1372.920 14.660 1373.180 14.920 ;
-        RECT 1433.180 14.660 1433.440 14.920 ;
       LAYER met2 ;
-        RECT 1433.240 14.950 1433.380 54.000 ;
-        RECT 1372.920 14.630 1373.180 14.950 ;
-        RECT 1433.180 14.630 1433.440 14.950 ;
-        RECT 1372.980 2.400 1373.120 14.630 ;
-        RECT 1372.910 0.000 1373.190 2.400 ;
+        RECT 1407.910 32.120 1408.190 34.520 ;
     END
   END la_data_out[41]
   PIN la_data_out[42]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1390.830 15.880 1391.150 15.940 ;
-        RECT 1434.070 15.880 1434.390 15.940 ;
-        RECT 1390.830 15.740 1434.390 15.880 ;
-        RECT 1390.830 15.680 1391.150 15.740 ;
-        RECT 1434.070 15.680 1434.390 15.740 ;
-      LAYER via ;
-        RECT 1390.860 15.680 1391.120 15.940 ;
-        RECT 1434.100 15.680 1434.360 15.940 ;
       LAYER met2 ;
-        RECT 1434.160 15.970 1434.300 54.000 ;
-        RECT 1390.860 15.650 1391.120 15.970 ;
-        RECT 1434.100 15.650 1434.360 15.970 ;
-        RECT 1390.920 2.400 1391.060 15.650 ;
-        RECT 1390.850 0.000 1391.130 2.400 ;
+        RECT 1425.850 32.120 1426.130 34.520 ;
     END
   END la_data_out[42]
   PIN la_data_out[43]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1408.770 14.180 1409.090 14.240 ;
-        RECT 1440.970 14.180 1441.290 14.240 ;
-        RECT 1408.770 14.040 1441.290 14.180 ;
-        RECT 1408.770 13.980 1409.090 14.040 ;
-        RECT 1440.970 13.980 1441.290 14.040 ;
-      LAYER via ;
-        RECT 1408.800 13.980 1409.060 14.240 ;
-        RECT 1441.000 13.980 1441.260 14.240 ;
       LAYER met2 ;
-        RECT 1441.060 14.270 1441.200 54.000 ;
-        RECT 1408.800 13.950 1409.060 14.270 ;
-        RECT 1441.000 13.950 1441.260 14.270 ;
-        RECT 1408.860 2.400 1409.000 13.950 ;
-        RECT 1408.790 0.000 1409.070 2.400 ;
+        RECT 1443.790 32.120 1444.070 34.520 ;
     END
   END la_data_out[43]
   PIN la_data_out[44]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1426.250 18.260 1426.570 18.320 ;
-        RECT 1448.330 18.260 1448.650 18.320 ;
-        RECT 1426.250 18.120 1448.650 18.260 ;
-        RECT 1426.250 18.060 1426.570 18.120 ;
-        RECT 1448.330 18.060 1448.650 18.120 ;
-      LAYER via ;
-        RECT 1426.280 18.060 1426.540 18.320 ;
-        RECT 1448.360 18.060 1448.620 18.320 ;
       LAYER met2 ;
-        RECT 1448.420 18.350 1448.560 54.000 ;
-        RECT 1426.280 18.030 1426.540 18.350 ;
-        RECT 1448.360 18.030 1448.620 18.350 ;
-        RECT 1426.340 2.400 1426.480 18.030 ;
-        RECT 1426.270 0.000 1426.550 2.400 ;
+        RECT 1461.270 32.120 1461.550 34.520 ;
     END
   END la_data_out[44]
   PIN la_data_out[45]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1444.190 20.640 1444.510 20.700 ;
-        RECT 1446.950 20.640 1447.270 20.700 ;
-        RECT 1444.190 20.500 1447.270 20.640 ;
-        RECT 1444.190 20.440 1444.510 20.500 ;
-        RECT 1446.950 20.440 1447.270 20.500 ;
-      LAYER via ;
-        RECT 1444.220 20.440 1444.480 20.700 ;
-        RECT 1446.980 20.440 1447.240 20.700 ;
       LAYER met2 ;
-        RECT 1447.040 20.730 1447.180 54.000 ;
-        RECT 1444.220 20.410 1444.480 20.730 ;
-        RECT 1446.980 20.410 1447.240 20.730 ;
-        RECT 1444.280 2.400 1444.420 20.410 ;
-        RECT 1444.210 0.000 1444.490 2.400 ;
+        RECT 1479.210 32.120 1479.490 34.520 ;
     END
   END la_data_out[45]
   PIN la_data_out[46]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1457.530 20.640 1457.850 20.700 ;
-        RECT 1462.130 20.640 1462.450 20.700 ;
-        RECT 1457.530 20.500 1462.450 20.640 ;
-        RECT 1457.530 20.440 1457.850 20.500 ;
-        RECT 1462.130 20.440 1462.450 20.500 ;
-      LAYER via ;
-        RECT 1457.560 20.440 1457.820 20.700 ;
-        RECT 1462.160 20.440 1462.420 20.700 ;
       LAYER met2 ;
-        RECT 1457.620 20.730 1457.760 54.000 ;
-        RECT 1457.560 20.410 1457.820 20.730 ;
-        RECT 1462.160 20.410 1462.420 20.730 ;
-        RECT 1462.220 2.400 1462.360 20.410 ;
-        RECT 1462.150 0.000 1462.430 2.400 ;
+        RECT 1497.150 32.120 1497.430 34.520 ;
     END
   END la_data_out[46]
   PIN la_data_out[47]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1461.670 15.540 1461.990 15.600 ;
-        RECT 1480.070 15.540 1480.390 15.600 ;
-        RECT 1461.670 15.400 1480.390 15.540 ;
-        RECT 1461.670 15.340 1461.990 15.400 ;
-        RECT 1480.070 15.340 1480.390 15.400 ;
-      LAYER via ;
-        RECT 1461.700 15.340 1461.960 15.600 ;
-        RECT 1480.100 15.340 1480.360 15.600 ;
       LAYER met2 ;
-        RECT 1461.760 15.630 1461.900 54.000 ;
-        RECT 1461.700 15.310 1461.960 15.630 ;
-        RECT 1480.100 15.310 1480.360 15.630 ;
-        RECT 1480.160 2.400 1480.300 15.310 ;
-        RECT 1480.090 0.000 1480.370 2.400 ;
+        RECT 1515.090 32.120 1515.370 34.520 ;
     END
   END la_data_out[47]
   PIN la_data_out[48]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1464.430 18.600 1464.750 18.660 ;
-        RECT 1498.010 18.600 1498.330 18.660 ;
-        RECT 1464.430 18.460 1498.330 18.600 ;
-        RECT 1464.430 18.400 1464.750 18.460 ;
-        RECT 1498.010 18.400 1498.330 18.460 ;
-      LAYER via ;
-        RECT 1464.460 18.400 1464.720 18.660 ;
-        RECT 1498.040 18.400 1498.300 18.660 ;
       LAYER met2 ;
-        RECT 1464.520 18.690 1464.660 54.000 ;
-        RECT 1464.460 18.370 1464.720 18.690 ;
-        RECT 1498.040 18.370 1498.300 18.690 ;
-        RECT 1498.100 2.400 1498.240 18.370 ;
-        RECT 1498.030 0.000 1498.310 2.400 ;
+        RECT 1533.030 32.120 1533.310 34.520 ;
     END
   END la_data_out[48]
   PIN la_data_out[49]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1493.025 14.025 1493.195 16.915 ;
-      LAYER mcon ;
-        RECT 1493.025 16.745 1493.195 16.915 ;
-      LAYER met1 ;
-        RECT 1492.965 16.900 1493.255 16.945 ;
-        RECT 1515.490 16.900 1515.810 16.960 ;
-        RECT 1492.965 16.760 1515.810 16.900 ;
-        RECT 1492.965 16.715 1493.255 16.760 ;
-        RECT 1515.490 16.700 1515.810 16.760 ;
-        RECT 1469.030 14.180 1469.350 14.240 ;
-        RECT 1492.965 14.180 1493.255 14.225 ;
-        RECT 1469.030 14.040 1493.255 14.180 ;
-        RECT 1469.030 13.980 1469.350 14.040 ;
-        RECT 1492.965 13.995 1493.255 14.040 ;
-      LAYER via ;
-        RECT 1515.520 16.700 1515.780 16.960 ;
-        RECT 1469.060 13.980 1469.320 14.240 ;
       LAYER met2 ;
-        RECT 1469.120 14.270 1469.260 54.000 ;
-        RECT 1515.520 16.670 1515.780 16.990 ;
-        RECT 1469.060 13.950 1469.320 14.270 ;
-        RECT 1515.580 2.400 1515.720 16.670 ;
-        RECT 1515.510 0.000 1515.790 2.400 ;
+        RECT 1550.510 32.120 1550.790 34.520 ;
     END
   END la_data_out[49]
   PIN la_data_out[4]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 712.420 17.580 712.560 54.000 ;
-        RECT 712.420 17.440 713.020 17.580 ;
-        RECT 712.880 2.400 713.020 17.440 ;
-        RECT 712.810 0.000 713.090 2.400 ;
+        RECT 747.810 32.120 748.090 34.520 ;
     END
   END la_data_out[4]
   PIN la_data_out[50]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1470.870 15.200 1471.190 15.260 ;
-        RECT 1470.870 15.060 1510.200 15.200 ;
-        RECT 1470.870 15.000 1471.190 15.060 ;
-        RECT 1510.060 14.520 1510.200 15.060 ;
-        RECT 1533.430 14.520 1533.750 14.580 ;
-        RECT 1510.060 14.380 1533.750 14.520 ;
-        RECT 1533.430 14.320 1533.750 14.380 ;
-      LAYER via ;
-        RECT 1470.900 15.000 1471.160 15.260 ;
-        RECT 1533.460 14.320 1533.720 14.580 ;
       LAYER met2 ;
-        RECT 1470.960 15.290 1471.100 54.000 ;
-        RECT 1470.900 14.970 1471.160 15.290 ;
-        RECT 1533.460 14.290 1533.720 14.610 ;
-        RECT 1533.520 2.400 1533.660 14.290 ;
-        RECT 1533.450 0.000 1533.730 2.400 ;
+        RECT 1568.450 32.120 1568.730 34.520 ;
     END
   END la_data_out[50]
   PIN la_data_out[51]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1505.445 15.725 1505.615 17.255 ;
-      LAYER mcon ;
-        RECT 1505.445 17.085 1505.615 17.255 ;
-      LAYER met1 ;
-        RECT 1505.385 17.240 1505.675 17.285 ;
-        RECT 1551.370 17.240 1551.690 17.300 ;
-        RECT 1505.385 17.100 1551.690 17.240 ;
-        RECT 1505.385 17.055 1505.675 17.100 ;
-        RECT 1551.370 17.040 1551.690 17.100 ;
-        RECT 1470.410 15.880 1470.730 15.940 ;
-        RECT 1505.385 15.880 1505.675 15.925 ;
-        RECT 1470.410 15.740 1505.675 15.880 ;
-        RECT 1470.410 15.680 1470.730 15.740 ;
-        RECT 1505.385 15.695 1505.675 15.740 ;
-      LAYER via ;
-        RECT 1551.400 17.040 1551.660 17.300 ;
-        RECT 1470.440 15.680 1470.700 15.940 ;
       LAYER met2 ;
-        RECT 1470.500 15.970 1470.640 54.000 ;
-        RECT 1551.400 17.010 1551.660 17.330 ;
-        RECT 1470.440 15.650 1470.700 15.970 ;
-        RECT 1551.460 2.400 1551.600 17.010 ;
-        RECT 1551.390 0.000 1551.670 2.400 ;
+        RECT 1586.390 32.120 1586.670 34.520 ;
     END
   END la_data_out[51]
   PIN la_data_out[52]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1478.690 18.260 1479.010 18.320 ;
-        RECT 1569.310 18.260 1569.630 18.320 ;
-        RECT 1478.690 18.120 1569.630 18.260 ;
-        RECT 1478.690 18.060 1479.010 18.120 ;
-        RECT 1569.310 18.060 1569.630 18.120 ;
-      LAYER via ;
-        RECT 1478.720 18.060 1478.980 18.320 ;
-        RECT 1569.340 18.060 1569.600 18.320 ;
       LAYER met2 ;
-        RECT 1478.780 18.350 1478.920 54.000 ;
-        RECT 1478.720 18.030 1478.980 18.350 ;
-        RECT 1569.340 18.030 1569.600 18.350 ;
-        RECT 1569.400 2.400 1569.540 18.030 ;
-        RECT 1569.330 0.000 1569.610 2.400 ;
+        RECT 1604.330 32.120 1604.610 34.520 ;
     END
   END la_data_out[52]
   PIN la_data_out[53]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1513.265 25.415 1513.435 26.435 ;
-        RECT 1512.805 25.245 1513.435 25.415 ;
-      LAYER mcon ;
-        RECT 1513.265 26.265 1513.435 26.435 ;
-      LAYER met1 ;
-        RECT 1513.205 26.420 1513.495 26.465 ;
-        RECT 1562.870 26.420 1563.190 26.480 ;
-        RECT 1513.205 26.280 1563.190 26.420 ;
-        RECT 1513.205 26.235 1513.495 26.280 ;
-        RECT 1562.870 26.220 1563.190 26.280 ;
-        RECT 1478.230 25.400 1478.550 25.460 ;
-        RECT 1512.745 25.400 1513.035 25.445 ;
-        RECT 1478.230 25.260 1513.035 25.400 ;
-        RECT 1478.230 25.200 1478.550 25.260 ;
-        RECT 1512.745 25.215 1513.035 25.260 ;
-      LAYER via ;
-        RECT 1562.900 26.220 1563.160 26.480 ;
-        RECT 1478.260 25.200 1478.520 25.460 ;
       LAYER met2 ;
-        RECT 1478.320 25.490 1478.460 54.000 ;
-        RECT 1562.900 26.365 1563.160 26.510 ;
-        RECT 1562.890 25.995 1563.170 26.365 ;
-        RECT 1587.270 25.995 1587.550 26.365 ;
-        RECT 1478.260 25.170 1478.520 25.490 ;
-        RECT 1587.340 2.400 1587.480 25.995 ;
-        RECT 1587.270 0.000 1587.550 2.400 ;
-      LAYER via2 ;
-        RECT 1562.890 26.040 1563.170 26.320 ;
-        RECT 1587.270 26.040 1587.550 26.320 ;
-      LAYER met3 ;
-        RECT 1562.865 26.330 1563.195 26.345 ;
-        RECT 1587.245 26.330 1587.575 26.345 ;
-        RECT 1562.865 26.030 1587.575 26.330 ;
-        RECT 1562.865 26.015 1563.195 26.030 ;
-        RECT 1587.245 26.015 1587.575 26.030 ;
+        RECT 1622.270 32.120 1622.550 34.520 ;
     END
   END la_data_out[53]
   PIN la_data_out[54]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1562.025 24.735 1562.195 26.095 ;
-        RECT 1562.025 24.565 1563.115 24.735 ;
-        RECT 1562.945 20.145 1563.115 24.565 ;
-      LAYER mcon ;
-        RECT 1562.025 25.925 1562.195 26.095 ;
-      LAYER met1 ;
-        RECT 1483.750 26.080 1484.070 26.140 ;
-        RECT 1561.965 26.080 1562.255 26.125 ;
-        RECT 1483.750 25.940 1562.255 26.080 ;
-        RECT 1483.750 25.880 1484.070 25.940 ;
-        RECT 1561.965 25.895 1562.255 25.940 ;
-        RECT 1562.885 20.300 1563.175 20.345 ;
-        RECT 1604.730 20.300 1605.050 20.360 ;
-        RECT 1562.885 20.160 1605.050 20.300 ;
-        RECT 1562.885 20.115 1563.175 20.160 ;
-        RECT 1604.730 20.100 1605.050 20.160 ;
-      LAYER via ;
-        RECT 1483.780 25.880 1484.040 26.140 ;
-        RECT 1604.760 20.100 1605.020 20.360 ;
       LAYER met2 ;
-        RECT 1483.840 26.170 1483.980 54.000 ;
-        RECT 1483.780 25.850 1484.040 26.170 ;
-        RECT 1604.760 20.070 1605.020 20.390 ;
-        RECT 1604.820 2.400 1604.960 20.070 ;
-        RECT 1604.750 0.000 1605.030 2.400 ;
+        RECT 1639.750 32.120 1640.030 34.520 ;
     END
   END la_data_out[54]
   PIN la_data_out[55]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1505.905 20.145 1506.075 25.755 ;
-      LAYER mcon ;
-        RECT 1505.905 25.585 1506.075 25.755 ;
-      LAYER met1 ;
-        RECT 1484.210 25.740 1484.530 25.800 ;
-        RECT 1505.845 25.740 1506.135 25.785 ;
-        RECT 1484.210 25.600 1506.135 25.740 ;
-        RECT 1484.210 25.540 1484.530 25.600 ;
-        RECT 1505.845 25.555 1506.135 25.600 ;
-        RECT 1513.190 21.320 1513.510 21.380 ;
-        RECT 1622.670 21.320 1622.990 21.380 ;
-        RECT 1513.190 21.180 1622.990 21.320 ;
-        RECT 1513.190 21.120 1513.510 21.180 ;
-        RECT 1622.670 21.120 1622.990 21.180 ;
-        RECT 1505.845 20.300 1506.135 20.345 ;
-        RECT 1512.730 20.300 1513.050 20.360 ;
-        RECT 1505.845 20.160 1513.050 20.300 ;
-        RECT 1505.845 20.115 1506.135 20.160 ;
-        RECT 1512.730 20.100 1513.050 20.160 ;
-      LAYER via ;
-        RECT 1484.240 25.540 1484.500 25.800 ;
-        RECT 1513.220 21.120 1513.480 21.380 ;
-        RECT 1622.700 21.120 1622.960 21.380 ;
-        RECT 1512.760 20.100 1513.020 20.360 ;
       LAYER met2 ;
-        RECT 1484.300 25.830 1484.440 54.000 ;
-        RECT 1484.240 25.510 1484.500 25.830 ;
-        RECT 1513.220 21.090 1513.480 21.410 ;
-        RECT 1622.700 21.090 1622.960 21.410 ;
-        RECT 1512.760 20.300 1513.020 20.390 ;
-        RECT 1513.280 20.300 1513.420 21.090 ;
-        RECT 1512.760 20.160 1513.420 20.300 ;
-        RECT 1512.760 20.070 1513.020 20.160 ;
-        RECT 1622.760 2.400 1622.900 21.090 ;
-        RECT 1622.690 0.000 1622.970 2.400 ;
+        RECT 1657.690 32.120 1657.970 34.520 ;
     END
   END la_data_out[55]
   PIN la_data_out[56]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1492.030 22.680 1492.350 22.740 ;
-        RECT 1512.730 22.680 1513.050 22.740 ;
-        RECT 1492.030 22.540 1513.050 22.680 ;
-        RECT 1492.030 22.480 1492.350 22.540 ;
-        RECT 1512.730 22.480 1513.050 22.540 ;
-        RECT 1515.490 22.680 1515.810 22.740 ;
-        RECT 1640.610 22.680 1640.930 22.740 ;
-        RECT 1515.490 22.540 1640.930 22.680 ;
-        RECT 1515.490 22.480 1515.810 22.540 ;
-        RECT 1640.610 22.480 1640.930 22.540 ;
-      LAYER via ;
-        RECT 1492.060 22.480 1492.320 22.740 ;
-        RECT 1512.760 22.480 1513.020 22.740 ;
-        RECT 1515.520 22.480 1515.780 22.740 ;
-        RECT 1640.640 22.480 1640.900 22.740 ;
       LAYER met2 ;
-        RECT 1492.120 22.770 1492.260 54.000 ;
-        RECT 1492.060 22.450 1492.320 22.770 ;
-        RECT 1512.750 22.595 1513.030 22.965 ;
-        RECT 1515.510 22.595 1515.790 22.965 ;
-        RECT 1512.760 22.450 1513.020 22.595 ;
-        RECT 1515.520 22.450 1515.780 22.595 ;
-        RECT 1640.640 22.450 1640.900 22.770 ;
-        RECT 1640.700 2.400 1640.840 22.450 ;
-        RECT 1640.630 0.000 1640.910 2.400 ;
-      LAYER via2 ;
-        RECT 1512.750 22.640 1513.030 22.920 ;
-        RECT 1515.510 22.640 1515.790 22.920 ;
-      LAYER met3 ;
-        RECT 1512.725 22.930 1513.055 22.945 ;
-        RECT 1515.485 22.930 1515.815 22.945 ;
-        RECT 1512.725 22.630 1515.815 22.930 ;
-        RECT 1512.725 22.615 1513.055 22.630 ;
-        RECT 1515.485 22.615 1515.815 22.630 ;
+        RECT 1675.630 32.120 1675.910 34.520 ;
     END
   END la_data_out[56]
   PIN la_data_out[57]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1513.265 21.335 1513.435 22.695 ;
-        RECT 1512.805 21.165 1513.435 21.335 ;
-      LAYER mcon ;
-        RECT 1513.265 22.525 1513.435 22.695 ;
-      LAYER met1 ;
-        RECT 1561.030 25.400 1561.350 25.460 ;
-        RECT 1658.550 25.400 1658.870 25.460 ;
-        RECT 1561.030 25.260 1658.870 25.400 ;
-        RECT 1561.030 25.200 1561.350 25.260 ;
-        RECT 1658.550 25.200 1658.870 25.260 ;
-        RECT 1513.205 22.680 1513.495 22.725 ;
-        RECT 1514.110 22.680 1514.430 22.740 ;
-        RECT 1513.205 22.540 1514.430 22.680 ;
-        RECT 1513.205 22.495 1513.495 22.540 ;
-        RECT 1514.110 22.480 1514.430 22.540 ;
-        RECT 1491.110 21.320 1491.430 21.380 ;
-        RECT 1512.745 21.320 1513.035 21.365 ;
-        RECT 1491.110 21.180 1513.035 21.320 ;
-        RECT 1491.110 21.120 1491.430 21.180 ;
-        RECT 1512.745 21.135 1513.035 21.180 ;
-      LAYER via ;
-        RECT 1561.060 25.200 1561.320 25.460 ;
-        RECT 1658.580 25.200 1658.840 25.460 ;
-        RECT 1514.140 22.480 1514.400 22.740 ;
-        RECT 1491.140 21.120 1491.400 21.380 ;
       LAYER met2 ;
-        RECT 1491.200 21.410 1491.340 54.000 ;
-        RECT 1561.060 25.170 1561.320 25.490 ;
-        RECT 1658.580 25.170 1658.840 25.490 ;
-        RECT 1561.120 25.005 1561.260 25.170 ;
-        RECT 1514.130 24.635 1514.410 25.005 ;
-        RECT 1561.050 24.635 1561.330 25.005 ;
-        RECT 1514.200 22.770 1514.340 24.635 ;
-        RECT 1514.140 22.450 1514.400 22.770 ;
-        RECT 1491.140 21.090 1491.400 21.410 ;
-        RECT 1658.640 2.400 1658.780 25.170 ;
-        RECT 1658.570 0.000 1658.850 2.400 ;
-      LAYER via2 ;
-        RECT 1514.130 24.680 1514.410 24.960 ;
-        RECT 1561.050 24.680 1561.330 24.960 ;
-      LAYER met3 ;
-        RECT 1514.105 24.970 1514.435 24.985 ;
-        RECT 1561.025 24.970 1561.355 24.985 ;
-        RECT 1514.105 24.670 1561.355 24.970 ;
-        RECT 1514.105 24.655 1514.435 24.670 ;
-        RECT 1561.025 24.655 1561.355 24.670 ;
+        RECT 1693.570 32.120 1693.850 34.520 ;
     END
   END la_data_out[57]
   PIN la_data_out[58]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1538.105 23.205 1538.275 25.075 ;
-      LAYER mcon ;
-        RECT 1538.105 24.905 1538.275 25.075 ;
-      LAYER met1 ;
-        RECT 1538.045 25.060 1538.335 25.105 ;
-        RECT 1676.030 25.060 1676.350 25.120 ;
-        RECT 1538.045 24.920 1676.350 25.060 ;
-        RECT 1538.045 24.875 1538.335 24.920 ;
-        RECT 1676.030 24.860 1676.350 24.920 ;
-        RECT 1499.390 23.360 1499.710 23.420 ;
-        RECT 1538.045 23.360 1538.335 23.405 ;
-        RECT 1499.390 23.220 1538.335 23.360 ;
-        RECT 1499.390 23.160 1499.710 23.220 ;
-        RECT 1538.045 23.175 1538.335 23.220 ;
-      LAYER via ;
-        RECT 1676.060 24.860 1676.320 25.120 ;
-        RECT 1499.420 23.160 1499.680 23.420 ;
       LAYER met2 ;
-        RECT 1499.480 23.450 1499.620 54.000 ;
-        RECT 1676.060 24.830 1676.320 25.150 ;
-        RECT 1499.420 23.130 1499.680 23.450 ;
-        RECT 1676.120 2.400 1676.260 24.830 ;
-        RECT 1676.050 0.000 1676.330 2.400 ;
+        RECT 1711.050 32.120 1711.330 34.520 ;
     END
   END la_data_out[58]
   PIN la_data_out[59]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1562.485 20.145 1562.655 23.715 ;
-      LAYER mcon ;
-        RECT 1562.485 23.545 1562.655 23.715 ;
-      LAYER met1 ;
-        RECT 1506.290 25.740 1506.610 25.800 ;
-        RECT 1513.650 25.740 1513.970 25.800 ;
-        RECT 1506.290 25.600 1513.970 25.740 ;
-        RECT 1506.290 25.540 1506.610 25.600 ;
-        RECT 1513.650 25.540 1513.970 25.600 ;
-        RECT 1562.425 23.700 1562.715 23.745 ;
-        RECT 1693.970 23.700 1694.290 23.760 ;
-        RECT 1562.425 23.560 1694.290 23.700 ;
-        RECT 1562.425 23.515 1562.715 23.560 ;
-        RECT 1693.970 23.500 1694.290 23.560 ;
-        RECT 1513.650 20.300 1513.970 20.360 ;
-        RECT 1562.425 20.300 1562.715 20.345 ;
-        RECT 1513.650 20.160 1562.715 20.300 ;
-        RECT 1513.650 20.100 1513.970 20.160 ;
-        RECT 1562.425 20.115 1562.715 20.160 ;
-      LAYER via ;
-        RECT 1506.320 25.540 1506.580 25.800 ;
-        RECT 1513.680 25.540 1513.940 25.800 ;
-        RECT 1694.000 23.500 1694.260 23.760 ;
-        RECT 1513.680 20.100 1513.940 20.360 ;
       LAYER met2 ;
-        RECT 1506.380 25.830 1506.520 54.000 ;
-        RECT 1506.320 25.510 1506.580 25.830 ;
-        RECT 1513.680 25.510 1513.940 25.830 ;
-        RECT 1513.740 20.390 1513.880 25.510 ;
-        RECT 1694.000 23.470 1694.260 23.790 ;
-        RECT 1513.680 20.070 1513.940 20.390 ;
-        RECT 1694.060 2.400 1694.200 23.470 ;
-        RECT 1693.990 0.000 1694.270 2.400 ;
+        RECT 1728.990 32.120 1729.270 34.520 ;
     END
   END la_data_out[59]
   PIN la_data_out[5]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 730.730 37.640 731.050 37.700 ;
-        RECT 1301.590 37.640 1301.910 37.700 ;
-        RECT 730.730 37.500 1301.910 37.640 ;
-        RECT 730.730 37.440 731.050 37.500 ;
-        RECT 1301.590 37.440 1301.910 37.500 ;
-      LAYER via ;
-        RECT 730.760 37.440 731.020 37.700 ;
-        RECT 1301.620 37.440 1301.880 37.700 ;
       LAYER met2 ;
-        RECT 1301.680 37.730 1301.820 54.000 ;
-        RECT 730.760 37.410 731.020 37.730 ;
-        RECT 1301.620 37.410 1301.880 37.730 ;
-        RECT 730.820 2.400 730.960 37.410 ;
-        RECT 730.750 0.000 731.030 2.400 ;
+        RECT 765.750 32.120 766.030 34.520 ;
     END
   END la_data_out[5]
   PIN la_data_out[60]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1513.725 25.585 1514.355 25.755 ;
-        RECT 1537.185 25.585 1538.735 25.755 ;
-        RECT 1513.725 23.885 1513.895 25.585 ;
-        RECT 1538.565 23.205 1538.735 25.585 ;
-        RECT 1561.565 23.375 1561.735 26.775 ;
-        RECT 1662.305 25.585 1662.475 26.435 ;
-        RECT 1561.105 23.205 1561.735 23.375 ;
-      LAYER mcon ;
-        RECT 1561.565 26.605 1561.735 26.775 ;
-        RECT 1514.185 25.585 1514.355 25.755 ;
-        RECT 1662.305 26.265 1662.475 26.435 ;
-      LAYER met1 ;
-        RECT 1561.505 26.760 1561.795 26.805 ;
-        RECT 1561.505 26.620 1586.100 26.760 ;
-        RECT 1561.505 26.575 1561.795 26.620 ;
-        RECT 1585.960 26.420 1586.100 26.620 ;
-        RECT 1662.245 26.420 1662.535 26.465 ;
-        RECT 1585.960 26.280 1662.535 26.420 ;
-        RECT 1662.245 26.235 1662.535 26.280 ;
-        RECT 1514.125 25.740 1514.415 25.785 ;
-        RECT 1537.125 25.740 1537.415 25.785 ;
-        RECT 1514.125 25.600 1537.415 25.740 ;
-        RECT 1514.125 25.555 1514.415 25.600 ;
-        RECT 1537.125 25.555 1537.415 25.600 ;
-        RECT 1662.245 25.740 1662.535 25.785 ;
-        RECT 1711.910 25.740 1712.230 25.800 ;
-        RECT 1662.245 25.600 1712.230 25.740 ;
-        RECT 1662.245 25.555 1662.535 25.600 ;
-        RECT 1711.910 25.540 1712.230 25.600 ;
-        RECT 1505.830 24.040 1506.150 24.100 ;
-        RECT 1513.665 24.040 1513.955 24.085 ;
-        RECT 1505.830 23.900 1513.955 24.040 ;
-        RECT 1505.830 23.840 1506.150 23.900 ;
-        RECT 1513.665 23.855 1513.955 23.900 ;
-        RECT 1538.505 23.360 1538.795 23.405 ;
-        RECT 1561.045 23.360 1561.335 23.405 ;
-        RECT 1538.505 23.220 1561.335 23.360 ;
-        RECT 1538.505 23.175 1538.795 23.220 ;
-        RECT 1561.045 23.175 1561.335 23.220 ;
-      LAYER via ;
-        RECT 1711.940 25.540 1712.200 25.800 ;
-        RECT 1505.860 23.840 1506.120 24.100 ;
       LAYER met2 ;
-        RECT 1505.920 24.130 1506.060 54.000 ;
-        RECT 1711.940 25.510 1712.200 25.830 ;
-        RECT 1505.860 23.810 1506.120 24.130 ;
-        RECT 1712.000 2.400 1712.140 25.510 ;
-        RECT 1711.930 0.000 1712.210 2.400 ;
+        RECT 1746.930 32.120 1747.210 34.520 ;
     END
   END la_data_out[60]
   PIN la_data_out[61]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1560.645 25.245 1560.815 28.475 ;
-        RECT 1562.485 25.925 1562.655 28.475 ;
-      LAYER mcon ;
-        RECT 1560.645 28.305 1560.815 28.475 ;
-        RECT 1562.485 28.305 1562.655 28.475 ;
-      LAYER met1 ;
-        RECT 1560.585 28.460 1560.875 28.505 ;
-        RECT 1562.425 28.460 1562.715 28.505 ;
-        RECT 1560.585 28.320 1562.715 28.460 ;
-        RECT 1560.585 28.275 1560.875 28.320 ;
-        RECT 1562.425 28.275 1562.715 28.320 ;
-        RECT 1562.425 26.080 1562.715 26.125 ;
-        RECT 1729.850 26.080 1730.170 26.140 ;
-        RECT 1562.425 25.940 1730.170 26.080 ;
-        RECT 1562.425 25.895 1562.715 25.940 ;
-        RECT 1729.850 25.880 1730.170 25.940 ;
-        RECT 1513.190 25.400 1513.510 25.460 ;
-        RECT 1560.585 25.400 1560.875 25.445 ;
-        RECT 1513.190 25.260 1560.875 25.400 ;
-        RECT 1513.190 25.200 1513.510 25.260 ;
-        RECT 1560.585 25.215 1560.875 25.260 ;
-      LAYER via ;
-        RECT 1729.880 25.880 1730.140 26.140 ;
-        RECT 1513.220 25.200 1513.480 25.460 ;
       LAYER met2 ;
-        RECT 1513.280 25.490 1513.420 54.000 ;
-        RECT 1729.880 25.850 1730.140 26.170 ;
-        RECT 1513.220 25.170 1513.480 25.490 ;
-        RECT 1729.940 2.400 1730.080 25.850 ;
-        RECT 1729.870 0.000 1730.150 2.400 ;
+        RECT 1764.870 32.120 1765.150 34.520 ;
     END
   END la_data_out[61]
   PIN la_data_out[62]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1608.945 31.025 1609.115 34.595 ;
-      LAYER mcon ;
-        RECT 1608.945 34.425 1609.115 34.595 ;
-      LAYER met1 ;
-        RECT 1608.885 34.580 1609.175 34.625 ;
-        RECT 1611.170 34.580 1611.490 34.640 ;
-        RECT 1608.885 34.440 1611.490 34.580 ;
-        RECT 1608.885 34.395 1609.175 34.440 ;
-        RECT 1611.170 34.380 1611.490 34.440 ;
-        RECT 1512.270 31.180 1512.590 31.240 ;
-        RECT 1608.885 31.180 1609.175 31.225 ;
-        RECT 1512.270 31.040 1609.175 31.180 ;
-        RECT 1512.270 30.980 1512.590 31.040 ;
-        RECT 1608.885 30.995 1609.175 31.040 ;
-      LAYER via ;
-        RECT 1611.200 34.380 1611.460 34.640 ;
-        RECT 1512.300 30.980 1512.560 31.240 ;
       LAYER met2 ;
-        RECT 1512.360 31.270 1512.500 54.000 ;
-        RECT 1611.200 34.350 1611.460 34.670 ;
-        RECT 1611.260 31.805 1611.400 34.350 ;
-        RECT 1611.190 31.435 1611.470 31.805 ;
-        RECT 1747.810 31.435 1748.090 31.805 ;
-        RECT 1512.300 30.950 1512.560 31.270 ;
-        RECT 1747.880 2.400 1748.020 31.435 ;
-        RECT 1747.810 0.000 1748.090 2.400 ;
-      LAYER via2 ;
-        RECT 1611.190 31.480 1611.470 31.760 ;
-        RECT 1747.810 31.480 1748.090 31.760 ;
-      LAYER met3 ;
-        RECT 1611.165 31.770 1611.495 31.785 ;
-        RECT 1747.785 31.770 1748.115 31.785 ;
-        RECT 1611.165 31.470 1748.115 31.770 ;
-        RECT 1611.165 31.455 1611.495 31.470 ;
-        RECT 1747.785 31.455 1748.115 31.470 ;
+        RECT 1782.810 32.120 1783.090 34.520 ;
     END
   END la_data_out[62]
   PIN la_data_out[63]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1519.630 44.780 1519.950 44.840 ;
-        RECT 1755.150 44.780 1755.470 44.840 ;
-        RECT 1519.630 44.640 1755.470 44.780 ;
-        RECT 1519.630 44.580 1519.950 44.640 ;
-        RECT 1755.150 44.580 1755.470 44.640 ;
-        RECT 1755.610 41.720 1755.930 41.780 ;
-        RECT 1765.270 41.720 1765.590 41.780 ;
-        RECT 1755.610 41.580 1765.590 41.720 ;
-        RECT 1755.610 41.520 1755.930 41.580 ;
-        RECT 1765.270 41.520 1765.590 41.580 ;
-      LAYER via ;
-        RECT 1519.660 44.580 1519.920 44.840 ;
-        RECT 1755.180 44.580 1755.440 44.840 ;
-        RECT 1755.640 41.520 1755.900 41.780 ;
-        RECT 1765.300 41.520 1765.560 41.780 ;
       LAYER met2 ;
-        RECT 1519.720 44.870 1519.860 54.000 ;
-        RECT 1519.660 44.550 1519.920 44.870 ;
-        RECT 1755.180 44.610 1755.440 44.870 ;
-        RECT 1755.180 44.550 1755.840 44.610 ;
-        RECT 1755.240 44.470 1755.840 44.550 ;
-        RECT 1755.700 41.810 1755.840 44.470 ;
-        RECT 1755.640 41.490 1755.900 41.810 ;
-        RECT 1765.300 41.490 1765.560 41.810 ;
-        RECT 1765.360 2.400 1765.500 41.490 ;
-        RECT 1765.290 0.000 1765.570 2.400 ;
+        RECT 1800.290 32.120 1800.570 34.520 ;
     END
   END la_data_out[63]
   PIN la_data_out[64]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1518.710 45.120 1519.030 45.180 ;
-        RECT 1756.070 45.120 1756.390 45.180 ;
-        RECT 1518.710 44.980 1756.390 45.120 ;
-        RECT 1518.710 44.920 1519.030 44.980 ;
-        RECT 1756.070 44.920 1756.390 44.980 ;
-      LAYER via ;
-        RECT 1518.740 44.920 1519.000 45.180 ;
-        RECT 1756.100 44.920 1756.360 45.180 ;
       LAYER met2 ;
-        RECT 1518.800 45.210 1518.940 54.000 ;
-        RECT 1518.740 44.890 1519.000 45.210 ;
-        RECT 1756.090 45.035 1756.370 45.405 ;
-        RECT 1783.230 45.035 1783.510 45.405 ;
-        RECT 1756.100 44.890 1756.360 45.035 ;
-        RECT 1783.300 2.400 1783.440 45.035 ;
-        RECT 1783.230 0.000 1783.510 2.400 ;
-      LAYER via2 ;
-        RECT 1756.090 45.080 1756.370 45.360 ;
-        RECT 1783.230 45.080 1783.510 45.360 ;
-      LAYER met3 ;
-        RECT 1756.065 45.370 1756.395 45.385 ;
-        RECT 1783.205 45.370 1783.535 45.385 ;
-        RECT 1756.065 45.070 1783.535 45.370 ;
-        RECT 1756.065 45.055 1756.395 45.070 ;
-        RECT 1783.205 45.055 1783.535 45.070 ;
+        RECT 1818.230 32.120 1818.510 34.520 ;
     END
   END la_data_out[64]
   PIN la_data_out[65]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1526.530 41.720 1526.850 41.780 ;
-        RECT 1755.150 41.720 1755.470 41.780 ;
-        RECT 1526.530 41.580 1755.470 41.720 ;
-        RECT 1526.530 41.520 1526.850 41.580 ;
-        RECT 1755.150 41.520 1755.470 41.580 ;
-      LAYER via ;
-        RECT 1526.560 41.520 1526.820 41.780 ;
-        RECT 1755.180 41.520 1755.440 41.780 ;
       LAYER met2 ;
-        RECT 1526.620 41.810 1526.760 54.000 ;
-        RECT 1526.560 41.490 1526.820 41.810 ;
-        RECT 1755.170 41.635 1755.450 42.005 ;
-        RECT 1801.170 41.635 1801.450 42.005 ;
-        RECT 1755.180 41.490 1755.440 41.635 ;
-        RECT 1801.240 2.400 1801.380 41.635 ;
-        RECT 1801.170 0.000 1801.450 2.400 ;
-      LAYER via2 ;
-        RECT 1755.170 41.680 1755.450 41.960 ;
-        RECT 1801.170 41.680 1801.450 41.960 ;
-      LAYER met3 ;
-        RECT 1755.145 41.970 1755.475 41.985 ;
-        RECT 1801.145 41.970 1801.475 41.985 ;
-        RECT 1755.145 41.670 1801.475 41.970 ;
-        RECT 1755.145 41.655 1755.475 41.670 ;
-        RECT 1801.145 41.655 1801.475 41.670 ;
+        RECT 1836.170 32.120 1836.450 34.520 ;
     END
   END la_data_out[65]
   PIN la_data_out[66]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1777.765 42.245 1778.855 42.415 ;
-        RECT 1777.765 41.905 1777.935 42.245 ;
-      LAYER mcon ;
-        RECT 1778.685 42.245 1778.855 42.415 ;
-      LAYER met1 ;
-        RECT 1525.150 48.520 1525.470 48.580 ;
-        RECT 1525.610 48.520 1525.930 48.580 ;
-        RECT 1525.150 48.380 1525.930 48.520 ;
-        RECT 1525.150 48.320 1525.470 48.380 ;
-        RECT 1525.610 48.320 1525.930 48.380 ;
-        RECT 1778.625 42.400 1778.915 42.445 ;
-        RECT 1819.090 42.400 1819.410 42.460 ;
-        RECT 1778.625 42.260 1819.410 42.400 ;
-        RECT 1778.625 42.215 1778.915 42.260 ;
-        RECT 1819.090 42.200 1819.410 42.260 ;
-        RECT 1525.150 42.060 1525.470 42.120 ;
-        RECT 1777.705 42.060 1777.995 42.105 ;
-        RECT 1525.150 41.920 1777.995 42.060 ;
-        RECT 1525.150 41.860 1525.470 41.920 ;
-        RECT 1777.705 41.875 1777.995 41.920 ;
-      LAYER via ;
-        RECT 1525.180 48.320 1525.440 48.580 ;
-        RECT 1525.640 48.320 1525.900 48.580 ;
-        RECT 1819.120 42.200 1819.380 42.460 ;
-        RECT 1525.180 41.860 1525.440 42.120 ;
       LAYER met2 ;
-        RECT 1525.700 48.610 1525.840 54.000 ;
-        RECT 1525.180 48.290 1525.440 48.610 ;
-        RECT 1525.640 48.290 1525.900 48.610 ;
-        RECT 1525.240 42.150 1525.380 48.290 ;
-        RECT 1819.120 42.170 1819.380 42.490 ;
-        RECT 1525.180 41.830 1525.440 42.150 ;
-        RECT 1819.180 2.400 1819.320 42.170 ;
-        RECT 1819.110 0.000 1819.390 2.400 ;
+        RECT 1854.110 32.120 1854.390 34.520 ;
     END
   END la_data_out[66]
   PIN la_data_out[67]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1533.430 42.400 1533.750 42.460 ;
-        RECT 1819.550 42.400 1819.870 42.460 ;
-        RECT 1837.030 42.400 1837.350 42.460 ;
-        RECT 1533.430 42.260 1778.380 42.400 ;
-        RECT 1533.430 42.200 1533.750 42.260 ;
-        RECT 1778.240 41.720 1778.380 42.260 ;
-        RECT 1819.550 42.260 1837.350 42.400 ;
-        RECT 1819.550 42.200 1819.870 42.260 ;
-        RECT 1837.030 42.200 1837.350 42.260 ;
-        RECT 1805.750 41.720 1806.070 41.780 ;
-        RECT 1778.240 41.580 1806.070 41.720 ;
-        RECT 1805.750 41.520 1806.070 41.580 ;
-      LAYER via ;
-        RECT 1533.460 42.200 1533.720 42.460 ;
-        RECT 1819.580 42.200 1819.840 42.460 ;
-        RECT 1837.060 42.200 1837.320 42.460 ;
-        RECT 1805.780 41.520 1806.040 41.780 ;
       LAYER met2 ;
-        RECT 1533.520 42.490 1533.660 54.000 ;
-        RECT 1533.460 42.170 1533.720 42.490 ;
-        RECT 1819.580 42.170 1819.840 42.490 ;
-        RECT 1837.060 42.170 1837.320 42.490 ;
-        RECT 1819.640 42.005 1819.780 42.170 ;
-        RECT 1805.770 41.635 1806.050 42.005 ;
-        RECT 1819.570 41.635 1819.850 42.005 ;
-        RECT 1805.780 41.490 1806.040 41.635 ;
-        RECT 1837.120 2.400 1837.260 42.170 ;
-        RECT 1837.050 0.000 1837.330 2.400 ;
-      LAYER via2 ;
-        RECT 1805.770 41.680 1806.050 41.960 ;
-        RECT 1819.570 41.680 1819.850 41.960 ;
-      LAYER met3 ;
-        RECT 1805.745 41.970 1806.075 41.985 ;
-        RECT 1819.545 41.970 1819.875 41.985 ;
-        RECT 1805.745 41.670 1819.875 41.970 ;
-        RECT 1805.745 41.655 1806.075 41.670 ;
-        RECT 1819.545 41.655 1819.875 41.670 ;
+        RECT 1872.050 32.120 1872.330 34.520 ;
     END
   END la_data_out[67]
   PIN la_data_out[68]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1532.050 42.740 1532.370 42.800 ;
-        RECT 1854.050 42.740 1854.370 42.800 ;
-        RECT 1532.050 42.600 1854.370 42.740 ;
-        RECT 1532.050 42.540 1532.370 42.600 ;
-        RECT 1854.050 42.540 1854.370 42.600 ;
-      LAYER via ;
-        RECT 1532.080 42.540 1532.340 42.800 ;
-        RECT 1854.080 42.540 1854.340 42.800 ;
       LAYER met2 ;
-        RECT 1532.140 42.830 1532.280 54.000 ;
-        RECT 1532.080 42.510 1532.340 42.830 ;
-        RECT 1854.080 42.510 1854.340 42.830 ;
-        RECT 1854.140 24.890 1854.280 42.510 ;
-        RECT 1854.140 24.750 1854.740 24.890 ;
-        RECT 1854.600 2.400 1854.740 24.750 ;
-        RECT 1854.530 0.000 1854.810 2.400 ;
+        RECT 1889.530 32.120 1889.810 34.520 ;
     END
   END la_data_out[68]
   PIN la_data_out[69]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1539.870 43.080 1540.190 43.140 ;
-        RECT 1872.910 43.080 1873.230 43.140 ;
-        RECT 1539.870 42.940 1873.230 43.080 ;
-        RECT 1539.870 42.880 1540.190 42.940 ;
-        RECT 1872.910 42.880 1873.230 42.940 ;
-      LAYER via ;
-        RECT 1539.900 42.880 1540.160 43.140 ;
-        RECT 1872.940 42.880 1873.200 43.140 ;
       LAYER met2 ;
-        RECT 1539.960 43.170 1540.100 54.000 ;
-        RECT 1539.900 42.850 1540.160 43.170 ;
-        RECT 1872.940 42.850 1873.200 43.170 ;
-        RECT 1873.000 41.210 1873.140 42.850 ;
-        RECT 1872.540 41.070 1873.140 41.210 ;
-        RECT 1872.540 2.400 1872.680 41.070 ;
-        RECT 1872.470 0.000 1872.750 2.400 ;
+        RECT 1907.470 32.120 1907.750 34.520 ;
     END
   END la_data_out[69]
   PIN la_data_out[6]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 748.670 37.300 748.990 37.360 ;
-        RECT 1300.210 37.300 1300.530 37.360 ;
-        RECT 748.670 37.160 1300.530 37.300 ;
-        RECT 748.670 37.100 748.990 37.160 ;
-        RECT 1300.210 37.100 1300.530 37.160 ;
-      LAYER via ;
-        RECT 748.700 37.100 748.960 37.360 ;
-        RECT 1300.240 37.100 1300.500 37.360 ;
       LAYER met2 ;
-        RECT 1300.300 37.390 1300.440 54.000 ;
-        RECT 748.700 37.070 748.960 37.390 ;
-        RECT 1300.240 37.070 1300.500 37.390 ;
-        RECT 748.760 2.400 748.900 37.070 ;
-        RECT 748.690 0.000 748.970 2.400 ;
+        RECT 783.690 32.120 783.970 34.520 ;
     END
   END la_data_out[6]
   PIN la_data_out[70]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1540.330 44.100 1540.650 44.160 ;
-        RECT 1890.390 44.100 1890.710 44.160 ;
-        RECT 1540.330 43.960 1890.710 44.100 ;
-        RECT 1540.330 43.900 1540.650 43.960 ;
-        RECT 1890.390 43.900 1890.710 43.960 ;
-      LAYER via ;
-        RECT 1540.360 43.900 1540.620 44.160 ;
-        RECT 1890.420 43.900 1890.680 44.160 ;
       LAYER met2 ;
-        RECT 1540.420 44.190 1540.560 54.000 ;
-        RECT 1540.360 43.870 1540.620 44.190 ;
-        RECT 1890.420 43.870 1890.680 44.190 ;
-        RECT 1890.480 2.400 1890.620 43.870 ;
-        RECT 1890.410 0.000 1890.690 2.400 ;
+        RECT 1925.410 32.120 1925.690 34.520 ;
     END
   END la_data_out[70]
   PIN la_data_out[71]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1546.310 44.440 1546.630 44.500 ;
-        RECT 1908.330 44.440 1908.650 44.500 ;
-        RECT 1546.310 44.300 1908.650 44.440 ;
-        RECT 1546.310 44.240 1546.630 44.300 ;
-        RECT 1908.330 44.240 1908.650 44.300 ;
-      LAYER via ;
-        RECT 1546.340 44.240 1546.600 44.500 ;
-        RECT 1908.360 44.240 1908.620 44.500 ;
       LAYER met2 ;
-        RECT 1546.400 44.530 1546.540 54.000 ;
-        RECT 1546.340 44.210 1546.600 44.530 ;
-        RECT 1908.360 44.210 1908.620 44.530 ;
-        RECT 1908.420 2.400 1908.560 44.210 ;
-        RECT 1908.350 0.000 1908.630 2.400 ;
+        RECT 1943.350 32.120 1943.630 34.520 ;
     END
   END la_data_out[71]
   PIN la_data_out[72]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1545.850 48.180 1546.170 48.240 ;
-        RECT 1925.810 48.180 1926.130 48.240 ;
-        RECT 1545.850 48.040 1926.130 48.180 ;
-        RECT 1545.850 47.980 1546.170 48.040 ;
-        RECT 1925.810 47.980 1926.130 48.040 ;
-      LAYER via ;
-        RECT 1545.880 47.980 1546.140 48.240 ;
-        RECT 1925.840 47.980 1926.100 48.240 ;
       LAYER met2 ;
-        RECT 1545.940 48.270 1546.080 54.000 ;
-        RECT 1545.880 47.950 1546.140 48.270 ;
-        RECT 1925.840 47.950 1926.100 48.270 ;
-        RECT 1925.900 2.400 1926.040 47.950 ;
-        RECT 1925.830 0.000 1926.110 2.400 ;
+        RECT 1960.830 32.120 1961.110 34.520 ;
     END
   END la_data_out[72]
   PIN la_data_out[73]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1553.210 47.840 1553.530 47.900 ;
-        RECT 1943.750 47.840 1944.070 47.900 ;
-        RECT 1553.210 47.700 1944.070 47.840 ;
-        RECT 1553.210 47.640 1553.530 47.700 ;
-        RECT 1943.750 47.640 1944.070 47.700 ;
-      LAYER via ;
-        RECT 1553.240 47.640 1553.500 47.900 ;
-        RECT 1943.780 47.640 1944.040 47.900 ;
       LAYER met2 ;
-        RECT 1553.300 47.930 1553.440 54.000 ;
-        RECT 1553.240 47.610 1553.500 47.930 ;
-        RECT 1943.780 47.610 1944.040 47.930 ;
-        RECT 1943.840 2.400 1943.980 47.610 ;
-        RECT 1943.770 0.000 1944.050 2.400 ;
+        RECT 1978.770 32.120 1979.050 34.520 ;
     END
   END la_data_out[73]
   PIN la_data_out[74]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1560.110 47.500 1560.430 47.560 ;
-        RECT 1961.690 47.500 1962.010 47.560 ;
-        RECT 1560.110 47.360 1962.010 47.500 ;
-        RECT 1560.110 47.300 1560.430 47.360 ;
-        RECT 1961.690 47.300 1962.010 47.360 ;
-      LAYER via ;
-        RECT 1560.140 47.300 1560.400 47.560 ;
-        RECT 1961.720 47.300 1961.980 47.560 ;
       LAYER met2 ;
-        RECT 1560.200 47.590 1560.340 54.000 ;
-        RECT 1560.140 47.270 1560.400 47.590 ;
-        RECT 1961.720 47.270 1961.980 47.590 ;
-        RECT 1961.780 2.400 1961.920 47.270 ;
-        RECT 1961.710 0.000 1961.990 2.400 ;
+        RECT 1996.710 32.120 1996.990 34.520 ;
     END
   END la_data_out[74]
   PIN la_data_out[75]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1975.950 2.960 1976.270 3.020 ;
-        RECT 1979.630 2.960 1979.950 3.020 ;
-        RECT 1975.950 2.820 1979.950 2.960 ;
-        RECT 1975.950 2.760 1976.270 2.820 ;
-        RECT 1979.630 2.760 1979.950 2.820 ;
-      LAYER via ;
-        RECT 1975.980 2.760 1976.240 3.020 ;
-        RECT 1979.660 2.760 1979.920 3.020 ;
       LAYER met2 ;
-        RECT 1976.040 3.050 1976.180 54.000 ;
-        RECT 1975.980 2.730 1976.240 3.050 ;
-        RECT 1979.660 2.730 1979.920 3.050 ;
-        RECT 1979.720 2.400 1979.860 2.730 ;
-        RECT 1979.650 0.000 1979.930 2.400 ;
+        RECT 2014.650 32.120 2014.930 34.520 ;
     END
   END la_data_out[75]
   PIN la_data_out[76]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 1996.740 3.130 1996.880 54.000 ;
-        RECT 1996.740 2.990 1997.800 3.130 ;
-        RECT 1997.660 2.400 1997.800 2.990 ;
-        RECT 1997.590 0.000 1997.870 2.400 ;
+        RECT 2032.590 32.120 2032.870 34.520 ;
     END
   END la_data_out[76]
   PIN la_data_out[77]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2010.450 2.960 2010.770 3.020 ;
-        RECT 2015.050 2.960 2015.370 3.020 ;
-        RECT 2010.450 2.820 2015.370 2.960 ;
-        RECT 2010.450 2.760 2010.770 2.820 ;
-        RECT 2015.050 2.760 2015.370 2.820 ;
-      LAYER via ;
-        RECT 2010.480 2.760 2010.740 3.020 ;
-        RECT 2015.080 2.760 2015.340 3.020 ;
       LAYER met2 ;
-        RECT 2010.540 3.050 2010.680 54.000 ;
-        RECT 2010.480 2.730 2010.740 3.050 ;
-        RECT 2015.080 2.730 2015.340 3.050 ;
-        RECT 2015.140 2.400 2015.280 2.730 ;
-        RECT 2015.070 0.000 2015.350 2.400 ;
+        RECT 2050.070 32.120 2050.350 34.520 ;
     END
   END la_data_out[77]
   PIN la_data_out[78]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 2031.150 2.960 2031.470 3.020 ;
-        RECT 2032.990 2.960 2033.310 3.020 ;
-        RECT 2031.150 2.820 2033.310 2.960 ;
-        RECT 2031.150 2.760 2031.470 2.820 ;
-        RECT 2032.990 2.760 2033.310 2.820 ;
-      LAYER via ;
-        RECT 2031.180 2.760 2031.440 3.020 ;
-        RECT 2033.020 2.760 2033.280 3.020 ;
       LAYER met2 ;
-        RECT 2031.240 3.050 2031.380 54.000 ;
-        RECT 2031.180 2.730 2031.440 3.050 ;
-        RECT 2033.020 2.730 2033.280 3.050 ;
-        RECT 2033.080 2.400 2033.220 2.730 ;
-        RECT 2033.010 0.000 2033.290 2.400 ;
+        RECT 2068.010 32.120 2068.290 34.520 ;
     END
   END la_data_out[78]
   PIN la_data_out[79]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2051.020 2.400 2051.160 54.000 ;
-        RECT 2050.950 0.000 2051.230 2.400 ;
+        RECT 2085.950 32.120 2086.230 34.520 ;
     END
   END la_data_out[79]
   PIN la_data_out[7]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 766.150 36.960 766.470 37.020 ;
-        RECT 1308.950 36.960 1309.270 37.020 ;
-        RECT 766.150 36.820 1309.270 36.960 ;
-        RECT 766.150 36.760 766.470 36.820 ;
-        RECT 1308.950 36.760 1309.270 36.820 ;
-      LAYER via ;
-        RECT 766.180 36.760 766.440 37.020 ;
-        RECT 1308.980 36.760 1309.240 37.020 ;
       LAYER met2 ;
-        RECT 1309.040 37.050 1309.180 54.000 ;
-        RECT 766.180 36.730 766.440 37.050 ;
-        RECT 1308.980 36.730 1309.240 37.050 ;
-        RECT 766.240 2.400 766.380 36.730 ;
-        RECT 766.170 0.000 766.450 2.400 ;
+        RECT 801.170 32.120 801.450 34.520 ;
     END
   END la_data_out[7]
   PIN la_data_out[80]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2066.200 48.805 2066.340 54.000 ;
-        RECT 2066.130 48.435 2066.410 48.805 ;
-        RECT 2068.890 48.435 2069.170 48.805 ;
-        RECT 2068.960 2.400 2069.100 48.435 ;
-        RECT 2068.890 0.000 2069.170 2.400 ;
-      LAYER via2 ;
-        RECT 2066.130 48.480 2066.410 48.760 ;
-        RECT 2068.890 48.480 2069.170 48.760 ;
-      LAYER met3 ;
-        RECT 2066.105 48.770 2066.435 48.785 ;
-        RECT 2068.865 48.770 2069.195 48.785 ;
-        RECT 2066.105 48.470 2069.195 48.770 ;
-        RECT 2066.105 48.455 2066.435 48.470 ;
-        RECT 2068.865 48.455 2069.195 48.470 ;
+        RECT 2103.890 32.120 2104.170 34.520 ;
     END
   END la_data_out[80]
   PIN la_data_out[81]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 2086.900 2.400 2087.040 54.000 ;
-        RECT 2086.830 0.000 2087.110 2.400 ;
+        RECT 2121.830 32.120 2122.110 34.520 ;
     END
   END la_data_out[81]
   PIN la_data_out[82]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2104.365 2.805 2104.535 14.195 ;
-      LAYER mcon ;
-        RECT 2104.365 14.025 2104.535 14.195 ;
-      LAYER met1 ;
-        RECT 2100.150 14.180 2100.470 14.240 ;
-        RECT 2104.305 14.180 2104.595 14.225 ;
-        RECT 2100.150 14.040 2104.595 14.180 ;
-        RECT 2100.150 13.980 2100.470 14.040 ;
-        RECT 2104.305 13.995 2104.595 14.040 ;
-        RECT 2104.290 2.960 2104.610 3.020 ;
-        RECT 2104.095 2.820 2104.610 2.960 ;
-        RECT 2104.290 2.760 2104.610 2.820 ;
-      LAYER via ;
-        RECT 2100.180 13.980 2100.440 14.240 ;
-        RECT 2104.320 2.760 2104.580 3.020 ;
       LAYER met2 ;
-        RECT 2100.240 14.270 2100.380 54.000 ;
-        RECT 2100.180 13.950 2100.440 14.270 ;
-        RECT 2104.320 2.730 2104.580 3.050 ;
-        RECT 2104.380 2.400 2104.520 2.730 ;
-        RECT 2104.310 0.000 2104.590 2.400 ;
+        RECT 2139.310 32.120 2139.590 34.520 ;
     END
   END la_data_out[82]
   PIN la_data_out[83]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1587.710 52.600 1588.030 52.660 ;
-        RECT 2044.950 52.600 2045.270 52.660 ;
-        RECT 1587.710 52.460 2045.270 52.600 ;
-        RECT 1587.710 52.400 1588.030 52.460 ;
-        RECT 2044.950 52.400 2045.270 52.460 ;
-        RECT 2120.850 2.960 2121.170 3.020 ;
-        RECT 2122.230 2.960 2122.550 3.020 ;
-        RECT 2120.850 2.820 2122.550 2.960 ;
-        RECT 2120.850 2.760 2121.170 2.820 ;
-        RECT 2122.230 2.760 2122.550 2.820 ;
-      LAYER via ;
-        RECT 1587.740 52.400 1588.000 52.660 ;
-        RECT 2044.980 52.400 2045.240 52.660 ;
-        RECT 2120.880 2.760 2121.140 3.020 ;
-        RECT 2122.260 2.760 2122.520 3.020 ;
       LAYER met2 ;
-        RECT 1587.800 52.690 1587.940 54.000 ;
-        RECT 1587.740 52.370 1588.000 52.690 ;
-        RECT 2044.970 52.515 2045.250 52.885 ;
-        RECT 2120.870 52.515 2121.150 52.885 ;
-        RECT 2044.980 52.370 2045.240 52.515 ;
-        RECT 2120.940 3.050 2121.080 52.515 ;
-        RECT 2120.880 2.730 2121.140 3.050 ;
-        RECT 2122.260 2.730 2122.520 3.050 ;
-        RECT 2122.320 2.400 2122.460 2.730 ;
-        RECT 2122.250 0.000 2122.530 2.400 ;
-      LAYER via2 ;
-        RECT 2044.970 52.560 2045.250 52.840 ;
-        RECT 2120.870 52.560 2121.150 52.840 ;
-      LAYER met3 ;
-        RECT 2044.945 52.850 2045.275 52.865 ;
-        RECT 2120.845 52.850 2121.175 52.865 ;
-        RECT 2044.945 52.550 2121.175 52.850 ;
-        RECT 2044.945 52.535 2045.275 52.550 ;
-        RECT 2120.845 52.535 2121.175 52.550 ;
+        RECT 2157.250 32.120 2157.530 34.520 ;
     END
   END la_data_out[83]
   PIN la_data_out[84]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2093.325 52.615 2093.495 52.955 ;
-        RECT 2093.325 52.445 2093.955 52.615 ;
-        RECT 2093.785 47.685 2093.955 52.445 ;
-      LAYER mcon ;
-        RECT 2093.325 52.785 2093.495 52.955 ;
-      LAYER met1 ;
-        RECT 1594.150 52.940 1594.470 53.000 ;
-        RECT 2093.265 52.940 2093.555 52.985 ;
-        RECT 1594.150 52.800 2093.555 52.940 ;
-        RECT 1594.150 52.740 1594.470 52.800 ;
-        RECT 2093.265 52.755 2093.555 52.800 ;
-        RECT 2093.725 47.840 2094.015 47.885 ;
-        RECT 2134.650 47.840 2134.970 47.900 ;
-        RECT 2093.725 47.700 2134.970 47.840 ;
-        RECT 2093.725 47.655 2094.015 47.700 ;
-        RECT 2134.650 47.640 2134.970 47.700 ;
-        RECT 2134.650 2.960 2134.970 3.020 ;
-        RECT 2140.170 2.960 2140.490 3.020 ;
-        RECT 2134.650 2.820 2140.490 2.960 ;
-        RECT 2134.650 2.760 2134.970 2.820 ;
-        RECT 2140.170 2.760 2140.490 2.820 ;
-      LAYER via ;
-        RECT 1594.180 52.740 1594.440 53.000 ;
-        RECT 2134.680 47.640 2134.940 47.900 ;
-        RECT 2134.680 2.760 2134.940 3.020 ;
-        RECT 2140.200 2.760 2140.460 3.020 ;
       LAYER met2 ;
-        RECT 1594.240 53.030 1594.380 54.000 ;
-        RECT 1594.180 52.710 1594.440 53.030 ;
-        RECT 2134.680 47.610 2134.940 47.930 ;
-        RECT 2134.740 3.050 2134.880 47.610 ;
-        RECT 2134.680 2.730 2134.940 3.050 ;
-        RECT 2140.200 2.730 2140.460 3.050 ;
-        RECT 2140.260 2.400 2140.400 2.730 ;
-        RECT 2140.190 0.000 2140.470 2.400 ;
+        RECT 2175.190 32.120 2175.470 34.520 ;
     END
   END la_data_out[84]
   PIN la_data_out[85]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2093.325 48.025 2093.495 52.275 ;
-      LAYER mcon ;
-        RECT 2093.325 52.105 2093.495 52.275 ;
-      LAYER met1 ;
-        RECT 1593.230 52.260 1593.550 52.320 ;
-        RECT 2093.265 52.260 2093.555 52.305 ;
-        RECT 1593.230 52.120 2093.555 52.260 ;
-        RECT 1593.230 52.060 1593.550 52.120 ;
-        RECT 2093.265 52.075 2093.555 52.120 ;
-        RECT 2093.265 48.180 2093.555 48.225 ;
-        RECT 2155.350 48.180 2155.670 48.240 ;
-        RECT 2093.265 48.040 2155.670 48.180 ;
-        RECT 2093.265 47.995 2093.555 48.040 ;
-        RECT 2155.350 47.980 2155.670 48.040 ;
-        RECT 2155.350 2.960 2155.670 3.020 ;
-        RECT 2158.110 2.960 2158.430 3.020 ;
-        RECT 2155.350 2.820 2158.430 2.960 ;
-        RECT 2155.350 2.760 2155.670 2.820 ;
-        RECT 2158.110 2.760 2158.430 2.820 ;
-      LAYER via ;
-        RECT 1593.260 52.060 1593.520 52.320 ;
-        RECT 2155.380 47.980 2155.640 48.240 ;
-        RECT 2155.380 2.760 2155.640 3.020 ;
-        RECT 2158.140 2.760 2158.400 3.020 ;
       LAYER met2 ;
-        RECT 1593.320 52.350 1593.460 54.000 ;
-        RECT 1593.260 52.030 1593.520 52.350 ;
-        RECT 2155.380 47.950 2155.640 48.270 ;
-        RECT 2155.440 3.050 2155.580 47.950 ;
-        RECT 2155.380 2.730 2155.640 3.050 ;
-        RECT 2158.140 2.730 2158.400 3.050 ;
-        RECT 2158.200 2.400 2158.340 2.730 ;
-        RECT 2158.130 0.000 2158.410 2.400 ;
+        RECT 2193.130 32.120 2193.410 34.520 ;
     END
   END la_data_out[85]
   PIN la_data_out[86]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 2141.625 47.345 2141.795 48.535 ;
-      LAYER mcon ;
-        RECT 2141.625 48.365 2141.795 48.535 ;
-      LAYER met1 ;
-        RECT 1600.590 48.520 1600.910 48.580 ;
-        RECT 2141.565 48.520 2141.855 48.565 ;
-        RECT 2175.590 48.520 2175.910 48.580 ;
-        RECT 1600.590 48.380 2141.855 48.520 ;
-        RECT 1600.590 48.320 1600.910 48.380 ;
-        RECT 2141.565 48.335 2141.855 48.380 ;
-        RECT 2156.360 48.380 2175.910 48.520 ;
-        RECT 2141.565 47.500 2141.855 47.545 ;
-        RECT 2156.360 47.500 2156.500 48.380 ;
-        RECT 2175.590 48.320 2175.910 48.380 ;
-        RECT 2141.565 47.360 2156.500 47.500 ;
-        RECT 2141.565 47.315 2141.855 47.360 ;
-      LAYER via ;
-        RECT 1600.620 48.320 1600.880 48.580 ;
-        RECT 2175.620 48.320 2175.880 48.580 ;
       LAYER met2 ;
-        RECT 1600.680 48.610 1600.820 54.000 ;
-        RECT 1600.620 48.290 1600.880 48.610 ;
-        RECT 2175.620 48.290 2175.880 48.610 ;
-        RECT 2175.680 2.400 2175.820 48.290 ;
-        RECT 2175.610 0.000 2175.890 2.400 ;
+        RECT 2210.610 32.120 2210.890 34.520 ;
     END
   END la_data_out[86]
   PIN la_data_out[87]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1601.050 49.540 1601.370 49.600 ;
-        RECT 2189.850 49.540 2190.170 49.600 ;
-        RECT 1601.050 49.400 2190.170 49.540 ;
-        RECT 1601.050 49.340 1601.370 49.400 ;
-        RECT 2189.850 49.340 2190.170 49.400 ;
-      LAYER via ;
-        RECT 1601.080 49.340 1601.340 49.600 ;
-        RECT 2189.880 49.340 2190.140 49.600 ;
       LAYER met2 ;
-        RECT 1601.140 49.630 1601.280 54.000 ;
-        RECT 1601.080 49.310 1601.340 49.630 ;
-        RECT 2189.880 49.310 2190.140 49.630 ;
-        RECT 2189.940 3.130 2190.080 49.310 ;
-        RECT 2189.940 2.990 2193.300 3.130 ;
-        RECT 2193.160 2.960 2193.300 2.990 ;
-        RECT 2193.160 2.820 2193.760 2.960 ;
-        RECT 2193.620 2.400 2193.760 2.820 ;
-        RECT 2193.550 0.000 2193.830 2.400 ;
+        RECT 2228.550 32.120 2228.830 34.520 ;
     END
   END la_data_out[87]
   PIN la_data_out[88]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1608.870 49.880 1609.190 49.940 ;
-        RECT 2210.550 49.880 2210.870 49.940 ;
-        RECT 1608.870 49.740 2210.870 49.880 ;
-        RECT 1608.870 49.680 1609.190 49.740 ;
-        RECT 2210.550 49.680 2210.870 49.740 ;
-        RECT 2210.550 2.960 2210.870 3.020 ;
-        RECT 2211.470 2.960 2211.790 3.020 ;
-        RECT 2210.550 2.820 2211.790 2.960 ;
-        RECT 2210.550 2.760 2210.870 2.820 ;
-        RECT 2211.470 2.760 2211.790 2.820 ;
-      LAYER via ;
-        RECT 1608.900 49.680 1609.160 49.940 ;
-        RECT 2210.580 49.680 2210.840 49.940 ;
-        RECT 2210.580 2.760 2210.840 3.020 ;
-        RECT 2211.500 2.760 2211.760 3.020 ;
       LAYER met2 ;
-        RECT 1608.960 49.970 1609.100 54.000 ;
-        RECT 1608.900 49.650 1609.160 49.970 ;
-        RECT 2210.580 49.650 2210.840 49.970 ;
-        RECT 2210.640 3.050 2210.780 49.650 ;
-        RECT 2210.580 2.730 2210.840 3.050 ;
-        RECT 2211.500 2.730 2211.760 3.050 ;
-        RECT 2211.560 2.400 2211.700 2.730 ;
-        RECT 2211.490 0.000 2211.770 2.400 ;
+        RECT 2246.490 32.120 2246.770 34.520 ;
     END
   END la_data_out[88]
   PIN la_data_out[89]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1615.310 53.620 1615.630 53.680 ;
-        RECT 1641.990 53.620 1642.310 53.680 ;
-        RECT 1615.310 53.480 1642.310 53.620 ;
-        RECT 1615.310 53.420 1615.630 53.480 ;
-        RECT 1641.990 53.420 1642.310 53.480 ;
-        RECT 1658.090 50.220 1658.410 50.280 ;
-        RECT 2224.350 50.220 2224.670 50.280 ;
-        RECT 1658.090 50.080 2224.670 50.220 ;
-        RECT 1658.090 50.020 1658.410 50.080 ;
-        RECT 2224.350 50.020 2224.670 50.080 ;
-        RECT 2224.350 2.960 2224.670 3.020 ;
-        RECT 2229.410 2.960 2229.730 3.020 ;
-        RECT 2224.350 2.820 2229.730 2.960 ;
-        RECT 2224.350 2.760 2224.670 2.820 ;
-        RECT 2229.410 2.760 2229.730 2.820 ;
-      LAYER via ;
-        RECT 1615.340 53.420 1615.600 53.680 ;
-        RECT 1642.020 53.420 1642.280 53.680 ;
-        RECT 1658.120 50.020 1658.380 50.280 ;
-        RECT 2224.380 50.020 2224.640 50.280 ;
-        RECT 2224.380 2.760 2224.640 3.020 ;
-        RECT 2229.440 2.760 2229.700 3.020 ;
       LAYER met2 ;
-        RECT 1615.400 53.710 1615.540 54.000 ;
-        RECT 1615.340 53.390 1615.600 53.710 ;
-        RECT 1642.020 53.390 1642.280 53.710 ;
-        RECT 1642.080 50.165 1642.220 53.390 ;
-        RECT 1658.120 50.165 1658.380 50.310 ;
-        RECT 1642.010 49.795 1642.290 50.165 ;
-        RECT 1658.110 49.795 1658.390 50.165 ;
-        RECT 2224.380 49.990 2224.640 50.310 ;
-        RECT 2224.440 3.050 2224.580 49.990 ;
-        RECT 2224.380 2.730 2224.640 3.050 ;
-        RECT 2229.440 2.730 2229.700 3.050 ;
-        RECT 2229.500 2.400 2229.640 2.730 ;
-        RECT 2229.430 0.000 2229.710 2.400 ;
-      LAYER via2 ;
-        RECT 1642.010 49.840 1642.290 50.120 ;
-        RECT 1658.110 49.840 1658.390 50.120 ;
-      LAYER met3 ;
-        RECT 1641.985 50.130 1642.315 50.145 ;
-        RECT 1658.085 50.130 1658.415 50.145 ;
-        RECT 1641.985 49.830 1658.415 50.130 ;
-        RECT 1641.985 49.815 1642.315 49.830 ;
-        RECT 1658.085 49.815 1658.415 49.830 ;
+        RECT 2264.430 32.120 2264.710 34.520 ;
     END
   END la_data_out[89]
   PIN la_data_out[8]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 784.090 36.620 784.410 36.680 ;
-        RECT 1308.490 36.620 1308.810 36.680 ;
-        RECT 784.090 36.480 1308.810 36.620 ;
-        RECT 784.090 36.420 784.410 36.480 ;
-        RECT 1308.490 36.420 1308.810 36.480 ;
-      LAYER via ;
-        RECT 784.120 36.420 784.380 36.680 ;
-        RECT 1308.520 36.420 1308.780 36.680 ;
       LAYER met2 ;
-        RECT 1308.580 36.710 1308.720 54.000 ;
-        RECT 784.120 36.390 784.380 36.710 ;
-        RECT 1308.520 36.390 1308.780 36.710 ;
-        RECT 784.180 2.400 784.320 36.390 ;
-        RECT 784.110 0.000 784.390 2.400 ;
+        RECT 819.110 32.120 819.390 34.520 ;
     END
   END la_data_out[8]
   PIN la_data_out[90]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1656.710 50.560 1657.030 50.620 ;
-        RECT 2245.050 50.560 2245.370 50.620 ;
-        RECT 1656.710 50.420 2245.370 50.560 ;
-        RECT 1656.710 50.360 1657.030 50.420 ;
-        RECT 2245.050 50.360 2245.370 50.420 ;
-        RECT 2245.050 2.960 2245.370 3.020 ;
-        RECT 2247.350 2.960 2247.670 3.020 ;
-        RECT 2245.050 2.820 2247.670 2.960 ;
-        RECT 2245.050 2.760 2245.370 2.820 ;
-        RECT 2247.350 2.760 2247.670 2.820 ;
-      LAYER via ;
-        RECT 1656.740 50.360 1657.000 50.620 ;
-        RECT 2245.080 50.360 2245.340 50.620 ;
-        RECT 2245.080 2.760 2245.340 3.020 ;
-        RECT 2247.380 2.760 2247.640 3.020 ;
       LAYER met2 ;
-        RECT 1656.800 50.650 1656.940 54.000 ;
-        RECT 1656.740 50.330 1657.000 50.650 ;
-        RECT 2245.080 50.330 2245.340 50.650 ;
-        RECT 2245.140 3.050 2245.280 50.330 ;
-        RECT 2245.080 2.730 2245.340 3.050 ;
-        RECT 2247.380 2.730 2247.640 3.050 ;
-        RECT 2247.440 2.400 2247.580 2.730 ;
-        RECT 2247.370 0.000 2247.650 2.400 ;
+        RECT 2282.370 32.120 2282.650 34.520 ;
     END
   END la_data_out[90]
   PIN la_data_out[91]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 1622.210 53.280 1622.530 53.340 ;
-        RECT 1649.350 53.280 1649.670 53.340 ;
-        RECT 1622.210 53.140 1649.670 53.280 ;
-        RECT 1622.210 53.080 1622.530 53.140 ;
-        RECT 1649.350 53.080 1649.670 53.140 ;
-        RECT 1658.090 50.900 1658.410 50.960 ;
-        RECT 2259.310 50.900 2259.630 50.960 ;
-        RECT 1658.090 50.760 2259.630 50.900 ;
-        RECT 1658.090 50.700 1658.410 50.760 ;
-        RECT 2259.310 50.700 2259.630 50.760 ;
-        RECT 2259.310 2.960 2259.630 3.020 ;
-        RECT 2264.830 2.960 2265.150 3.020 ;
-        RECT 2259.310 2.820 2265.150 2.960 ;
-        RECT 2259.310 2.760 2259.630 2.820 ;
-        RECT 2264.830 2.760 2265.150 2.820 ;
-      LAYER via ;
-        RECT 1622.240 53.080 1622.500 53.340 ;
-        RECT 1649.380 53.080 1649.640 53.340 ;
-        RECT 1658.120 50.700 1658.380 50.960 ;
-        RECT 2259.340 50.700 2259.600 50.960 ;
-        RECT 2259.340 2.760 2259.600 3.020 ;
-        RECT 2264.860 2.760 2265.120 3.020 ;
       LAYER met2 ;
-        RECT 1622.300 53.370 1622.440 54.000 ;
-        RECT 1622.240 53.050 1622.500 53.370 ;
-        RECT 1649.380 53.050 1649.640 53.370 ;
-        RECT 1649.440 52.885 1649.580 53.050 ;
-        RECT 1649.370 52.515 1649.650 52.885 ;
-        RECT 1658.110 52.515 1658.390 52.885 ;
-        RECT 1658.180 50.990 1658.320 52.515 ;
-        RECT 1658.120 50.670 1658.380 50.990 ;
-        RECT 2259.340 50.670 2259.600 50.990 ;
-        RECT 2259.400 3.050 2259.540 50.670 ;
-        RECT 2259.340 2.730 2259.600 3.050 ;
-        RECT 2264.860 2.730 2265.120 3.050 ;
-        RECT 2264.920 2.400 2265.060 2.730 ;
-        RECT 2264.850 0.000 2265.130 2.400 ;
-      LAYER via2 ;
-        RECT 1649.370 52.560 1649.650 52.840 ;
-        RECT 1658.110 52.560 1658.390 52.840 ;
-      LAYER met3 ;
-        RECT 1649.345 52.850 1649.675 52.865 ;
-        RECT 1658.085 52.850 1658.415 52.865 ;
-        RECT 1649.345 52.550 1658.415 52.850 ;
-        RECT 1649.345 52.535 1649.675 52.550 ;
-        RECT 1658.085 52.535 1658.415 52.550 ;
+        RECT 2299.850 32.120 2300.130 34.520 ;
     END
   END la_data_out[91]
   PIN la_data_out[92]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1657.705 50.575 1657.875 50.915 ;
-        RECT 1657.245 50.405 1657.875 50.575 ;
-        RECT 1657.245 50.235 1657.415 50.405 ;
-        RECT 1655.865 50.065 1657.415 50.235 ;
-      LAYER mcon ;
-        RECT 1657.705 50.745 1657.875 50.915 ;
-      LAYER met1 ;
-        RECT 2279.550 51.240 2279.870 51.300 ;
-        RECT 1657.720 51.100 2279.870 51.240 ;
-        RECT 1657.720 50.945 1657.860 51.100 ;
-        RECT 2279.550 51.040 2279.870 51.100 ;
-        RECT 1657.645 50.715 1657.935 50.945 ;
-        RECT 1622.670 50.220 1622.990 50.280 ;
-        RECT 1655.805 50.220 1656.095 50.265 ;
-        RECT 1622.670 50.080 1656.095 50.220 ;
-        RECT 1622.670 50.020 1622.990 50.080 ;
-        RECT 1655.805 50.035 1656.095 50.080 ;
-      LAYER via ;
-        RECT 2279.580 51.040 2279.840 51.300 ;
-        RECT 1622.700 50.020 1622.960 50.280 ;
       LAYER met2 ;
-        RECT 1622.760 50.310 1622.900 54.000 ;
-        RECT 2279.580 51.010 2279.840 51.330 ;
-        RECT 1622.700 49.990 1622.960 50.310 ;
-        RECT 2279.640 3.130 2279.780 51.010 ;
-        RECT 2279.640 2.990 2282.540 3.130 ;
-        RECT 2282.400 2.960 2282.540 2.990 ;
-        RECT 2282.400 2.820 2283.000 2.960 ;
-        RECT 2282.860 2.400 2283.000 2.820 ;
-        RECT 2282.790 0.000 2283.070 2.400 ;
+        RECT 2317.790 32.120 2318.070 34.520 ;
     END
   END la_data_out[92]
   PIN la_data_out[93]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1657.245 50.745 1657.415 54.000 ;
-      LAYER met1 ;
-        RECT 1629.110 50.900 1629.430 50.960 ;
-        RECT 1657.185 50.900 1657.475 50.945 ;
-        RECT 1629.110 50.760 1657.475 50.900 ;
-        RECT 1629.110 50.700 1629.430 50.760 ;
-        RECT 1657.185 50.715 1657.475 50.760 ;
-      LAYER via ;
-        RECT 1629.140 50.700 1629.400 50.960 ;
       LAYER met2 ;
-        RECT 1629.200 50.990 1629.340 54.000 ;
-        RECT 1629.140 50.670 1629.400 50.990 ;
-        RECT 2300.800 2.400 2300.940 54.000 ;
-        RECT 2300.730 0.000 2301.010 2.400 ;
+        RECT 2335.730 32.120 2336.010 34.520 ;
     END
   END la_data_out[93]
   PIN la_data_out[94]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1658.165 50.235 1658.335 53.975 ;
-        RECT 1657.705 50.065 1658.335 50.235 ;
-      LAYER mcon ;
-        RECT 1658.165 53.805 1658.335 53.975 ;
-      LAYER met1 ;
-        RECT 1658.105 53.960 1658.395 54.000 ;
-        RECT 2314.050 53.960 2314.370 54.000 ;
-        RECT 1658.105 53.820 2314.370 53.960 ;
-        RECT 1658.105 53.775 1658.395 53.820 ;
-        RECT 2314.050 53.760 2314.370 53.820 ;
-        RECT 1629.570 50.560 1629.890 50.620 ;
-        RECT 1629.570 50.420 1656.480 50.560 ;
-        RECT 1629.570 50.360 1629.890 50.420 ;
-        RECT 1656.340 50.220 1656.480 50.420 ;
-        RECT 1657.645 50.220 1657.935 50.265 ;
-        RECT 1656.340 50.080 1657.935 50.220 ;
-        RECT 1657.645 50.035 1657.935 50.080 ;
-        RECT 2314.050 2.960 2314.370 3.020 ;
-        RECT 2318.650 2.960 2318.970 3.020 ;
-        RECT 2314.050 2.820 2318.970 2.960 ;
-        RECT 2314.050 2.760 2314.370 2.820 ;
-        RECT 2318.650 2.760 2318.970 2.820 ;
-      LAYER via ;
-        RECT 2314.080 53.760 2314.340 54.000 ;
-        RECT 1629.600 50.360 1629.860 50.620 ;
-        RECT 2314.080 2.760 2314.340 3.020 ;
-        RECT 2318.680 2.760 2318.940 3.020 ;
       LAYER met2 ;
-        RECT 1629.660 50.650 1629.800 54.000 ;
-        RECT 2314.080 53.730 2314.340 54.000 ;
-        RECT 1629.600 50.330 1629.860 50.650 ;
-        RECT 2314.140 3.050 2314.280 53.730 ;
-        RECT 2314.080 2.730 2314.340 3.050 ;
-        RECT 2318.680 2.730 2318.940 3.050 ;
-        RECT 2318.740 2.400 2318.880 2.730 ;
-        RECT 2318.670 0.000 2318.950 2.400 ;
+        RECT 2353.670 32.120 2353.950 34.520 ;
     END
   END la_data_out[94]
   PIN la_data_out[95]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1657.705 53.805 1657.875 54.000 ;
-        RECT 1706.465 53.465 1706.635 54.000 ;
-      LAYER met1 ;
-        RECT 1636.010 53.960 1636.330 54.000 ;
-        RECT 1657.645 53.960 1657.935 54.000 ;
-        RECT 1636.010 53.820 1657.935 53.960 ;
-        RECT 1636.010 53.760 1636.330 53.820 ;
-        RECT 1657.645 53.775 1657.935 53.820 ;
-        RECT 1706.405 53.620 1706.695 53.665 ;
-        RECT 2334.750 53.620 2335.070 53.680 ;
-        RECT 1706.405 53.480 2335.070 53.620 ;
-        RECT 1706.405 53.435 1706.695 53.480 ;
-        RECT 2334.750 53.420 2335.070 53.480 ;
-        RECT 2334.750 2.960 2335.070 3.020 ;
-        RECT 2336.590 2.960 2336.910 3.020 ;
-        RECT 2334.750 2.820 2336.910 2.960 ;
-        RECT 2334.750 2.760 2335.070 2.820 ;
-        RECT 2336.590 2.760 2336.910 2.820 ;
-      LAYER via ;
-        RECT 1636.040 53.760 1636.300 54.000 ;
-        RECT 2334.780 53.420 2335.040 53.680 ;
-        RECT 2334.780 2.760 2335.040 3.020 ;
-        RECT 2336.620 2.760 2336.880 3.020 ;
       LAYER met2 ;
-        RECT 1636.040 53.730 1636.300 54.000 ;
-        RECT 2334.780 53.390 2335.040 53.710 ;
-        RECT 2334.840 3.050 2334.980 53.390 ;
-        RECT 2334.780 2.730 2335.040 3.050 ;
-        RECT 2336.620 2.730 2336.880 3.050 ;
-        RECT 2336.680 2.400 2336.820 2.730 ;
-        RECT 2336.610 0.000 2336.890 2.400 ;
+        RECT 2371.610 32.120 2371.890 34.520 ;
     END
   END la_data_out[95]
   PIN la_data_out[96]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1656.325 51.085 1656.495 54.000 ;
-        RECT 1706.005 53.125 1706.175 54.000 ;
-      LAYER met1 ;
-        RECT 1705.945 53.280 1706.235 53.325 ;
-        RECT 2348.550 53.280 2348.870 53.340 ;
-        RECT 1705.945 53.140 2348.870 53.280 ;
-        RECT 1705.945 53.095 1706.235 53.140 ;
-        RECT 2348.550 53.080 2348.870 53.140 ;
-        RECT 1635.550 51.240 1635.870 51.300 ;
-        RECT 1656.265 51.240 1656.555 51.285 ;
-        RECT 1635.550 51.100 1656.555 51.240 ;
-        RECT 1635.550 51.040 1635.870 51.100 ;
-        RECT 1656.265 51.055 1656.555 51.100 ;
-        RECT 2348.550 2.960 2348.870 3.020 ;
-        RECT 2354.070 2.960 2354.390 3.020 ;
-        RECT 2348.550 2.820 2354.390 2.960 ;
-        RECT 2348.550 2.760 2348.870 2.820 ;
-        RECT 2354.070 2.760 2354.390 2.820 ;
-      LAYER via ;
-        RECT 2348.580 53.080 2348.840 53.340 ;
-        RECT 1635.580 51.040 1635.840 51.300 ;
-        RECT 2348.580 2.760 2348.840 3.020 ;
-        RECT 2354.100 2.760 2354.360 3.020 ;
       LAYER met2 ;
-        RECT 1635.640 51.330 1635.780 54.000 ;
-        RECT 2348.580 53.050 2348.840 53.370 ;
-        RECT 1635.580 51.010 1635.840 51.330 ;
-        RECT 2348.640 3.050 2348.780 53.050 ;
-        RECT 2348.580 2.730 2348.840 3.050 ;
-        RECT 2354.100 2.730 2354.360 3.050 ;
-        RECT 2354.160 2.400 2354.300 2.730 ;
-        RECT 2354.090 0.000 2354.370 2.400 ;
+        RECT 2389.090 32.120 2389.370 34.520 ;
     END
   END la_data_out[96]
   PIN la_data_out[97]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1705.545 53.125 1705.715 54.000 ;
-        RECT 2092.865 52.445 2093.035 54.000 ;
-      LAYER met1 ;
-        RECT 1642.450 53.620 1642.770 53.680 ;
-        RECT 1642.450 53.480 1659.240 53.620 ;
-        RECT 1642.450 53.420 1642.770 53.480 ;
-        RECT 1659.100 53.280 1659.240 53.480 ;
-        RECT 1705.485 53.280 1705.775 53.325 ;
-        RECT 1659.100 53.140 1705.775 53.280 ;
-        RECT 1705.485 53.095 1705.775 53.140 ;
-        RECT 2372.010 52.940 2372.330 53.000 ;
-        RECT 2117.260 52.800 2372.330 52.940 ;
-        RECT 2092.805 52.600 2093.095 52.645 ;
-        RECT 2117.260 52.600 2117.400 52.800 ;
-        RECT 2372.010 52.740 2372.330 52.800 ;
-        RECT 2092.805 52.460 2117.400 52.600 ;
-        RECT 2092.805 52.415 2093.095 52.460 ;
-      LAYER via ;
-        RECT 1642.480 53.420 1642.740 53.680 ;
-        RECT 2372.040 52.740 2372.300 53.000 ;
       LAYER met2 ;
-        RECT 1642.540 53.710 1642.680 54.000 ;
-        RECT 1642.480 53.390 1642.740 53.710 ;
-        RECT 2372.040 52.710 2372.300 53.030 ;
-        RECT 2372.100 2.400 2372.240 52.710 ;
-        RECT 2372.030 0.000 2372.310 2.400 ;
+        RECT 2407.030 32.120 2407.310 34.520 ;
     END
   END la_data_out[97]
   PIN la_data_out[98]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1659.545 53.465 1659.715 54.000 ;
-        RECT 1704.625 53.465 1704.795 54.000 ;
-        RECT 2045.485 52.445 2045.655 54.000 ;
-        RECT 2092.405 52.445 2092.575 54.000 ;
-        RECT 2093.785 52.785 2093.955 54.000 ;
-        RECT 2116.785 52.615 2116.955 52.955 ;
-        RECT 2116.785 52.445 2117.875 52.615 ;
-      LAYER mcon ;
-        RECT 2116.785 52.785 2116.955 52.955 ;
-        RECT 2117.705 52.445 2117.875 52.615 ;
-      LAYER met1 ;
-        RECT 1659.485 53.620 1659.775 53.665 ;
-        RECT 1704.565 53.620 1704.855 53.665 ;
-        RECT 1659.485 53.480 1704.855 53.620 ;
-        RECT 1659.485 53.435 1659.775 53.480 ;
-        RECT 1704.565 53.435 1704.855 53.480 ;
-        RECT 2093.725 52.940 2094.015 52.985 ;
-        RECT 2116.725 52.940 2117.015 52.985 ;
-        RECT 2093.725 52.800 2117.015 52.940 ;
-        RECT 2093.725 52.755 2094.015 52.800 ;
-        RECT 2116.725 52.755 2117.015 52.800 ;
-        RECT 2045.425 52.600 2045.715 52.645 ;
-        RECT 2092.345 52.600 2092.635 52.645 ;
-        RECT 2045.425 52.460 2092.635 52.600 ;
-        RECT 2045.425 52.415 2045.715 52.460 ;
-        RECT 2092.345 52.415 2092.635 52.460 ;
-        RECT 2117.645 52.600 2117.935 52.645 ;
-        RECT 2389.950 52.600 2390.270 52.660 ;
-        RECT 2117.645 52.460 2390.270 52.600 ;
-        RECT 2117.645 52.415 2117.935 52.460 ;
-        RECT 2389.950 52.400 2390.270 52.460 ;
-      LAYER via ;
-        RECT 2389.980 52.400 2390.240 52.660 ;
       LAYER met2 ;
-        RECT 2389.980 52.370 2390.240 52.690 ;
-        RECT 2390.040 2.400 2390.180 52.370 ;
-        RECT 2389.970 0.000 2390.250 2.400 ;
+        RECT 2424.970 32.120 2425.250 34.520 ;
     END
   END la_data_out[98]
   PIN la_data_out[99]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1658.625 53.125 1658.795 54.000 ;
-      LAYER met1 ;
-        RECT 1649.810 53.280 1650.130 53.340 ;
-        RECT 1658.565 53.280 1658.855 53.325 ;
-        RECT 1649.810 53.140 1658.855 53.280 ;
-        RECT 1649.810 53.080 1650.130 53.140 ;
-        RECT 1658.565 53.095 1658.855 53.140 ;
-        RECT 2093.710 52.260 2094.030 52.320 ;
-        RECT 2407.890 52.260 2408.210 52.320 ;
-        RECT 2093.710 52.120 2408.210 52.260 ;
-        RECT 2093.710 52.060 2094.030 52.120 ;
-        RECT 2407.890 52.060 2408.210 52.120 ;
-      LAYER via ;
-        RECT 1649.840 53.080 1650.100 53.340 ;
-        RECT 2093.740 52.060 2094.000 52.320 ;
-        RECT 2407.920 52.060 2408.180 52.320 ;
       LAYER met2 ;
-        RECT 1649.900 53.370 1650.040 54.000 ;
-        RECT 1649.840 53.050 1650.100 53.370 ;
-        RECT 1707.400 52.205 1707.540 54.000 ;
-        RECT 2093.740 52.205 2094.000 52.350 ;
-        RECT 1707.330 51.835 1707.610 52.205 ;
-        RECT 2093.730 51.835 2094.010 52.205 ;
-        RECT 2407.920 52.030 2408.180 52.350 ;
-        RECT 2407.980 2.400 2408.120 52.030 ;
-        RECT 2407.910 0.000 2408.190 2.400 ;
-      LAYER via2 ;
-        RECT 1707.330 51.880 1707.610 52.160 ;
-        RECT 2093.730 51.880 2094.010 52.160 ;
-      LAYER met3 ;
-        RECT 1707.305 52.170 1707.635 52.185 ;
-        RECT 2093.705 52.170 2094.035 52.185 ;
-        RECT 1707.305 51.870 2094.035 52.170 ;
-        RECT 1707.305 51.855 1707.635 51.870 ;
-        RECT 2093.705 51.855 2094.035 51.870 ;
+        RECT 2442.910 32.120 2443.190 34.520 ;
     END
   END la_data_out[99]
   PIN la_data_out[9]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 802.030 36.280 802.350 36.340 ;
-        RECT 1316.310 36.280 1316.630 36.340 ;
-        RECT 802.030 36.140 1316.630 36.280 ;
-        RECT 802.030 36.080 802.350 36.140 ;
-        RECT 1316.310 36.080 1316.630 36.140 ;
-      LAYER via ;
-        RECT 802.060 36.080 802.320 36.340 ;
-        RECT 1316.340 36.080 1316.600 36.340 ;
       LAYER met2 ;
-        RECT 1316.400 36.370 1316.540 54.000 ;
-        RECT 802.060 36.050 802.320 36.370 ;
-        RECT 1316.340 36.050 1316.600 36.370 ;
-        RECT 802.120 2.400 802.260 36.050 ;
-        RECT 802.050 0.000 802.330 2.400 ;
+        RECT 837.050 32.120 837.330 34.520 ;
     END
   END la_data_out[9]
   PIN la_oen[0]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 647.470 41.380 647.790 41.440 ;
-        RECT 1280.430 41.380 1280.750 41.440 ;
-        RECT 647.470 41.240 1280.750 41.380 ;
-        RECT 647.470 41.180 647.790 41.240 ;
-        RECT 1280.430 41.180 1280.750 41.240 ;
-      LAYER via ;
-        RECT 647.500 41.180 647.760 41.440 ;
-        RECT 1280.460 41.180 1280.720 41.440 ;
       LAYER met2 ;
-        RECT 1280.520 41.470 1280.660 54.000 ;
-        RECT 647.500 41.150 647.760 41.470 ;
-        RECT 1280.460 41.150 1280.720 41.470 ;
-        RECT 647.560 2.400 647.700 41.150 ;
-        RECT 647.490 0.000 647.770 2.400 ;
+        RECT 682.490 32.120 682.770 34.520 ;
     END
   END la_oen[0]
   PIN la_oen[100]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1656.340 51.525 1656.480 54.000 ;
-        RECT 1656.270 51.155 1656.550 51.525 ;
-        RECT 2432.290 51.155 2432.570 51.525 ;
-        RECT 2432.360 35.090 2432.500 51.155 ;
-        RECT 2431.440 34.950 2432.500 35.090 ;
-        RECT 2431.440 2.400 2431.580 34.950 ;
-        RECT 2431.370 0.000 2431.650 2.400 ;
-      LAYER via2 ;
-        RECT 1656.270 51.200 1656.550 51.480 ;
-        RECT 2432.290 51.200 2432.570 51.480 ;
-      LAYER met3 ;
-        RECT 1656.245 51.490 1656.575 51.505 ;
-        RECT 2432.265 51.490 2432.595 51.505 ;
-        RECT 1656.245 51.190 2432.595 51.490 ;
-        RECT 1656.245 51.175 1656.575 51.190 ;
-        RECT 2432.265 51.175 2432.595 51.190 ;
+        RECT 2466.370 32.120 2466.650 34.520 ;
     END
   END la_oen[100]
   PIN la_oen[101]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2449.380 2.400 2449.520 54.000 ;
-        RECT 2449.310 0.000 2449.590 2.400 ;
+        RECT 2484.310 32.120 2484.590 34.520 ;
     END
   END la_oen[101]
   PIN la_oen[102]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2465.940 3.130 2466.080 54.000 ;
-        RECT 2465.940 2.990 2467.000 3.130 ;
-        RECT 2466.860 2.960 2467.000 2.990 ;
-        RECT 2466.860 2.820 2467.460 2.960 ;
-        RECT 2467.320 2.400 2467.460 2.820 ;
-        RECT 2467.250 0.000 2467.530 2.400 ;
+        RECT 2502.250 32.120 2502.530 34.520 ;
     END
   END la_oen[102]
   PIN la_oen[103]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2479.650 2.960 2479.970 3.020 ;
-        RECT 2485.170 2.960 2485.490 3.020 ;
-        RECT 2479.650 2.820 2485.490 2.960 ;
-        RECT 2479.650 2.760 2479.970 2.820 ;
-        RECT 2485.170 2.760 2485.490 2.820 ;
-      LAYER via ;
-        RECT 2479.680 2.760 2479.940 3.020 ;
-        RECT 2485.200 2.760 2485.460 3.020 ;
       LAYER met2 ;
-        RECT 2479.740 3.050 2479.880 54.000 ;
-        RECT 2479.680 2.730 2479.940 3.050 ;
-        RECT 2485.200 2.730 2485.460 3.050 ;
-        RECT 2485.260 2.400 2485.400 2.730 ;
-        RECT 2485.190 0.000 2485.470 2.400 ;
+        RECT 2520.190 32.120 2520.470 34.520 ;
     END
   END la_oen[103]
   PIN la_oen[104]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2500.350 2.960 2500.670 3.020 ;
-        RECT 2503.110 2.960 2503.430 3.020 ;
-        RECT 2500.350 2.820 2503.430 2.960 ;
-        RECT 2500.350 2.760 2500.670 2.820 ;
-        RECT 2503.110 2.760 2503.430 2.820 ;
-      LAYER via ;
-        RECT 2500.380 2.760 2500.640 3.020 ;
-        RECT 2503.140 2.760 2503.400 3.020 ;
       LAYER met2 ;
-        RECT 2500.440 3.050 2500.580 54.000 ;
-        RECT 2500.380 2.730 2500.640 3.050 ;
-        RECT 2503.140 2.730 2503.400 3.050 ;
-        RECT 2503.200 2.400 2503.340 2.730 ;
-        RECT 2503.130 0.000 2503.410 2.400 ;
+        RECT 2538.130 32.120 2538.410 34.520 ;
     END
   END la_oen[104]
   PIN la_oen[105]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2520.680 2.400 2520.820 54.000 ;
-        RECT 2520.610 0.000 2520.890 2.400 ;
+        RECT 2555.610 32.120 2555.890 34.520 ;
     END
   END la_oen[105]
   PIN la_oen[106]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2534.850 2.960 2535.170 3.020 ;
-        RECT 2538.530 2.960 2538.850 3.020 ;
-        RECT 2534.850 2.820 2538.850 2.960 ;
-        RECT 2534.850 2.760 2535.170 2.820 ;
-        RECT 2538.530 2.760 2538.850 2.820 ;
-      LAYER via ;
-        RECT 2534.880 2.760 2535.140 3.020 ;
-        RECT 2538.560 2.760 2538.820 3.020 ;
       LAYER met2 ;
-        RECT 2534.940 3.050 2535.080 54.000 ;
-        RECT 2534.880 2.730 2535.140 3.050 ;
-        RECT 2538.560 2.730 2538.820 3.050 ;
-        RECT 2538.620 2.400 2538.760 2.730 ;
-        RECT 2538.550 0.000 2538.830 2.400 ;
+        RECT 2573.550 32.120 2573.830 34.520 ;
     END
   END la_oen[106]
   PIN la_oen[107]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2556.560 2.400 2556.700 54.000 ;
-        RECT 2556.490 0.000 2556.770 2.400 ;
+        RECT 2591.490 32.120 2591.770 34.520 ;
     END
   END la_oen[107]
   PIN la_oen[108]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2574.500 2.400 2574.640 54.000 ;
-        RECT 2574.430 0.000 2574.710 2.400 ;
+        RECT 2609.430 32.120 2609.710 34.520 ;
     END
   END la_oen[108]
   PIN la_oen[109]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2591.980 2.400 2592.120 54.000 ;
-        RECT 2591.910 0.000 2592.190 2.400 ;
+        RECT 2626.910 32.120 2627.190 34.520 ;
     END
   END la_oen[109]
   PIN la_oen[10]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 825.950 35.940 826.270 36.000 ;
-        RECT 1321.370 35.940 1321.690 36.000 ;
-        RECT 825.950 35.800 1321.690 35.940 ;
-        RECT 825.950 35.740 826.270 35.800 ;
-        RECT 1321.370 35.740 1321.690 35.800 ;
-      LAYER via ;
-        RECT 825.980 35.740 826.240 36.000 ;
-        RECT 1321.400 35.740 1321.660 36.000 ;
       LAYER met2 ;
-        RECT 1321.460 36.030 1321.600 54.000 ;
-        RECT 825.980 35.710 826.240 36.030 ;
-        RECT 1321.400 35.710 1321.660 36.030 ;
-        RECT 826.040 2.400 826.180 35.710 ;
-        RECT 825.970 0.000 826.250 2.400 ;
+        RECT 860.970 32.120 861.250 34.520 ;
     END
   END la_oen[10]
   PIN la_oen[110]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2603.850 37.640 2604.170 37.700 ;
-        RECT 2609.830 37.640 2610.150 37.700 ;
-        RECT 2603.850 37.500 2610.150 37.640 ;
-        RECT 2603.850 37.440 2604.170 37.500 ;
-        RECT 2609.830 37.440 2610.150 37.500 ;
-      LAYER via ;
-        RECT 2603.880 37.440 2604.140 37.700 ;
-        RECT 2609.860 37.440 2610.120 37.700 ;
       LAYER met2 ;
-        RECT 2603.940 37.730 2604.080 54.000 ;
-        RECT 2603.880 37.410 2604.140 37.730 ;
-        RECT 2609.860 37.410 2610.120 37.730 ;
-        RECT 2609.920 2.400 2610.060 37.410 ;
-        RECT 2609.850 0.000 2610.130 2.400 ;
+        RECT 2644.850 32.120 2645.130 34.520 ;
     END
   END la_oen[110]
   PIN la_oen[111]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2627.860 2.400 2628.000 54.000 ;
-        RECT 2627.790 0.000 2628.070 2.400 ;
+        RECT 2662.790 32.120 2663.070 34.520 ;
     END
   END la_oen[111]
   PIN la_oen[112]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2645.325 48.365 2645.495 54.000 ;
-      LAYER met1 ;
-        RECT 2645.265 48.520 2645.555 48.565 ;
-        RECT 2645.710 48.520 2646.030 48.580 ;
-        RECT 2645.265 48.380 2646.030 48.520 ;
-        RECT 2645.265 48.335 2645.555 48.380 ;
-        RECT 2645.710 48.320 2646.030 48.380 ;
-        RECT 2645.710 2.960 2646.030 3.020 ;
-        RECT 2646.170 2.960 2646.490 3.020 ;
-        RECT 2645.710 2.820 2646.490 2.960 ;
-        RECT 2645.710 2.760 2646.030 2.820 ;
-        RECT 2646.170 2.760 2646.490 2.820 ;
-      LAYER via ;
-        RECT 2645.740 48.320 2646.000 48.580 ;
-        RECT 2645.740 2.760 2646.000 3.020 ;
-        RECT 2646.200 2.760 2646.460 3.020 ;
       LAYER met2 ;
-        RECT 2645.740 48.290 2646.000 48.610 ;
-        RECT 2645.800 48.010 2645.940 48.290 ;
-        RECT 2645.800 47.870 2646.400 48.010 ;
-        RECT 2646.260 3.050 2646.400 47.870 ;
-        RECT 2645.740 2.730 2646.000 3.050 ;
-        RECT 2646.200 2.730 2646.460 3.050 ;
-        RECT 2645.800 2.400 2645.940 2.730 ;
-        RECT 2645.730 0.000 2646.010 2.400 ;
+        RECT 2680.730 32.120 2681.010 34.520 ;
     END
   END la_oen[112]
   PIN la_oen[113]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2663.740 2.400 2663.880 54.000 ;
-        RECT 2663.670 0.000 2663.950 2.400 ;
+        RECT 2698.670 32.120 2698.950 34.520 ;
     END
   END la_oen[113]
   PIN la_oen[114]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2679.825 48.365 2679.995 54.000 ;
-      LAYER met1 ;
-        RECT 2679.765 48.520 2680.055 48.565 ;
-        RECT 2681.130 48.520 2681.450 48.580 ;
-        RECT 2679.765 48.380 2681.450 48.520 ;
-        RECT 2679.765 48.335 2680.055 48.380 ;
-        RECT 2681.130 48.320 2681.450 48.380 ;
-      LAYER via ;
-        RECT 2681.160 48.320 2681.420 48.580 ;
       LAYER met2 ;
-        RECT 2681.160 48.290 2681.420 48.610 ;
-        RECT 2681.220 2.400 2681.360 48.290 ;
-        RECT 2681.150 0.000 2681.430 2.400 ;
+        RECT 2716.150 32.120 2716.430 34.520 ;
     END
   END la_oen[114]
   PIN la_oen[115]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2699.160 2.400 2699.300 54.000 ;
-        RECT 2699.090 0.000 2699.370 2.400 ;
+        RECT 2734.090 32.120 2734.370 34.520 ;
     END
   END la_oen[115]
   PIN la_oen[116]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2717.100 2.400 2717.240 54.000 ;
-        RECT 2717.030 0.000 2717.310 2.400 ;
+        RECT 2752.030 32.120 2752.310 34.520 ;
     END
   END la_oen[116]
   PIN la_oen[117]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2735.040 2.400 2735.180 54.000 ;
-        RECT 2734.970 0.000 2735.250 2.400 ;
+        RECT 2769.970 32.120 2770.250 34.520 ;
     END
   END la_oen[117]
   PIN la_oen[118]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2752.980 2.400 2753.120 54.000 ;
-        RECT 2752.910 0.000 2753.190 2.400 ;
+        RECT 2787.910 32.120 2788.190 34.520 ;
     END
   END la_oen[118]
   PIN la_oen[119]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2770.460 2.400 2770.600 54.000 ;
-        RECT 2770.390 0.000 2770.670 2.400 ;
+        RECT 2805.390 32.120 2805.670 34.520 ;
     END
   END la_oen[119]
   PIN la_oen[11]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 843.430 34.920 843.750 34.980 ;
-        RECT 1320.910 34.920 1321.230 34.980 ;
-        RECT 843.430 34.780 1321.230 34.920 ;
-        RECT 843.430 34.720 843.750 34.780 ;
-        RECT 1320.910 34.720 1321.230 34.780 ;
-      LAYER via ;
-        RECT 843.460 34.720 843.720 34.980 ;
-        RECT 1320.940 34.720 1321.200 34.980 ;
       LAYER met2 ;
-        RECT 1321.000 35.010 1321.140 54.000 ;
-        RECT 843.460 34.690 843.720 35.010 ;
-        RECT 1320.940 34.690 1321.200 35.010 ;
-        RECT 843.520 2.400 843.660 34.690 ;
-        RECT 843.450 0.000 843.730 2.400 ;
+        RECT 878.450 32.120 878.730 34.520 ;
     END
   END la_oen[11]
   PIN la_oen[120]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2788.400 2.400 2788.540 54.000 ;
-        RECT 2788.330 0.000 2788.610 2.400 ;
+        RECT 2823.330 32.120 2823.610 34.520 ;
     END
   END la_oen[120]
   PIN la_oen[121]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2804.025 48.365 2804.195 54.000 ;
-      LAYER met1 ;
-        RECT 2803.965 48.520 2804.255 48.565 ;
-        RECT 2806.250 48.520 2806.570 48.580 ;
-        RECT 2803.965 48.380 2806.570 48.520 ;
-        RECT 2803.965 48.335 2804.255 48.380 ;
-        RECT 2806.250 48.320 2806.570 48.380 ;
-      LAYER via ;
-        RECT 2806.280 48.320 2806.540 48.580 ;
       LAYER met2 ;
-        RECT 2806.280 48.290 2806.540 48.610 ;
-        RECT 2806.340 2.400 2806.480 48.290 ;
-        RECT 2806.270 0.000 2806.550 2.400 ;
+        RECT 2841.270 32.120 2841.550 34.520 ;
     END
   END la_oen[121]
   PIN la_oen[122]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2817.750 37.640 2818.070 37.700 ;
-        RECT 2824.190 37.640 2824.510 37.700 ;
-        RECT 2817.750 37.500 2824.510 37.640 ;
-        RECT 2817.750 37.440 2818.070 37.500 ;
-        RECT 2824.190 37.440 2824.510 37.500 ;
-      LAYER via ;
-        RECT 2817.780 37.440 2818.040 37.700 ;
-        RECT 2824.220 37.440 2824.480 37.700 ;
       LAYER met2 ;
-        RECT 2817.840 37.730 2817.980 54.000 ;
-        RECT 2817.780 37.410 2818.040 37.730 ;
-        RECT 2824.220 37.410 2824.480 37.730 ;
-        RECT 2824.280 2.400 2824.420 37.410 ;
-        RECT 2824.210 0.000 2824.490 2.400 ;
+        RECT 2859.210 32.120 2859.490 34.520 ;
     END
   END la_oen[122]
   PIN la_oen[123]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2841.760 2.400 2841.900 54.000 ;
-        RECT 2841.690 0.000 2841.970 2.400 ;
+        RECT 2876.690 32.120 2876.970 34.520 ;
     END
   END la_oen[123]
   PIN la_oen[124]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 2859.225 48.365 2859.395 54.000 ;
-      LAYER met1 ;
-        RECT 2859.165 48.520 2859.455 48.565 ;
-        RECT 2859.610 48.520 2859.930 48.580 ;
-        RECT 2859.165 48.380 2859.930 48.520 ;
-        RECT 2859.165 48.335 2859.455 48.380 ;
-        RECT 2859.610 48.320 2859.930 48.380 ;
-      LAYER via ;
-        RECT 2859.640 48.320 2859.900 48.580 ;
       LAYER met2 ;
-        RECT 2859.640 48.290 2859.900 48.610 ;
-        RECT 2859.700 2.400 2859.840 48.290 ;
-        RECT 2859.630 0.000 2859.910 2.400 ;
+        RECT 2894.630 32.120 2894.910 34.520 ;
     END
   END la_oen[124]
   PIN la_oen[125]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2872.950 1307.540 2873.270 1307.600 ;
-        RECT 2870.580 1307.400 2873.270 1307.540 ;
-        RECT 2872.950 1307.340 2873.270 1307.400 ;
-        RECT 2872.950 62.120 2873.270 62.180 ;
-        RECT 2877.550 62.120 2877.870 62.180 ;
-        RECT 2872.950 61.980 2877.870 62.120 ;
-        RECT 2872.950 61.920 2873.270 61.980 ;
-        RECT 2877.550 61.920 2877.870 61.980 ;
-      LAYER via ;
-        RECT 2872.980 1307.340 2873.240 1307.600 ;
-        RECT 2872.980 61.920 2873.240 62.180 ;
-        RECT 2877.580 61.920 2877.840 62.180 ;
       LAYER met2 ;
-        RECT 2872.980 1307.310 2873.240 1307.630 ;
-        RECT 2873.040 62.210 2873.180 1307.310 ;
-        RECT 2872.980 61.890 2873.240 62.210 ;
-        RECT 2877.580 61.890 2877.840 62.210 ;
-        RECT 2877.640 2.400 2877.780 61.890 ;
-        RECT 2877.570 0.000 2877.850 2.400 ;
+        RECT 2912.570 32.120 2912.850 34.520 ;
     END
   END la_oen[125]
   PIN la_oen[126]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2889.970 1418.040 2890.290 1418.100 ;
-        RECT 2870.580 1417.900 2890.290 1418.040 ;
-        RECT 2889.970 1417.840 2890.290 1417.900 ;
-        RECT 2889.970 20.640 2890.290 20.700 ;
-        RECT 2895.490 20.640 2895.810 20.700 ;
-        RECT 2889.970 20.500 2895.810 20.640 ;
-        RECT 2889.970 20.440 2890.290 20.500 ;
-        RECT 2895.490 20.440 2895.810 20.500 ;
-      LAYER via ;
-        RECT 2890.000 1417.840 2890.260 1418.100 ;
-        RECT 2890.000 20.440 2890.260 20.700 ;
-        RECT 2895.520 20.440 2895.780 20.700 ;
       LAYER met2 ;
-        RECT 2890.000 1417.810 2890.260 1418.130 ;
-        RECT 2890.060 20.730 2890.200 1417.810 ;
-        RECT 2890.000 20.410 2890.260 20.730 ;
-        RECT 2895.520 20.410 2895.780 20.730 ;
-        RECT 2895.580 2.400 2895.720 20.410 ;
-        RECT 2895.510 0.000 2895.790 2.400 ;
+        RECT 2930.510 32.120 2930.790 34.520 ;
     END
   END la_oen[126]
   PIN la_oen[127]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2907.450 1266.060 2907.770 1266.120 ;
-        RECT 2870.580 1265.920 2907.770 1266.060 ;
-        RECT 2907.450 1265.860 2907.770 1265.920 ;
-        RECT 2907.450 37.640 2907.770 37.700 ;
-        RECT 2913.430 37.640 2913.750 37.700 ;
-        RECT 2907.450 37.500 2913.750 37.640 ;
-        RECT 2907.450 37.440 2907.770 37.500 ;
-        RECT 2913.430 37.440 2913.750 37.500 ;
-      LAYER via ;
-        RECT 2907.480 1265.860 2907.740 1266.120 ;
-        RECT 2907.480 37.440 2907.740 37.700 ;
-        RECT 2913.460 37.440 2913.720 37.700 ;
       LAYER met2 ;
-        RECT 2907.480 1265.830 2907.740 1266.150 ;
-        RECT 2907.540 37.730 2907.680 1265.830 ;
-        RECT 2907.480 37.410 2907.740 37.730 ;
-        RECT 2913.460 37.410 2913.720 37.730 ;
-        RECT 2913.520 2.400 2913.660 37.410 ;
-        RECT 2913.450 0.000 2913.730 2.400 ;
+        RECT 2948.450 32.120 2948.730 34.520 ;
     END
   END la_oen[127]
   PIN la_oen[12]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 861.370 34.580 861.690 34.640 ;
-        RECT 1328.730 34.580 1329.050 34.640 ;
-        RECT 861.370 34.440 1329.050 34.580 ;
-        RECT 861.370 34.380 861.690 34.440 ;
-        RECT 1328.730 34.380 1329.050 34.440 ;
-      LAYER via ;
-        RECT 861.400 34.380 861.660 34.640 ;
-        RECT 1328.760 34.380 1329.020 34.640 ;
       LAYER met2 ;
-        RECT 1328.820 34.670 1328.960 54.000 ;
-        RECT 861.400 34.350 861.660 34.670 ;
-        RECT 1328.760 34.350 1329.020 34.670 ;
-        RECT 861.460 2.400 861.600 34.350 ;
-        RECT 861.390 0.000 861.670 2.400 ;
+        RECT 896.390 32.120 896.670 34.520 ;
     END
   END la_oen[12]
   PIN la_oen[13]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 885.825 29.325 885.995 41.735 ;
-        RECT 975.065 38.505 975.235 41.735 ;
-      LAYER mcon ;
-        RECT 885.825 41.565 885.995 41.735 ;
-        RECT 975.065 41.565 975.235 41.735 ;
-      LAYER met1 ;
-        RECT 885.765 41.720 886.055 41.765 ;
-        RECT 975.005 41.720 975.295 41.765 ;
-        RECT 885.765 41.580 975.295 41.720 ;
-        RECT 885.765 41.535 886.055 41.580 ;
-        RECT 975.005 41.535 975.295 41.580 ;
-        RECT 975.005 38.660 975.295 38.705 ;
-        RECT 1328.270 38.660 1328.590 38.720 ;
-        RECT 975.005 38.520 1328.590 38.660 ;
-        RECT 975.005 38.475 975.295 38.520 ;
-        RECT 1328.270 38.460 1328.590 38.520 ;
-        RECT 879.310 29.480 879.630 29.540 ;
-        RECT 885.765 29.480 886.055 29.525 ;
-        RECT 879.310 29.340 886.055 29.480 ;
-        RECT 879.310 29.280 879.630 29.340 ;
-        RECT 885.765 29.295 886.055 29.340 ;
-      LAYER via ;
-        RECT 1328.300 38.460 1328.560 38.720 ;
-        RECT 879.340 29.280 879.600 29.540 ;
       LAYER met2 ;
-        RECT 1328.360 38.750 1328.500 54.000 ;
-        RECT 1328.300 38.430 1328.560 38.750 ;
-        RECT 879.340 29.250 879.600 29.570 ;
-        RECT 879.400 2.400 879.540 29.250 ;
-        RECT 879.330 0.000 879.610 2.400 ;
+        RECT 914.330 32.120 914.610 34.520 ;
     END
   END la_oen[13]
   PIN la_oen[14]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 933.665 28.985 933.835 39.015 ;
-      LAYER mcon ;
-        RECT 933.665 38.845 933.835 39.015 ;
-      LAYER met1 ;
-        RECT 933.605 39.000 933.895 39.045 ;
-        RECT 1335.170 39.000 1335.490 39.060 ;
-        RECT 933.605 38.860 1335.490 39.000 ;
-        RECT 933.605 38.815 933.895 38.860 ;
-        RECT 1335.170 38.800 1335.490 38.860 ;
-        RECT 897.250 29.140 897.570 29.200 ;
-        RECT 933.605 29.140 933.895 29.185 ;
-        RECT 897.250 29.000 933.895 29.140 ;
-        RECT 897.250 28.940 897.570 29.000 ;
-        RECT 933.605 28.955 933.895 29.000 ;
-      LAYER via ;
-        RECT 1335.200 38.800 1335.460 39.060 ;
-        RECT 897.280 28.940 897.540 29.200 ;
       LAYER met2 ;
-        RECT 1335.260 39.090 1335.400 54.000 ;
-        RECT 1335.200 38.770 1335.460 39.090 ;
-        RECT 897.280 28.910 897.540 29.230 ;
-        RECT 897.340 2.400 897.480 28.910 ;
-        RECT 897.270 0.000 897.550 2.400 ;
+        RECT 932.270 32.120 932.550 34.520 ;
     END
   END la_oen[14]
   PIN la_oen[15]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 915.190 2.960 915.510 3.020 ;
-        RECT 919.790 2.960 920.110 3.020 ;
-        RECT 915.190 2.820 920.110 2.960 ;
-        RECT 915.190 2.760 915.510 2.820 ;
-        RECT 919.790 2.760 920.110 2.820 ;
-      LAYER via ;
-        RECT 915.220 2.760 915.480 3.020 ;
-        RECT 919.820 2.760 920.080 3.020 ;
       LAYER met2 ;
-        RECT 919.880 3.050 920.020 54.000 ;
-        RECT 915.220 2.730 915.480 3.050 ;
-        RECT 919.820 2.730 920.080 3.050 ;
-        RECT 915.280 2.400 915.420 2.730 ;
-        RECT 915.210 0.000 915.490 2.400 ;
+        RECT 950.210 32.120 950.490 34.520 ;
     END
   END la_oen[15]
   PIN la_oen[16]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 933.680 3.130 933.820 54.000 ;
-        RECT 933.220 2.990 933.820 3.130 ;
-        RECT 933.220 2.960 933.360 2.990 ;
-        RECT 932.760 2.820 933.360 2.960 ;
-        RECT 932.760 2.400 932.900 2.820 ;
-        RECT 932.690 0.000 932.970 2.400 ;
+        RECT 967.690 32.120 967.970 34.520 ;
     END
   END la_oen[16]
   PIN la_oen[17]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 950.610 2.960 950.930 3.020 ;
-        RECT 951.990 2.960 952.310 3.020 ;
-        RECT 950.610 2.820 952.310 2.960 ;
-        RECT 950.610 2.760 950.930 2.820 ;
-        RECT 951.990 2.760 952.310 2.820 ;
-      LAYER via ;
-        RECT 950.640 2.760 950.900 3.020 ;
-        RECT 952.020 2.760 952.280 3.020 ;
       LAYER met2 ;
-        RECT 952.080 48.805 952.220 54.000 ;
-        RECT 952.010 48.435 952.290 48.805 ;
-        RECT 952.930 48.435 953.210 48.805 ;
-        RECT 953.000 48.010 953.140 48.435 ;
-        RECT 952.080 47.870 953.140 48.010 ;
-        RECT 952.080 3.050 952.220 47.870 ;
-        RECT 950.640 2.730 950.900 3.050 ;
-        RECT 952.020 2.730 952.280 3.050 ;
-        RECT 950.700 2.400 950.840 2.730 ;
-        RECT 950.630 0.000 950.910 2.400 ;
-      LAYER via2 ;
-        RECT 952.010 48.480 952.290 48.760 ;
-        RECT 952.930 48.480 953.210 48.760 ;
-      LAYER met3 ;
-        RECT 951.985 48.770 952.315 48.785 ;
-        RECT 952.905 48.770 953.235 48.785 ;
-        RECT 951.985 48.470 953.235 48.770 ;
-        RECT 951.985 48.455 952.315 48.470 ;
-        RECT 952.905 48.455 953.235 48.470 ;
+        RECT 985.630 32.120 985.910 34.520 ;
     END
   END la_oen[17]
   PIN la_oen[18]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 968.550 38.660 968.870 38.720 ;
-        RECT 974.530 38.660 974.850 38.720 ;
-        RECT 968.550 38.520 974.850 38.660 ;
-        RECT 968.550 38.460 968.870 38.520 ;
-        RECT 974.530 38.460 974.850 38.520 ;
-      LAYER via ;
-        RECT 968.580 38.460 968.840 38.720 ;
-        RECT 974.560 38.460 974.820 38.720 ;
       LAYER met2 ;
-        RECT 974.620 38.750 974.760 54.000 ;
-        RECT 968.580 38.430 968.840 38.750 ;
-        RECT 974.560 38.430 974.820 38.750 ;
-        RECT 968.640 2.400 968.780 38.430 ;
-        RECT 968.570 0.000 968.850 2.400 ;
+        RECT 1003.570 32.120 1003.850 34.520 ;
     END
   END la_oen[18]
   PIN la_oen[19]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 986.490 2.960 986.810 3.020 ;
-        RECT 988.790 2.960 989.110 3.020 ;
-        RECT 986.490 2.820 989.110 2.960 ;
-        RECT 986.490 2.760 986.810 2.820 ;
-        RECT 988.790 2.760 989.110 2.820 ;
-      LAYER via ;
-        RECT 986.520 2.760 986.780 3.020 ;
-        RECT 988.820 2.760 989.080 3.020 ;
       LAYER met2 ;
-        RECT 988.880 3.050 989.020 54.000 ;
-        RECT 986.520 2.730 986.780 3.050 ;
-        RECT 988.820 2.730 989.080 3.050 ;
-        RECT 986.580 2.400 986.720 2.730 ;
-        RECT 986.510 0.000 986.790 2.400 ;
+        RECT 1021.510 32.120 1021.790 34.520 ;
     END
   END la_oen[19]
   PIN la_oen[1]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 665.410 19.960 665.730 20.020 ;
-        RECT 670.930 19.960 671.250 20.020 ;
-        RECT 665.410 19.820 671.250 19.960 ;
-        RECT 665.410 19.760 665.730 19.820 ;
-        RECT 670.930 19.760 671.250 19.820 ;
-      LAYER via ;
-        RECT 665.440 19.760 665.700 20.020 ;
-        RECT 670.960 19.760 671.220 20.020 ;
       LAYER met2 ;
-        RECT 671.020 20.050 671.160 54.000 ;
-        RECT 665.440 19.730 665.700 20.050 ;
-        RECT 670.960 19.730 671.220 20.050 ;
-        RECT 665.500 2.400 665.640 19.730 ;
-        RECT 665.430 0.000 665.710 2.400 ;
+        RECT 700.430 32.120 700.710 34.520 ;
     END
   END la_oen[1]
   PIN la_oen[20]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1004.430 2.960 1004.750 3.020 ;
-        RECT 1009.490 2.960 1009.810 3.020 ;
-        RECT 1004.430 2.820 1009.810 2.960 ;
-        RECT 1004.430 2.760 1004.750 2.820 ;
-        RECT 1009.490 2.760 1009.810 2.820 ;
-      LAYER via ;
-        RECT 1004.460 2.760 1004.720 3.020 ;
-        RECT 1009.520 2.760 1009.780 3.020 ;
       LAYER met2 ;
-        RECT 1009.580 3.050 1009.720 54.000 ;
-        RECT 1004.460 2.730 1004.720 3.050 ;
-        RECT 1009.520 2.730 1009.780 3.050 ;
-        RECT 1004.520 2.400 1004.660 2.730 ;
-        RECT 1004.450 0.000 1004.730 2.400 ;
+        RECT 1039.450 32.120 1039.730 34.520 ;
     END
   END la_oen[20]
   PIN la_oen[21]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1023.380 3.130 1023.520 54.000 ;
-        RECT 1022.000 2.990 1023.520 3.130 ;
-        RECT 1022.000 2.400 1022.140 2.990 ;
-        RECT 1021.930 0.000 1022.210 2.400 ;
+        RECT 1056.930 32.120 1057.210 34.520 ;
     END
   END la_oen[21]
   PIN la_oen[22]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1039.850 43.080 1040.170 43.140 ;
-        RECT 1363.690 43.080 1364.010 43.140 ;
-        RECT 1039.850 42.940 1364.010 43.080 ;
-        RECT 1039.850 42.880 1040.170 42.940 ;
-        RECT 1363.690 42.880 1364.010 42.940 ;
-      LAYER via ;
-        RECT 1039.880 42.880 1040.140 43.140 ;
-        RECT 1363.720 42.880 1363.980 43.140 ;
       LAYER met2 ;
-        RECT 1363.780 43.170 1363.920 54.000 ;
-        RECT 1039.880 42.850 1040.140 43.170 ;
-        RECT 1363.720 42.850 1363.980 43.170 ;
-        RECT 1039.940 2.400 1040.080 42.850 ;
-        RECT 1039.870 0.000 1040.150 2.400 ;
+        RECT 1074.870 32.120 1075.150 34.520 ;
     END
   END la_oen[22]
   PIN la_oen[23]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1057.790 42.740 1058.110 42.800 ;
-        RECT 1369.670 42.740 1369.990 42.800 ;
-        RECT 1057.790 42.600 1369.990 42.740 ;
-        RECT 1057.790 42.540 1058.110 42.600 ;
-        RECT 1369.670 42.540 1369.990 42.600 ;
-      LAYER via ;
-        RECT 1057.820 42.540 1058.080 42.800 ;
-        RECT 1369.700 42.540 1369.960 42.800 ;
       LAYER met2 ;
-        RECT 1369.760 42.830 1369.900 54.000 ;
-        RECT 1057.820 42.510 1058.080 42.830 ;
-        RECT 1369.700 42.510 1369.960 42.830 ;
-        RECT 1057.880 2.400 1058.020 42.510 ;
-        RECT 1057.810 0.000 1058.090 2.400 ;
+        RECT 1092.810 32.120 1093.090 34.520 ;
     END
   END la_oen[23]
   PIN la_oen[24]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1371.970 42.400 1372.290 42.460 ;
-        RECT 1093.300 42.260 1372.290 42.400 ;
-        RECT 1075.730 42.060 1076.050 42.120 ;
-        RECT 1093.300 42.060 1093.440 42.260 ;
-        RECT 1371.970 42.200 1372.290 42.260 ;
-        RECT 1075.730 41.920 1093.440 42.060 ;
-        RECT 1075.730 41.860 1076.050 41.920 ;
-      LAYER via ;
-        RECT 1075.760 41.860 1076.020 42.120 ;
-        RECT 1372.000 42.200 1372.260 42.460 ;
       LAYER met2 ;
-        RECT 1372.060 42.490 1372.200 54.000 ;
-        RECT 1372.000 42.170 1372.260 42.490 ;
-        RECT 1075.760 41.830 1076.020 42.150 ;
-        RECT 1075.820 2.400 1075.960 41.830 ;
-        RECT 1075.750 0.000 1076.030 2.400 ;
+        RECT 1110.750 32.120 1111.030 34.520 ;
     END
   END la_oen[24]
   PIN la_oen[25]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1125.945 41.905 1127.035 42.075 ;
-        RECT 1125.945 41.565 1126.115 41.905 ;
-      LAYER mcon ;
-        RECT 1126.865 41.905 1127.035 42.075 ;
-      LAYER met1 ;
-        RECT 1126.805 42.060 1127.095 42.105 ;
-        RECT 1376.570 42.060 1376.890 42.120 ;
-        RECT 1126.805 41.920 1376.890 42.060 ;
-        RECT 1126.805 41.875 1127.095 41.920 ;
-        RECT 1376.570 41.860 1376.890 41.920 ;
-        RECT 1092.750 41.720 1093.070 41.780 ;
-        RECT 1125.885 41.720 1126.175 41.765 ;
-        RECT 1092.750 41.580 1126.175 41.720 ;
-        RECT 1092.750 41.520 1093.070 41.580 ;
-        RECT 1125.885 41.535 1126.175 41.580 ;
-      LAYER via ;
-        RECT 1376.600 41.860 1376.860 42.120 ;
-        RECT 1092.780 41.520 1093.040 41.780 ;
       LAYER met2 ;
-        RECT 1376.660 42.150 1376.800 54.000 ;
-        RECT 1376.600 41.830 1376.860 42.150 ;
-        RECT 1092.780 41.490 1093.040 41.810 ;
-        RECT 1092.840 14.010 1092.980 41.490 ;
-        RECT 1092.840 13.870 1093.440 14.010 ;
-        RECT 1093.300 2.400 1093.440 13.870 ;
-        RECT 1093.230 0.000 1093.510 2.400 ;
+        RECT 1128.230 32.120 1128.510 34.520 ;
     END
   END la_oen[25]
   PIN la_oen[26]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1112.530 42.060 1112.850 42.120 ;
-        RECT 1112.530 41.920 1126.560 42.060 ;
-        RECT 1112.530 41.860 1112.850 41.920 ;
-        RECT 1126.420 41.720 1126.560 41.920 ;
-        RECT 1377.030 41.720 1377.350 41.780 ;
-        RECT 1126.420 41.580 1377.350 41.720 ;
-        RECT 1377.030 41.520 1377.350 41.580 ;
-      LAYER via ;
-        RECT 1112.560 41.860 1112.820 42.120 ;
-        RECT 1377.060 41.520 1377.320 41.780 ;
       LAYER met2 ;
-        RECT 1112.560 41.830 1112.820 42.150 ;
-        RECT 1112.620 3.130 1112.760 41.830 ;
-        RECT 1377.120 41.810 1377.260 54.000 ;
-        RECT 1377.060 41.490 1377.320 41.810 ;
-        RECT 1111.240 2.990 1112.760 3.130 ;
-        RECT 1111.240 2.400 1111.380 2.990 ;
-        RECT 1111.170 0.000 1111.450 2.400 ;
+        RECT 1146.170 32.120 1146.450 34.520 ;
     END
   END la_oen[26]
   PIN la_oen[27]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1175.090 44.780 1175.410 44.840 ;
-        RECT 1383.470 44.780 1383.790 44.840 ;
-        RECT 1175.090 44.640 1383.790 44.780 ;
-        RECT 1175.090 44.580 1175.410 44.640 ;
-        RECT 1383.470 44.580 1383.790 44.640 ;
-      LAYER via ;
-        RECT 1175.120 44.580 1175.380 44.840 ;
-        RECT 1383.500 44.580 1383.760 44.840 ;
       LAYER met2 ;
-        RECT 1383.560 44.870 1383.700 54.000 ;
-        RECT 1175.120 44.550 1175.380 44.870 ;
-        RECT 1383.500 44.550 1383.760 44.870 ;
-        RECT 1175.180 44.045 1175.320 44.550 ;
-        RECT 1129.110 43.675 1129.390 44.045 ;
-        RECT 1175.110 43.675 1175.390 44.045 ;
-        RECT 1129.180 2.400 1129.320 43.675 ;
-        RECT 1129.110 0.000 1129.390 2.400 ;
-      LAYER via2 ;
-        RECT 1129.110 43.720 1129.390 44.000 ;
-        RECT 1175.110 43.720 1175.390 44.000 ;
-      LAYER met3 ;
-        RECT 1129.085 44.010 1129.415 44.025 ;
-        RECT 1175.085 44.010 1175.415 44.025 ;
-        RECT 1129.085 43.710 1175.415 44.010 ;
-        RECT 1129.085 43.695 1129.415 43.710 ;
-        RECT 1175.085 43.695 1175.415 43.710 ;
+        RECT 1164.110 32.120 1164.390 34.520 ;
     END
   END la_oen[27]
   PIN la_oen[28]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1174.630 45.120 1174.950 45.180 ;
-        RECT 1383.930 45.120 1384.250 45.180 ;
-        RECT 1174.630 44.980 1384.250 45.120 ;
-        RECT 1174.630 44.920 1174.950 44.980 ;
-        RECT 1383.930 44.920 1384.250 44.980 ;
-      LAYER via ;
-        RECT 1174.660 44.920 1174.920 45.180 ;
-        RECT 1383.960 44.920 1384.220 45.180 ;
       LAYER met2 ;
-        RECT 1384.020 45.210 1384.160 54.000 ;
-        RECT 1174.660 44.890 1174.920 45.210 ;
-        RECT 1383.960 44.890 1384.220 45.210 ;
-        RECT 1174.720 44.725 1174.860 44.890 ;
-        RECT 1147.050 44.355 1147.330 44.725 ;
-        RECT 1174.650 44.355 1174.930 44.725 ;
-        RECT 1147.120 2.400 1147.260 44.355 ;
-        RECT 1147.050 0.000 1147.330 2.400 ;
-      LAYER via2 ;
-        RECT 1147.050 44.400 1147.330 44.680 ;
-        RECT 1174.650 44.400 1174.930 44.680 ;
-      LAYER met3 ;
-        RECT 1147.025 44.690 1147.355 44.705 ;
-        RECT 1174.625 44.690 1174.955 44.705 ;
-        RECT 1147.025 44.390 1174.955 44.690 ;
-        RECT 1147.025 44.375 1147.355 44.390 ;
-        RECT 1174.625 44.375 1174.955 44.390 ;
+        RECT 1182.050 32.120 1182.330 34.520 ;
     END
   END la_oen[28]
   PIN la_oen[29]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1390.830 45.460 1391.150 45.520 ;
-        RECT 1174.260 45.320 1391.150 45.460 ;
-        RECT 1164.970 44.780 1165.290 44.840 ;
-        RECT 1174.260 44.780 1174.400 45.320 ;
-        RECT 1390.830 45.260 1391.150 45.320 ;
-        RECT 1164.970 44.640 1174.400 44.780 ;
-        RECT 1164.970 44.580 1165.290 44.640 ;
-      LAYER via ;
-        RECT 1165.000 44.580 1165.260 44.840 ;
-        RECT 1390.860 45.260 1391.120 45.520 ;
       LAYER met2 ;
-        RECT 1390.920 45.550 1391.060 54.000 ;
-        RECT 1390.860 45.230 1391.120 45.550 ;
-        RECT 1165.000 44.550 1165.260 44.870 ;
-        RECT 1165.060 2.400 1165.200 44.550 ;
-        RECT 1164.990 0.000 1165.270 2.400 ;
+        RECT 1199.990 32.120 1200.270 34.520 ;
     END
   END la_oen[29]
   PIN la_oen[2]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1272.685 48.025 1272.855 50.575 ;
-      LAYER mcon ;
-        RECT 1272.685 50.405 1272.855 50.575 ;
-      LAYER met1 ;
-        RECT 1223.850 50.560 1224.170 50.620 ;
-        RECT 1272.625 50.560 1272.915 50.605 ;
-        RECT 1223.850 50.420 1272.915 50.560 ;
-        RECT 1223.850 50.360 1224.170 50.420 ;
-        RECT 1272.625 50.375 1272.915 50.420 ;
-        RECT 1272.625 48.180 1272.915 48.225 ;
-        RECT 1287.330 48.180 1287.650 48.240 ;
-        RECT 1272.625 48.040 1287.650 48.180 ;
-        RECT 1272.625 47.995 1272.915 48.040 ;
-        RECT 1287.330 47.980 1287.650 48.040 ;
-        RECT 682.890 45.800 683.210 45.860 ;
-        RECT 1223.850 45.800 1224.170 45.860 ;
-        RECT 682.890 45.660 1224.170 45.800 ;
-        RECT 682.890 45.600 683.210 45.660 ;
-        RECT 1223.850 45.600 1224.170 45.660 ;
-      LAYER via ;
-        RECT 1223.880 50.360 1224.140 50.620 ;
-        RECT 1287.360 47.980 1287.620 48.240 ;
-        RECT 682.920 45.600 683.180 45.860 ;
-        RECT 1223.880 45.600 1224.140 45.860 ;
       LAYER met2 ;
-        RECT 1223.880 50.330 1224.140 50.650 ;
-        RECT 1223.940 45.890 1224.080 50.330 ;
-        RECT 1287.420 48.270 1287.560 54.000 ;
-        RECT 1287.360 47.950 1287.620 48.270 ;
-        RECT 682.920 45.570 683.180 45.890 ;
-        RECT 1223.880 45.570 1224.140 45.890 ;
-        RECT 682.980 2.400 683.120 45.570 ;
-        RECT 682.910 0.000 683.190 2.400 ;
+        RECT 717.910 32.120 718.190 34.520 ;
     END
   END la_oen[2]
   PIN la_oen[30]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1224.310 45.800 1224.630 45.860 ;
-        RECT 1271.230 45.800 1271.550 45.860 ;
-        RECT 1224.310 45.660 1271.550 45.800 ;
-        RECT 1224.310 45.600 1224.630 45.660 ;
-        RECT 1271.230 45.600 1271.550 45.660 ;
-        RECT 1272.610 45.800 1272.930 45.860 ;
-        RECT 1390.370 45.800 1390.690 45.860 ;
-        RECT 1272.610 45.660 1390.690 45.800 ;
-        RECT 1272.610 45.600 1272.930 45.660 ;
-        RECT 1390.370 45.600 1390.690 45.660 ;
-        RECT 1182.450 20.640 1182.770 20.700 ;
-        RECT 1188.890 20.640 1189.210 20.700 ;
-        RECT 1182.450 20.500 1189.210 20.640 ;
-        RECT 1182.450 20.440 1182.770 20.500 ;
-        RECT 1188.890 20.440 1189.210 20.500 ;
-      LAYER via ;
-        RECT 1224.340 45.600 1224.600 45.860 ;
-        RECT 1271.260 45.600 1271.520 45.860 ;
-        RECT 1272.640 45.600 1272.900 45.860 ;
-        RECT 1390.400 45.600 1390.660 45.860 ;
-        RECT 1182.480 20.440 1182.740 20.700 ;
-        RECT 1188.920 20.440 1189.180 20.700 ;
       LAYER met2 ;
-        RECT 1188.910 45.715 1189.190 46.085 ;
-        RECT 1224.330 45.715 1224.610 46.085 ;
-        RECT 1271.250 45.715 1271.530 46.085 ;
-        RECT 1272.630 45.715 1272.910 46.085 ;
-        RECT 1390.460 45.890 1390.600 54.000 ;
-        RECT 1188.980 20.730 1189.120 45.715 ;
-        RECT 1224.340 45.570 1224.600 45.715 ;
-        RECT 1271.260 45.570 1271.520 45.715 ;
-        RECT 1272.640 45.570 1272.900 45.715 ;
-        RECT 1390.400 45.570 1390.660 45.890 ;
-        RECT 1182.480 20.410 1182.740 20.730 ;
-        RECT 1188.920 20.410 1189.180 20.730 ;
-        RECT 1182.540 2.400 1182.680 20.410 ;
-        RECT 1182.470 0.000 1182.750 2.400 ;
-      LAYER via2 ;
-        RECT 1188.910 45.760 1189.190 46.040 ;
-        RECT 1224.330 45.760 1224.610 46.040 ;
-        RECT 1271.250 45.760 1271.530 46.040 ;
-        RECT 1272.630 45.760 1272.910 46.040 ;
-      LAYER met3 ;
-        RECT 1188.885 46.050 1189.215 46.065 ;
-        RECT 1224.305 46.050 1224.635 46.065 ;
-        RECT 1188.885 45.750 1224.635 46.050 ;
-        RECT 1188.885 45.735 1189.215 45.750 ;
-        RECT 1224.305 45.735 1224.635 45.750 ;
-        RECT 1271.225 46.050 1271.555 46.065 ;
-        RECT 1272.605 46.050 1272.935 46.065 ;
-        RECT 1271.225 45.750 1272.935 46.050 ;
-        RECT 1271.225 45.735 1271.555 45.750 ;
-        RECT 1272.605 45.735 1272.935 45.750 ;
+        RECT 1217.470 32.120 1217.750 34.520 ;
     END
   END la_oen[30]
   PIN la_oen[31]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1224.310 46.820 1224.630 46.880 ;
-        RECT 1398.190 46.820 1398.510 46.880 ;
-        RECT 1224.310 46.680 1398.510 46.820 ;
-        RECT 1224.310 46.620 1224.630 46.680 ;
-        RECT 1398.190 46.620 1398.510 46.680 ;
-      LAYER via ;
-        RECT 1224.340 46.620 1224.600 46.880 ;
-        RECT 1398.220 46.620 1398.480 46.880 ;
       LAYER met2 ;
-        RECT 1398.280 46.910 1398.420 54.000 ;
-        RECT 1224.340 46.765 1224.600 46.910 ;
-        RECT 1200.410 46.395 1200.690 46.765 ;
-        RECT 1224.330 46.395 1224.610 46.765 ;
-        RECT 1398.220 46.590 1398.480 46.910 ;
-        RECT 1200.480 2.400 1200.620 46.395 ;
-        RECT 1200.410 0.000 1200.690 2.400 ;
-      LAYER via2 ;
-        RECT 1200.410 46.440 1200.690 46.720 ;
-        RECT 1224.330 46.440 1224.610 46.720 ;
-      LAYER met3 ;
-        RECT 1200.385 46.730 1200.715 46.745 ;
-        RECT 1224.305 46.730 1224.635 46.745 ;
-        RECT 1200.385 46.430 1224.635 46.730 ;
-        RECT 1200.385 46.415 1200.715 46.430 ;
-        RECT 1224.305 46.415 1224.635 46.430 ;
+        RECT 1235.410 32.120 1235.690 34.520 ;
     END
   END la_oen[31]
   PIN la_oen[32]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1344.445 14.025 1344.615 17.935 ;
-      LAYER mcon ;
-        RECT 1344.445 17.765 1344.615 17.935 ;
-      LAYER met1 ;
-        RECT 1218.330 18.260 1218.650 18.320 ;
-        RECT 1218.330 18.120 1236.040 18.260 ;
-        RECT 1218.330 18.060 1218.650 18.120 ;
-        RECT 1235.900 17.920 1236.040 18.120 ;
-        RECT 1344.385 17.920 1344.675 17.965 ;
-        RECT 1235.900 17.780 1344.675 17.920 ;
-        RECT 1344.385 17.735 1344.675 17.780 ;
-        RECT 1344.385 14.180 1344.675 14.225 ;
-        RECT 1396.350 14.180 1396.670 14.240 ;
-        RECT 1344.385 14.040 1396.670 14.180 ;
-        RECT 1344.385 13.995 1344.675 14.040 ;
-        RECT 1396.350 13.980 1396.670 14.040 ;
-      LAYER via ;
-        RECT 1218.360 18.060 1218.620 18.320 ;
-        RECT 1396.380 13.980 1396.640 14.240 ;
       LAYER met2 ;
-        RECT 1218.360 18.030 1218.620 18.350 ;
-        RECT 1218.420 2.400 1218.560 18.030 ;
-        RECT 1396.440 14.270 1396.580 54.000 ;
-        RECT 1396.380 13.950 1396.640 14.270 ;
-        RECT 1218.350 0.000 1218.630 2.400 ;
+        RECT 1253.350 32.120 1253.630 34.520 ;
     END
   END la_oen[32]
   PIN la_oen[33]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1236.270 18.260 1236.590 18.320 ;
-        RECT 1236.270 18.120 1393.360 18.260 ;
-        RECT 1236.270 18.060 1236.590 18.120 ;
-        RECT 1393.220 17.920 1393.360 18.120 ;
-        RECT 1405.090 17.920 1405.410 17.980 ;
-        RECT 1393.220 17.780 1405.410 17.920 ;
-        RECT 1405.090 17.720 1405.410 17.780 ;
-      LAYER via ;
-        RECT 1236.300 18.060 1236.560 18.320 ;
-        RECT 1405.120 17.720 1405.380 17.980 ;
       LAYER met2 ;
-        RECT 1236.300 18.030 1236.560 18.350 ;
-        RECT 1236.360 2.400 1236.500 18.030 ;
-        RECT 1405.180 18.010 1405.320 54.000 ;
-        RECT 1405.120 17.690 1405.380 18.010 ;
-        RECT 1236.290 0.000 1236.570 2.400 ;
+        RECT 1271.290 32.120 1271.570 34.520 ;
     END
   END la_oen[33]
   PIN la_oen[34]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1254.210 15.200 1254.530 15.260 ;
-        RECT 1257.890 15.200 1258.210 15.260 ;
-        RECT 1254.210 15.060 1258.210 15.200 ;
-        RECT 1254.210 15.000 1254.530 15.060 ;
-        RECT 1257.890 15.000 1258.210 15.060 ;
-      LAYER via ;
-        RECT 1254.240 15.000 1254.500 15.260 ;
-        RECT 1257.920 15.000 1258.180 15.260 ;
       LAYER met2 ;
-        RECT 1257.980 15.290 1258.120 54.000 ;
-        RECT 1254.240 14.970 1254.500 15.290 ;
-        RECT 1257.920 14.970 1258.180 15.290 ;
-        RECT 1254.300 2.400 1254.440 14.970 ;
-        RECT 1254.230 0.000 1254.510 2.400 ;
+        RECT 1289.230 32.120 1289.510 34.520 ;
     END
   END la_oen[34]
   PIN la_oen[35]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1271.780 2.400 1271.920 54.000 ;
-        RECT 1271.710 0.000 1271.990 2.400 ;
+        RECT 1306.710 32.120 1306.990 34.520 ;
     END
   END la_oen[35]
   PIN la_oen[36]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1393.205 17.255 1393.375 17.595 ;
-        RECT 1378.485 15.045 1378.655 17.255 ;
-        RECT 1392.285 17.085 1393.375 17.255 ;
-        RECT 1392.285 15.045 1392.455 17.085 ;
-      LAYER mcon ;
-        RECT 1393.205 17.425 1393.375 17.595 ;
-        RECT 1378.485 17.085 1378.655 17.255 ;
-      LAYER met1 ;
-        RECT 1393.145 17.580 1393.435 17.625 ;
-        RECT 1411.530 17.580 1411.850 17.640 ;
-        RECT 1393.145 17.440 1411.850 17.580 ;
-        RECT 1393.145 17.395 1393.435 17.440 ;
-        RECT 1411.530 17.380 1411.850 17.440 ;
-        RECT 1378.425 17.240 1378.715 17.285 ;
-        RECT 1313.640 17.100 1378.715 17.240 ;
-        RECT 1289.630 16.900 1289.950 16.960 ;
-        RECT 1313.640 16.900 1313.780 17.100 ;
-        RECT 1378.425 17.055 1378.715 17.100 ;
-        RECT 1289.630 16.760 1313.780 16.900 ;
-        RECT 1289.630 16.700 1289.950 16.760 ;
-        RECT 1378.425 15.200 1378.715 15.245 ;
-        RECT 1392.225 15.200 1392.515 15.245 ;
-        RECT 1378.425 15.060 1392.515 15.200 ;
-        RECT 1378.425 15.015 1378.715 15.060 ;
-        RECT 1392.225 15.015 1392.515 15.060 ;
-      LAYER via ;
-        RECT 1411.560 17.380 1411.820 17.640 ;
-        RECT 1289.660 16.700 1289.920 16.960 ;
       LAYER met2 ;
-        RECT 1411.620 17.670 1411.760 54.000 ;
-        RECT 1411.560 17.350 1411.820 17.670 ;
-        RECT 1289.660 16.670 1289.920 16.990 ;
-        RECT 1289.720 2.400 1289.860 16.670 ;
-        RECT 1289.650 0.000 1289.930 2.400 ;
+        RECT 1324.650 32.120 1324.930 34.520 ;
     END
   END la_oen[36]
   PIN la_oen[37]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1307.570 17.240 1307.890 17.300 ;
-        RECT 1313.090 17.240 1313.410 17.300 ;
-        RECT 1307.570 17.100 1313.410 17.240 ;
-        RECT 1307.570 17.040 1307.890 17.100 ;
-        RECT 1313.090 17.040 1313.410 17.100 ;
-      LAYER via ;
-        RECT 1307.600 17.040 1307.860 17.300 ;
-        RECT 1313.120 17.040 1313.380 17.300 ;
       LAYER met2 ;
-        RECT 1313.180 17.330 1313.320 54.000 ;
-        RECT 1307.600 17.010 1307.860 17.330 ;
-        RECT 1313.120 17.010 1313.380 17.330 ;
-        RECT 1307.660 2.400 1307.800 17.010 ;
-        RECT 1307.590 0.000 1307.870 2.400 ;
+        RECT 1342.590 32.120 1342.870 34.520 ;
     END
   END la_oen[37]
   PIN la_oen[38]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1393.665 17.935 1393.835 18.275 ;
-        RECT 1392.745 17.765 1393.835 17.935 ;
-        RECT 1392.745 17.425 1392.915 17.765 ;
-      LAYER mcon ;
-        RECT 1393.665 18.105 1393.835 18.275 ;
-      LAYER met1 ;
-        RECT 1393.605 18.260 1393.895 18.305 ;
-        RECT 1425.790 18.260 1426.110 18.320 ;
-        RECT 1393.605 18.120 1426.110 18.260 ;
-        RECT 1393.605 18.075 1393.895 18.120 ;
-        RECT 1425.790 18.060 1426.110 18.120 ;
-        RECT 1325.510 17.580 1325.830 17.640 ;
-        RECT 1392.685 17.580 1392.975 17.625 ;
-        RECT 1325.510 17.440 1392.975 17.580 ;
-        RECT 1325.510 17.380 1325.830 17.440 ;
-        RECT 1392.685 17.395 1392.975 17.440 ;
-      LAYER via ;
-        RECT 1425.820 18.060 1426.080 18.320 ;
-        RECT 1325.540 17.380 1325.800 17.640 ;
       LAYER met2 ;
-        RECT 1425.880 18.350 1426.020 54.000 ;
-        RECT 1425.820 18.030 1426.080 18.350 ;
-        RECT 1325.540 17.350 1325.800 17.670 ;
-        RECT 1325.600 2.400 1325.740 17.350 ;
-        RECT 1325.530 0.000 1325.810 2.400 ;
+        RECT 1360.530 32.120 1360.810 34.520 ;
     END
   END la_oen[38]
   PIN la_oen[39]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1419.885 19.635 1420.055 20.315 ;
-        RECT 1419.885 19.465 1420.975 19.635 ;
-      LAYER mcon ;
-        RECT 1419.885 20.145 1420.055 20.315 ;
-        RECT 1420.805 19.465 1420.975 19.635 ;
-      LAYER met1 ;
-        RECT 1425.330 48.520 1425.650 48.580 ;
-        RECT 1426.250 48.520 1426.570 48.580 ;
-        RECT 1425.330 48.380 1426.570 48.520 ;
-        RECT 1425.330 48.320 1425.650 48.380 ;
-        RECT 1426.250 48.320 1426.570 48.380 ;
-        RECT 1342.990 20.300 1343.310 20.360 ;
-        RECT 1419.825 20.300 1420.115 20.345 ;
-        RECT 1342.990 20.160 1420.115 20.300 ;
-        RECT 1342.990 20.100 1343.310 20.160 ;
-        RECT 1419.825 20.115 1420.115 20.160 ;
-        RECT 1420.745 19.620 1421.035 19.665 ;
-        RECT 1426.250 19.620 1426.570 19.680 ;
-        RECT 1420.745 19.480 1426.570 19.620 ;
-        RECT 1420.745 19.435 1421.035 19.480 ;
-        RECT 1426.250 19.420 1426.570 19.480 ;
-      LAYER via ;
-        RECT 1425.360 48.320 1425.620 48.580 ;
-        RECT 1426.280 48.320 1426.540 48.580 ;
-        RECT 1343.020 20.100 1343.280 20.360 ;
-        RECT 1426.280 19.420 1426.540 19.680 ;
       LAYER met2 ;
-        RECT 1425.420 48.610 1425.560 54.000 ;
-        RECT 1425.360 48.290 1425.620 48.610 ;
-        RECT 1426.280 48.290 1426.540 48.610 ;
-        RECT 1343.020 20.070 1343.280 20.390 ;
-        RECT 1343.080 2.400 1343.220 20.070 ;
-        RECT 1426.340 19.710 1426.480 48.290 ;
-        RECT 1426.280 19.390 1426.540 19.710 ;
-        RECT 1343.010 0.000 1343.290 2.400 ;
+        RECT 1378.010 32.120 1378.290 34.520 ;
     END
   END la_oen[39]
   PIN la_oen[3]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1224.385 46.835 1224.555 47.515 ;
-        RECT 740.465 44.965 740.635 46.835 ;
-        RECT 1223.925 46.665 1224.555 46.835 ;
-      LAYER mcon ;
-        RECT 1224.385 47.345 1224.555 47.515 ;
-        RECT 740.465 46.665 740.635 46.835 ;
-      LAYER met1 ;
-        RECT 1224.325 47.500 1224.615 47.545 ;
-        RECT 1295.150 47.500 1295.470 47.560 ;
-        RECT 1224.325 47.360 1295.470 47.500 ;
-        RECT 1224.325 47.315 1224.615 47.360 ;
-        RECT 1295.150 47.300 1295.470 47.360 ;
-        RECT 740.405 46.820 740.695 46.865 ;
-        RECT 1223.865 46.820 1224.155 46.865 ;
-        RECT 740.405 46.680 1224.155 46.820 ;
-        RECT 740.405 46.635 740.695 46.680 ;
-        RECT 1223.865 46.635 1224.155 46.680 ;
-        RECT 700.830 45.120 701.150 45.180 ;
-        RECT 740.405 45.120 740.695 45.165 ;
-        RECT 700.830 44.980 740.695 45.120 ;
-        RECT 700.830 44.920 701.150 44.980 ;
-        RECT 740.405 44.935 740.695 44.980 ;
-      LAYER via ;
-        RECT 1295.180 47.300 1295.440 47.560 ;
-        RECT 700.860 44.920 701.120 45.180 ;
       LAYER met2 ;
-        RECT 1295.240 47.590 1295.380 54.000 ;
-        RECT 1295.180 47.270 1295.440 47.590 ;
-        RECT 700.860 44.890 701.120 45.210 ;
-        RECT 700.920 2.400 701.060 44.890 ;
-        RECT 700.850 0.000 701.130 2.400 ;
+        RECT 735.850 32.120 736.130 34.520 ;
     END
   END la_oen[3]
   PIN la_oen[40]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1392.745 15.045 1392.915 16.915 ;
-      LAYER mcon ;
-        RECT 1392.745 16.745 1392.915 16.915 ;
-      LAYER met1 ;
-        RECT 1360.930 16.900 1361.250 16.960 ;
-        RECT 1392.685 16.900 1392.975 16.945 ;
-        RECT 1360.930 16.760 1392.975 16.900 ;
-        RECT 1360.930 16.700 1361.250 16.760 ;
-        RECT 1392.685 16.715 1392.975 16.760 ;
-        RECT 1392.685 15.200 1392.975 15.245 ;
-        RECT 1431.770 15.200 1432.090 15.260 ;
-        RECT 1392.685 15.060 1432.090 15.200 ;
-        RECT 1392.685 15.015 1392.975 15.060 ;
-        RECT 1431.770 15.000 1432.090 15.060 ;
-      LAYER via ;
-        RECT 1360.960 16.700 1361.220 16.960 ;
-        RECT 1431.800 15.000 1432.060 15.260 ;
       LAYER met2 ;
-        RECT 1360.960 16.670 1361.220 16.990 ;
-        RECT 1361.020 2.400 1361.160 16.670 ;
-        RECT 1431.860 15.290 1432.000 54.000 ;
-        RECT 1431.800 14.970 1432.060 15.290 ;
-        RECT 1360.950 0.000 1361.230 2.400 ;
+        RECT 1395.950 32.120 1396.230 34.520 ;
     END
   END la_oen[40]
   PIN la_oen[41]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1378.870 17.240 1379.190 17.300 ;
-        RECT 1378.870 17.100 1393.360 17.240 ;
-        RECT 1378.870 17.040 1379.190 17.100 ;
-        RECT 1393.220 16.900 1393.360 17.100 ;
-        RECT 1433.610 16.900 1433.930 16.960 ;
-        RECT 1393.220 16.760 1433.930 16.900 ;
-        RECT 1433.610 16.700 1433.930 16.760 ;
-      LAYER via ;
-        RECT 1378.900 17.040 1379.160 17.300 ;
-        RECT 1433.640 16.700 1433.900 16.960 ;
       LAYER met2 ;
-        RECT 1378.900 17.010 1379.160 17.330 ;
-        RECT 1378.960 2.400 1379.100 17.010 ;
-        RECT 1433.700 16.990 1433.840 54.000 ;
-        RECT 1433.640 16.670 1433.900 16.990 ;
-        RECT 1378.890 0.000 1379.170 2.400 ;
+        RECT 1413.890 32.120 1414.170 34.520 ;
     END
   END la_oen[41]
   PIN la_oen[42]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1400.490 17.240 1400.810 17.300 ;
-        RECT 1440.510 17.240 1440.830 17.300 ;
-        RECT 1400.490 17.100 1440.830 17.240 ;
-        RECT 1400.490 17.040 1400.810 17.100 ;
-        RECT 1440.510 17.040 1440.830 17.100 ;
-      LAYER via ;
-        RECT 1400.520 17.040 1400.780 17.300 ;
-        RECT 1440.540 17.040 1440.800 17.300 ;
       LAYER met2 ;
-        RECT 1440.600 17.330 1440.740 54.000 ;
-        RECT 1400.520 17.010 1400.780 17.330 ;
-        RECT 1440.540 17.010 1440.800 17.330 ;
-        RECT 1400.580 9.250 1400.720 17.010 ;
-        RECT 1396.900 9.110 1400.720 9.250 ;
-        RECT 1396.900 2.400 1397.040 9.110 ;
-        RECT 1396.830 0.000 1397.110 2.400 ;
+        RECT 1431.830 32.120 1432.110 34.520 ;
     END
   END la_oen[42]
   PIN la_oen[43]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1414.750 17.920 1415.070 17.980 ;
-        RECT 1439.590 17.920 1439.910 17.980 ;
-        RECT 1414.750 17.780 1439.910 17.920 ;
-        RECT 1414.750 17.720 1415.070 17.780 ;
-        RECT 1439.590 17.720 1439.910 17.780 ;
-      LAYER via ;
-        RECT 1414.780 17.720 1415.040 17.980 ;
-        RECT 1439.620 17.720 1439.880 17.980 ;
       LAYER met2 ;
-        RECT 1439.680 18.010 1439.820 54.000 ;
-        RECT 1414.780 17.690 1415.040 18.010 ;
-        RECT 1439.620 17.690 1439.880 18.010 ;
-        RECT 1414.840 2.400 1414.980 17.690 ;
-        RECT 1414.770 0.000 1415.050 2.400 ;
+        RECT 1449.770 32.120 1450.050 34.520 ;
     END
   END la_oen[43]
   PIN la_oen[44]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1432.230 19.960 1432.550 20.020 ;
-        RECT 1445.570 19.960 1445.890 20.020 ;
-        RECT 1432.230 19.820 1445.890 19.960 ;
-        RECT 1432.230 19.760 1432.550 19.820 ;
-        RECT 1445.570 19.760 1445.890 19.820 ;
-      LAYER via ;
-        RECT 1432.260 19.760 1432.520 20.020 ;
-        RECT 1445.600 19.760 1445.860 20.020 ;
       LAYER met2 ;
-        RECT 1445.660 20.050 1445.800 54.000 ;
-        RECT 1432.260 19.730 1432.520 20.050 ;
-        RECT 1445.600 19.730 1445.860 20.050 ;
-        RECT 1432.320 2.400 1432.460 19.730 ;
-        RECT 1432.250 0.000 1432.530 2.400 ;
+        RECT 1467.250 32.120 1467.530 34.520 ;
     END
   END la_oen[44]
   PIN la_oen[45]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1447.870 20.640 1448.190 20.700 ;
-        RECT 1450.170 20.640 1450.490 20.700 ;
-        RECT 1447.870 20.500 1450.490 20.640 ;
-        RECT 1447.870 20.440 1448.190 20.500 ;
-        RECT 1450.170 20.440 1450.490 20.500 ;
-      LAYER via ;
-        RECT 1447.900 20.440 1448.160 20.700 ;
-        RECT 1450.200 20.440 1450.460 20.700 ;
       LAYER met2 ;
-        RECT 1447.960 20.730 1448.100 54.000 ;
-        RECT 1447.900 20.410 1448.160 20.730 ;
-        RECT 1450.200 20.410 1450.460 20.730 ;
-        RECT 1450.260 2.400 1450.400 20.410 ;
-        RECT 1450.190 0.000 1450.470 2.400 ;
+        RECT 1485.190 32.120 1485.470 34.520 ;
     END
   END la_oen[45]
   PIN la_oen[46]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1457.070 17.240 1457.390 17.300 ;
-        RECT 1468.110 17.240 1468.430 17.300 ;
-        RECT 1457.070 17.100 1468.430 17.240 ;
-        RECT 1457.070 17.040 1457.390 17.100 ;
-        RECT 1468.110 17.040 1468.430 17.100 ;
-      LAYER via ;
-        RECT 1457.100 17.040 1457.360 17.300 ;
-        RECT 1468.140 17.040 1468.400 17.300 ;
       LAYER met2 ;
-        RECT 1457.160 17.330 1457.300 54.000 ;
-        RECT 1457.100 17.010 1457.360 17.330 ;
-        RECT 1468.140 17.010 1468.400 17.330 ;
-        RECT 1468.200 2.400 1468.340 17.010 ;
-        RECT 1468.130 0.000 1468.410 2.400 ;
+        RECT 1503.130 32.120 1503.410 34.520 ;
     END
   END la_oen[46]
   PIN la_oen[47]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1469.490 20.640 1469.810 20.700 ;
-        RECT 1486.050 20.640 1486.370 20.700 ;
-        RECT 1469.490 20.500 1486.370 20.640 ;
-        RECT 1469.490 20.440 1469.810 20.500 ;
-        RECT 1486.050 20.440 1486.370 20.500 ;
-      LAYER via ;
-        RECT 1469.520 20.440 1469.780 20.700 ;
-        RECT 1486.080 20.440 1486.340 20.700 ;
       LAYER met2 ;
-        RECT 1469.580 20.730 1469.720 54.000 ;
-        RECT 1469.520 20.410 1469.780 20.730 ;
-        RECT 1486.080 20.410 1486.340 20.730 ;
-        RECT 1486.140 2.400 1486.280 20.410 ;
-        RECT 1486.070 0.000 1486.350 2.400 ;
+        RECT 1521.070 32.120 1521.350 34.520 ;
     END
   END la_oen[47]
   PIN la_oen[48]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1463.510 17.580 1463.830 17.640 ;
-        RECT 1503.990 17.580 1504.310 17.640 ;
-        RECT 1463.510 17.440 1504.310 17.580 ;
-        RECT 1463.510 17.380 1463.830 17.440 ;
-        RECT 1503.990 17.380 1504.310 17.440 ;
-      LAYER via ;
-        RECT 1463.540 17.380 1463.800 17.640 ;
-        RECT 1504.020 17.380 1504.280 17.640 ;
       LAYER met2 ;
-        RECT 1463.600 17.670 1463.740 54.000 ;
-        RECT 1463.540 17.350 1463.800 17.670 ;
-        RECT 1504.020 17.350 1504.280 17.670 ;
-        RECT 1504.080 2.400 1504.220 17.350 ;
-        RECT 1504.010 0.000 1504.290 2.400 ;
+        RECT 1539.010 32.120 1539.290 34.520 ;
     END
   END la_oen[48]
   PIN la_oen[49]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1492.565 16.745 1492.735 19.635 ;
-      LAYER mcon ;
-        RECT 1492.565 19.465 1492.735 19.635 ;
-      LAYER met1 ;
-        RECT 1521.470 19.960 1521.790 20.020 ;
-        RECT 1512.820 19.820 1521.790 19.960 ;
-        RECT 1492.505 19.620 1492.795 19.665 ;
-        RECT 1512.820 19.620 1512.960 19.820 ;
-        RECT 1521.470 19.760 1521.790 19.820 ;
-        RECT 1492.505 19.480 1512.960 19.620 ;
-        RECT 1492.505 19.435 1492.795 19.480 ;
-        RECT 1468.570 16.900 1468.890 16.960 ;
-        RECT 1492.505 16.900 1492.795 16.945 ;
-        RECT 1468.570 16.760 1492.795 16.900 ;
-        RECT 1468.570 16.700 1468.890 16.760 ;
-        RECT 1492.505 16.715 1492.795 16.760 ;
-      LAYER via ;
-        RECT 1521.500 19.760 1521.760 20.020 ;
-        RECT 1468.600 16.700 1468.860 16.960 ;
       LAYER met2 ;
-        RECT 1468.660 16.990 1468.800 54.000 ;
-        RECT 1521.500 19.730 1521.760 20.050 ;
-        RECT 1468.600 16.670 1468.860 16.990 ;
-        RECT 1521.560 2.400 1521.700 19.730 ;
-        RECT 1521.490 0.000 1521.770 2.400 ;
+        RECT 1556.490 32.120 1556.770 34.520 ;
     END
   END la_oen[49]
   PIN la_oen[4]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1296.070 47.160 1296.390 47.220 ;
-        RECT 740.020 47.020 1296.390 47.160 ;
-        RECT 718.770 46.820 719.090 46.880 ;
-        RECT 740.020 46.820 740.160 47.020 ;
-        RECT 1296.070 46.960 1296.390 47.020 ;
-        RECT 718.770 46.680 740.160 46.820 ;
-        RECT 718.770 46.620 719.090 46.680 ;
-      LAYER via ;
-        RECT 718.800 46.620 719.060 46.880 ;
-        RECT 1296.100 46.960 1296.360 47.220 ;
       LAYER met2 ;
-        RECT 1296.160 47.250 1296.300 54.000 ;
-        RECT 1296.100 46.930 1296.360 47.250 ;
-        RECT 718.800 46.590 719.060 46.910 ;
-        RECT 718.860 2.400 719.000 46.590 ;
-        RECT 718.790 0.000 719.070 2.400 ;
+        RECT 753.790 32.120 754.070 34.520 ;
     END
   END la_oen[4]
   PIN la_oen[50]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1504.985 17.085 1505.155 17.935 ;
-      LAYER mcon ;
-        RECT 1504.985 17.765 1505.155 17.935 ;
-      LAYER met1 ;
-        RECT 1504.925 17.920 1505.215 17.965 ;
-        RECT 1539.410 17.920 1539.730 17.980 ;
-        RECT 1504.925 17.780 1539.730 17.920 ;
-        RECT 1504.925 17.735 1505.215 17.780 ;
-        RECT 1539.410 17.720 1539.730 17.780 ;
-        RECT 1471.790 17.240 1472.110 17.300 ;
-        RECT 1504.925 17.240 1505.215 17.285 ;
-        RECT 1471.790 17.100 1505.215 17.240 ;
-        RECT 1471.790 17.040 1472.110 17.100 ;
-        RECT 1504.925 17.055 1505.215 17.100 ;
-      LAYER via ;
-        RECT 1539.440 17.720 1539.700 17.980 ;
-        RECT 1471.820 17.040 1472.080 17.300 ;
       LAYER met2 ;
-        RECT 1471.880 17.330 1472.020 54.000 ;
-        RECT 1539.440 17.690 1539.700 18.010 ;
-        RECT 1471.820 17.010 1472.080 17.330 ;
-        RECT 1539.500 2.400 1539.640 17.690 ;
-        RECT 1539.430 0.000 1539.710 2.400 ;
+        RECT 1574.430 32.120 1574.710 34.520 ;
     END
   END la_oen[50]
   PIN la_oen[51]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1498.545 18.445 1498.715 20.315 ;
-      LAYER mcon ;
-        RECT 1498.545 20.145 1498.715 20.315 ;
-      LAYER met1 ;
-        RECT 1471.330 20.300 1471.650 20.360 ;
-        RECT 1498.485 20.300 1498.775 20.345 ;
-        RECT 1471.330 20.160 1498.775 20.300 ;
-        RECT 1471.330 20.100 1471.650 20.160 ;
-        RECT 1498.485 20.115 1498.775 20.160 ;
-        RECT 1498.485 18.600 1498.775 18.645 ;
-        RECT 1557.350 18.600 1557.670 18.660 ;
-        RECT 1498.485 18.460 1557.670 18.600 ;
-        RECT 1498.485 18.415 1498.775 18.460 ;
-        RECT 1557.350 18.400 1557.670 18.460 ;
-      LAYER via ;
-        RECT 1471.360 20.100 1471.620 20.360 ;
-        RECT 1557.380 18.400 1557.640 18.660 ;
       LAYER met2 ;
-        RECT 1471.420 20.390 1471.560 54.000 ;
-        RECT 1471.360 20.070 1471.620 20.390 ;
-        RECT 1557.380 18.370 1557.640 18.690 ;
-        RECT 1557.440 2.400 1557.580 18.370 ;
-        RECT 1557.370 0.000 1557.650 2.400 ;
+        RECT 1592.370 32.120 1592.650 34.520 ;
     END
   END la_oen[51]
   PIN la_oen[52]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1570.780 14.180 1570.920 54.000 ;
-        RECT 1570.780 14.040 1575.520 14.180 ;
-        RECT 1575.380 2.400 1575.520 14.040 ;
-        RECT 1575.310 0.000 1575.590 2.400 ;
+        RECT 1610.310 32.120 1610.590 34.520 ;
     END
   END la_oen[52]
   PIN la_oen[53]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1485.590 17.920 1485.910 17.980 ;
-        RECT 1485.590 17.780 1504.680 17.920 ;
-        RECT 1485.590 17.720 1485.910 17.780 ;
-        RECT 1504.540 17.580 1504.680 17.780 ;
-        RECT 1592.770 17.580 1593.090 17.640 ;
-        RECT 1504.540 17.440 1593.090 17.580 ;
-        RECT 1592.770 17.380 1593.090 17.440 ;
-      LAYER via ;
-        RECT 1485.620 17.720 1485.880 17.980 ;
-        RECT 1592.800 17.380 1593.060 17.640 ;
       LAYER met2 ;
-        RECT 1485.680 18.010 1485.820 54.000 ;
-        RECT 1485.620 17.690 1485.880 18.010 ;
-        RECT 1592.800 17.350 1593.060 17.670 ;
-        RECT 1592.860 2.400 1593.000 17.350 ;
-        RECT 1592.790 0.000 1593.070 2.400 ;
+        RECT 1627.790 32.120 1628.070 34.520 ;
     END
   END la_oen[53]
   PIN la_oen[54]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1610.340 3.130 1610.480 54.000 ;
-        RECT 1610.340 2.990 1610.940 3.130 ;
-        RECT 1610.800 2.400 1610.940 2.990 ;
-        RECT 1610.730 0.000 1611.010 2.400 ;
+        RECT 1645.730 32.120 1646.010 34.520 ;
     END
   END la_oen[54]
   PIN la_oen[55]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1624.050 2.960 1624.370 3.020 ;
-        RECT 1628.650 2.960 1628.970 3.020 ;
-        RECT 1624.050 2.820 1628.970 2.960 ;
-        RECT 1624.050 2.760 1624.370 2.820 ;
-        RECT 1628.650 2.760 1628.970 2.820 ;
-      LAYER via ;
-        RECT 1624.080 2.760 1624.340 3.020 ;
-        RECT 1628.680 2.760 1628.940 3.020 ;
       LAYER met2 ;
-        RECT 1624.140 3.050 1624.280 54.000 ;
-        RECT 1624.080 2.730 1624.340 3.050 ;
-        RECT 1628.680 2.730 1628.940 3.050 ;
-        RECT 1628.740 2.400 1628.880 2.730 ;
-        RECT 1628.670 0.000 1628.950 2.400 ;
+        RECT 1663.670 32.120 1663.950 34.520 ;
     END
   END la_oen[55]
   PIN la_oen[56]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1644.840 14.010 1644.980 54.000 ;
-        RECT 1644.840 13.870 1646.360 14.010 ;
-        RECT 1646.220 13.330 1646.360 13.870 ;
-        RECT 1646.220 13.190 1646.820 13.330 ;
-        RECT 1646.680 2.400 1646.820 13.190 ;
-        RECT 1646.610 0.000 1646.890 2.400 ;
+        RECT 1681.610 32.120 1681.890 34.520 ;
     END
   END la_oen[56]
   PIN la_oen[57]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1658.550 37.640 1658.870 37.700 ;
-        RECT 1664.530 37.640 1664.850 37.700 ;
-        RECT 1658.550 37.500 1664.850 37.640 ;
-        RECT 1658.550 37.440 1658.870 37.500 ;
-        RECT 1664.530 37.440 1664.850 37.500 ;
-      LAYER via ;
-        RECT 1658.580 37.440 1658.840 37.700 ;
-        RECT 1664.560 37.440 1664.820 37.700 ;
       LAYER met2 ;
-        RECT 1658.640 37.730 1658.780 54.000 ;
-        RECT 1658.580 37.410 1658.840 37.730 ;
-        RECT 1664.560 37.410 1664.820 37.730 ;
-        RECT 1664.620 2.400 1664.760 37.410 ;
-        RECT 1664.550 0.000 1664.830 2.400 ;
+        RECT 1699.550 32.120 1699.830 34.520 ;
     END
   END la_oen[57]
   PIN la_oen[58]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1680.720 24.210 1680.860 54.000 ;
-        RECT 1680.720 24.070 1682.240 24.210 ;
-        RECT 1682.100 2.400 1682.240 24.070 ;
-        RECT 1682.030 0.000 1682.310 2.400 ;
+        RECT 1717.030 32.120 1717.310 34.520 ;
     END
   END la_oen[58]
   PIN la_oen[59]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1700.040 2.400 1700.180 54.000 ;
-        RECT 1699.970 0.000 1700.250 2.400 ;
+        RECT 1734.970 32.120 1735.250 34.520 ;
     END
   END la_oen[59]
   PIN la_oen[5]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1224.845 47.855 1225.015 48.535 ;
-        RECT 1223.925 47.685 1225.015 47.855 ;
-        RECT 1223.925 47.345 1224.095 47.685 ;
-        RECT 1271.765 45.645 1271.935 48.535 ;
-      LAYER mcon ;
-        RECT 1224.845 48.365 1225.015 48.535 ;
-        RECT 1271.765 48.365 1271.935 48.535 ;
-      LAYER met1 ;
-        RECT 1224.785 48.520 1225.075 48.565 ;
-        RECT 1271.705 48.520 1271.995 48.565 ;
-        RECT 1224.785 48.380 1271.995 48.520 ;
-        RECT 1224.785 48.335 1225.075 48.380 ;
-        RECT 1271.705 48.335 1271.995 48.380 ;
-        RECT 736.710 47.500 737.030 47.560 ;
-        RECT 1223.865 47.500 1224.155 47.545 ;
-        RECT 736.710 47.360 1224.155 47.500 ;
-        RECT 736.710 47.300 737.030 47.360 ;
-        RECT 1223.865 47.315 1224.155 47.360 ;
-        RECT 1271.705 45.800 1271.995 45.845 ;
-        RECT 1272.150 45.800 1272.470 45.860 ;
-        RECT 1271.705 45.660 1272.470 45.800 ;
-        RECT 1271.705 45.615 1271.995 45.660 ;
-        RECT 1272.150 45.600 1272.470 45.660 ;
-        RECT 1274.450 44.100 1274.770 44.160 ;
-        RECT 1302.050 44.100 1302.370 44.160 ;
-        RECT 1274.450 43.960 1302.370 44.100 ;
-        RECT 1274.450 43.900 1274.770 43.960 ;
-        RECT 1302.050 43.900 1302.370 43.960 ;
-      LAYER via ;
-        RECT 736.740 47.300 737.000 47.560 ;
-        RECT 1272.180 45.600 1272.440 45.860 ;
-        RECT 1274.480 43.900 1274.740 44.160 ;
-        RECT 1302.080 43.900 1302.340 44.160 ;
       LAYER met2 ;
-        RECT 736.740 47.270 737.000 47.590 ;
-        RECT 736.800 2.400 736.940 47.270 ;
-        RECT 1272.180 45.570 1272.440 45.890 ;
-        RECT 1272.240 44.725 1272.380 45.570 ;
-        RECT 1272.170 44.355 1272.450 44.725 ;
-        RECT 1274.470 44.355 1274.750 44.725 ;
-        RECT 1274.540 44.190 1274.680 44.355 ;
-        RECT 1302.140 44.190 1302.280 54.000 ;
-        RECT 1274.480 43.870 1274.740 44.190 ;
-        RECT 1302.080 43.870 1302.340 44.190 ;
-        RECT 736.730 0.000 737.010 2.400 ;
-      LAYER via2 ;
-        RECT 1272.170 44.400 1272.450 44.680 ;
-        RECT 1274.470 44.400 1274.750 44.680 ;
-      LAYER met3 ;
-        RECT 1272.145 44.690 1272.475 44.705 ;
-        RECT 1274.445 44.690 1274.775 44.705 ;
-        RECT 1272.145 44.390 1274.775 44.690 ;
-        RECT 1272.145 44.375 1272.475 44.390 ;
-        RECT 1274.445 44.375 1274.775 44.390 ;
+        RECT 771.730 32.120 772.010 34.520 ;
     END
   END la_oen[5]
   PIN la_oen[60]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1713.840 25.570 1713.980 54.000 ;
-        RECT 1713.840 25.430 1718.120 25.570 ;
-        RECT 1717.980 2.400 1718.120 25.430 ;
-        RECT 1717.910 0.000 1718.190 2.400 ;
+        RECT 1752.910 32.120 1753.190 34.520 ;
     END
   END la_oen[60]
   PIN la_oen[61]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1735.460 48.805 1735.600 54.000 ;
-        RECT 1734.470 48.435 1734.750 48.805 ;
-        RECT 1735.390 48.435 1735.670 48.805 ;
-        RECT 1734.540 14.010 1734.680 48.435 ;
-        RECT 1734.540 13.870 1735.600 14.010 ;
-        RECT 1735.460 13.330 1735.600 13.870 ;
-        RECT 1735.460 13.190 1736.060 13.330 ;
-        RECT 1735.920 2.400 1736.060 13.190 ;
-        RECT 1735.850 0.000 1736.130 2.400 ;
-      LAYER via2 ;
-        RECT 1734.470 48.480 1734.750 48.760 ;
-        RECT 1735.390 48.480 1735.670 48.760 ;
-      LAYER met3 ;
-        RECT 1734.445 48.770 1734.775 48.785 ;
-        RECT 1735.365 48.770 1735.695 48.785 ;
-        RECT 1734.445 48.470 1735.695 48.770 ;
-        RECT 1734.445 48.455 1734.775 48.470 ;
-        RECT 1735.365 48.455 1735.695 48.470 ;
+        RECT 1770.850 32.120 1771.130 34.520 ;
     END
   END la_oen[61]
   PIN la_oen[62]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1748.250 12.140 1748.570 12.200 ;
-        RECT 1753.770 12.140 1754.090 12.200 ;
-        RECT 1748.250 12.000 1754.090 12.140 ;
-        RECT 1748.250 11.940 1748.570 12.000 ;
-        RECT 1753.770 11.940 1754.090 12.000 ;
-      LAYER via ;
-        RECT 1748.280 11.940 1748.540 12.200 ;
-        RECT 1753.800 11.940 1754.060 12.200 ;
       LAYER met2 ;
-        RECT 1748.340 12.230 1748.480 54.000 ;
-        RECT 1748.280 11.910 1748.540 12.230 ;
-        RECT 1753.800 11.910 1754.060 12.230 ;
-        RECT 1753.860 2.400 1754.000 11.910 ;
-        RECT 1753.790 0.000 1754.070 2.400 ;
+        RECT 1788.790 32.120 1789.070 34.520 ;
     END
   END la_oen[62]
   PIN la_oen[63]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1768.950 5.680 1769.270 5.740 ;
-        RECT 1771.250 5.680 1771.570 5.740 ;
-        RECT 1768.950 5.540 1771.570 5.680 ;
-        RECT 1768.950 5.480 1769.270 5.540 ;
-        RECT 1771.250 5.480 1771.570 5.540 ;
-      LAYER via ;
-        RECT 1768.980 5.480 1769.240 5.740 ;
-        RECT 1771.280 5.480 1771.540 5.740 ;
       LAYER met2 ;
-        RECT 1769.040 5.770 1769.180 54.000 ;
-        RECT 1768.980 5.450 1769.240 5.770 ;
-        RECT 1771.280 5.450 1771.540 5.770 ;
-        RECT 1771.340 2.400 1771.480 5.450 ;
-        RECT 1771.270 0.000 1771.550 2.400 ;
+        RECT 1806.270 32.120 1806.550 34.520 ;
     END
   END la_oen[63]
   PIN la_oen[64]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1782.750 7.040 1783.070 7.100 ;
-        RECT 1789.190 7.040 1789.510 7.100 ;
-        RECT 1782.750 6.900 1789.510 7.040 ;
-        RECT 1782.750 6.840 1783.070 6.900 ;
-        RECT 1789.190 6.840 1789.510 6.900 ;
-      LAYER via ;
-        RECT 1782.780 6.840 1783.040 7.100 ;
-        RECT 1789.220 6.840 1789.480 7.100 ;
       LAYER met2 ;
-        RECT 1782.840 7.130 1782.980 54.000 ;
-        RECT 1782.780 6.810 1783.040 7.130 ;
-        RECT 1789.220 6.810 1789.480 7.130 ;
-        RECT 1789.280 2.400 1789.420 6.810 ;
-        RECT 1789.210 0.000 1789.490 2.400 ;
+        RECT 1824.210 32.120 1824.490 34.520 ;
     END
   END la_oen[64]
   PIN la_oen[65]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1803.450 13.160 1803.770 13.220 ;
-        RECT 1807.130 13.160 1807.450 13.220 ;
-        RECT 1803.450 13.020 1807.450 13.160 ;
-        RECT 1803.450 12.960 1803.770 13.020 ;
-        RECT 1807.130 12.960 1807.450 13.020 ;
-      LAYER via ;
-        RECT 1803.480 12.960 1803.740 13.220 ;
-        RECT 1807.160 12.960 1807.420 13.220 ;
       LAYER met2 ;
-        RECT 1803.540 13.250 1803.680 54.000 ;
-        RECT 1803.480 12.930 1803.740 13.250 ;
-        RECT 1807.160 12.930 1807.420 13.250 ;
-        RECT 1807.220 2.400 1807.360 12.930 ;
-        RECT 1807.150 0.000 1807.430 2.400 ;
+        RECT 1842.150 32.120 1842.430 34.520 ;
     END
   END la_oen[65]
   PIN la_oen[66]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1824.240 37.810 1824.380 54.000 ;
-        RECT 1824.240 37.670 1825.300 37.810 ;
-        RECT 1825.160 2.400 1825.300 37.670 ;
-        RECT 1825.090 0.000 1825.370 2.400 ;
+        RECT 1860.090 32.120 1860.370 34.520 ;
     END
   END la_oen[66]
   PIN la_oen[67]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1842.180 20.810 1842.320 54.000 ;
-        RECT 1842.180 20.670 1842.780 20.810 ;
-        RECT 1842.640 2.400 1842.780 20.670 ;
-        RECT 1842.570 0.000 1842.850 2.400 ;
+        RECT 1877.570 32.120 1877.850 34.520 ;
     END
   END la_oen[67]
   PIN la_oen[68]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1860.120 12.650 1860.260 54.000 ;
-        RECT 1860.120 12.510 1860.720 12.650 ;
-        RECT 1860.580 2.400 1860.720 12.510 ;
-        RECT 1860.510 0.000 1860.790 2.400 ;
+        RECT 1895.510 32.120 1895.790 34.520 ;
     END
   END la_oen[68]
   PIN la_oen[69]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1872.450 42.060 1872.770 42.120 ;
-        RECT 1878.430 42.060 1878.750 42.120 ;
-        RECT 1872.450 41.920 1878.750 42.060 ;
-        RECT 1872.450 41.860 1872.770 41.920 ;
-        RECT 1878.430 41.860 1878.750 41.920 ;
-      LAYER via ;
-        RECT 1872.480 41.860 1872.740 42.120 ;
-        RECT 1878.460 41.860 1878.720 42.120 ;
       LAYER met2 ;
-        RECT 1872.540 42.150 1872.680 54.000 ;
-        RECT 1872.480 41.830 1872.740 42.150 ;
-        RECT 1878.460 41.830 1878.720 42.150 ;
-        RECT 1878.520 2.400 1878.660 41.830 ;
-        RECT 1878.450 0.000 1878.730 2.400 ;
+        RECT 1913.450 32.120 1913.730 34.520 ;
     END
   END la_oen[69]
   PIN la_oen[6]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 754.650 47.840 754.970 47.900 ;
-        RECT 1308.030 47.840 1308.350 47.900 ;
-        RECT 754.650 47.700 1308.350 47.840 ;
-        RECT 754.650 47.640 754.970 47.700 ;
-        RECT 1308.030 47.640 1308.350 47.700 ;
-      LAYER via ;
-        RECT 754.680 47.640 754.940 47.900 ;
-        RECT 1308.060 47.640 1308.320 47.900 ;
       LAYER met2 ;
-        RECT 1308.120 47.930 1308.260 54.000 ;
-        RECT 754.680 47.610 754.940 47.930 ;
-        RECT 1308.060 47.610 1308.320 47.930 ;
-        RECT 754.740 2.400 754.880 47.610 ;
-        RECT 754.670 0.000 754.950 2.400 ;
+        RECT 789.670 32.120 789.950 34.520 ;
     END
   END la_oen[6]
   PIN la_oen[70]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1893.150 2.960 1893.470 3.020 ;
-        RECT 1896.370 2.960 1896.690 3.020 ;
-        RECT 1893.150 2.820 1896.690 2.960 ;
-        RECT 1893.150 2.760 1893.470 2.820 ;
-        RECT 1896.370 2.760 1896.690 2.820 ;
-      LAYER via ;
-        RECT 1893.180 2.760 1893.440 3.020 ;
-        RECT 1896.400 2.760 1896.660 3.020 ;
       LAYER met2 ;
-        RECT 1893.240 3.050 1893.380 54.000 ;
-        RECT 1893.180 2.730 1893.440 3.050 ;
-        RECT 1896.400 2.730 1896.660 3.050 ;
-        RECT 1896.460 2.400 1896.600 2.730 ;
-        RECT 1896.390 0.000 1896.670 2.400 ;
+        RECT 1931.390 32.120 1931.670 34.520 ;
     END
   END la_oen[70]
   PIN la_oen[71]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1852.285 15.555 1852.455 17.935 ;
-        RECT 1852.285 15.385 1873.155 15.555 ;
-        RECT 1872.985 13.005 1873.155 15.385 ;
-      LAYER mcon ;
-        RECT 1852.285 17.765 1852.455 17.935 ;
-      LAYER met1 ;
-        RECT 1547.690 17.920 1548.010 17.980 ;
-        RECT 1852.225 17.920 1852.515 17.965 ;
-        RECT 1547.690 17.780 1852.515 17.920 ;
-        RECT 1547.690 17.720 1548.010 17.780 ;
-        RECT 1852.225 17.735 1852.515 17.780 ;
-        RECT 1872.925 13.160 1873.215 13.205 ;
-        RECT 1914.310 13.160 1914.630 13.220 ;
-        RECT 1872.925 13.020 1914.630 13.160 ;
-        RECT 1872.925 12.975 1873.215 13.020 ;
-        RECT 1914.310 12.960 1914.630 13.020 ;
-      LAYER via ;
-        RECT 1547.720 17.720 1547.980 17.980 ;
-        RECT 1914.340 12.960 1914.600 13.220 ;
       LAYER met2 ;
-        RECT 1547.780 18.010 1547.920 54.000 ;
-        RECT 1547.720 17.690 1547.980 18.010 ;
-        RECT 1914.340 12.930 1914.600 13.250 ;
-        RECT 1914.400 2.400 1914.540 12.930 ;
-        RECT 1914.330 0.000 1914.610 2.400 ;
+        RECT 1949.330 32.120 1949.610 34.520 ;
     END
   END la_oen[71]
   PIN la_oen[72]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1930.040 48.805 1930.180 54.000 ;
-        RECT 1929.970 48.435 1930.250 48.805 ;
-        RECT 1931.810 48.435 1932.090 48.805 ;
-        RECT 1931.880 2.400 1932.020 48.435 ;
-        RECT 1931.810 0.000 1932.090 2.400 ;
-      LAYER via2 ;
-        RECT 1929.970 48.480 1930.250 48.760 ;
-        RECT 1931.810 48.480 1932.090 48.760 ;
-      LAYER met3 ;
-        RECT 1929.945 48.770 1930.275 48.785 ;
-        RECT 1931.785 48.770 1932.115 48.785 ;
-        RECT 1929.945 48.470 1932.115 48.770 ;
-        RECT 1929.945 48.455 1930.275 48.470 ;
-        RECT 1931.785 48.455 1932.115 48.470 ;
+        RECT 1966.810 32.120 1967.090 34.520 ;
     END
   END la_oen[72]
   PIN la_oen[73]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1554.590 17.240 1554.910 17.300 ;
-        RECT 1949.730 17.240 1950.050 17.300 ;
-        RECT 1554.590 17.100 1950.050 17.240 ;
-        RECT 1554.590 17.040 1554.910 17.100 ;
-        RECT 1949.730 17.040 1950.050 17.100 ;
-      LAYER via ;
-        RECT 1554.620 17.040 1554.880 17.300 ;
-        RECT 1949.760 17.040 1950.020 17.300 ;
       LAYER met2 ;
-        RECT 1554.680 17.330 1554.820 54.000 ;
-        RECT 1554.620 17.010 1554.880 17.330 ;
-        RECT 1949.760 17.010 1950.020 17.330 ;
-        RECT 1949.820 2.400 1949.960 17.010 ;
-        RECT 1949.750 0.000 1950.030 2.400 ;
+        RECT 1984.750 32.120 1985.030 34.520 ;
     END
   END la_oen[73]
   PIN la_oen[74]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1967.760 2.400 1967.900 54.000 ;
-        RECT 1967.690 0.000 1967.970 2.400 ;
+        RECT 2002.690 32.120 2002.970 34.520 ;
     END
   END la_oen[74]
   PIN la_oen[75]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1559.650 14.180 1559.970 14.240 ;
-        RECT 1823.230 14.180 1823.550 14.240 ;
-        RECT 1559.650 14.040 1823.550 14.180 ;
-        RECT 1559.650 13.980 1559.970 14.040 ;
-        RECT 1823.230 13.980 1823.550 14.040 ;
-        RECT 1825.530 14.180 1825.850 14.240 ;
-        RECT 1985.610 14.180 1985.930 14.240 ;
-        RECT 1825.530 14.040 1985.930 14.180 ;
-        RECT 1825.530 13.980 1825.850 14.040 ;
-        RECT 1985.610 13.980 1985.930 14.040 ;
-      LAYER via ;
-        RECT 1559.680 13.980 1559.940 14.240 ;
-        RECT 1823.260 13.980 1823.520 14.240 ;
-        RECT 1825.560 13.980 1825.820 14.240 ;
-        RECT 1985.640 13.980 1985.900 14.240 ;
       LAYER met2 ;
-        RECT 1561.580 28.970 1561.720 54.000 ;
-        RECT 1559.740 28.830 1561.720 28.970 ;
-        RECT 1559.740 14.270 1559.880 28.830 ;
-        RECT 1559.680 13.950 1559.940 14.270 ;
-        RECT 1823.260 14.125 1823.520 14.270 ;
-        RECT 1825.560 14.125 1825.820 14.270 ;
-        RECT 1823.250 13.755 1823.530 14.125 ;
-        RECT 1825.550 13.755 1825.830 14.125 ;
-        RECT 1985.640 13.950 1985.900 14.270 ;
-        RECT 1985.700 2.400 1985.840 13.950 ;
-        RECT 1985.630 0.000 1985.910 2.400 ;
-      LAYER via2 ;
-        RECT 1823.250 13.800 1823.530 14.080 ;
-        RECT 1825.550 13.800 1825.830 14.080 ;
-      LAYER met3 ;
-        RECT 1823.225 14.090 1823.555 14.105 ;
-        RECT 1825.525 14.090 1825.855 14.105 ;
-        RECT 1823.225 13.790 1825.855 14.090 ;
-        RECT 1823.225 13.775 1823.555 13.790 ;
-        RECT 1825.525 13.775 1825.855 13.790 ;
+        RECT 2020.630 32.120 2020.910 34.520 ;
     END
   END la_oen[75]
   PIN la_oen[76]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2003.640 2.400 2003.780 54.000 ;
-        RECT 2003.570 0.000 2003.850 2.400 ;
+        RECT 2038.570 32.120 2038.850 34.520 ;
     END
   END la_oen[76]
   PIN la_oen[77]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1568.390 14.520 1568.710 14.580 ;
-        RECT 1568.390 14.380 2008.840 14.520 ;
-        RECT 1568.390 14.320 1568.710 14.380 ;
-        RECT 2008.700 14.180 2008.840 14.380 ;
-        RECT 2021.030 14.180 2021.350 14.240 ;
-        RECT 2008.700 14.040 2021.350 14.180 ;
-        RECT 2021.030 13.980 2021.350 14.040 ;
-      LAYER via ;
-        RECT 1568.420 14.320 1568.680 14.580 ;
-        RECT 2021.060 13.980 2021.320 14.240 ;
       LAYER met2 ;
-        RECT 1568.480 14.610 1568.620 54.000 ;
-        RECT 1568.420 14.290 1568.680 14.610 ;
-        RECT 2021.060 13.950 2021.320 14.270 ;
-        RECT 2021.120 2.400 2021.260 13.950 ;
-        RECT 2021.050 0.000 2021.330 2.400 ;
+        RECT 2056.050 32.120 2056.330 34.520 ;
     END
   END la_oen[77]
   PIN la_oen[78]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2038.140 3.130 2038.280 54.000 ;
-        RECT 2038.140 2.990 2039.200 3.130 ;
-        RECT 2039.060 2.400 2039.200 2.990 ;
-        RECT 2038.990 0.000 2039.270 2.400 ;
+        RECT 2073.990 32.120 2074.270 34.520 ;
     END
   END la_oen[78]
   PIN la_oen[79]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1775.465 15.045 1777.015 15.215 ;
-        RECT 1775.465 14.705 1775.635 15.045 ;
-        RECT 1776.845 14.705 1777.015 15.045 ;
-      LAYER met1 ;
-        RECT 1575.290 14.860 1575.610 14.920 ;
-        RECT 1775.405 14.860 1775.695 14.905 ;
-        RECT 1575.290 14.720 1775.695 14.860 ;
-        RECT 1575.290 14.660 1575.610 14.720 ;
-        RECT 1775.405 14.675 1775.695 14.720 ;
-        RECT 1776.785 14.860 1777.075 14.905 ;
-        RECT 1776.785 14.720 2020.800 14.860 ;
-        RECT 1776.785 14.675 1777.075 14.720 ;
-        RECT 2020.660 14.520 2020.800 14.720 ;
-        RECT 2056.910 14.520 2057.230 14.580 ;
-        RECT 2020.660 14.380 2057.230 14.520 ;
-        RECT 2056.910 14.320 2057.230 14.380 ;
-      LAYER via ;
-        RECT 1575.320 14.660 1575.580 14.920 ;
-        RECT 2056.940 14.320 2057.200 14.580 ;
       LAYER met2 ;
-        RECT 1575.380 14.950 1575.520 54.000 ;
-        RECT 1575.320 14.630 1575.580 14.950 ;
-        RECT 2056.940 14.290 2057.200 14.610 ;
-        RECT 2057.000 2.400 2057.140 14.290 ;
-        RECT 2056.930 0.000 2057.210 2.400 ;
+        RECT 2091.930 32.120 2092.210 34.520 ;
     END
   END la_oen[79]
   PIN la_oen[7]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 812.685 42.585 812.855 48.195 ;
-        RECT 1273.605 44.285 1273.775 48.535 ;
-      LAYER mcon ;
-        RECT 1273.605 48.365 1273.775 48.535 ;
-        RECT 812.685 48.025 812.855 48.195 ;
-      LAYER met1 ;
-        RECT 1273.545 48.520 1273.835 48.565 ;
-        RECT 1272.240 48.380 1273.835 48.520 ;
-        RECT 812.625 48.180 812.915 48.225 ;
-        RECT 847.570 48.180 847.890 48.240 ;
-        RECT 812.625 48.040 847.890 48.180 ;
-        RECT 812.625 47.995 812.915 48.040 ;
-        RECT 847.570 47.980 847.890 48.040 ;
-        RECT 850.790 48.180 851.110 48.240 ;
-        RECT 1272.240 48.180 1272.380 48.380 ;
-        RECT 1273.545 48.335 1273.835 48.380 ;
-        RECT 850.790 48.040 1272.380 48.180 ;
-        RECT 850.790 47.980 851.110 48.040 ;
-        RECT 1273.545 44.440 1273.835 44.485 ;
-        RECT 1309.410 44.440 1309.730 44.500 ;
-        RECT 1273.545 44.300 1309.730 44.440 ;
-        RECT 1273.545 44.255 1273.835 44.300 ;
-        RECT 1309.410 44.240 1309.730 44.300 ;
-        RECT 772.130 42.740 772.450 42.800 ;
-        RECT 812.625 42.740 812.915 42.785 ;
-        RECT 772.130 42.600 812.915 42.740 ;
-        RECT 772.130 42.540 772.450 42.600 ;
-        RECT 812.625 42.555 812.915 42.600 ;
-      LAYER via ;
-        RECT 847.600 47.980 847.860 48.240 ;
-        RECT 850.820 47.980 851.080 48.240 ;
-        RECT 1309.440 44.240 1309.700 44.500 ;
-        RECT 772.160 42.540 772.420 42.800 ;
       LAYER met2 ;
-        RECT 847.600 47.950 847.860 48.270 ;
-        RECT 850.820 47.950 851.080 48.270 ;
-        RECT 847.660 47.445 847.800 47.950 ;
-        RECT 850.880 47.445 851.020 47.950 ;
-        RECT 847.590 47.075 847.870 47.445 ;
-        RECT 850.810 47.075 851.090 47.445 ;
-        RECT 1309.500 44.530 1309.640 54.000 ;
-        RECT 1309.440 44.210 1309.700 44.530 ;
-        RECT 772.160 42.510 772.420 42.830 ;
-        RECT 772.220 2.400 772.360 42.510 ;
-        RECT 772.150 0.000 772.430 2.400 ;
-      LAYER via2 ;
-        RECT 847.590 47.120 847.870 47.400 ;
-        RECT 850.810 47.120 851.090 47.400 ;
-      LAYER met3 ;
-        RECT 847.565 47.410 847.895 47.425 ;
-        RECT 850.785 47.410 851.115 47.425 ;
-        RECT 847.565 47.110 851.115 47.410 ;
-        RECT 847.565 47.095 847.895 47.110 ;
-        RECT 850.785 47.095 851.115 47.110 ;
+        RECT 807.150 32.120 807.430 34.520 ;
     END
   END la_oen[7]
   PIN la_oen[80]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2074.940 2.400 2075.080 54.000 ;
-        RECT 2074.870 0.000 2075.150 2.400 ;
+        RECT 2109.870 32.120 2110.150 34.520 ;
     END
   END la_oen[80]
   PIN la_oen[81]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1582.190 15.200 1582.510 15.260 ;
-        RECT 2092.330 15.200 2092.650 15.260 ;
-        RECT 1582.190 15.060 2092.650 15.200 ;
-        RECT 1582.190 15.000 1582.510 15.060 ;
-        RECT 2092.330 15.000 2092.650 15.060 ;
-      LAYER via ;
-        RECT 1582.220 15.000 1582.480 15.260 ;
-        RECT 2092.360 15.000 2092.620 15.260 ;
       LAYER met2 ;
-        RECT 1582.280 15.290 1582.420 54.000 ;
-        RECT 1582.220 14.970 1582.480 15.290 ;
-        RECT 2092.360 14.970 2092.620 15.290 ;
-        RECT 2092.420 2.400 2092.560 14.970 ;
-        RECT 2092.350 0.000 2092.630 2.400 ;
+        RECT 2127.350 32.120 2127.630 34.520 ;
     END
   END la_oen[81]
   PIN la_oen[82]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1589.090 15.540 1589.410 15.600 ;
-        RECT 2110.270 15.540 2110.590 15.600 ;
-        RECT 1589.090 15.400 2110.590 15.540 ;
-        RECT 1589.090 15.340 1589.410 15.400 ;
-        RECT 2110.270 15.340 2110.590 15.400 ;
-      LAYER via ;
-        RECT 1589.120 15.340 1589.380 15.600 ;
-        RECT 2110.300 15.340 2110.560 15.600 ;
       LAYER met2 ;
-        RECT 1589.180 15.630 1589.320 54.000 ;
-        RECT 1589.120 15.310 1589.380 15.630 ;
-        RECT 2110.300 15.310 2110.560 15.630 ;
-        RECT 2110.360 2.400 2110.500 15.310 ;
-        RECT 2110.290 0.000 2110.570 2.400 ;
+        RECT 2145.290 32.120 2145.570 34.520 ;
     END
   END la_oen[82]
   PIN la_oen[83]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1595.990 15.880 1596.310 15.940 ;
-        RECT 2128.210 15.880 2128.530 15.940 ;
-        RECT 1595.990 15.740 2128.530 15.880 ;
-        RECT 1595.990 15.680 1596.310 15.740 ;
-        RECT 2128.210 15.680 2128.530 15.740 ;
-      LAYER via ;
-        RECT 1596.020 15.680 1596.280 15.940 ;
-        RECT 2128.240 15.680 2128.500 15.940 ;
       LAYER met2 ;
-        RECT 1596.080 15.970 1596.220 54.000 ;
-        RECT 1596.020 15.650 1596.280 15.970 ;
-        RECT 2128.240 15.650 2128.500 15.970 ;
-        RECT 2128.300 2.400 2128.440 15.650 ;
-        RECT 2128.230 0.000 2128.510 2.400 ;
+        RECT 2163.230 32.120 2163.510 34.520 ;
     END
   END la_oen[83]
   PIN la_oen[84]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1595.530 16.900 1595.850 16.960 ;
-        RECT 2146.150 16.900 2146.470 16.960 ;
-        RECT 1595.530 16.760 2146.470 16.900 ;
-        RECT 1595.530 16.700 1595.850 16.760 ;
-        RECT 2146.150 16.700 2146.470 16.760 ;
-      LAYER via ;
-        RECT 1595.560 16.700 1595.820 16.960 ;
-        RECT 2146.180 16.700 2146.440 16.960 ;
       LAYER met2 ;
-        RECT 1595.620 16.990 1595.760 54.000 ;
-        RECT 1595.560 16.670 1595.820 16.990 ;
-        RECT 2146.180 16.670 2146.440 16.990 ;
-        RECT 2146.240 2.400 2146.380 16.670 ;
-        RECT 2146.170 0.000 2146.450 2.400 ;
+        RECT 2181.170 32.120 2181.450 34.520 ;
     END
   END la_oen[84]
   PIN la_oen[85]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1614.465 19.465 1614.635 20.655 ;
-        RECT 2093.785 15.045 2093.955 20.655 ;
-      LAYER mcon ;
-        RECT 1614.465 20.485 1614.635 20.655 ;
-        RECT 2093.785 20.485 2093.955 20.655 ;
-      LAYER met1 ;
-        RECT 1614.405 20.640 1614.695 20.685 ;
-        RECT 2093.725 20.640 2094.015 20.685 ;
-        RECT 1614.405 20.500 2094.015 20.640 ;
-        RECT 1614.405 20.455 1614.695 20.500 ;
-        RECT 2093.725 20.455 2094.015 20.500 ;
-        RECT 1602.430 19.620 1602.750 19.680 ;
-        RECT 1614.405 19.620 1614.695 19.665 ;
-        RECT 1602.430 19.480 1614.695 19.620 ;
-        RECT 1602.430 19.420 1602.750 19.480 ;
-        RECT 1614.405 19.435 1614.695 19.480 ;
-        RECT 2164.090 15.540 2164.410 15.600 ;
-        RECT 2111.280 15.400 2164.410 15.540 ;
-        RECT 2093.725 15.200 2094.015 15.245 ;
-        RECT 2111.280 15.200 2111.420 15.400 ;
-        RECT 2164.090 15.340 2164.410 15.400 ;
-        RECT 2093.725 15.060 2111.420 15.200 ;
-        RECT 2093.725 15.015 2094.015 15.060 ;
-      LAYER via ;
-        RECT 1602.460 19.420 1602.720 19.680 ;
-        RECT 2164.120 15.340 2164.380 15.600 ;
       LAYER met2 ;
-        RECT 1602.520 19.710 1602.660 54.000 ;
-        RECT 1602.460 19.390 1602.720 19.710 ;
-        RECT 2164.120 15.310 2164.380 15.630 ;
-        RECT 2164.180 2.400 2164.320 15.310 ;
-        RECT 2164.110 0.000 2164.390 2.400 ;
+        RECT 2199.110 32.120 2199.390 34.520 ;
     END
   END la_oen[85]
   PIN la_oen[86]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1602.890 20.640 1603.210 20.700 ;
-        RECT 1602.890 20.500 1614.160 20.640 ;
-        RECT 1602.890 20.440 1603.210 20.500 ;
-        RECT 1614.020 20.300 1614.160 20.500 ;
-        RECT 2181.570 20.300 2181.890 20.360 ;
-        RECT 1614.020 20.160 2181.890 20.300 ;
-        RECT 2181.570 20.100 2181.890 20.160 ;
-      LAYER via ;
-        RECT 1602.920 20.440 1603.180 20.700 ;
-        RECT 2181.600 20.100 2181.860 20.360 ;
       LAYER met2 ;
-        RECT 1602.980 20.730 1603.120 54.000 ;
-        RECT 1602.920 20.410 1603.180 20.730 ;
-        RECT 2181.600 20.070 2181.860 20.390 ;
-        RECT 2181.660 2.400 2181.800 20.070 ;
-        RECT 2181.590 0.000 2181.870 2.400 ;
+        RECT 2216.590 32.120 2216.870 34.520 ;
     END
   END la_oen[86]
   PIN la_oen[87]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1609.330 19.960 1609.650 20.020 ;
-        RECT 2199.510 19.960 2199.830 20.020 ;
-        RECT 1609.330 19.820 2199.830 19.960 ;
-        RECT 1609.330 19.760 1609.650 19.820 ;
-        RECT 2199.510 19.760 2199.830 19.820 ;
-      LAYER via ;
-        RECT 1609.360 19.760 1609.620 20.020 ;
-        RECT 2199.540 19.760 2199.800 20.020 ;
       LAYER met2 ;
-        RECT 1609.880 41.210 1610.020 54.000 ;
-        RECT 1609.420 41.070 1610.020 41.210 ;
-        RECT 1609.420 20.050 1609.560 41.070 ;
-        RECT 1609.360 19.730 1609.620 20.050 ;
-        RECT 2199.540 19.730 2199.800 20.050 ;
-        RECT 2199.600 2.400 2199.740 19.730 ;
-        RECT 2199.530 0.000 2199.810 2.400 ;
+        RECT 2234.530 32.120 2234.810 34.520 ;
     END
   END la_oen[87]
   PIN la_oen[88]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1630.105 17.425 1630.275 19.635 ;
-      LAYER mcon ;
-        RECT 1630.105 19.465 1630.275 19.635 ;
-      LAYER met1 ;
-        RECT 1630.045 19.620 1630.335 19.665 ;
-        RECT 2217.450 19.620 2217.770 19.680 ;
-        RECT 1630.045 19.480 2217.770 19.620 ;
-        RECT 1630.045 19.435 1630.335 19.480 ;
-        RECT 2217.450 19.420 2217.770 19.480 ;
-        RECT 1608.870 17.580 1609.190 17.640 ;
-        RECT 1630.045 17.580 1630.335 17.625 ;
-        RECT 1608.870 17.440 1630.335 17.580 ;
-        RECT 1608.870 17.380 1609.190 17.440 ;
-        RECT 1630.045 17.395 1630.335 17.440 ;
-      LAYER via ;
-        RECT 2217.480 19.420 2217.740 19.680 ;
-        RECT 1608.900 17.380 1609.160 17.640 ;
       LAYER met2 ;
-        RECT 1609.420 41.890 1609.560 54.000 ;
-        RECT 1608.960 41.750 1609.560 41.890 ;
-        RECT 1608.960 17.670 1609.100 41.750 ;
-        RECT 2217.480 19.390 2217.740 19.710 ;
-        RECT 1608.900 17.350 1609.160 17.670 ;
-        RECT 2217.540 2.400 2217.680 19.390 ;
-        RECT 2217.470 0.000 2217.750 2.400 ;
+        RECT 2252.470 32.120 2252.750 34.520 ;
     END
   END la_oen[88]
   PIN la_oen[89]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1620.905 19.465 1621.075 20.995 ;
-        RECT 1658.165 18.445 1658.335 20.995 ;
-      LAYER mcon ;
-        RECT 1620.905 20.825 1621.075 20.995 ;
-        RECT 1658.165 20.825 1658.335 20.995 ;
-      LAYER met1 ;
-        RECT 1620.845 20.980 1621.135 21.025 ;
-        RECT 1658.105 20.980 1658.395 21.025 ;
-        RECT 1620.845 20.840 1658.395 20.980 ;
-        RECT 1620.845 20.795 1621.135 20.840 ;
-        RECT 1658.105 20.795 1658.395 20.840 ;
-        RECT 1614.850 19.620 1615.170 19.680 ;
-        RECT 1620.845 19.620 1621.135 19.665 ;
-        RECT 1614.850 19.480 1621.135 19.620 ;
-        RECT 1614.850 19.420 1615.170 19.480 ;
-        RECT 1620.845 19.435 1621.135 19.480 ;
-        RECT 1658.105 18.600 1658.395 18.645 ;
-        RECT 2235.390 18.600 2235.710 18.660 ;
-        RECT 1658.105 18.460 2235.710 18.600 ;
-        RECT 1658.105 18.415 1658.395 18.460 ;
-        RECT 2235.390 18.400 2235.710 18.460 ;
-      LAYER via ;
-        RECT 1614.880 19.420 1615.140 19.680 ;
-        RECT 2235.420 18.400 2235.680 18.660 ;
       LAYER met2 ;
-        RECT 1614.480 40.530 1614.620 54.000 ;
-        RECT 1614.480 40.390 1615.080 40.530 ;
-        RECT 1614.940 19.710 1615.080 40.390 ;
-        RECT 1614.880 19.390 1615.140 19.710 ;
-        RECT 2235.420 18.370 2235.680 18.690 ;
-        RECT 2235.480 2.400 2235.620 18.370 ;
-        RECT 2235.410 0.000 2235.690 2.400 ;
+        RECT 2270.410 32.120 2270.690 34.520 ;
     END
   END la_oen[89]
   PIN la_oen[8]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 814.525 42.925 814.695 44.455 ;
-        RECT 1274.065 43.945 1274.235 48.535 ;
-      LAYER mcon ;
-        RECT 1274.065 48.365 1274.235 48.535 ;
-        RECT 814.525 44.285 814.695 44.455 ;
-      LAYER met1 ;
-        RECT 1274.005 48.520 1274.295 48.565 ;
-        RECT 1274.005 48.380 1288.020 48.520 ;
-        RECT 1274.005 48.335 1274.295 48.380 ;
-        RECT 1287.880 48.180 1288.020 48.380 ;
-        RECT 1314.010 48.180 1314.330 48.240 ;
-        RECT 1287.880 48.040 1314.330 48.180 ;
-        RECT 1314.010 47.980 1314.330 48.040 ;
-        RECT 814.465 44.440 814.755 44.485 ;
-        RECT 814.465 44.300 1272.840 44.440 ;
-        RECT 814.465 44.255 814.755 44.300 ;
-        RECT 1272.700 44.100 1272.840 44.300 ;
-        RECT 1274.005 44.100 1274.295 44.145 ;
-        RECT 1272.700 43.960 1274.295 44.100 ;
-        RECT 1274.005 43.915 1274.295 43.960 ;
-        RECT 790.070 43.080 790.390 43.140 ;
-        RECT 814.465 43.080 814.755 43.125 ;
-        RECT 790.070 42.940 814.755 43.080 ;
-        RECT 790.070 42.880 790.390 42.940 ;
-        RECT 814.465 42.895 814.755 42.940 ;
-      LAYER via ;
-        RECT 1314.040 47.980 1314.300 48.240 ;
-        RECT 790.100 42.880 790.360 43.140 ;
       LAYER met2 ;
-        RECT 1314.100 48.270 1314.240 54.000 ;
-        RECT 1314.040 47.950 1314.300 48.270 ;
-        RECT 790.100 42.850 790.360 43.170 ;
-        RECT 790.160 2.400 790.300 42.850 ;
-        RECT 790.090 0.000 790.370 2.400 ;
+        RECT 825.090 32.120 825.370 34.520 ;
     END
   END la_oen[8]
   PIN la_oen[90]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1616.230 18.260 1616.550 18.320 ;
-        RECT 2253.330 18.260 2253.650 18.320 ;
-        RECT 1616.230 18.120 2253.650 18.260 ;
-        RECT 1616.230 18.060 1616.550 18.120 ;
-        RECT 2253.330 18.060 2253.650 18.120 ;
-      LAYER via ;
-        RECT 1616.260 18.060 1616.520 18.320 ;
-        RECT 2253.360 18.060 2253.620 18.320 ;
       LAYER met2 ;
-        RECT 1616.320 18.350 1616.460 54.000 ;
-        RECT 1616.260 18.030 1616.520 18.350 ;
-        RECT 2253.360 18.030 2253.620 18.350 ;
-        RECT 2253.420 2.400 2253.560 18.030 ;
-        RECT 2253.350 0.000 2253.630 2.400 ;
+        RECT 2288.350 32.120 2288.630 34.520 ;
     END
   END la_oen[90]
   PIN la_oen[91]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1629.645 19.805 1631.655 19.975 ;
-        RECT 1629.645 19.465 1629.815 19.805 ;
-        RECT 1631.485 17.425 1631.655 19.805 ;
-        RECT 1706.925 17.425 1707.095 22.695 ;
-        RECT 1728.085 12.665 1728.255 22.695 ;
-        RECT 1776.385 13.005 1776.555 14.875 ;
-        RECT 1803.525 14.195 1803.695 17.595 ;
-        RECT 1851.825 14.195 1851.995 17.595 ;
-        RECT 1803.525 14.025 1823.475 14.195 ;
-        RECT 1823.305 13.005 1823.475 14.025 ;
-        RECT 1824.685 13.005 1824.855 14.195 ;
-        RECT 1851.825 14.025 1871.775 14.195 ;
-        RECT 1871.605 13.005 1871.775 14.025 ;
-        RECT 1920.365 12.665 1920.535 17.935 ;
-      LAYER mcon ;
-        RECT 1706.925 22.525 1707.095 22.695 ;
-        RECT 1728.085 22.525 1728.255 22.695 ;
-        RECT 1920.365 17.765 1920.535 17.935 ;
-        RECT 1803.525 17.425 1803.695 17.595 ;
-        RECT 1776.385 14.705 1776.555 14.875 ;
-        RECT 1851.825 17.425 1851.995 17.595 ;
-        RECT 1824.685 14.025 1824.855 14.195 ;
-      LAYER met1 ;
-        RECT 1706.865 22.680 1707.155 22.725 ;
-        RECT 1728.025 22.680 1728.315 22.725 ;
-        RECT 1706.865 22.540 1728.315 22.680 ;
-        RECT 1706.865 22.495 1707.155 22.540 ;
-        RECT 1728.025 22.495 1728.315 22.540 ;
-        RECT 1621.290 19.620 1621.610 19.680 ;
-        RECT 1629.585 19.620 1629.875 19.665 ;
-        RECT 1621.290 19.480 1629.875 19.620 ;
-        RECT 1621.290 19.420 1621.610 19.480 ;
-        RECT 1629.585 19.435 1629.875 19.480 ;
-        RECT 1920.305 17.920 1920.595 17.965 ;
-        RECT 2270.810 17.920 2271.130 17.980 ;
-        RECT 1920.305 17.780 2271.130 17.920 ;
-        RECT 1920.305 17.735 1920.595 17.780 ;
-        RECT 2270.810 17.720 2271.130 17.780 ;
-        RECT 1631.425 17.580 1631.715 17.625 ;
-        RECT 1706.865 17.580 1707.155 17.625 ;
-        RECT 1631.425 17.440 1707.155 17.580 ;
-        RECT 1631.425 17.395 1631.715 17.440 ;
-        RECT 1706.865 17.395 1707.155 17.440 ;
-        RECT 1802.990 17.580 1803.310 17.640 ;
-        RECT 1803.465 17.580 1803.755 17.625 ;
-        RECT 1802.990 17.440 1803.755 17.580 ;
-        RECT 1802.990 17.380 1803.310 17.440 ;
-        RECT 1803.465 17.395 1803.755 17.440 ;
-        RECT 1851.290 17.580 1851.610 17.640 ;
-        RECT 1851.765 17.580 1852.055 17.625 ;
-        RECT 1851.290 17.440 1852.055 17.580 ;
-        RECT 1851.290 17.380 1851.610 17.440 ;
-        RECT 1851.765 17.395 1852.055 17.440 ;
-        RECT 1776.310 14.860 1776.630 14.920 ;
-        RECT 1776.115 14.720 1776.630 14.860 ;
-        RECT 1776.310 14.660 1776.630 14.720 ;
-        RECT 1824.610 14.180 1824.930 14.240 ;
-        RECT 1824.610 14.040 1825.125 14.180 ;
-        RECT 1824.610 13.980 1824.930 14.040 ;
-        RECT 1776.325 13.160 1776.615 13.205 ;
-        RECT 1736.840 13.020 1776.615 13.160 ;
-        RECT 1728.025 12.820 1728.315 12.865 ;
-        RECT 1736.840 12.820 1736.980 13.020 ;
-        RECT 1776.325 12.975 1776.615 13.020 ;
-        RECT 1823.245 13.160 1823.535 13.205 ;
-        RECT 1824.625 13.160 1824.915 13.205 ;
-        RECT 1823.245 13.020 1824.915 13.160 ;
-        RECT 1823.245 12.975 1823.535 13.020 ;
-        RECT 1824.625 12.975 1824.915 13.020 ;
-        RECT 1871.545 13.160 1871.835 13.205 ;
-        RECT 1871.545 13.020 1872.680 13.160 ;
-        RECT 1871.545 12.975 1871.835 13.020 ;
-        RECT 1728.025 12.680 1736.980 12.820 ;
-        RECT 1872.540 12.820 1872.680 13.020 ;
-        RECT 1920.305 12.820 1920.595 12.865 ;
-        RECT 1872.540 12.680 1920.595 12.820 ;
-        RECT 1728.025 12.635 1728.315 12.680 ;
-        RECT 1920.305 12.635 1920.595 12.680 ;
-      LAYER via ;
-        RECT 1621.320 19.420 1621.580 19.680 ;
-        RECT 2270.840 17.720 2271.100 17.980 ;
-        RECT 1803.020 17.380 1803.280 17.640 ;
-        RECT 1851.320 17.380 1851.580 17.640 ;
-        RECT 1776.340 14.660 1776.600 14.920 ;
-        RECT 1824.640 13.980 1824.900 14.240 ;
       LAYER met2 ;
-        RECT 1621.380 19.710 1621.520 54.000 ;
-        RECT 1621.320 19.390 1621.580 19.710 ;
-        RECT 2270.840 17.690 2271.100 18.010 ;
-        RECT 1803.020 17.350 1803.280 17.670 ;
-        RECT 1851.320 17.525 1851.580 17.670 ;
-        RECT 1776.340 14.805 1776.600 14.950 ;
-        RECT 1803.080 14.805 1803.220 17.350 ;
-        RECT 1824.630 17.155 1824.910 17.525 ;
-        RECT 1851.310 17.155 1851.590 17.525 ;
-        RECT 1776.330 14.435 1776.610 14.805 ;
-        RECT 1803.010 14.435 1803.290 14.805 ;
-        RECT 1824.700 14.270 1824.840 17.155 ;
-        RECT 1824.640 13.950 1824.900 14.270 ;
-        RECT 2270.900 2.400 2271.040 17.690 ;
-        RECT 2270.830 0.000 2271.110 2.400 ;
-      LAYER via2 ;
-        RECT 1824.630 17.200 1824.910 17.480 ;
-        RECT 1851.310 17.200 1851.590 17.480 ;
-        RECT 1776.330 14.480 1776.610 14.760 ;
-        RECT 1803.010 14.480 1803.290 14.760 ;
-      LAYER met3 ;
-        RECT 1824.605 17.490 1824.935 17.505 ;
-        RECT 1851.285 17.490 1851.615 17.505 ;
-        RECT 1824.605 17.190 1851.615 17.490 ;
-        RECT 1824.605 17.175 1824.935 17.190 ;
-        RECT 1851.285 17.175 1851.615 17.190 ;
-        RECT 1776.305 14.770 1776.635 14.785 ;
-        RECT 1802.985 14.770 1803.315 14.785 ;
-        RECT 1776.305 14.470 1803.315 14.770 ;
-        RECT 1776.305 14.455 1776.635 14.470 ;
-        RECT 1802.985 14.455 1803.315 14.470 ;
+        RECT 2305.830 32.120 2306.110 34.520 ;
     END
   END la_oen[91]
   PIN la_oen[92]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1657.705 18.445 1657.875 21.335 ;
-        RECT 1753.845 12.665 1754.015 17.595 ;
-        RECT 1823.765 12.665 1823.935 14.195 ;
-      LAYER mcon ;
-        RECT 1657.705 21.165 1657.875 21.335 ;
-        RECT 1753.845 17.425 1754.015 17.595 ;
-        RECT 1823.765 14.025 1823.935 14.195 ;
-      LAYER met1 ;
-        RECT 1657.645 21.320 1657.935 21.365 ;
-        RECT 1683.390 21.320 1683.710 21.380 ;
-        RECT 1657.645 21.180 1683.710 21.320 ;
-        RECT 1657.645 21.135 1657.935 21.180 ;
-        RECT 1683.390 21.120 1683.710 21.180 ;
-        RECT 1623.130 18.600 1623.450 18.660 ;
-        RECT 1657.645 18.600 1657.935 18.645 ;
-        RECT 1623.130 18.460 1657.935 18.600 ;
-        RECT 1623.130 18.400 1623.450 18.460 ;
-        RECT 1657.645 18.415 1657.935 18.460 ;
-        RECT 1707.310 17.580 1707.630 17.640 ;
-        RECT 1753.785 17.580 1754.075 17.625 ;
-        RECT 1707.310 17.440 1754.075 17.580 ;
-        RECT 1707.310 17.380 1707.630 17.440 ;
-        RECT 1753.785 17.395 1754.075 17.440 ;
-        RECT 1852.670 17.580 1852.990 17.640 ;
-        RECT 2288.750 17.580 2289.070 17.640 ;
-        RECT 1852.670 17.440 2289.070 17.580 ;
-        RECT 1852.670 17.380 1852.990 17.440 ;
-        RECT 2288.750 17.380 2289.070 17.440 ;
-        RECT 1823.690 14.180 1824.010 14.240 ;
-        RECT 1823.690 14.040 1824.205 14.180 ;
-        RECT 1823.690 13.980 1824.010 14.040 ;
-        RECT 1753.785 12.820 1754.075 12.865 ;
-        RECT 1823.705 12.820 1823.995 12.865 ;
-        RECT 1753.785 12.680 1823.995 12.820 ;
-        RECT 1753.785 12.635 1754.075 12.680 ;
-        RECT 1823.705 12.635 1823.995 12.680 ;
-      LAYER via ;
-        RECT 1683.420 21.120 1683.680 21.380 ;
-        RECT 1623.160 18.400 1623.420 18.660 ;
-        RECT 1707.340 17.380 1707.600 17.640 ;
-        RECT 1852.700 17.380 1852.960 17.640 ;
-        RECT 2288.780 17.380 2289.040 17.640 ;
-        RECT 1823.720 13.980 1823.980 14.240 ;
       LAYER met2 ;
-        RECT 1623.220 18.690 1623.360 54.000 ;
-        RECT 1683.420 21.090 1683.680 21.410 ;
-        RECT 1623.160 18.370 1623.420 18.690 ;
-        RECT 1683.480 17.525 1683.620 21.090 ;
-        RECT 1707.340 17.525 1707.600 17.670 ;
-        RECT 1683.410 17.155 1683.690 17.525 ;
-        RECT 1707.330 17.155 1707.610 17.525 ;
-        RECT 1852.700 17.350 1852.960 17.670 ;
-        RECT 2288.780 17.350 2289.040 17.670 ;
-        RECT 1852.760 15.485 1852.900 17.350 ;
-        RECT 1852.690 15.115 1852.970 15.485 ;
-        RECT 1823.710 14.435 1823.990 14.805 ;
-        RECT 1823.780 14.270 1823.920 14.435 ;
-        RECT 1823.720 13.950 1823.980 14.270 ;
-        RECT 2288.840 2.400 2288.980 17.350 ;
-        RECT 2288.770 0.000 2289.050 2.400 ;
-      LAYER via2 ;
-        RECT 1683.410 17.200 1683.690 17.480 ;
-        RECT 1707.330 17.200 1707.610 17.480 ;
-        RECT 1852.690 15.160 1852.970 15.440 ;
-        RECT 1823.710 14.480 1823.990 14.760 ;
-      LAYER met3 ;
-        RECT 1683.385 17.490 1683.715 17.505 ;
-        RECT 1707.305 17.490 1707.635 17.505 ;
-        RECT 1683.385 17.190 1707.635 17.490 ;
-        RECT 1683.385 17.175 1683.715 17.190 ;
-        RECT 1707.305 17.175 1707.635 17.190 ;
-        RECT 1852.665 15.450 1852.995 15.465 ;
-        RECT 1828.070 15.150 1852.995 15.450 ;
-        RECT 1823.685 14.770 1824.015 14.785 ;
-        RECT 1828.070 14.770 1828.370 15.150 ;
-        RECT 1852.665 15.135 1852.995 15.150 ;
-        RECT 1823.685 14.470 1828.370 14.770 ;
-        RECT 1823.685 14.455 1824.015 14.470 ;
+        RECT 2323.770 32.120 2324.050 34.520 ;
     END
   END la_oen[92]
   PIN la_oen[93]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1631.025 13.005 1631.195 17.595 ;
-        RECT 1919.905 13.005 1920.075 17.935 ;
-        RECT 1950.265 13.005 1950.435 17.255 ;
-      LAYER mcon ;
-        RECT 1919.905 17.765 1920.075 17.935 ;
-        RECT 1631.025 17.425 1631.195 17.595 ;
-        RECT 1950.265 17.085 1950.435 17.255 ;
-      LAYER met1 ;
-        RECT 1853.130 17.920 1853.450 17.980 ;
-        RECT 1919.845 17.920 1920.135 17.965 ;
-        RECT 1853.130 17.780 1920.135 17.920 ;
-        RECT 1853.130 17.720 1853.450 17.780 ;
-        RECT 1919.845 17.735 1920.135 17.780 ;
-        RECT 1630.490 17.580 1630.810 17.640 ;
-        RECT 1630.965 17.580 1631.255 17.625 ;
-        RECT 1630.490 17.440 1631.255 17.580 ;
-        RECT 1630.490 17.380 1630.810 17.440 ;
-        RECT 1630.965 17.395 1631.255 17.440 ;
-        RECT 1755.610 17.580 1755.930 17.640 ;
-        RECT 1802.530 17.580 1802.850 17.640 ;
-        RECT 1755.610 17.440 1802.850 17.580 ;
-        RECT 1755.610 17.380 1755.930 17.440 ;
-        RECT 1802.530 17.380 1802.850 17.440 ;
-        RECT 1803.910 17.580 1804.230 17.640 ;
-        RECT 1850.830 17.580 1851.150 17.640 ;
-        RECT 1803.910 17.440 1851.150 17.580 ;
-        RECT 1803.910 17.380 1804.230 17.440 ;
-        RECT 1850.830 17.380 1851.150 17.440 ;
-        RECT 1950.205 17.240 1950.495 17.285 ;
-        RECT 2306.690 17.240 2307.010 17.300 ;
-        RECT 1950.205 17.100 2307.010 17.240 ;
-        RECT 1950.205 17.055 1950.495 17.100 ;
-        RECT 2306.690 17.040 2307.010 17.100 ;
-        RECT 1630.965 13.160 1631.255 13.205 ;
-        RECT 1731.690 13.160 1732.010 13.220 ;
-        RECT 1630.965 13.020 1732.010 13.160 ;
-        RECT 1630.965 12.975 1631.255 13.020 ;
-        RECT 1731.690 12.960 1732.010 13.020 ;
-        RECT 1919.845 13.160 1920.135 13.205 ;
-        RECT 1950.205 13.160 1950.495 13.205 ;
-        RECT 1919.845 13.020 1950.495 13.160 ;
-        RECT 1919.845 12.975 1920.135 13.020 ;
-        RECT 1950.205 12.975 1950.495 13.020 ;
-      LAYER via ;
-        RECT 1853.160 17.720 1853.420 17.980 ;
-        RECT 1630.520 17.380 1630.780 17.640 ;
-        RECT 1755.640 17.380 1755.900 17.640 ;
-        RECT 1802.560 17.380 1802.820 17.640 ;
-        RECT 1803.940 17.380 1804.200 17.640 ;
-        RECT 1850.860 17.380 1851.120 17.640 ;
-        RECT 2306.720 17.040 2306.980 17.300 ;
-        RECT 1731.720 12.960 1731.980 13.220 ;
       LAYER met2 ;
-        RECT 1630.580 17.670 1630.720 54.000 ;
-        RECT 1853.160 17.690 1853.420 18.010 ;
-        RECT 1630.520 17.350 1630.780 17.670 ;
-        RECT 1755.640 17.350 1755.900 17.670 ;
-        RECT 1802.560 17.350 1802.820 17.670 ;
-        RECT 1803.940 17.350 1804.200 17.670 ;
-        RECT 1850.860 17.350 1851.120 17.670 ;
-        RECT 1755.700 16.165 1755.840 17.350 ;
-        RECT 1802.620 16.165 1802.760 17.350 ;
-        RECT 1804.000 16.165 1804.140 17.350 ;
-        RECT 1850.920 16.165 1851.060 17.350 ;
-        RECT 1853.220 16.165 1853.360 17.690 ;
-        RECT 2306.720 17.010 2306.980 17.330 ;
-        RECT 1731.710 15.795 1731.990 16.165 ;
-        RECT 1755.630 15.795 1755.910 16.165 ;
-        RECT 1802.550 15.795 1802.830 16.165 ;
-        RECT 1803.930 15.795 1804.210 16.165 ;
-        RECT 1850.850 15.795 1851.130 16.165 ;
-        RECT 1853.150 15.795 1853.430 16.165 ;
-        RECT 1731.780 13.250 1731.920 15.795 ;
-        RECT 1731.720 12.930 1731.980 13.250 ;
-        RECT 2306.780 2.400 2306.920 17.010 ;
-        RECT 2306.710 0.000 2306.990 2.400 ;
-      LAYER via2 ;
-        RECT 1731.710 15.840 1731.990 16.120 ;
-        RECT 1755.630 15.840 1755.910 16.120 ;
-        RECT 1802.550 15.840 1802.830 16.120 ;
-        RECT 1803.930 15.840 1804.210 16.120 ;
-        RECT 1850.850 15.840 1851.130 16.120 ;
-        RECT 1853.150 15.840 1853.430 16.120 ;
-      LAYER met3 ;
-        RECT 1731.685 16.130 1732.015 16.145 ;
-        RECT 1755.605 16.130 1755.935 16.145 ;
-        RECT 1731.685 15.830 1755.935 16.130 ;
-        RECT 1731.685 15.815 1732.015 15.830 ;
-        RECT 1755.605 15.815 1755.935 15.830 ;
-        RECT 1802.525 16.130 1802.855 16.145 ;
-        RECT 1803.905 16.130 1804.235 16.145 ;
-        RECT 1802.525 15.830 1804.235 16.130 ;
-        RECT 1802.525 15.815 1802.855 15.830 ;
-        RECT 1803.905 15.815 1804.235 15.830 ;
-        RECT 1850.825 16.130 1851.155 16.145 ;
-        RECT 1853.125 16.130 1853.455 16.145 ;
-        RECT 1850.825 15.830 1853.455 16.130 ;
-        RECT 1850.825 15.815 1851.155 15.830 ;
-        RECT 1853.125 15.815 1853.455 15.830 ;
+        RECT 2341.710 32.120 2341.990 34.520 ;
     END
   END la_oen[93]
   PIN la_oen[94]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1630.120 20.245 1630.260 54.000 ;
-        RECT 1630.050 19.875 1630.330 20.245 ;
-        RECT 2324.650 19.875 2324.930 20.245 ;
-        RECT 2324.720 2.400 2324.860 19.875 ;
-        RECT 2324.650 0.000 2324.930 2.400 ;
-      LAYER via2 ;
-        RECT 1630.050 19.920 1630.330 20.200 ;
-        RECT 2324.650 19.920 2324.930 20.200 ;
-      LAYER met3 ;
-        RECT 1630.025 20.210 1630.355 20.225 ;
-        RECT 2324.625 20.210 2324.955 20.225 ;
-        RECT 1630.025 19.910 2324.955 20.210 ;
-        RECT 1630.025 19.895 1630.355 19.910 ;
-        RECT 2324.625 19.895 2324.955 19.910 ;
+        RECT 2359.650 32.120 2359.930 34.520 ;
     END
   END la_oen[94]
   PIN la_oen[95]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1637.020 19.565 1637.160 54.000 ;
-        RECT 1636.950 19.195 1637.230 19.565 ;
-        RECT 2342.130 19.195 2342.410 19.565 ;
-        RECT 2342.200 2.400 2342.340 19.195 ;
-        RECT 2342.130 0.000 2342.410 2.400 ;
-      LAYER via2 ;
-        RECT 1636.950 19.240 1637.230 19.520 ;
-        RECT 2342.130 19.240 2342.410 19.520 ;
-      LAYER met3 ;
-        RECT 1636.925 19.530 1637.255 19.545 ;
-        RECT 2342.105 19.530 2342.435 19.545 ;
-        RECT 1636.925 19.230 2342.435 19.530 ;
-        RECT 1636.925 19.215 1637.255 19.230 ;
-        RECT 2342.105 19.215 2342.435 19.230 ;
+        RECT 2377.130 32.120 2377.410 34.520 ;
     END
   END la_oen[95]
   PIN la_oen[96]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1637.480 18.885 1637.620 54.000 ;
-        RECT 1637.410 18.515 1637.690 18.885 ;
-        RECT 2360.070 18.515 2360.350 18.885 ;
-        RECT 2360.140 2.400 2360.280 18.515 ;
-        RECT 2360.070 0.000 2360.350 2.400 ;
-      LAYER via2 ;
-        RECT 1637.410 18.560 1637.690 18.840 ;
-        RECT 2360.070 18.560 2360.350 18.840 ;
-      LAYER met3 ;
-        RECT 1637.385 18.850 1637.715 18.865 ;
-        RECT 2360.045 18.850 2360.375 18.865 ;
-        RECT 1637.385 18.550 2360.375 18.850 ;
-        RECT 1637.385 18.535 1637.715 18.550 ;
-        RECT 2360.045 18.535 2360.375 18.550 ;
+        RECT 2395.070 32.120 2395.350 34.520 ;
     END
   END la_oen[96]
   PIN la_oen[97]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1643.920 18.205 1644.060 54.000 ;
-        RECT 1643.850 17.835 1644.130 18.205 ;
-        RECT 2378.010 17.835 2378.290 18.205 ;
-        RECT 2378.080 2.400 2378.220 17.835 ;
-        RECT 2378.010 0.000 2378.290 2.400 ;
-      LAYER via2 ;
-        RECT 1643.850 17.880 1644.130 18.160 ;
-        RECT 2378.010 17.880 2378.290 18.160 ;
-      LAYER met3 ;
-        RECT 1643.825 18.170 1644.155 18.185 ;
-        RECT 1739.710 18.170 1740.090 18.180 ;
-        RECT 1643.825 17.870 1740.090 18.170 ;
-        RECT 1643.825 17.855 1644.155 17.870 ;
-        RECT 1739.710 17.860 1740.090 17.870 ;
-        RECT 1742.470 18.170 1742.850 18.180 ;
-        RECT 2377.985 18.170 2378.315 18.185 ;
-        RECT 1742.470 17.870 2378.315 18.170 ;
-        RECT 1742.470 17.860 1742.850 17.870 ;
-        RECT 2377.985 17.855 2378.315 17.870 ;
-      LAYER via3 ;
-        RECT 1739.740 17.860 1740.060 18.180 ;
-        RECT 1742.500 17.860 1742.820 18.180 ;
-      LAYER met4 ;
-        RECT 1739.750 18.550 1742.810 18.850 ;
-        RECT 1739.750 18.185 1740.050 18.550 ;
-        RECT 1742.510 18.185 1742.810 18.550 ;
-        RECT 1739.735 17.855 1740.065 18.185 ;
-        RECT 1742.495 17.855 1742.825 18.185 ;
+        RECT 2413.010 32.120 2413.290 34.520 ;
     END
   END la_oen[97]
   PIN la_oen[98]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1644.380 17.525 1644.520 54.000 ;
-        RECT 1644.310 17.155 1644.590 17.525 ;
-        RECT 1875.690 17.155 1875.970 17.525 ;
-        RECT 2167.790 17.155 2168.070 17.525 ;
-        RECT 2168.710 17.155 2168.990 17.525 ;
-        RECT 2395.950 17.155 2396.230 17.525 ;
-        RECT 1692.610 16.730 1692.890 16.845 ;
-        RECT 1691.760 16.590 1692.890 16.730 ;
-        RECT 1691.760 16.165 1691.900 16.590 ;
-        RECT 1692.610 16.475 1692.890 16.590 ;
-        RECT 1826.470 16.475 1826.750 16.845 ;
-        RECT 1691.690 15.795 1691.970 16.165 ;
-        RECT 1826.540 14.690 1826.680 16.475 ;
-        RECT 1875.760 14.805 1875.900 17.155 ;
-        RECT 2167.860 16.900 2168.000 17.155 ;
-        RECT 2168.780 16.900 2168.920 17.155 ;
-        RECT 2167.860 16.760 2168.920 16.900 ;
-        RECT 1828.770 14.690 1829.050 14.805 ;
-        RECT 1826.540 14.550 1829.050 14.690 ;
-        RECT 1828.770 14.435 1829.050 14.550 ;
-        RECT 1875.690 14.435 1875.970 14.805 ;
-        RECT 2396.020 2.400 2396.160 17.155 ;
-        RECT 2395.950 0.000 2396.230 2.400 ;
-      LAYER via2 ;
-        RECT 1644.310 17.200 1644.590 17.480 ;
-        RECT 1875.690 17.200 1875.970 17.480 ;
-        RECT 2167.790 17.200 2168.070 17.480 ;
-        RECT 2168.710 17.200 2168.990 17.480 ;
-        RECT 2395.950 17.200 2396.230 17.480 ;
-        RECT 1692.610 16.520 1692.890 16.800 ;
-        RECT 1826.470 16.520 1826.750 16.800 ;
-        RECT 1691.690 15.840 1691.970 16.120 ;
-        RECT 1828.770 14.480 1829.050 14.760 ;
-        RECT 1875.690 14.480 1875.970 14.760 ;
-      LAYER met3 ;
-        RECT 1644.285 17.490 1644.615 17.505 ;
-        RECT 1740.900 17.490 1742.120 17.660 ;
-        RECT 1875.665 17.490 1875.995 17.505 ;
-        RECT 2167.765 17.490 2168.095 17.505 ;
-        RECT 1644.285 17.190 1668.290 17.490 ;
-        RECT 1644.285 17.175 1644.615 17.190 ;
-        RECT 1667.990 16.130 1668.290 17.190 ;
-        RECT 1716.750 17.360 1813.650 17.490 ;
-        RECT 1716.750 17.190 1741.200 17.360 ;
-        RECT 1741.820 17.190 1813.650 17.360 ;
-        RECT 1692.585 16.810 1692.915 16.825 ;
-        RECT 1716.750 16.810 1717.050 17.190 ;
-        RECT 1692.585 16.510 1717.050 16.810 ;
-        RECT 1813.350 16.810 1813.650 17.190 ;
-        RECT 1875.665 17.190 2168.095 17.490 ;
-        RECT 1875.665 17.175 1875.995 17.190 ;
-        RECT 2167.765 17.175 2168.095 17.190 ;
-        RECT 2168.685 17.490 2169.015 17.505 ;
-        RECT 2395.925 17.490 2396.255 17.505 ;
-        RECT 2168.685 17.190 2396.255 17.490 ;
-        RECT 2168.685 17.175 2169.015 17.190 ;
-        RECT 2395.925 17.175 2396.255 17.190 ;
-        RECT 1826.445 16.810 1826.775 16.825 ;
-        RECT 1813.350 16.510 1826.775 16.810 ;
-        RECT 1692.585 16.495 1692.915 16.510 ;
-        RECT 1826.445 16.495 1826.775 16.510 ;
-        RECT 1691.665 16.130 1691.995 16.145 ;
-        RECT 1667.990 15.830 1691.995 16.130 ;
-        RECT 1691.665 15.815 1691.995 15.830 ;
-        RECT 1828.745 14.770 1829.075 14.785 ;
-        RECT 1875.665 14.770 1875.995 14.785 ;
-        RECT 1828.745 14.470 1875.995 14.770 ;
-        RECT 1828.745 14.455 1829.075 14.470 ;
-        RECT 1875.665 14.455 1875.995 14.470 ;
+        RECT 2430.950 32.120 2431.230 34.520 ;
     END
   END la_oen[98]
   PIN la_oen[99]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 2169.150 16.900 2169.470 16.960 ;
-        RECT 2258.390 16.900 2258.710 16.960 ;
-        RECT 2169.150 16.760 2258.710 16.900 ;
-        RECT 2169.150 16.700 2169.470 16.760 ;
-        RECT 2258.390 16.700 2258.710 16.760 ;
-        RECT 2332.910 16.900 2333.230 16.960 ;
-        RECT 2403.290 16.900 2403.610 16.960 ;
-        RECT 2332.910 16.760 2403.610 16.900 ;
-        RECT 2332.910 16.700 2333.230 16.760 ;
-        RECT 2403.290 16.700 2403.610 16.760 ;
-        RECT 2034.370 14.860 2034.690 14.920 ;
-        RECT 2058.290 14.860 2058.610 14.920 ;
-        RECT 2034.370 14.720 2058.610 14.860 ;
-        RECT 2034.370 14.660 2034.690 14.720 ;
-        RECT 2058.290 14.660 2058.610 14.720 ;
-        RECT 2073.010 14.860 2073.330 14.920 ;
-        RECT 2120.390 14.860 2120.710 14.920 ;
-        RECT 2073.010 14.720 2120.710 14.860 ;
-        RECT 2073.010 14.660 2073.330 14.720 ;
-        RECT 2120.390 14.660 2120.710 14.720 ;
-      LAYER via ;
-        RECT 2169.180 16.700 2169.440 16.960 ;
-        RECT 2258.420 16.700 2258.680 16.960 ;
-        RECT 2332.940 16.700 2333.200 16.960 ;
-        RECT 2403.320 16.700 2403.580 16.960 ;
-        RECT 2034.400 14.660 2034.660 14.920 ;
-        RECT 2058.320 14.660 2058.580 14.920 ;
-        RECT 2073.040 14.660 2073.300 14.920 ;
-        RECT 2120.420 14.660 2120.680 14.920 ;
       LAYER met2 ;
-        RECT 1648.980 53.990 1649.580 54.000 ;
-        RECT 1648.980 14.805 1649.120 53.990 ;
-        RECT 2072.640 17.270 2073.240 17.410 ;
-        RECT 2072.640 16.845 2072.780 17.270 ;
-        RECT 1731.250 16.475 1731.530 16.845 ;
-        RECT 1878.910 16.730 1879.190 16.845 ;
-        RECT 1879.830 16.730 1880.110 16.845 ;
-        RECT 1878.910 16.590 1880.110 16.730 ;
-        RECT 1878.910 16.475 1879.190 16.590 ;
-        RECT 1879.830 16.475 1880.110 16.590 ;
-        RECT 1962.170 16.475 1962.450 16.845 ;
-        RECT 2058.310 16.475 2058.590 16.845 ;
-        RECT 2072.570 16.475 2072.850 16.845 ;
-        RECT 1731.320 14.805 1731.460 16.475 ;
-        RECT 1962.240 14.805 1962.380 16.475 ;
-        RECT 2010.010 15.795 2010.290 16.165 ;
-        RECT 2034.390 15.795 2034.670 16.165 ;
-        RECT 2010.080 14.805 2010.220 15.795 ;
-        RECT 2034.460 14.950 2034.600 15.795 ;
-        RECT 2058.380 14.950 2058.520 16.475 ;
-        RECT 2073.100 14.950 2073.240 17.270 ;
-        RECT 2169.180 16.845 2169.440 16.990 ;
-        RECT 2169.170 16.475 2169.450 16.845 ;
-        RECT 2258.420 16.670 2258.680 16.990 ;
-        RECT 2332.940 16.670 2333.200 16.990 ;
-        RECT 2403.320 16.670 2403.580 16.990 ;
-        RECT 2258.480 16.165 2258.620 16.670 ;
-        RECT 2333.000 16.165 2333.140 16.670 ;
-        RECT 2403.380 16.165 2403.520 16.670 ;
-        RECT 2120.410 15.795 2120.690 16.165 ;
-        RECT 2258.410 15.795 2258.690 16.165 ;
-        RECT 2313.610 15.795 2313.890 16.165 ;
-        RECT 2332.930 15.795 2333.210 16.165 ;
-        RECT 2403.310 15.795 2403.590 16.165 ;
-        RECT 2413.890 15.795 2414.170 16.165 ;
-        RECT 2120.480 14.950 2120.620 15.795 ;
-        RECT 2313.680 15.485 2313.820 15.795 ;
-        RECT 2313.610 15.115 2313.890 15.485 ;
-        RECT 1648.910 14.435 1649.190 14.805 ;
-        RECT 1731.250 14.435 1731.530 14.805 ;
-        RECT 1962.170 14.435 1962.450 14.805 ;
-        RECT 2010.010 14.435 2010.290 14.805 ;
-        RECT 2034.400 14.630 2034.660 14.950 ;
-        RECT 2058.320 14.630 2058.580 14.950 ;
-        RECT 2073.040 14.630 2073.300 14.950 ;
-        RECT 2120.420 14.630 2120.680 14.950 ;
-        RECT 2413.960 2.400 2414.100 15.795 ;
-        RECT 2413.890 0.000 2414.170 2.400 ;
-      LAYER via2 ;
-        RECT 1731.250 16.520 1731.530 16.800 ;
-        RECT 1878.910 16.520 1879.190 16.800 ;
-        RECT 1879.830 16.520 1880.110 16.800 ;
-        RECT 1962.170 16.520 1962.450 16.800 ;
-        RECT 2058.310 16.520 2058.590 16.800 ;
-        RECT 2072.570 16.520 2072.850 16.800 ;
-        RECT 2010.010 15.840 2010.290 16.120 ;
-        RECT 2034.390 15.840 2034.670 16.120 ;
-        RECT 2169.170 16.520 2169.450 16.800 ;
-        RECT 2120.410 15.840 2120.690 16.120 ;
-        RECT 2258.410 15.840 2258.690 16.120 ;
-        RECT 2313.610 15.840 2313.890 16.120 ;
-        RECT 2332.930 15.840 2333.210 16.120 ;
-        RECT 2403.310 15.840 2403.590 16.120 ;
-        RECT 2413.890 15.840 2414.170 16.120 ;
-        RECT 2313.610 15.160 2313.890 15.440 ;
-        RECT 1648.910 14.480 1649.190 14.760 ;
-        RECT 1731.250 14.480 1731.530 14.760 ;
-        RECT 1962.170 14.480 1962.450 14.760 ;
-        RECT 2010.010 14.480 2010.290 14.760 ;
-      LAYER met3 ;
-        RECT 1731.225 16.810 1731.555 16.825 ;
-        RECT 1878.885 16.810 1879.215 16.825 ;
-        RECT 1731.225 16.510 1779.610 16.810 ;
-        RECT 1731.225 16.495 1731.555 16.510 ;
-        RECT 1779.310 15.450 1779.610 16.510 ;
-        RECT 1827.150 16.510 1879.215 16.810 ;
-        RECT 1827.150 15.450 1827.450 16.510 ;
-        RECT 1878.885 16.495 1879.215 16.510 ;
-        RECT 1879.805 16.810 1880.135 16.825 ;
-        RECT 1962.145 16.810 1962.475 16.825 ;
-        RECT 1879.805 16.510 1962.475 16.810 ;
-        RECT 1879.805 16.495 1880.135 16.510 ;
-        RECT 1962.145 16.495 1962.475 16.510 ;
-        RECT 2058.285 16.810 2058.615 16.825 ;
-        RECT 2072.545 16.810 2072.875 16.825 ;
-        RECT 2169.145 16.810 2169.475 16.825 ;
-        RECT 2058.285 16.510 2072.875 16.810 ;
-        RECT 2058.285 16.495 2058.615 16.510 ;
-        RECT 2072.545 16.495 2072.875 16.510 ;
-        RECT 2168.470 16.510 2169.475 16.810 ;
-        RECT 2009.985 16.130 2010.315 16.145 ;
-        RECT 2034.365 16.130 2034.695 16.145 ;
-        RECT 2009.985 15.830 2034.695 16.130 ;
-        RECT 2009.985 15.815 2010.315 15.830 ;
-        RECT 2034.365 15.815 2034.695 15.830 ;
-        RECT 2120.385 16.130 2120.715 16.145 ;
-        RECT 2120.385 15.830 2140.250 16.130 ;
-        RECT 2120.385 15.815 2120.715 15.830 ;
-        RECT 1779.310 15.150 1827.450 15.450 ;
-        RECT 2139.950 15.450 2140.250 15.830 ;
-        RECT 2168.470 15.450 2168.770 16.510 ;
-        RECT 2169.145 16.495 2169.475 16.510 ;
-        RECT 2258.385 16.130 2258.715 16.145 ;
-        RECT 2313.585 16.130 2313.915 16.145 ;
-        RECT 2332.905 16.130 2333.235 16.145 ;
-        RECT 2258.385 15.830 2266.290 16.130 ;
-        RECT 2258.385 15.815 2258.715 15.830 ;
-        RECT 2139.950 15.150 2168.770 15.450 ;
-        RECT 2265.990 15.450 2266.290 15.830 ;
-        RECT 2313.585 15.830 2333.235 16.130 ;
-        RECT 2313.585 15.815 2313.915 15.830 ;
-        RECT 2332.905 15.815 2333.235 15.830 ;
-        RECT 2403.285 16.130 2403.615 16.145 ;
-        RECT 2413.865 16.130 2414.195 16.145 ;
-        RECT 2403.285 15.830 2414.195 16.130 ;
-        RECT 2403.285 15.815 2403.615 15.830 ;
-        RECT 2413.865 15.815 2414.195 15.830 ;
-        RECT 2313.585 15.450 2313.915 15.465 ;
-        RECT 2265.990 15.150 2313.915 15.450 ;
-        RECT 2313.585 15.135 2313.915 15.150 ;
-        RECT 1648.885 14.770 1649.215 14.785 ;
-        RECT 1731.225 14.770 1731.555 14.785 ;
-        RECT 1648.885 14.470 1731.555 14.770 ;
-        RECT 1648.885 14.455 1649.215 14.470 ;
-        RECT 1731.225 14.455 1731.555 14.470 ;
-        RECT 1962.145 14.770 1962.475 14.785 ;
-        RECT 2009.985 14.770 2010.315 14.785 ;
-        RECT 1962.145 14.470 2010.315 14.770 ;
-        RECT 1962.145 14.455 1962.475 14.470 ;
-        RECT 2009.985 14.455 2010.315 14.470 ;
+        RECT 2448.890 32.120 2449.170 34.520 ;
     END
   END la_oen[99]
   PIN la_oen[9]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1273.145 44.115 1273.315 49.555 ;
-        RECT 1295.685 47.345 1295.855 49.555 ;
-        RECT 1272.225 43.945 1273.315 44.115 ;
-      LAYER mcon ;
-        RECT 1273.145 49.385 1273.315 49.555 ;
-        RECT 1295.685 49.385 1295.855 49.555 ;
-      LAYER met1 ;
-        RECT 1273.085 49.540 1273.375 49.585 ;
-        RECT 1295.625 49.540 1295.915 49.585 ;
-        RECT 1273.085 49.400 1295.915 49.540 ;
-        RECT 1273.085 49.355 1273.375 49.400 ;
-        RECT 1295.625 49.355 1295.915 49.400 ;
-        RECT 1295.625 47.500 1295.915 47.545 ;
-        RECT 1314.470 47.500 1314.790 47.560 ;
-        RECT 1295.625 47.360 1314.790 47.500 ;
-        RECT 1295.625 47.315 1295.915 47.360 ;
-        RECT 1314.470 47.300 1314.790 47.360 ;
-        RECT 808.010 44.440 808.330 44.500 ;
-        RECT 808.010 44.300 814.220 44.440 ;
-        RECT 808.010 44.240 808.330 44.300 ;
-        RECT 814.080 44.100 814.220 44.300 ;
-        RECT 1272.165 44.100 1272.455 44.145 ;
-        RECT 814.080 43.960 1272.455 44.100 ;
-        RECT 1272.165 43.915 1272.455 43.960 ;
-      LAYER via ;
-        RECT 1314.500 47.300 1314.760 47.560 ;
-        RECT 808.040 44.240 808.300 44.500 ;
       LAYER met2 ;
-        RECT 1314.560 47.590 1314.700 54.000 ;
-        RECT 1314.500 47.270 1314.760 47.590 ;
-        RECT 808.040 44.210 808.300 44.530 ;
-        RECT 808.100 2.400 808.240 44.210 ;
-        RECT 808.030 0.000 808.310 2.400 ;
+        RECT 843.030 32.120 843.310 34.520 ;
     END
   END la_oen[9]
   PIN user_clock2
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 2919.430 0.000 2919.710 2.400 ;
+        RECT 2954.430 32.120 2954.710 34.520 ;
     END
   END user_clock2
   PIN wb_clk_i
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1103.405 23.885 1103.575 25.415 ;
-        RECT 1137.905 25.075 1138.075 25.415 ;
-        RECT 1137.905 24.905 1138.995 25.075 ;
-      LAYER mcon ;
-        RECT 1103.405 25.245 1103.575 25.415 ;
-        RECT 1137.905 25.245 1138.075 25.415 ;
-        RECT 1138.825 24.905 1138.995 25.075 ;
-      LAYER met1 ;
-        RECT 1103.345 25.400 1103.635 25.445 ;
-        RECT 1137.845 25.400 1138.135 25.445 ;
-        RECT 1103.345 25.260 1138.135 25.400 ;
-        RECT 1103.345 25.215 1103.635 25.260 ;
-        RECT 1137.845 25.215 1138.135 25.260 ;
-        RECT 1138.765 25.060 1139.055 25.105 ;
-        RECT 1148.410 25.060 1148.730 25.120 ;
-        RECT 1138.765 24.920 1148.730 25.060 ;
-        RECT 1138.765 24.875 1139.055 24.920 ;
-        RECT 1148.410 24.860 1148.730 24.920 ;
-        RECT 5.310 24.040 5.630 24.100 ;
-        RECT 1103.345 24.040 1103.635 24.085 ;
-        RECT 5.310 23.900 1103.635 24.040 ;
-        RECT 5.310 23.840 5.630 23.900 ;
-        RECT 1103.345 23.855 1103.635 23.900 ;
-      LAYER via ;
-        RECT 1148.440 24.860 1148.700 25.120 ;
-        RECT 5.340 23.840 5.600 24.100 ;
       LAYER met2 ;
-        RECT 1148.500 25.150 1148.640 54.000 ;
-        RECT 1148.440 24.830 1148.700 25.150 ;
-        RECT 5.340 23.810 5.600 24.130 ;
-        RECT 5.400 2.400 5.540 23.810 ;
-        RECT 5.330 0.000 5.610 2.400 ;
+        RECT 40.330 32.120 40.610 34.520 ;
     END
   END wb_clk_i
   PIN wb_rst_i
     DIRECTION INPUT ;
+    ANTENNAGATEAREA 0.990000 ;
     PORT
       LAYER li1 ;
-        RECT 1146.645 25.585 1147.735 25.755 ;
-        RECT 1146.645 25.245 1146.815 25.585 ;
-        RECT 1147.565 25.075 1147.735 25.585 ;
-        RECT 1147.565 24.905 1149.115 25.075 ;
-      LAYER mcon ;
-        RECT 1148.945 24.905 1149.115 25.075 ;
+        RECT 91.830 44.445 93.490 44.645 ;
+      LAYER L1M1_PR_C ;
+        RECT 91.835 44.445 92.005 44.615 ;
       LAYER met1 ;
-        RECT 10.830 25.400 11.150 25.460 ;
-        RECT 1146.585 25.400 1146.875 25.445 ;
-        RECT 10.830 25.260 1103.100 25.400 ;
-        RECT 10.830 25.200 11.150 25.260 ;
-        RECT 1102.960 25.060 1103.100 25.260 ;
-        RECT 1138.380 25.260 1146.875 25.400 ;
-        RECT 1138.380 25.060 1138.520 25.260 ;
-        RECT 1146.585 25.215 1146.875 25.260 ;
-        RECT 1102.960 24.920 1138.520 25.060 ;
-        RECT 1148.885 25.060 1149.175 25.105 ;
-        RECT 1150.710 25.060 1151.030 25.120 ;
-        RECT 1148.885 24.920 1151.030 25.060 ;
-        RECT 1148.885 24.875 1149.175 24.920 ;
-        RECT 1150.710 24.860 1151.030 24.920 ;
+        RECT 45.760 48.000 46.080 48.060 ;
+        RECT 77.960 48.000 78.280 48.060 ;
+        RECT 45.760 47.860 78.280 48.000 ;
+        RECT 45.760 47.800 46.080 47.860 ;
+        RECT 77.960 47.800 78.280 47.860 ;
+        RECT 91.775 44.415 92.065 44.645 ;
+        RECT 77.960 43.920 78.280 43.980 ;
+        RECT 91.850 43.920 91.990 44.415 ;
+        RECT 77.960 43.780 91.990 43.920 ;
+        RECT 77.960 43.720 78.280 43.780 ;
       LAYER via ;
-        RECT 10.860 25.200 11.120 25.460 ;
-        RECT 1150.740 24.860 1151.000 25.120 ;
+        RECT 45.790 47.800 46.050 48.060 ;
+        RECT 77.990 47.800 78.250 48.060 ;
+        RECT 77.990 43.720 78.250 43.980 ;
       LAYER met2 ;
-        RECT 10.860 25.170 11.120 25.490 ;
-        RECT 10.920 2.400 11.060 25.170 ;
-        RECT 1150.800 25.150 1150.940 54.000 ;
-        RECT 1150.740 24.830 1151.000 25.150 ;
-        RECT 10.850 0.000 11.130 2.400 ;
+        RECT 45.790 47.770 46.050 48.090 ;
+        RECT 77.990 47.770 78.250 48.090 ;
+        RECT 45.850 34.520 45.990 47.770 ;
+        RECT 78.050 44.010 78.190 47.770 ;
+        RECT 77.990 43.690 78.250 44.010 ;
+        RECT 45.780 32.120 46.130 34.520 ;
     END
   END wb_rst_i
   PIN wbs_ack_o
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 1102.485 23.715 1102.655 25.075 ;
-        RECT 1103.865 23.715 1104.035 24.055 ;
-        RECT 1102.485 23.545 1104.035 23.715 ;
-      LAYER mcon ;
-        RECT 1102.485 24.905 1102.655 25.075 ;
-        RECT 1103.865 23.885 1104.035 24.055 ;
       LAYER met1 ;
-        RECT 16.810 25.060 17.130 25.120 ;
-        RECT 1102.425 25.060 1102.715 25.105 ;
-        RECT 16.810 24.920 1102.715 25.060 ;
-        RECT 16.810 24.860 17.130 24.920 ;
-        RECT 1102.425 24.875 1102.715 24.920 ;
-        RECT 1103.805 24.040 1104.095 24.085 ;
-        RECT 1126.330 24.040 1126.650 24.100 ;
-        RECT 1103.805 23.900 1126.650 24.040 ;
-        RECT 1103.805 23.855 1104.095 23.900 ;
-        RECT 1126.330 23.840 1126.650 23.900 ;
+        RECT 51.740 51.740 52.060 51.800 ;
+        RECT 77.040 51.740 77.360 51.800 ;
+        RECT 51.740 51.600 77.360 51.740 ;
+        RECT 51.740 51.540 52.060 51.600 ;
+        RECT 77.040 51.540 77.360 51.600 ;
       LAYER via ;
-        RECT 16.840 24.860 17.100 25.120 ;
-        RECT 1126.360 23.840 1126.620 24.100 ;
+        RECT 51.770 51.540 52.030 51.800 ;
+        RECT 77.070 51.540 77.330 51.800 ;
       LAYER met2 ;
-        RECT 1158.160 27.045 1158.300 54.000 ;
-        RECT 1158.090 26.675 1158.370 27.045 ;
-        RECT 1126.350 25.995 1126.630 26.365 ;
-        RECT 16.840 24.830 17.100 25.150 ;
-        RECT 16.900 2.400 17.040 24.830 ;
-        RECT 1126.420 24.130 1126.560 25.995 ;
-        RECT 1126.360 23.810 1126.620 24.130 ;
-        RECT 16.830 0.000 17.110 2.400 ;
-      LAYER via2 ;
-        RECT 1158.090 26.720 1158.370 27.000 ;
-        RECT 1126.350 26.040 1126.630 26.320 ;
-      LAYER met3 ;
-        RECT 1158.065 27.010 1158.395 27.025 ;
-        RECT 1127.950 26.710 1158.395 27.010 ;
-        RECT 1126.325 26.330 1126.655 26.345 ;
-        RECT 1127.950 26.330 1128.250 26.710 ;
-        RECT 1158.065 26.695 1158.395 26.710 ;
-        RECT 1126.325 26.030 1128.250 26.330 ;
-        RECT 1126.325 26.015 1126.655 26.030 ;
+        RECT 77.130 51.830 77.270 54.000 ;
+        RECT 51.770 51.510 52.030 51.830 ;
+        RECT 77.070 51.510 77.330 51.830 ;
+        RECT 51.830 34.520 51.970 51.510 ;
+        RECT 51.760 32.120 52.110 34.520 ;
     END
   END wbs_ack_o
   PIN wbs_adr_i[0]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 40.730 25.740 41.050 25.800 ;
-        RECT 1134.610 25.740 1134.930 25.800 ;
-        RECT 40.730 25.600 1134.930 25.740 ;
-        RECT 40.730 25.540 41.050 25.600 ;
-        RECT 1134.610 25.540 1134.930 25.600 ;
-      LAYER via ;
-        RECT 40.760 25.540 41.020 25.800 ;
-        RECT 1134.640 25.540 1134.900 25.800 ;
       LAYER met2 ;
-        RECT 1155.400 26.365 1155.540 54.000 ;
-        RECT 1134.630 25.995 1134.910 26.365 ;
-        RECT 1155.330 25.995 1155.610 26.365 ;
-        RECT 1134.700 25.830 1134.840 25.995 ;
-        RECT 40.760 25.510 41.020 25.830 ;
-        RECT 1134.640 25.510 1134.900 25.830 ;
-        RECT 40.820 2.400 40.960 25.510 ;
-        RECT 40.750 0.000 41.030 2.400 ;
-      LAYER via2 ;
-        RECT 1134.630 26.040 1134.910 26.320 ;
-        RECT 1155.330 26.040 1155.610 26.320 ;
-      LAYER met3 ;
-        RECT 1134.605 26.330 1134.935 26.345 ;
-        RECT 1155.305 26.330 1155.635 26.345 ;
-        RECT 1134.605 26.030 1155.635 26.330 ;
-        RECT 1134.605 26.015 1134.935 26.030 ;
-        RECT 1155.305 26.015 1155.635 26.030 ;
+        RECT 75.750 32.120 76.030 34.520 ;
     END
   END wbs_adr_i[0]
   PIN wbs_adr_i[10]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1197.260 31.125 1197.400 54.000 ;
-        RECT 243.150 30.755 243.430 31.125 ;
-        RECT 1197.190 30.755 1197.470 31.125 ;
-        RECT 243.220 2.400 243.360 30.755 ;
-        RECT 243.150 0.000 243.430 2.400 ;
-      LAYER via2 ;
-        RECT 243.150 30.800 243.430 31.080 ;
-        RECT 1197.190 30.800 1197.470 31.080 ;
-      LAYER met3 ;
-        RECT 243.125 31.090 243.455 31.105 ;
-        RECT 1197.165 31.090 1197.495 31.105 ;
-        RECT 243.125 30.790 1197.495 31.090 ;
-        RECT 243.125 30.775 243.455 30.790 ;
-        RECT 1197.165 30.775 1197.495 30.790 ;
+        RECT 278.150 32.120 278.430 34.520 ;
     END
   END wbs_adr_i[10]
   PIN wbs_adr_i[11]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1079.485 30.855 1079.655 31.535 ;
-        RECT 1079.025 30.685 1079.655 30.855 ;
-      LAYER mcon ;
-        RECT 1079.485 31.365 1079.655 31.535 ;
       LAYER met1 ;
-        RECT 1079.425 31.520 1079.715 31.565 ;
-        RECT 1175.550 31.520 1175.870 31.580 ;
-        RECT 1079.425 31.380 1175.870 31.520 ;
-        RECT 1079.425 31.335 1079.715 31.380 ;
-        RECT 1175.550 31.320 1175.870 31.380 ;
-        RECT 260.610 30.840 260.930 30.900 ;
-        RECT 1078.965 30.840 1079.255 30.885 ;
-        RECT 260.610 30.700 1079.255 30.840 ;
-        RECT 260.610 30.640 260.930 30.700 ;
-        RECT 1078.965 30.655 1079.255 30.700 ;
+        RECT 281.280 38.820 281.600 38.880 ;
+        RECT 295.540 38.820 295.860 38.880 ;
+        RECT 281.280 38.680 295.860 38.820 ;
+        RECT 281.280 38.620 281.600 38.680 ;
+        RECT 295.540 38.620 295.860 38.680 ;
       LAYER via ;
-        RECT 1175.580 31.320 1175.840 31.580 ;
-        RECT 260.640 30.640 260.900 30.900 ;
+        RECT 281.310 38.620 281.570 38.880 ;
+        RECT 295.570 38.620 295.830 38.880 ;
       LAYER met2 ;
-        RECT 1205.080 33.165 1205.220 54.000 ;
-        RECT 1175.570 32.795 1175.850 33.165 ;
-        RECT 1205.010 32.795 1205.290 33.165 ;
-        RECT 1175.640 31.610 1175.780 32.795 ;
-        RECT 1175.580 31.290 1175.840 31.610 ;
-        RECT 260.640 30.610 260.900 30.930 ;
-        RECT 260.700 2.400 260.840 30.610 ;
-        RECT 260.630 0.000 260.910 2.400 ;
-      LAYER via2 ;
-        RECT 1175.570 32.840 1175.850 33.120 ;
-        RECT 1205.010 32.840 1205.290 33.120 ;
-      LAYER met3 ;
-        RECT 1175.545 33.130 1175.875 33.145 ;
-        RECT 1204.985 33.130 1205.315 33.145 ;
-        RECT 1175.545 32.830 1205.315 33.130 ;
-        RECT 1175.545 32.815 1175.875 32.830 ;
-        RECT 1204.985 32.815 1205.315 32.830 ;
+        RECT 281.370 38.910 281.510 54.000 ;
+        RECT 281.310 38.590 281.570 38.910 ;
+        RECT 295.570 38.590 295.830 38.910 ;
+        RECT 295.630 34.520 295.770 38.590 ;
+        RECT 295.560 32.120 295.910 34.520 ;
     END
   END wbs_adr_i[11]
   PIN wbs_adr_i[12]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 278.550 31.180 278.870 31.240 ;
-        RECT 1125.870 31.180 1126.190 31.240 ;
-        RECT 278.550 31.040 1126.190 31.180 ;
-        RECT 278.550 30.980 278.870 31.040 ;
-        RECT 1125.870 30.980 1126.190 31.040 ;
-      LAYER via ;
-        RECT 278.580 30.980 278.840 31.240 ;
-        RECT 1125.900 30.980 1126.160 31.240 ;
       LAYER met2 ;
-        RECT 1204.160 31.805 1204.300 54.000 ;
-        RECT 1125.890 31.435 1126.170 31.805 ;
-        RECT 1204.090 31.435 1204.370 31.805 ;
-        RECT 1125.960 31.270 1126.100 31.435 ;
-        RECT 278.580 30.950 278.840 31.270 ;
-        RECT 1125.900 30.950 1126.160 31.270 ;
-        RECT 278.640 2.400 278.780 30.950 ;
-        RECT 278.570 0.000 278.850 2.400 ;
-      LAYER via2 ;
-        RECT 1125.890 31.480 1126.170 31.760 ;
-        RECT 1204.090 31.480 1204.370 31.760 ;
-      LAYER met3 ;
-        RECT 1125.865 31.770 1126.195 31.785 ;
-        RECT 1204.065 31.770 1204.395 31.785 ;
-        RECT 1125.865 31.470 1204.395 31.770 ;
-        RECT 1125.865 31.455 1126.195 31.470 ;
-        RECT 1204.065 31.455 1204.395 31.470 ;
+        RECT 313.570 32.120 313.850 34.520 ;
     END
   END wbs_adr_i[12]
   PIN wbs_adr_i[13]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 296.490 31.520 296.810 31.580 ;
-        RECT 1078.950 31.520 1079.270 31.580 ;
-        RECT 296.490 31.380 1079.270 31.520 ;
-        RECT 296.490 31.320 296.810 31.380 ;
-        RECT 1078.950 31.320 1079.270 31.380 ;
-      LAYER via ;
-        RECT 296.520 31.320 296.780 31.580 ;
-        RECT 1078.980 31.320 1079.240 31.580 ;
       LAYER met2 ;
-        RECT 1211.060 32.485 1211.200 54.000 ;
-        RECT 1127.270 32.115 1127.550 32.485 ;
-        RECT 1210.990 32.115 1211.270 32.485 ;
-        RECT 296.520 31.290 296.780 31.610 ;
-        RECT 1078.980 31.290 1079.240 31.610 ;
-        RECT 296.580 2.400 296.720 31.290 ;
-        RECT 1079.040 30.445 1079.180 31.290 ;
-        RECT 1078.970 30.075 1079.250 30.445 ;
-        RECT 1127.340 29.765 1127.480 32.115 ;
-        RECT 1127.270 29.395 1127.550 29.765 ;
-        RECT 296.510 0.000 296.790 2.400 ;
-      LAYER via2 ;
-        RECT 1127.270 32.160 1127.550 32.440 ;
-        RECT 1210.990 32.160 1211.270 32.440 ;
-        RECT 1078.970 30.120 1079.250 30.400 ;
-        RECT 1127.270 29.440 1127.550 29.720 ;
-      LAYER met3 ;
-        RECT 1127.245 32.450 1127.575 32.465 ;
-        RECT 1210.965 32.450 1211.295 32.465 ;
-        RECT 1127.245 32.150 1211.295 32.450 ;
-        RECT 1127.245 32.135 1127.575 32.150 ;
-        RECT 1210.965 32.135 1211.295 32.150 ;
-        RECT 1078.945 30.410 1079.275 30.425 ;
-        RECT 1078.945 30.110 1085.010 30.410 ;
-        RECT 1078.945 30.095 1079.275 30.110 ;
-        RECT 1084.710 29.730 1085.010 30.110 ;
-        RECT 1127.245 29.730 1127.575 29.745 ;
-        RECT 1084.710 29.430 1127.575 29.730 ;
-        RECT 1127.245 29.415 1127.575 29.430 ;
+        RECT 331.510 32.120 331.790 34.520 ;
     END
   END wbs_adr_i[13]
   PIN wbs_adr_i[14]
     DIRECTION INPUT ;
     PORT
       LAYER met1 ;
-        RECT 314.430 39.680 314.750 39.740 ;
-        RECT 1211.890 39.680 1212.210 39.740 ;
-        RECT 314.430 39.540 1212.210 39.680 ;
-        RECT 314.430 39.480 314.750 39.540 ;
-        RECT 1211.890 39.480 1212.210 39.540 ;
+        RECT 342.000 45.280 342.320 45.340 ;
+        RECT 349.360 45.280 349.680 45.340 ;
+        RECT 342.000 45.140 349.680 45.280 ;
+        RECT 342.000 45.080 342.320 45.140 ;
+        RECT 349.360 45.080 349.680 45.140 ;
       LAYER via ;
-        RECT 314.460 39.480 314.720 39.740 ;
-        RECT 1211.920 39.480 1212.180 39.740 ;
+        RECT 342.030 45.080 342.290 45.340 ;
+        RECT 349.390 45.080 349.650 45.340 ;
       LAYER met2 ;
-        RECT 1212.440 40.530 1212.580 54.000 ;
-        RECT 1211.980 40.390 1212.580 40.530 ;
-        RECT 1211.980 39.770 1212.120 40.390 ;
-        RECT 314.460 39.450 314.720 39.770 ;
-        RECT 1211.920 39.450 1212.180 39.770 ;
-        RECT 314.520 2.400 314.660 39.450 ;
-        RECT 314.450 0.000 314.730 2.400 ;
+        RECT 342.090 45.370 342.230 54.000 ;
+        RECT 342.030 45.050 342.290 45.370 ;
+        RECT 349.390 45.050 349.650 45.370 ;
+        RECT 349.450 34.520 349.590 45.050 ;
+        RECT 349.380 32.120 349.730 34.520 ;
     END
   END wbs_adr_i[14]
   PIN wbs_adr_i[15]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 332.370 40.020 332.690 40.080 ;
-        RECT 1217.870 40.020 1218.190 40.080 ;
-        RECT 332.370 39.880 1218.190 40.020 ;
-        RECT 332.370 39.820 332.690 39.880 ;
-        RECT 1217.870 39.820 1218.190 39.880 ;
-      LAYER via ;
-        RECT 332.400 39.820 332.660 40.080 ;
-        RECT 1217.900 39.820 1218.160 40.080 ;
       LAYER met2 ;
-        RECT 1217.960 40.110 1218.100 54.000 ;
-        RECT 332.400 39.790 332.660 40.110 ;
-        RECT 1217.900 39.790 1218.160 40.110 ;
-        RECT 332.460 2.400 332.600 39.790 ;
-        RECT 332.390 0.000 332.670 2.400 ;
+        RECT 367.390 32.120 367.670 34.520 ;
     END
   END wbs_adr_i[15]
   PIN wbs_adr_i[16]
     DIRECTION INPUT ;
     PORT
+      LAYER li1 ;
+        RECT 395.605 46.635 396.125 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 395.895 47.165 396.065 47.335 ;
       LAYER met1 ;
-        RECT 349.850 40.360 350.170 40.420 ;
-        RECT 1220.170 40.360 1220.490 40.420 ;
-        RECT 349.850 40.220 1220.490 40.360 ;
-        RECT 349.850 40.160 350.170 40.220 ;
-        RECT 1220.170 40.160 1220.490 40.220 ;
+        RECT 384.780 47.320 385.100 47.380 ;
+        RECT 395.835 47.320 396.125 47.365 ;
+        RECT 384.780 47.180 396.125 47.320 ;
+        RECT 384.780 47.120 385.100 47.180 ;
+        RECT 395.835 47.135 396.125 47.180 ;
       LAYER via ;
-        RECT 349.880 40.160 350.140 40.420 ;
-        RECT 1220.200 40.160 1220.460 40.420 ;
+        RECT 384.810 47.120 385.070 47.380 ;
       LAYER met2 ;
-        RECT 1220.260 40.450 1220.400 54.000 ;
-        RECT 349.880 40.130 350.140 40.450 ;
-        RECT 1220.200 40.130 1220.460 40.450 ;
-        RECT 349.940 2.400 350.080 40.130 ;
-        RECT 349.870 0.000 350.150 2.400 ;
+        RECT 384.810 47.090 385.070 47.410 ;
+        RECT 384.870 34.520 385.010 47.090 ;
+        RECT 384.800 32.120 385.150 34.520 ;
     END
   END wbs_adr_i[16]
   PIN wbs_adr_i[17]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 367.880 2.400 368.020 54.000 ;
-        RECT 367.810 0.000 368.090 2.400 ;
+        RECT 402.810 32.120 403.090 34.520 ;
     END
   END wbs_adr_i[17]
   PIN wbs_adr_i[18]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 385.730 15.540 386.050 15.600 ;
-        RECT 388.490 15.540 388.810 15.600 ;
-        RECT 385.730 15.400 388.810 15.540 ;
-        RECT 385.730 15.340 386.050 15.400 ;
-        RECT 388.490 15.340 388.810 15.400 ;
-      LAYER via ;
-        RECT 385.760 15.340 386.020 15.600 ;
-        RECT 388.520 15.340 388.780 15.600 ;
       LAYER met2 ;
-        RECT 388.580 15.630 388.720 54.000 ;
-        RECT 385.760 15.310 386.020 15.630 ;
-        RECT 388.520 15.310 388.780 15.630 ;
-        RECT 385.820 2.400 385.960 15.310 ;
-        RECT 385.750 0.000 386.030 2.400 ;
+        RECT 420.750 32.120 421.030 34.520 ;
     END
   END wbs_adr_i[18]
   PIN wbs_adr_i[19]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 403.670 15.540 403.990 15.600 ;
-        RECT 409.190 15.540 409.510 15.600 ;
-        RECT 403.670 15.400 409.510 15.540 ;
-        RECT 403.670 15.340 403.990 15.400 ;
-        RECT 409.190 15.340 409.510 15.400 ;
-      LAYER via ;
-        RECT 403.700 15.340 403.960 15.600 ;
-        RECT 409.220 15.340 409.480 15.600 ;
       LAYER met2 ;
-        RECT 409.280 15.630 409.420 54.000 ;
-        RECT 403.700 15.310 403.960 15.630 ;
-        RECT 409.220 15.310 409.480 15.630 ;
-        RECT 403.760 2.400 403.900 15.310 ;
-        RECT 403.690 0.000 403.970 2.400 ;
+        RECT 438.620 32.120 438.970 34.520 ;
     END
   END wbs_adr_i[19]
   PIN wbs_adr_i[1]
     DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.891000 ;
     PORT
       LAYER li1 ;
-        RECT 933.205 38.845 933.375 42.075 ;
-        RECT 981.965 39.185 982.135 42.075 ;
-      LAYER mcon ;
-        RECT 933.205 41.905 933.375 42.075 ;
-        RECT 981.965 41.905 982.135 42.075 ;
+        RECT 148.355 50.430 148.690 50.855 ;
+        RECT 149.210 50.430 149.545 50.855 ;
+        RECT 148.355 50.260 150.025 50.430 ;
+        RECT 149.780 49.695 150.025 50.260 ;
+        RECT 148.355 49.525 150.025 49.695 ;
+        RECT 148.355 48.765 148.690 49.525 ;
+        RECT 149.210 48.765 149.540 49.525 ;
+      LAYER L1M1_PR_C ;
+        RECT 148.415 48.865 148.585 49.035 ;
       LAYER met1 ;
-        RECT 933.145 42.060 933.435 42.105 ;
-        RECT 981.905 42.060 982.195 42.105 ;
-        RECT 933.145 41.920 982.195 42.060 ;
-        RECT 933.145 41.875 933.435 41.920 ;
-        RECT 981.905 41.875 982.195 41.920 ;
-        RECT 981.905 39.340 982.195 39.385 ;
-        RECT 1078.950 39.340 1079.270 39.400 ;
-        RECT 981.905 39.200 1079.270 39.340 ;
-        RECT 981.905 39.155 982.195 39.200 ;
-        RECT 1078.950 39.140 1079.270 39.200 ;
-        RECT 1156.690 39.340 1157.010 39.400 ;
-        RECT 1162.670 39.340 1162.990 39.400 ;
-        RECT 1156.690 39.200 1162.990 39.340 ;
-        RECT 1156.690 39.140 1157.010 39.200 ;
-        RECT 1162.670 39.140 1162.990 39.200 ;
-        RECT 64.650 39.000 64.970 39.060 ;
-        RECT 933.145 39.000 933.435 39.045 ;
-        RECT 64.650 38.860 933.435 39.000 ;
-        RECT 64.650 38.800 64.970 38.860 ;
-        RECT 933.145 38.815 933.435 38.860 ;
+        RECT 148.340 49.020 148.660 49.080 ;
+        RECT 148.145 48.880 148.660 49.020 ;
+        RECT 148.340 48.820 148.660 48.880 ;
+        RECT 99.580 48.000 99.900 48.060 ;
+        RECT 146.960 48.000 147.280 48.060 ;
+        RECT 148.340 48.000 148.660 48.060 ;
+        RECT 99.580 47.860 106.710 48.000 ;
+        RECT 99.580 47.800 99.900 47.860 ;
+        RECT 106.570 47.660 106.710 47.860 ;
+        RECT 146.960 47.860 148.660 48.000 ;
+        RECT 146.960 47.800 147.280 47.860 ;
+        RECT 148.340 47.800 148.660 47.860 ;
+        RECT 113.380 47.660 113.700 47.720 ;
+        RECT 106.570 47.520 113.700 47.660 ;
+        RECT 113.380 47.460 113.700 47.520 ;
       LAYER via ;
-        RECT 1078.980 39.140 1079.240 39.400 ;
-        RECT 1156.720 39.140 1156.980 39.400 ;
-        RECT 1162.700 39.140 1162.960 39.400 ;
-        RECT 64.680 38.800 64.940 39.060 ;
+        RECT 148.370 48.820 148.630 49.080 ;
+        RECT 99.610 47.800 99.870 48.060 ;
+        RECT 146.990 47.800 147.250 48.060 ;
+        RECT 148.370 47.800 148.630 48.060 ;
+        RECT 113.410 47.460 113.670 47.720 ;
       LAYER met2 ;
-        RECT 1078.970 39.595 1079.250 39.965 ;
-        RECT 1079.040 39.430 1079.180 39.595 ;
-        RECT 1162.760 39.430 1162.900 54.000 ;
-        RECT 1078.980 39.110 1079.240 39.430 ;
-        RECT 1156.720 39.285 1156.980 39.430 ;
-        RECT 64.680 38.770 64.940 39.090 ;
-        RECT 1156.710 38.915 1156.990 39.285 ;
-        RECT 1162.700 39.110 1162.960 39.430 ;
-        RECT 64.740 2.400 64.880 38.770 ;
-        RECT 64.670 0.000 64.950 2.400 ;
+        RECT 138.310 48.285 138.450 54.000 ;
+        RECT 148.370 48.790 148.630 49.110 ;
+        RECT 99.610 47.770 99.870 48.090 ;
+        RECT 113.400 47.915 113.680 48.285 ;
+        RECT 138.240 47.915 138.520 48.285 ;
+        RECT 146.980 47.915 147.260 48.285 ;
+        RECT 148.430 48.090 148.570 48.790 ;
+        RECT 99.670 34.520 99.810 47.770 ;
+        RECT 113.470 47.750 113.610 47.915 ;
+        RECT 146.990 47.770 147.250 47.915 ;
+        RECT 148.370 47.770 148.630 48.090 ;
+        RECT 113.410 47.430 113.670 47.750 ;
+        RECT 99.600 32.120 99.950 34.520 ;
       LAYER via2 ;
-        RECT 1078.970 39.640 1079.250 39.920 ;
-        RECT 1156.710 38.960 1156.990 39.240 ;
+        RECT 113.400 47.960 113.680 48.240 ;
+        RECT 138.240 47.960 138.520 48.240 ;
+        RECT 146.980 47.960 147.260 48.240 ;
       LAYER met3 ;
-        RECT 1078.945 39.930 1079.275 39.945 ;
-        RECT 1078.945 39.630 1082.250 39.930 ;
-        RECT 1078.945 39.615 1079.275 39.630 ;
-        RECT 1081.950 39.250 1082.250 39.630 ;
-        RECT 1156.685 39.250 1157.015 39.265 ;
-        RECT 1081.950 38.950 1157.015 39.250 ;
-        RECT 1156.685 38.935 1157.015 38.950 ;
+        RECT 113.375 48.250 113.705 48.265 ;
+        RECT 138.215 48.250 138.545 48.265 ;
+        RECT 146.955 48.250 147.285 48.265 ;
+        RECT 113.375 47.950 147.285 48.250 ;
+        RECT 113.375 47.935 113.705 47.950 ;
+        RECT 138.215 47.935 138.545 47.950 ;
+        RECT 146.955 47.935 147.285 47.950 ;
     END
   END wbs_adr_i[1]
   PIN wbs_adr_i[20]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 423.080 16.730 423.220 54.000 ;
-        RECT 421.700 16.590 423.220 16.730 ;
-        RECT 421.700 2.400 421.840 16.590 ;
-        RECT 421.630 0.000 421.910 2.400 ;
+        RECT 456.630 32.120 456.910 34.520 ;
     END
   END wbs_adr_i[20]
   PIN wbs_adr_i[21]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 439.090 15.200 439.410 15.260 ;
-        RECT 443.690 15.200 444.010 15.260 ;
-        RECT 439.090 15.060 444.010 15.200 ;
-        RECT 439.090 15.000 439.410 15.060 ;
-        RECT 443.690 15.000 444.010 15.060 ;
-      LAYER via ;
-        RECT 439.120 15.000 439.380 15.260 ;
-        RECT 443.720 15.000 443.980 15.260 ;
       LAYER met2 ;
-        RECT 443.780 15.290 443.920 54.000 ;
-        RECT 439.120 14.970 439.380 15.290 ;
-        RECT 443.720 14.970 443.980 15.290 ;
-        RECT 439.180 2.400 439.320 14.970 ;
-        RECT 439.110 0.000 439.390 2.400 ;
+        RECT 474.110 32.120 474.390 34.520 ;
     END
   END wbs_adr_i[21]
   PIN wbs_adr_i[22]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 457.565 48.365 457.735 54.000 ;
-      LAYER met1 ;
-        RECT 457.490 48.520 457.810 48.580 ;
-        RECT 457.295 48.380 457.810 48.520 ;
-        RECT 457.490 48.320 457.810 48.380 ;
-      LAYER via ;
-        RECT 457.520 48.320 457.780 48.580 ;
       LAYER met2 ;
-        RECT 457.520 48.290 457.780 48.610 ;
-        RECT 457.580 24.210 457.720 48.290 ;
-        RECT 457.120 24.070 457.720 24.210 ;
-        RECT 457.120 2.400 457.260 24.070 ;
-        RECT 457.050 0.000 457.330 2.400 ;
+        RECT 492.050 32.120 492.330 34.520 ;
     END
   END wbs_adr_i[22]
   PIN wbs_adr_i[23]
     DIRECTION INPUT ;
     PORT
+      LAYER li1 ;
+        RECT 512.905 46.635 513.425 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 513.195 46.825 513.365 46.995 ;
       LAYER met1 ;
-        RECT 474.970 17.580 475.290 17.640 ;
-        RECT 478.190 17.580 478.510 17.640 ;
-        RECT 474.970 17.440 478.510 17.580 ;
-        RECT 474.970 17.380 475.290 17.440 ;
-        RECT 478.190 17.380 478.510 17.440 ;
+        RECT 509.900 46.980 510.220 47.040 ;
+        RECT 513.135 46.980 513.425 47.025 ;
+        RECT 509.900 46.840 513.425 46.980 ;
+        RECT 509.900 46.780 510.220 46.840 ;
+        RECT 513.135 46.795 513.425 46.840 ;
       LAYER via ;
-        RECT 475.000 17.380 475.260 17.640 ;
-        RECT 478.220 17.380 478.480 17.640 ;
+        RECT 509.930 46.780 510.190 47.040 ;
       LAYER met2 ;
-        RECT 478.280 17.670 478.420 54.000 ;
-        RECT 475.000 17.350 475.260 17.670 ;
-        RECT 478.220 17.350 478.480 17.670 ;
-        RECT 475.060 2.400 475.200 17.350 ;
-        RECT 474.990 0.000 475.270 2.400 ;
+        RECT 509.930 46.750 510.190 47.070 ;
+        RECT 509.990 34.520 510.130 46.750 ;
+        RECT 509.920 32.120 510.270 34.520 ;
     END
   END wbs_adr_i[23]
   PIN wbs_adr_i[24]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 492.910 17.580 493.230 17.640 ;
-        RECT 498.890 17.580 499.210 17.640 ;
-        RECT 492.910 17.440 499.210 17.580 ;
-        RECT 492.910 17.380 493.230 17.440 ;
-        RECT 498.890 17.380 499.210 17.440 ;
-      LAYER via ;
-        RECT 492.940 17.380 493.200 17.640 ;
-        RECT 498.920 17.380 499.180 17.640 ;
       LAYER met2 ;
-        RECT 498.980 17.670 499.120 54.000 ;
-        RECT 492.940 17.350 493.200 17.670 ;
-        RECT 498.920 17.350 499.180 17.670 ;
-        RECT 493.000 2.400 493.140 17.350 ;
-        RECT 492.930 0.000 493.210 2.400 ;
+        RECT 527.930 32.120 528.210 34.520 ;
     END
   END wbs_adr_i[24]
   PIN wbs_adr_i[25]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 512.690 50.220 513.010 50.280 ;
-        RECT 1251.910 50.220 1252.230 50.280 ;
-        RECT 512.690 50.080 1252.230 50.220 ;
-        RECT 512.690 50.020 513.010 50.080 ;
-        RECT 1251.910 50.020 1252.230 50.080 ;
-        RECT 510.390 15.200 510.710 15.260 ;
-        RECT 512.690 15.200 513.010 15.260 ;
-        RECT 510.390 15.060 513.010 15.200 ;
-        RECT 510.390 15.000 510.710 15.060 ;
-        RECT 512.690 15.000 513.010 15.060 ;
-      LAYER via ;
-        RECT 512.720 50.020 512.980 50.280 ;
-        RECT 1251.940 50.020 1252.200 50.280 ;
-        RECT 510.420 15.000 510.680 15.260 ;
-        RECT 512.720 15.000 512.980 15.260 ;
       LAYER met2 ;
-        RECT 1252.000 50.310 1252.140 54.000 ;
-        RECT 512.720 49.990 512.980 50.310 ;
-        RECT 1251.940 49.990 1252.200 50.310 ;
-        RECT 512.780 15.290 512.920 49.990 ;
-        RECT 510.420 14.970 510.680 15.290 ;
-        RECT 512.720 14.970 512.980 15.290 ;
-        RECT 510.480 2.400 510.620 14.970 ;
-        RECT 510.410 0.000 510.690 2.400 ;
+        RECT 545.340 32.120 545.690 34.520 ;
     END
   END wbs_adr_i[25]
   PIN wbs_adr_i[26]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 533.390 49.880 533.710 49.940 ;
-        RECT 1261.570 49.880 1261.890 49.940 ;
-        RECT 533.390 49.740 1261.890 49.880 ;
-        RECT 533.390 49.680 533.710 49.740 ;
-        RECT 1261.570 49.680 1261.890 49.740 ;
-        RECT 528.330 14.860 528.650 14.920 ;
-        RECT 533.390 14.860 533.710 14.920 ;
-        RECT 528.330 14.720 533.710 14.860 ;
-        RECT 528.330 14.660 528.650 14.720 ;
-        RECT 533.390 14.660 533.710 14.720 ;
-      LAYER via ;
-        RECT 533.420 49.680 533.680 49.940 ;
-        RECT 1261.600 49.680 1261.860 49.940 ;
-        RECT 528.360 14.660 528.620 14.920 ;
-        RECT 533.420 14.660 533.680 14.920 ;
       LAYER met2 ;
-        RECT 1261.660 49.970 1261.800 54.000 ;
-        RECT 533.420 49.650 533.680 49.970 ;
-        RECT 1261.600 49.650 1261.860 49.970 ;
-        RECT 533.480 14.950 533.620 49.650 ;
-        RECT 528.360 14.630 528.620 14.950 ;
-        RECT 533.420 14.630 533.680 14.950 ;
-        RECT 528.420 2.400 528.560 14.630 ;
-        RECT 528.350 0.000 528.630 2.400 ;
+        RECT 563.350 32.120 563.630 34.520 ;
     END
   END wbs_adr_i[26]
   PIN wbs_adr_i[27]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 547.190 49.540 547.510 49.600 ;
-        RECT 1259.270 49.540 1259.590 49.600 ;
-        RECT 547.190 49.400 1259.590 49.540 ;
-        RECT 547.190 49.340 547.510 49.400 ;
-        RECT 1259.270 49.340 1259.590 49.400 ;
-      LAYER via ;
-        RECT 547.220 49.340 547.480 49.600 ;
-        RECT 1259.300 49.340 1259.560 49.600 ;
       LAYER met2 ;
-        RECT 1259.360 49.630 1259.500 54.000 ;
-        RECT 547.220 49.310 547.480 49.630 ;
-        RECT 1259.300 49.310 1259.560 49.630 ;
-        RECT 547.280 17.410 547.420 49.310 ;
-        RECT 546.360 17.270 547.420 17.410 ;
-        RECT 546.360 2.400 546.500 17.270 ;
-        RECT 546.290 0.000 546.570 2.400 ;
+        RECT 581.290 32.120 581.570 34.520 ;
     END
   END wbs_adr_i[27]
   PIN wbs_adr_i[28]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1224.385 48.365 1224.555 50.915 ;
-      LAYER mcon ;
-        RECT 1224.385 50.745 1224.555 50.915 ;
-      LAYER met1 ;
-        RECT 1224.325 50.900 1224.615 50.945 ;
-        RECT 1267.550 50.900 1267.870 50.960 ;
-        RECT 1224.325 50.760 1267.870 50.900 ;
-        RECT 1224.325 50.715 1224.615 50.760 ;
-        RECT 1267.550 50.700 1267.870 50.760 ;
-        RECT 567.890 48.520 568.210 48.580 ;
-        RECT 1224.325 48.520 1224.615 48.565 ;
-        RECT 567.890 48.380 1224.615 48.520 ;
-        RECT 567.890 48.320 568.210 48.380 ;
-        RECT 1224.325 48.335 1224.615 48.380 ;
-        RECT 564.210 14.180 564.530 14.240 ;
-        RECT 566.510 14.180 566.830 14.240 ;
-        RECT 564.210 14.040 566.830 14.180 ;
-        RECT 564.210 13.980 564.530 14.040 ;
-        RECT 566.510 13.980 566.830 14.040 ;
-      LAYER via ;
-        RECT 1267.580 50.700 1267.840 50.960 ;
-        RECT 567.920 48.320 568.180 48.580 ;
-        RECT 564.240 13.980 564.500 14.240 ;
-        RECT 566.540 13.980 566.800 14.240 ;
       LAYER met2 ;
-        RECT 1267.640 50.990 1267.780 54.000 ;
-        RECT 1267.580 50.670 1267.840 50.990 ;
-        RECT 567.920 48.290 568.180 48.610 ;
-        RECT 564.240 13.950 564.500 14.270 ;
-        RECT 566.540 14.010 566.800 14.270 ;
-        RECT 567.980 14.010 568.120 48.290 ;
-        RECT 566.540 13.950 568.120 14.010 ;
-        RECT 564.300 2.400 564.440 13.950 ;
-        RECT 566.600 13.870 568.120 13.950 ;
-        RECT 564.230 0.000 564.510 2.400 ;
+        RECT 599.160 32.120 599.510 34.520 ;
     END
   END wbs_adr_i[28]
   PIN wbs_adr_i[29]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 669.165 52.105 669.335 54.000 ;
-      LAYER met1 ;
-        RECT 669.105 52.260 669.395 52.305 ;
-        RECT 1265.710 52.260 1266.030 52.320 ;
-        RECT 669.105 52.120 1266.030 52.260 ;
-        RECT 669.105 52.075 669.395 52.120 ;
-        RECT 1265.710 52.060 1266.030 52.120 ;
-        RECT 582.150 17.580 582.470 17.640 ;
-        RECT 587.210 17.580 587.530 17.640 ;
-        RECT 582.150 17.440 587.530 17.580 ;
-        RECT 582.150 17.380 582.470 17.440 ;
-        RECT 587.210 17.380 587.530 17.440 ;
-      LAYER via ;
-        RECT 1265.740 52.060 1266.000 52.320 ;
-        RECT 582.180 17.380 582.440 17.640 ;
-        RECT 587.240 17.380 587.500 17.640 ;
       LAYER met2 ;
-        RECT 588.220 18.090 588.360 54.000 ;
-        RECT 1265.800 52.350 1265.940 54.000 ;
-        RECT 1265.740 52.030 1266.000 52.350 ;
-        RECT 587.300 17.950 588.360 18.090 ;
-        RECT 587.300 17.670 587.440 17.950 ;
-        RECT 582.180 17.350 582.440 17.670 ;
-        RECT 587.240 17.350 587.500 17.670 ;
-        RECT 582.240 2.400 582.380 17.350 ;
-        RECT 582.170 0.000 582.450 2.400 ;
+        RECT 617.170 32.120 617.450 34.520 ;
     END
   END wbs_adr_i[29]
   PIN wbs_adr_i[2]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 88.570 39.340 88.890 39.400 ;
-        RECT 981.430 39.340 981.750 39.400 ;
-        RECT 88.570 39.200 981.750 39.340 ;
-        RECT 88.570 39.140 88.890 39.200 ;
-        RECT 981.430 39.140 981.750 39.200 ;
-        RECT 1126.790 39.340 1127.110 39.400 ;
-        RECT 1127.250 39.340 1127.570 39.400 ;
-        RECT 1126.790 39.200 1127.570 39.340 ;
-        RECT 1126.790 39.140 1127.110 39.200 ;
-        RECT 1127.250 39.140 1127.570 39.200 ;
-      LAYER via ;
-        RECT 88.600 39.140 88.860 39.400 ;
-        RECT 981.460 39.140 981.720 39.400 ;
-        RECT 1126.820 39.140 1127.080 39.400 ;
-        RECT 1127.280 39.140 1127.540 39.400 ;
       LAYER met2 ;
-        RECT 1170.120 39.965 1170.260 54.000 ;
-        RECT 1127.270 39.595 1127.550 39.965 ;
-        RECT 1170.050 39.595 1170.330 39.965 ;
-        RECT 1127.340 39.430 1127.480 39.595 ;
-        RECT 88.600 39.110 88.860 39.430 ;
-        RECT 981.460 39.285 981.720 39.430 ;
-        RECT 88.660 2.400 88.800 39.110 ;
-        RECT 981.450 38.915 981.730 39.285 ;
-        RECT 1126.820 39.110 1127.080 39.430 ;
-        RECT 1127.280 39.110 1127.540 39.430 ;
-        RECT 1126.880 37.925 1127.020 39.110 ;
-        RECT 1126.810 37.555 1127.090 37.925 ;
-        RECT 88.590 0.000 88.870 2.400 ;
-      LAYER via2 ;
-        RECT 1127.270 39.640 1127.550 39.920 ;
-        RECT 1170.050 39.640 1170.330 39.920 ;
-        RECT 981.450 38.960 981.730 39.240 ;
-        RECT 1126.810 37.600 1127.090 37.880 ;
-      LAYER met3 ;
-        RECT 1127.245 39.930 1127.575 39.945 ;
-        RECT 1170.025 39.930 1170.355 39.945 ;
-        RECT 1127.245 39.630 1170.355 39.930 ;
-        RECT 1127.245 39.615 1127.575 39.630 ;
-        RECT 1170.025 39.615 1170.355 39.630 ;
-        RECT 981.425 39.250 981.755 39.265 ;
-        RECT 981.425 38.950 1080.410 39.250 ;
-        RECT 981.425 38.935 981.755 38.950 ;
-        RECT 1080.110 37.890 1080.410 38.950 ;
-        RECT 1126.785 37.890 1127.115 37.905 ;
-        RECT 1080.110 37.590 1127.115 37.890 ;
-        RECT 1126.785 37.575 1127.115 37.590 ;
+        RECT 123.590 32.120 123.870 34.520 ;
     END
   END wbs_adr_i[2]
   PIN wbs_adr_i[30]
     DIRECTION INPUT ;
     PORT
+      LAYER li1 ;
+        RECT 622.845 43.255 623.365 44.805 ;
+      LAYER L1M1_PR_C ;
+        RECT 623.135 44.445 623.305 44.615 ;
       LAYER met1 ;
-        RECT 617.570 52.940 617.890 53.000 ;
-        RECT 1274.910 52.940 1275.230 53.000 ;
-        RECT 617.570 52.800 1275.230 52.940 ;
-        RECT 617.570 52.740 617.890 52.800 ;
-        RECT 1274.910 52.740 1275.230 52.800 ;
-        RECT 599.630 17.580 599.950 17.640 ;
-        RECT 602.390 17.580 602.710 17.640 ;
-        RECT 599.630 17.440 602.710 17.580 ;
-        RECT 599.630 17.380 599.950 17.440 ;
-        RECT 602.390 17.380 602.710 17.440 ;
+        RECT 623.060 46.300 623.380 46.360 ;
+        RECT 634.560 46.300 634.880 46.360 ;
+        RECT 623.060 46.160 634.880 46.300 ;
+        RECT 623.060 46.100 623.380 46.160 ;
+        RECT 634.560 46.100 634.880 46.160 ;
+        RECT 623.060 44.600 623.380 44.660 ;
+        RECT 622.865 44.460 623.380 44.600 ;
+        RECT 623.060 44.400 623.380 44.460 ;
       LAYER via ;
-        RECT 617.600 52.740 617.860 53.000 ;
-        RECT 1274.940 52.740 1275.200 53.000 ;
-        RECT 599.660 17.380 599.920 17.640 ;
-        RECT 602.420 17.380 602.680 17.640 ;
+        RECT 623.090 46.100 623.350 46.360 ;
+        RECT 634.590 46.100 634.850 46.360 ;
+        RECT 623.090 44.400 623.350 44.660 ;
       LAYER met2 ;
-        RECT 1275.000 53.030 1275.140 54.000 ;
-        RECT 617.600 52.885 617.860 53.030 ;
-        RECT 602.410 52.515 602.690 52.885 ;
-        RECT 617.590 52.515 617.870 52.885 ;
-        RECT 1274.940 52.710 1275.200 53.030 ;
-        RECT 602.480 17.670 602.620 52.515 ;
-        RECT 599.660 17.350 599.920 17.670 ;
-        RECT 602.420 17.350 602.680 17.670 ;
-        RECT 599.720 2.400 599.860 17.350 ;
-        RECT 599.650 0.000 599.930 2.400 ;
-      LAYER via2 ;
-        RECT 602.410 52.560 602.690 52.840 ;
-        RECT 617.590 52.560 617.870 52.840 ;
-      LAYER met3 ;
-        RECT 602.385 52.850 602.715 52.865 ;
-        RECT 617.565 52.850 617.895 52.865 ;
-        RECT 602.385 52.550 617.895 52.850 ;
-        RECT 602.385 52.535 602.715 52.550 ;
-        RECT 617.565 52.535 617.895 52.550 ;
+        RECT 623.090 46.070 623.350 46.390 ;
+        RECT 634.590 46.070 634.850 46.390 ;
+        RECT 623.150 44.690 623.290 46.070 ;
+        RECT 623.090 44.370 623.350 44.690 ;
+        RECT 634.650 34.520 634.790 46.070 ;
+        RECT 634.580 32.120 634.930 34.520 ;
     END
   END wbs_adr_i[30]
   PIN wbs_adr_i[31]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 692.090 52.600 692.410 52.660 ;
-        RECT 1273.070 52.600 1273.390 52.660 ;
-        RECT 692.090 52.460 1273.390 52.600 ;
-        RECT 692.090 52.400 692.410 52.460 ;
-        RECT 1273.070 52.400 1273.390 52.460 ;
-        RECT 623.090 48.180 623.410 48.240 ;
-        RECT 691.170 48.180 691.490 48.240 ;
-        RECT 623.090 48.040 691.490 48.180 ;
-        RECT 623.090 47.980 623.410 48.040 ;
-        RECT 691.170 47.980 691.490 48.040 ;
-        RECT 617.570 17.580 617.890 17.640 ;
-        RECT 623.090 17.580 623.410 17.640 ;
-        RECT 617.570 17.440 623.410 17.580 ;
-        RECT 617.570 17.380 617.890 17.440 ;
-        RECT 623.090 17.380 623.410 17.440 ;
-      LAYER via ;
-        RECT 692.120 52.400 692.380 52.660 ;
-        RECT 1273.100 52.400 1273.360 52.660 ;
-        RECT 623.120 47.980 623.380 48.240 ;
-        RECT 691.200 47.980 691.460 48.240 ;
-        RECT 617.600 17.380 617.860 17.640 ;
-        RECT 623.120 17.380 623.380 17.640 ;
       LAYER met2 ;
-        RECT 1273.160 52.690 1273.300 54.000 ;
-        RECT 692.120 52.370 692.380 52.690 ;
-        RECT 1273.100 52.370 1273.360 52.690 ;
-        RECT 692.180 49.370 692.320 52.370 ;
-        RECT 691.260 49.230 692.320 49.370 ;
-        RECT 691.260 48.270 691.400 49.230 ;
-        RECT 623.120 47.950 623.380 48.270 ;
-        RECT 691.200 47.950 691.460 48.270 ;
-        RECT 623.180 17.670 623.320 47.950 ;
-        RECT 617.600 17.350 617.860 17.670 ;
-        RECT 623.120 17.350 623.380 17.670 ;
-        RECT 617.660 2.400 617.800 17.350 ;
-        RECT 617.590 0.000 617.870 2.400 ;
+        RECT 652.590 32.120 652.870 34.520 ;
     END
   END wbs_adr_i[31]
   PIN wbs_adr_i[3]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 157.645 44.285 157.815 45.135 ;
-        RECT 182.025 44.965 182.195 45.815 ;
-        RECT 213.305 44.285 213.475 45.815 ;
-        RECT 271.265 44.285 271.435 45.815 ;
-        RECT 326.925 44.965 327.095 45.815 ;
-        RECT 375.225 44.965 375.395 45.815 ;
-        RECT 406.045 44.285 406.215 45.815 ;
-        RECT 429.505 44.285 430.135 44.455 ;
-        RECT 464.465 44.285 464.635 45.815 ;
-        RECT 520.125 44.965 520.295 45.815 ;
-        RECT 568.425 44.965 568.595 45.815 ;
-        RECT 581.305 44.965 581.475 45.815 ;
-        RECT 700.445 44.455 700.615 45.135 ;
-        RECT 700.445 44.285 701.535 44.455 ;
-        RECT 813.145 43.945 813.315 45.135 ;
-        RECT 861.445 42.925 861.615 45.135 ;
-        RECT 909.745 42.925 909.915 45.135 ;
-        RECT 958.045 42.925 958.215 45.135 ;
-        RECT 1006.345 42.925 1006.515 45.135 ;
-        RECT 1054.645 41.565 1054.815 45.135 ;
-        RECT 1079.485 41.565 1079.655 45.135 ;
-      LAYER mcon ;
-        RECT 182.025 45.645 182.195 45.815 ;
-        RECT 157.645 44.965 157.815 45.135 ;
-        RECT 213.305 45.645 213.475 45.815 ;
-        RECT 271.265 45.645 271.435 45.815 ;
-        RECT 326.925 45.645 327.095 45.815 ;
-        RECT 375.225 45.645 375.395 45.815 ;
-        RECT 406.045 45.645 406.215 45.815 ;
-        RECT 464.465 45.645 464.635 45.815 ;
-        RECT 520.125 45.645 520.295 45.815 ;
-        RECT 568.425 45.645 568.595 45.815 ;
-        RECT 581.305 45.645 581.475 45.815 ;
-        RECT 700.445 44.965 700.615 45.135 ;
-        RECT 429.965 44.285 430.135 44.455 ;
-        RECT 813.145 44.965 813.315 45.135 ;
-        RECT 701.365 44.285 701.535 44.455 ;
-        RECT 861.445 44.965 861.615 45.135 ;
-        RECT 909.745 44.965 909.915 45.135 ;
-        RECT 958.045 44.965 958.215 45.135 ;
-        RECT 1006.345 44.965 1006.515 45.135 ;
-        RECT 1054.645 44.965 1054.815 45.135 ;
-        RECT 1079.485 44.965 1079.655 45.135 ;
-      LAYER met1 ;
-        RECT 181.965 45.800 182.255 45.845 ;
-        RECT 213.245 45.800 213.535 45.845 ;
-        RECT 181.965 45.660 213.535 45.800 ;
-        RECT 181.965 45.615 182.255 45.660 ;
-        RECT 213.245 45.615 213.535 45.660 ;
-        RECT 271.205 45.800 271.495 45.845 ;
-        RECT 326.865 45.800 327.155 45.845 ;
-        RECT 271.205 45.660 327.155 45.800 ;
-        RECT 271.205 45.615 271.495 45.660 ;
-        RECT 326.865 45.615 327.155 45.660 ;
-        RECT 375.165 45.800 375.455 45.845 ;
-        RECT 405.985 45.800 406.275 45.845 ;
-        RECT 375.165 45.660 406.275 45.800 ;
-        RECT 375.165 45.615 375.455 45.660 ;
-        RECT 405.985 45.615 406.275 45.660 ;
-        RECT 464.405 45.800 464.695 45.845 ;
-        RECT 520.065 45.800 520.355 45.845 ;
-        RECT 464.405 45.660 520.355 45.800 ;
-        RECT 464.405 45.615 464.695 45.660 ;
-        RECT 520.065 45.615 520.355 45.660 ;
-        RECT 568.365 45.800 568.655 45.845 ;
-        RECT 581.245 45.800 581.535 45.845 ;
-        RECT 568.365 45.660 581.535 45.800 ;
-        RECT 568.365 45.615 568.655 45.660 ;
-        RECT 581.245 45.615 581.535 45.660 ;
-        RECT 157.585 45.120 157.875 45.165 ;
-        RECT 181.965 45.120 182.255 45.165 ;
-        RECT 157.585 44.980 182.255 45.120 ;
-        RECT 157.585 44.935 157.875 44.980 ;
-        RECT 181.965 44.935 182.255 44.980 ;
-        RECT 326.865 45.120 327.155 45.165 ;
-        RECT 375.165 45.120 375.455 45.165 ;
-        RECT 326.865 44.980 375.455 45.120 ;
-        RECT 326.865 44.935 327.155 44.980 ;
-        RECT 375.165 44.935 375.455 44.980 ;
-        RECT 520.065 45.120 520.355 45.165 ;
-        RECT 568.365 45.120 568.655 45.165 ;
-        RECT 520.065 44.980 568.655 45.120 ;
-        RECT 520.065 44.935 520.355 44.980 ;
-        RECT 568.365 44.935 568.655 44.980 ;
-        RECT 581.245 45.120 581.535 45.165 ;
-        RECT 700.385 45.120 700.675 45.165 ;
-        RECT 581.245 44.980 700.675 45.120 ;
-        RECT 581.245 44.935 581.535 44.980 ;
-        RECT 700.385 44.935 700.675 44.980 ;
-        RECT 813.085 45.120 813.375 45.165 ;
-        RECT 861.385 45.120 861.675 45.165 ;
-        RECT 813.085 44.980 861.675 45.120 ;
-        RECT 813.085 44.935 813.375 44.980 ;
-        RECT 861.385 44.935 861.675 44.980 ;
-        RECT 909.685 45.120 909.975 45.165 ;
-        RECT 957.985 45.120 958.275 45.165 ;
-        RECT 909.685 44.980 958.275 45.120 ;
-        RECT 909.685 44.935 909.975 44.980 ;
-        RECT 957.985 44.935 958.275 44.980 ;
-        RECT 1006.285 45.120 1006.575 45.165 ;
-        RECT 1054.585 45.120 1054.875 45.165 ;
-        RECT 1006.285 44.980 1054.875 45.120 ;
-        RECT 1006.285 44.935 1006.575 44.980 ;
-        RECT 1054.585 44.935 1054.875 44.980 ;
-        RECT 1079.425 45.120 1079.715 45.165 ;
-        RECT 1169.110 45.120 1169.430 45.180 ;
-        RECT 1079.425 44.980 1169.430 45.120 ;
-        RECT 1079.425 44.935 1079.715 44.980 ;
-        RECT 1169.110 44.920 1169.430 44.980 ;
-        RECT 112.030 44.440 112.350 44.500 ;
-        RECT 157.585 44.440 157.875 44.485 ;
-        RECT 112.030 44.300 157.875 44.440 ;
-        RECT 112.030 44.240 112.350 44.300 ;
-        RECT 157.585 44.255 157.875 44.300 ;
-        RECT 213.245 44.440 213.535 44.485 ;
-        RECT 271.205 44.440 271.495 44.485 ;
-        RECT 213.245 44.300 271.495 44.440 ;
-        RECT 213.245 44.255 213.535 44.300 ;
-        RECT 271.205 44.255 271.495 44.300 ;
-        RECT 405.985 44.440 406.275 44.485 ;
-        RECT 429.445 44.440 429.735 44.485 ;
-        RECT 405.985 44.300 429.735 44.440 ;
-        RECT 405.985 44.255 406.275 44.300 ;
-        RECT 429.445 44.255 429.735 44.300 ;
-        RECT 429.905 44.440 430.195 44.485 ;
-        RECT 464.405 44.440 464.695 44.485 ;
-        RECT 429.905 44.300 464.695 44.440 ;
-        RECT 429.905 44.255 430.195 44.300 ;
-        RECT 464.405 44.255 464.695 44.300 ;
-        RECT 701.305 44.440 701.595 44.485 ;
-        RECT 701.305 44.300 741.080 44.440 ;
-        RECT 701.305 44.255 701.595 44.300 ;
-        RECT 740.940 44.100 741.080 44.300 ;
-        RECT 813.085 44.100 813.375 44.145 ;
-        RECT 740.940 43.960 813.375 44.100 ;
-        RECT 813.085 43.915 813.375 43.960 ;
-        RECT 861.385 43.080 861.675 43.125 ;
-        RECT 909.685 43.080 909.975 43.125 ;
-        RECT 861.385 42.940 909.975 43.080 ;
-        RECT 861.385 42.895 861.675 42.940 ;
-        RECT 909.685 42.895 909.975 42.940 ;
-        RECT 957.985 43.080 958.275 43.125 ;
-        RECT 1006.285 43.080 1006.575 43.125 ;
-        RECT 957.985 42.940 1006.575 43.080 ;
-        RECT 957.985 42.895 958.275 42.940 ;
-        RECT 1006.285 42.895 1006.575 42.940 ;
-        RECT 1054.585 41.720 1054.875 41.765 ;
-        RECT 1079.425 41.720 1079.715 41.765 ;
-        RECT 1054.585 41.580 1079.715 41.720 ;
-        RECT 1054.585 41.535 1054.875 41.580 ;
-        RECT 1079.425 41.535 1079.715 41.580 ;
-      LAYER via ;
-        RECT 1169.140 44.920 1169.400 45.180 ;
-        RECT 112.060 44.240 112.320 44.500 ;
       LAYER met2 ;
-        RECT 1169.200 45.210 1169.340 54.000 ;
-        RECT 1169.140 44.890 1169.400 45.210 ;
-        RECT 112.060 44.210 112.320 44.530 ;
-        RECT 112.120 2.400 112.260 44.210 ;
-        RECT 112.050 0.000 112.330 2.400 ;
+        RECT 147.050 32.120 147.330 34.520 ;
     END
   END wbs_adr_i[3]
   PIN wbs_adr_i[4]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1173.785 45.305 1173.955 54.000 ;
-      LAYER met1 ;
-        RECT 135.950 45.460 136.270 45.520 ;
-        RECT 1173.725 45.460 1174.015 45.505 ;
-        RECT 135.950 45.320 1174.015 45.460 ;
-        RECT 135.950 45.260 136.270 45.320 ;
-        RECT 1173.725 45.275 1174.015 45.320 ;
-      LAYER via ;
-        RECT 135.980 45.260 136.240 45.520 ;
       LAYER met2 ;
-        RECT 135.980 45.230 136.240 45.550 ;
-        RECT 136.040 2.400 136.180 45.230 ;
-        RECT 135.970 0.000 136.250 2.400 ;
+        RECT 170.900 32.120 171.250 34.520 ;
     END
   END wbs_adr_i[4]
   PIN wbs_adr_i[5]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1183.000 51.525 1183.140 54.000 ;
-        RECT 153.450 51.155 153.730 51.525 ;
-        RECT 1182.930 51.155 1183.210 51.525 ;
-        RECT 153.520 17.410 153.660 51.155 ;
-        RECT 153.520 17.270 154.120 17.410 ;
-        RECT 153.980 2.400 154.120 17.270 ;
-        RECT 153.910 0.000 154.190 2.400 ;
-      LAYER via2 ;
-        RECT 153.450 51.200 153.730 51.480 ;
-        RECT 1182.930 51.200 1183.210 51.480 ;
-      LAYER met3 ;
-        RECT 153.425 51.490 153.755 51.505 ;
-        RECT 1182.905 51.490 1183.235 51.505 ;
-        RECT 153.425 51.190 1183.235 51.490 ;
-        RECT 153.425 51.175 153.755 51.190 ;
-        RECT 1182.905 51.175 1183.235 51.190 ;
+        RECT 188.840 32.120 189.190 34.520 ;
     END
   END wbs_adr_i[5]
   PIN wbs_adr_i[6]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 668.245 52.105 668.415 54.000 ;
-      LAYER met1 ;
-        RECT 174.590 52.260 174.910 52.320 ;
-        RECT 668.185 52.260 668.475 52.305 ;
-        RECT 174.590 52.120 668.475 52.260 ;
-        RECT 174.590 52.060 174.910 52.120 ;
-        RECT 668.185 52.075 668.475 52.120 ;
-        RECT 171.830 17.580 172.150 17.640 ;
-        RECT 174.590 17.580 174.910 17.640 ;
-        RECT 171.830 17.440 174.910 17.580 ;
-        RECT 171.830 17.380 172.150 17.440 ;
-        RECT 174.590 17.380 174.910 17.440 ;
-      LAYER via ;
-        RECT 174.620 52.060 174.880 52.320 ;
-        RECT 171.860 17.380 172.120 17.640 ;
-        RECT 174.620 17.380 174.880 17.640 ;
       LAYER met2 ;
-        RECT 174.620 52.030 174.880 52.350 ;
-        RECT 174.680 17.670 174.820 52.030 ;
-        RECT 171.860 17.350 172.120 17.670 ;
-        RECT 174.620 17.350 174.880 17.670 ;
-        RECT 171.920 2.400 172.060 17.350 ;
-        RECT 171.850 0.000 172.130 2.400 ;
+        RECT 206.850 32.120 207.130 34.520 ;
     END
   END wbs_adr_i[6]
   PIN wbs_adr_i[7]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 616.725 52.445 616.895 54.000 ;
-        RECT 644.785 53.805 644.955 54.000 ;
-        RECT 861.905 53.295 862.075 53.635 ;
-        RECT 884.445 53.465 884.615 54.000 ;
-        RECT 860.985 53.125 862.075 53.295 ;
-        RECT 1150.785 53.125 1150.955 53.975 ;
-      LAYER mcon ;
-        RECT 861.905 53.465 862.075 53.635 ;
-        RECT 1150.785 53.805 1150.955 53.975 ;
-      LAYER met1 ;
-        RECT 644.725 53.960 645.015 54.000 ;
-        RECT 739.010 53.960 739.330 54.000 ;
-        RECT 644.725 53.820 739.330 53.960 ;
-        RECT 644.725 53.775 645.015 53.820 ;
-        RECT 739.010 53.760 739.330 53.820 ;
-        RECT 742.230 53.960 742.550 54.000 ;
-        RECT 765.230 53.960 765.550 54.000 ;
-        RECT 742.230 53.820 765.550 53.960 ;
-        RECT 742.230 53.760 742.550 53.820 ;
-        RECT 765.230 53.760 765.550 53.820 ;
-        RECT 935.430 53.960 935.750 54.000 ;
-        RECT 958.430 53.960 958.750 54.000 ;
-        RECT 935.430 53.820 958.750 53.960 ;
-        RECT 935.430 53.760 935.750 53.820 ;
-        RECT 958.430 53.760 958.750 53.820 ;
-        RECT 1150.725 53.960 1151.015 54.000 ;
-        RECT 1173.250 53.960 1173.570 54.000 ;
-        RECT 1150.725 53.820 1173.570 53.960 ;
-        RECT 1150.725 53.775 1151.015 53.820 ;
-        RECT 1173.250 53.760 1173.570 53.820 ;
-        RECT 861.845 53.620 862.135 53.665 ;
-        RECT 884.385 53.620 884.675 53.665 ;
-        RECT 861.845 53.480 884.675 53.620 ;
-        RECT 861.845 53.435 862.135 53.480 ;
-        RECT 884.385 53.435 884.675 53.480 ;
-        RECT 765.230 53.280 765.550 53.340 ;
-        RECT 860.925 53.280 861.215 53.325 ;
-        RECT 765.230 53.140 861.215 53.280 ;
-        RECT 765.230 53.080 765.550 53.140 ;
-        RECT 860.925 53.095 861.215 53.140 ;
-        RECT 958.430 53.280 958.750 53.340 ;
-        RECT 1150.725 53.280 1151.015 53.325 ;
-        RECT 958.430 53.140 1151.015 53.280 ;
-        RECT 958.430 53.080 958.750 53.140 ;
-        RECT 1150.725 53.095 1151.015 53.140 ;
-        RECT 1176.930 53.280 1177.250 53.340 ;
-        RECT 1191.190 53.280 1191.510 53.340 ;
-        RECT 1176.930 53.140 1191.510 53.280 ;
-        RECT 1176.930 53.080 1177.250 53.140 ;
-        RECT 1191.190 53.080 1191.510 53.140 ;
-        RECT 195.290 52.600 195.610 52.660 ;
-        RECT 616.665 52.600 616.955 52.645 ;
-        RECT 195.290 52.460 616.955 52.600 ;
-        RECT 195.290 52.400 195.610 52.460 ;
-        RECT 616.665 52.415 616.955 52.460 ;
-        RECT 189.310 17.920 189.630 17.980 ;
-        RECT 195.290 17.920 195.610 17.980 ;
-        RECT 189.310 17.780 195.610 17.920 ;
-        RECT 189.310 17.720 189.630 17.780 ;
-        RECT 195.290 17.720 195.610 17.780 ;
-      LAYER via ;
-        RECT 739.040 53.760 739.300 54.000 ;
-        RECT 742.260 53.760 742.520 54.000 ;
-        RECT 765.260 53.760 765.520 54.000 ;
-        RECT 935.460 53.760 935.720 54.000 ;
-        RECT 958.460 53.760 958.720 54.000 ;
-        RECT 1173.280 53.760 1173.540 54.000 ;
-        RECT 765.260 53.080 765.520 53.340 ;
-        RECT 958.460 53.080 958.720 53.340 ;
-        RECT 1176.960 53.080 1177.220 53.340 ;
-        RECT 1191.220 53.080 1191.480 53.340 ;
-        RECT 195.320 52.400 195.580 52.660 ;
-        RECT 189.340 17.720 189.600 17.980 ;
-        RECT 195.320 17.720 195.580 17.980 ;
       LAYER met2 ;
-        RECT 739.040 53.730 739.300 54.000 ;
-        RECT 742.260 53.730 742.520 54.000 ;
-        RECT 765.260 53.730 765.520 54.000 ;
-        RECT 739.100 52.885 739.240 53.730 ;
-        RECT 742.320 52.885 742.460 53.730 ;
-        RECT 765.320 53.370 765.460 53.730 ;
-        RECT 765.260 53.050 765.520 53.370 ;
-        RECT 886.300 52.885 886.440 54.000 ;
-        RECT 935.460 53.730 935.720 54.000 ;
-        RECT 958.460 53.730 958.720 54.000 ;
-        RECT 1173.280 53.730 1173.540 54.000 ;
-        RECT 935.520 52.885 935.660 53.730 ;
-        RECT 958.520 53.370 958.660 53.730 ;
-        RECT 958.460 53.050 958.720 53.370 ;
-        RECT 1173.340 52.885 1173.480 53.730 ;
-        RECT 1191.280 53.370 1191.420 54.000 ;
-        RECT 1176.960 53.050 1177.220 53.370 ;
-        RECT 1191.220 53.050 1191.480 53.370 ;
-        RECT 1177.020 52.885 1177.160 53.050 ;
-        RECT 195.320 52.370 195.580 52.690 ;
-        RECT 739.030 52.515 739.310 52.885 ;
-        RECT 742.250 52.515 742.530 52.885 ;
-        RECT 886.230 52.515 886.510 52.885 ;
-        RECT 935.450 52.515 935.730 52.885 ;
-        RECT 1173.270 52.515 1173.550 52.885 ;
-        RECT 1176.950 52.515 1177.230 52.885 ;
-        RECT 195.380 18.010 195.520 52.370 ;
-        RECT 189.340 17.690 189.600 18.010 ;
-        RECT 195.320 17.690 195.580 18.010 ;
-        RECT 189.400 2.400 189.540 17.690 ;
-        RECT 189.330 0.000 189.610 2.400 ;
-      LAYER via2 ;
-        RECT 739.030 52.560 739.310 52.840 ;
-        RECT 742.250 52.560 742.530 52.840 ;
-        RECT 886.230 52.560 886.510 52.840 ;
-        RECT 935.450 52.560 935.730 52.840 ;
-        RECT 1173.270 52.560 1173.550 52.840 ;
-        RECT 1176.950 52.560 1177.230 52.840 ;
-      LAYER met3 ;
-        RECT 739.005 52.850 739.335 52.865 ;
-        RECT 742.225 52.850 742.555 52.865 ;
-        RECT 739.005 52.550 742.555 52.850 ;
-        RECT 739.005 52.535 739.335 52.550 ;
-        RECT 742.225 52.535 742.555 52.550 ;
-        RECT 886.205 52.850 886.535 52.865 ;
-        RECT 935.425 52.850 935.755 52.865 ;
-        RECT 886.205 52.550 935.755 52.850 ;
-        RECT 886.205 52.535 886.535 52.550 ;
-        RECT 935.425 52.535 935.755 52.550 ;
-        RECT 1173.245 52.850 1173.575 52.865 ;
-        RECT 1176.925 52.850 1177.255 52.865 ;
-        RECT 1173.245 52.550 1177.255 52.850 ;
-        RECT 1173.245 52.535 1173.575 52.550 ;
-        RECT 1176.925 52.535 1177.255 52.550 ;
+        RECT 224.330 32.120 224.610 34.520 ;
     END
   END wbs_adr_i[7]
   PIN wbs_adr_i[8]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 739.545 53.465 739.715 54.000 ;
-        RECT 741.845 53.465 742.015 54.000 ;
-        RECT 764.385 53.805 765.935 53.975 ;
-        RECT 883.525 53.805 883.695 54.000 ;
-        RECT 764.385 53.465 764.555 53.805 ;
-        RECT 935.045 53.465 935.215 54.000 ;
-        RECT 957.585 53.805 959.135 53.975 ;
-        RECT 1150.325 53.805 1150.495 54.000 ;
-        RECT 957.585 53.465 957.755 53.805 ;
-        RECT 1151.705 53.465 1151.875 54.000 ;
-        RECT 1177.465 53.805 1177.635 54.000 ;
-        RECT 668.705 52.445 668.875 53.295 ;
-      LAYER mcon ;
-        RECT 765.765 53.805 765.935 53.975 ;
-        RECT 958.965 53.805 959.135 53.975 ;
-        RECT 668.705 53.125 668.875 53.295 ;
-      LAYER met1 ;
-        RECT 765.705 53.960 765.995 54.000 ;
-        RECT 883.465 53.960 883.755 54.000 ;
-        RECT 765.705 53.820 883.755 53.960 ;
-        RECT 765.705 53.775 765.995 53.820 ;
-        RECT 883.465 53.775 883.755 53.820 ;
-        RECT 958.905 53.960 959.195 54.000 ;
-        RECT 1150.265 53.960 1150.555 54.000 ;
-        RECT 958.905 53.820 1150.555 53.960 ;
-        RECT 958.905 53.775 959.195 53.820 ;
-        RECT 1150.265 53.775 1150.555 53.820 ;
-        RECT 1177.405 53.960 1177.695 54.000 ;
-        RECT 1190.730 53.960 1191.050 54.000 ;
-        RECT 1177.405 53.820 1191.050 53.960 ;
-        RECT 1177.405 53.775 1177.695 53.820 ;
-        RECT 1190.730 53.760 1191.050 53.820 ;
-        RECT 739.485 53.620 739.775 53.665 ;
-        RECT 717.020 53.480 739.775 53.620 ;
-        RECT 668.645 53.280 668.935 53.325 ;
-        RECT 717.020 53.280 717.160 53.480 ;
-        RECT 739.485 53.435 739.775 53.480 ;
-        RECT 741.785 53.620 742.075 53.665 ;
-        RECT 764.325 53.620 764.615 53.665 ;
-        RECT 741.785 53.480 764.615 53.620 ;
-        RECT 741.785 53.435 742.075 53.480 ;
-        RECT 764.325 53.435 764.615 53.480 ;
-        RECT 934.985 53.620 935.275 53.665 ;
-        RECT 957.525 53.620 957.815 53.665 ;
-        RECT 934.985 53.480 957.815 53.620 ;
-        RECT 934.985 53.435 935.275 53.480 ;
-        RECT 957.525 53.435 957.815 53.480 ;
-        RECT 1151.645 53.620 1151.935 53.665 ;
-        RECT 1174.170 53.620 1174.490 53.680 ;
-        RECT 1151.645 53.480 1174.490 53.620 ;
-        RECT 1151.645 53.435 1151.935 53.480 ;
-        RECT 1174.170 53.420 1174.490 53.480 ;
-        RECT 668.645 53.140 717.160 53.280 ;
-        RECT 668.645 53.095 668.935 53.140 ;
-        RECT 209.090 52.940 209.410 53.000 ;
-        RECT 209.090 52.800 617.340 52.940 ;
-        RECT 209.090 52.740 209.410 52.800 ;
-        RECT 617.200 52.600 617.340 52.800 ;
-        RECT 668.645 52.600 668.935 52.645 ;
-        RECT 617.200 52.460 668.935 52.600 ;
-        RECT 668.645 52.415 668.935 52.460 ;
-      LAYER via ;
-        RECT 1190.760 53.760 1191.020 54.000 ;
-        RECT 1174.200 53.420 1174.460 53.680 ;
-        RECT 209.120 52.740 209.380 53.000 ;
       LAYER met2 ;
-        RECT 1174.260 53.710 1174.400 54.000 ;
-        RECT 1190.760 53.730 1191.020 54.000 ;
-        RECT 1174.200 53.390 1174.460 53.710 ;
-        RECT 209.120 52.710 209.380 53.030 ;
-        RECT 209.180 16.730 209.320 52.710 ;
-        RECT 207.340 16.590 209.320 16.730 ;
-        RECT 207.340 2.400 207.480 16.590 ;
-        RECT 207.270 0.000 207.550 2.400 ;
+        RECT 242.270 32.120 242.550 34.520 ;
     END
   END wbs_adr_i[8]
   PIN wbs_adr_i[9]
     DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.445500 ;
     PORT
       LAYER li1 ;
-        RECT 716.545 53.465 717.635 53.635 ;
-        RECT 717.465 53.125 717.635 53.465 ;
+        RECT 338.850 50.330 339.105 50.905 ;
+        RECT 338.935 49.600 339.105 50.330 ;
+        RECT 338.850 48.695 339.105 49.600 ;
+      LAYER L1M1_PR_C ;
+        RECT 338.855 48.865 339.025 49.035 ;
       LAYER met1 ;
-        RECT 716.485 53.620 716.775 53.665 ;
-        RECT 1196.250 53.620 1196.570 53.680 ;
-        RECT 668.260 53.480 716.775 53.620 ;
-        RECT 229.790 53.280 230.110 53.340 ;
-        RECT 668.260 53.280 668.400 53.480 ;
-        RECT 716.485 53.435 716.775 53.480 ;
-        RECT 764.860 53.480 861.600 53.620 ;
-        RECT 229.790 53.140 668.400 53.280 ;
-        RECT 717.405 53.280 717.695 53.325 ;
-        RECT 764.860 53.280 765.000 53.480 ;
-        RECT 717.405 53.140 765.000 53.280 ;
-        RECT 861.460 53.280 861.600 53.480 ;
-        RECT 958.060 53.480 1151.400 53.620 ;
-        RECT 958.060 53.280 958.200 53.480 ;
-        RECT 861.460 53.140 958.200 53.280 ;
-        RECT 1151.260 53.280 1151.400 53.480 ;
-        RECT 1176.100 53.480 1196.570 53.620 ;
-        RECT 1176.100 53.280 1176.240 53.480 ;
-        RECT 1196.250 53.420 1196.570 53.480 ;
-        RECT 1151.260 53.140 1176.240 53.280 ;
-        RECT 229.790 53.080 230.110 53.140 ;
-        RECT 717.405 53.095 717.695 53.140 ;
-        RECT 225.190 16.900 225.510 16.960 ;
-        RECT 229.790 16.900 230.110 16.960 ;
-        RECT 225.190 16.760 230.110 16.900 ;
-        RECT 225.190 16.700 225.510 16.760 ;
-        RECT 229.790 16.700 230.110 16.760 ;
+        RECT 338.780 49.020 339.100 49.080 ;
+        RECT 338.585 48.880 339.100 49.020 ;
+        RECT 338.780 48.820 339.100 48.880 ;
       LAYER via ;
-        RECT 229.820 53.080 230.080 53.340 ;
-        RECT 1196.280 53.420 1196.540 53.680 ;
-        RECT 225.220 16.700 225.480 16.960 ;
-        RECT 229.820 16.700 230.080 16.960 ;
+        RECT 338.810 48.820 339.070 49.080 ;
       LAYER met2 ;
-        RECT 1196.340 53.710 1196.480 54.000 ;
-        RECT 1196.280 53.390 1196.540 53.710 ;
-        RECT 229.820 53.050 230.080 53.370 ;
-        RECT 229.880 16.990 230.020 53.050 ;
-        RECT 225.220 16.670 225.480 16.990 ;
-        RECT 229.820 16.670 230.080 16.990 ;
-        RECT 225.280 2.400 225.420 16.670 ;
-        RECT 225.210 0.000 225.490 2.400 ;
+        RECT 260.140 49.275 260.420 49.645 ;
+        RECT 338.800 49.275 339.080 49.645 ;
+        RECT 260.210 34.520 260.350 49.275 ;
+        RECT 338.870 49.110 339.010 49.275 ;
+        RECT 338.810 48.790 339.070 49.110 ;
+        RECT 260.140 32.120 260.490 34.520 ;
+      LAYER via2 ;
+        RECT 260.140 49.320 260.420 49.600 ;
+        RECT 338.800 49.320 339.080 49.600 ;
+      LAYER met3 ;
+        RECT 260.115 49.610 260.445 49.625 ;
+        RECT 338.775 49.610 339.105 49.625 ;
+        RECT 260.115 49.310 339.105 49.610 ;
+        RECT 260.115 49.295 260.445 49.310 ;
+        RECT 338.775 49.295 339.105 49.310 ;
     END
   END wbs_adr_i[9]
   PIN wbs_cyc_i
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 1079.410 39.340 1079.730 39.400 ;
-        RECT 1126.330 39.340 1126.650 39.400 ;
-        RECT 1079.410 39.200 1126.650 39.340 ;
-        RECT 1079.410 39.140 1079.730 39.200 ;
-        RECT 1126.330 39.140 1126.650 39.200 ;
-        RECT 1127.710 39.340 1128.030 39.400 ;
-        RECT 1156.230 39.340 1156.550 39.400 ;
-        RECT 1127.710 39.200 1156.550 39.340 ;
-        RECT 1127.710 39.140 1128.030 39.200 ;
-        RECT 1156.230 39.140 1156.550 39.200 ;
-        RECT 22.790 38.660 23.110 38.720 ;
-        RECT 968.090 38.660 968.410 38.720 ;
-        RECT 22.790 38.520 968.410 38.660 ;
-        RECT 22.790 38.460 23.110 38.520 ;
-        RECT 968.090 38.460 968.410 38.520 ;
-      LAYER via ;
-        RECT 1079.440 39.140 1079.700 39.400 ;
-        RECT 1126.360 39.140 1126.620 39.400 ;
-        RECT 1127.740 39.140 1128.000 39.400 ;
-        RECT 1156.260 39.140 1156.520 39.400 ;
-        RECT 22.820 38.460 23.080 38.720 ;
-        RECT 968.120 38.460 968.380 38.720 ;
       LAYER met2 ;
-        RECT 1156.320 39.430 1156.460 54.000 ;
-        RECT 1079.440 39.110 1079.700 39.430 ;
-        RECT 1126.360 39.110 1126.620 39.430 ;
-        RECT 1127.740 39.110 1128.000 39.430 ;
-        RECT 1156.260 39.110 1156.520 39.430 ;
-        RECT 22.820 38.430 23.080 38.750 ;
-        RECT 968.120 38.605 968.380 38.750 ;
-        RECT 1079.500 38.605 1079.640 39.110 ;
-        RECT 1126.420 38.605 1126.560 39.110 ;
-        RECT 1127.800 38.605 1127.940 39.110 ;
-        RECT 22.880 2.400 23.020 38.430 ;
-        RECT 968.110 38.235 968.390 38.605 ;
-        RECT 1079.430 38.235 1079.710 38.605 ;
-        RECT 1126.350 38.235 1126.630 38.605 ;
-        RECT 1127.730 38.235 1128.010 38.605 ;
-        RECT 22.810 0.000 23.090 2.400 ;
-      LAYER via2 ;
-        RECT 968.110 38.280 968.390 38.560 ;
-        RECT 1079.430 38.280 1079.710 38.560 ;
-        RECT 1126.350 38.280 1126.630 38.560 ;
-        RECT 1127.730 38.280 1128.010 38.560 ;
-      LAYER met3 ;
-        RECT 968.085 38.570 968.415 38.585 ;
-        RECT 1079.405 38.570 1079.735 38.585 ;
-        RECT 968.085 38.270 1079.735 38.570 ;
-        RECT 968.085 38.255 968.415 38.270 ;
-        RECT 1079.405 38.255 1079.735 38.270 ;
-        RECT 1126.325 38.570 1126.655 38.585 ;
-        RECT 1127.705 38.570 1128.035 38.585 ;
-        RECT 1126.325 38.270 1128.035 38.570 ;
-        RECT 1126.325 38.255 1126.655 38.270 ;
-        RECT 1127.705 38.255 1128.035 38.270 ;
+        RECT 57.810 32.120 58.090 34.520 ;
     END
   END wbs_cyc_i
   PIN wbs_dat_i[0]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 92.325 44.625 92.495 45.815 ;
-        RECT 140.165 44.625 140.335 45.815 ;
-        RECT 428.585 44.965 430.135 45.135 ;
-        RECT 188.925 42.925 189.095 44.795 ;
-        RECT 236.765 42.925 236.935 44.795 ;
-        RECT 285.525 43.945 285.695 44.795 ;
-        RECT 333.365 43.945 333.535 44.795 ;
-        RECT 340.725 43.945 340.895 44.795 ;
-        RECT 428.585 43.945 428.755 44.965 ;
-        RECT 575.325 42.925 575.495 44.795 ;
-        RECT 623.165 42.925 623.335 44.795 ;
-        RECT 671.925 43.945 672.095 44.795 ;
-        RECT 692.625 44.115 692.795 44.795 ;
-        RECT 692.165 43.945 692.795 44.115 ;
-      LAYER mcon ;
-        RECT 92.325 45.645 92.495 45.815 ;
-        RECT 140.165 45.645 140.335 45.815 ;
-        RECT 429.965 44.965 430.135 45.135 ;
-        RECT 188.925 44.625 189.095 44.795 ;
-        RECT 236.765 44.625 236.935 44.795 ;
-        RECT 285.525 44.625 285.695 44.795 ;
-        RECT 333.365 44.625 333.535 44.795 ;
-        RECT 340.725 44.625 340.895 44.795 ;
-        RECT 575.325 44.625 575.495 44.795 ;
-        RECT 623.165 44.625 623.335 44.795 ;
-        RECT 671.925 44.625 672.095 44.795 ;
-        RECT 692.625 44.625 692.795 44.795 ;
-      LAYER met1 ;
-        RECT 92.265 45.800 92.555 45.845 ;
-        RECT 140.105 45.800 140.395 45.845 ;
-        RECT 92.265 45.660 140.395 45.800 ;
-        RECT 92.265 45.615 92.555 45.660 ;
-        RECT 140.105 45.615 140.395 45.660 ;
-        RECT 429.905 44.935 430.195 45.165 ;
-        RECT 46.710 44.780 47.030 44.840 ;
-        RECT 92.265 44.780 92.555 44.825 ;
-        RECT 46.710 44.640 92.555 44.780 ;
-        RECT 46.710 44.580 47.030 44.640 ;
-        RECT 92.265 44.595 92.555 44.640 ;
-        RECT 140.105 44.780 140.395 44.825 ;
-        RECT 188.865 44.780 189.155 44.825 ;
-        RECT 140.105 44.640 189.155 44.780 ;
-        RECT 140.105 44.595 140.395 44.640 ;
-        RECT 188.865 44.595 189.155 44.640 ;
-        RECT 236.705 44.780 236.995 44.825 ;
-        RECT 285.465 44.780 285.755 44.825 ;
-        RECT 236.705 44.640 285.755 44.780 ;
-        RECT 236.705 44.595 236.995 44.640 ;
-        RECT 285.465 44.595 285.755 44.640 ;
-        RECT 333.305 44.780 333.595 44.825 ;
-        RECT 340.665 44.780 340.955 44.825 ;
-        RECT 333.305 44.640 340.955 44.780 ;
-        RECT 429.980 44.780 430.120 44.935 ;
-        RECT 575.265 44.780 575.555 44.825 ;
-        RECT 429.980 44.640 575.555 44.780 ;
-        RECT 333.305 44.595 333.595 44.640 ;
-        RECT 340.665 44.595 340.955 44.640 ;
-        RECT 575.265 44.595 575.555 44.640 ;
-        RECT 623.105 44.780 623.395 44.825 ;
-        RECT 671.865 44.780 672.155 44.825 ;
-        RECT 623.105 44.640 672.155 44.780 ;
-        RECT 623.105 44.595 623.395 44.640 ;
-        RECT 671.865 44.595 672.155 44.640 ;
-        RECT 692.565 44.780 692.855 44.825 ;
-        RECT 1156.690 44.780 1157.010 44.840 ;
-        RECT 692.565 44.640 1157.010 44.780 ;
-        RECT 692.565 44.595 692.855 44.640 ;
-        RECT 1156.690 44.580 1157.010 44.640 ;
-        RECT 285.465 44.100 285.755 44.145 ;
-        RECT 333.305 44.100 333.595 44.145 ;
-        RECT 285.465 43.960 333.595 44.100 ;
-        RECT 285.465 43.915 285.755 43.960 ;
-        RECT 333.305 43.915 333.595 43.960 ;
-        RECT 340.665 44.100 340.955 44.145 ;
-        RECT 428.525 44.100 428.815 44.145 ;
-        RECT 340.665 43.960 428.815 44.100 ;
-        RECT 340.665 43.915 340.955 43.960 ;
-        RECT 428.525 43.915 428.815 43.960 ;
-        RECT 671.865 44.100 672.155 44.145 ;
-        RECT 692.105 44.100 692.395 44.145 ;
-        RECT 671.865 43.960 692.395 44.100 ;
-        RECT 671.865 43.915 672.155 43.960 ;
-        RECT 692.105 43.915 692.395 43.960 ;
-        RECT 188.865 43.080 189.155 43.125 ;
-        RECT 236.705 43.080 236.995 43.125 ;
-        RECT 188.865 42.940 236.995 43.080 ;
-        RECT 188.865 42.895 189.155 42.940 ;
-        RECT 236.705 42.895 236.995 42.940 ;
-        RECT 575.265 43.080 575.555 43.125 ;
-        RECT 623.105 43.080 623.395 43.125 ;
-        RECT 575.265 42.940 623.395 43.080 ;
-        RECT 575.265 42.895 575.555 42.940 ;
-        RECT 623.105 42.895 623.395 42.940 ;
-      LAYER via ;
-        RECT 46.740 44.580 47.000 44.840 ;
-        RECT 1156.720 44.580 1156.980 44.840 ;
       LAYER met2 ;
-        RECT 1156.780 44.870 1156.920 54.000 ;
-        RECT 46.740 44.550 47.000 44.870 ;
-        RECT 1156.720 44.550 1156.980 44.870 ;
-        RECT 46.800 2.400 46.940 44.550 ;
-        RECT 46.730 0.000 47.010 2.400 ;
+        RECT 81.730 32.120 82.010 34.520 ;
     END
   END wbs_dat_i[0]
   PIN wbs_dat_i[10]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 667.785 51.085 667.955 53.635 ;
-        RECT 740.005 51.255 740.175 53.635 ;
-        RECT 740.925 53.465 741.095 54.000 ;
-        RECT 716.085 50.575 716.255 51.255 ;
-        RECT 739.085 51.085 740.175 51.255 ;
-        RECT 788.305 51.085 788.475 54.000 ;
-        RECT 837.985 51.255 838.155 54.000 ;
-        RECT 883.985 53.295 884.155 54.000 ;
-        RECT 884.905 53.295 885.075 53.635 ;
-        RECT 883.985 53.125 885.075 53.295 ;
-        RECT 837.525 51.085 838.155 51.255 ;
-        RECT 716.085 50.405 717.175 50.575 ;
-        RECT 739.085 50.405 739.255 51.085 ;
-        RECT 1174.705 50.745 1174.875 53.635 ;
-        RECT 1175.625 53.465 1176.715 53.635 ;
-        RECT 1176.545 51.085 1176.715 53.465 ;
-      LAYER mcon ;
-        RECT 667.785 53.465 667.955 53.635 ;
-        RECT 740.005 53.465 740.175 53.635 ;
-        RECT 716.085 51.085 716.255 51.255 ;
-        RECT 884.905 53.465 885.075 53.635 ;
-        RECT 1174.705 53.465 1174.875 53.635 ;
-        RECT 717.005 50.405 717.175 50.575 ;
-      LAYER met1 ;
-        RECT 250.490 53.620 250.810 53.680 ;
-        RECT 667.725 53.620 668.015 53.665 ;
-        RECT 250.490 53.480 668.015 53.620 ;
-        RECT 250.490 53.420 250.810 53.480 ;
-        RECT 667.725 53.435 668.015 53.480 ;
-        RECT 739.945 53.620 740.235 53.665 ;
-        RECT 740.865 53.620 741.155 53.665 ;
-        RECT 739.945 53.480 741.155 53.620 ;
-        RECT 739.945 53.435 740.235 53.480 ;
-        RECT 740.865 53.435 741.155 53.480 ;
-        RECT 884.845 53.620 885.135 53.665 ;
-        RECT 934.050 53.620 934.370 53.680 ;
-        RECT 884.845 53.480 934.370 53.620 ;
-        RECT 884.845 53.435 885.135 53.480 ;
-        RECT 934.050 53.420 934.370 53.480 ;
-        RECT 1174.645 53.620 1174.935 53.665 ;
-        RECT 1175.565 53.620 1175.855 53.665 ;
-        RECT 1174.645 53.480 1175.855 53.620 ;
-        RECT 1174.645 53.435 1174.935 53.480 ;
-        RECT 1175.565 53.435 1175.855 53.480 ;
-        RECT 667.725 51.240 668.015 51.285 ;
-        RECT 716.025 51.240 716.315 51.285 ;
-        RECT 667.725 51.100 716.315 51.240 ;
-        RECT 667.725 51.055 668.015 51.100 ;
-        RECT 716.025 51.055 716.315 51.100 ;
-        RECT 788.245 51.240 788.535 51.285 ;
-        RECT 837.465 51.240 837.755 51.285 ;
-        RECT 788.245 51.100 837.755 51.240 ;
-        RECT 788.245 51.055 788.535 51.100 ;
-        RECT 837.465 51.055 837.755 51.100 ;
-        RECT 981.890 51.240 982.210 51.300 ;
-        RECT 1176.485 51.240 1176.775 51.285 ;
-        RECT 1198.090 51.240 1198.410 51.300 ;
-        RECT 981.890 51.100 1127.940 51.240 ;
-        RECT 981.890 51.040 982.210 51.100 ;
-        RECT 1127.800 50.900 1127.940 51.100 ;
-        RECT 1176.485 51.100 1198.410 51.240 ;
-        RECT 1176.485 51.055 1176.775 51.100 ;
-        RECT 1198.090 51.040 1198.410 51.100 ;
-        RECT 1174.645 50.900 1174.935 50.945 ;
-        RECT 1127.800 50.760 1174.935 50.900 ;
-        RECT 1174.645 50.715 1174.935 50.760 ;
-        RECT 716.945 50.560 717.235 50.605 ;
-        RECT 739.025 50.560 739.315 50.605 ;
-        RECT 716.945 50.420 739.315 50.560 ;
-        RECT 716.945 50.375 717.235 50.420 ;
-        RECT 739.025 50.375 739.315 50.420 ;
-      LAYER via ;
-        RECT 250.520 53.420 250.780 53.680 ;
-        RECT 934.080 53.420 934.340 53.680 ;
-        RECT 981.920 51.040 982.180 51.300 ;
-        RECT 1198.120 51.040 1198.380 51.300 ;
       LAYER met2 ;
-        RECT 250.520 53.390 250.780 53.710 ;
-        RECT 934.080 53.565 934.340 53.710 ;
-        RECT 250.580 16.900 250.720 53.390 ;
-        RECT 934.070 53.195 934.350 53.565 ;
-        RECT 981.910 53.195 982.190 53.565 ;
-        RECT 981.980 51.330 982.120 53.195 ;
-        RECT 1198.180 51.330 1198.320 54.000 ;
-        RECT 981.920 51.010 982.180 51.330 ;
-        RECT 1198.120 51.010 1198.380 51.330 ;
-        RECT 249.200 16.760 250.720 16.900 ;
-        RECT 249.200 2.400 249.340 16.760 ;
-        RECT 249.130 0.000 249.410 2.400 ;
-      LAYER via2 ;
-        RECT 934.070 53.240 934.350 53.520 ;
-        RECT 981.910 53.240 982.190 53.520 ;
-      LAYER met3 ;
-        RECT 934.045 53.530 934.375 53.545 ;
-        RECT 981.885 53.530 982.215 53.545 ;
-        RECT 934.045 53.230 982.215 53.530 ;
-        RECT 934.045 53.215 934.375 53.230 ;
-        RECT 981.885 53.215 982.215 53.230 ;
+        RECT 284.130 32.120 284.410 34.520 ;
     END
   END wbs_dat_i[10]
   PIN wbs_dat_i[11]
     DIRECTION INPUT ;
+    ANTENNAGATEAREA 0.990000 ;
     PORT
       LAYER li1 ;
-        RECT 644.325 50.915 644.495 53.975 ;
-        RECT 669.625 51.935 669.795 52.615 ;
-        RECT 691.705 52.445 692.335 52.615 ;
-        RECT 668.245 51.765 669.795 51.935 ;
-        RECT 668.245 50.915 668.415 51.765 ;
-        RECT 644.325 50.745 644.955 50.915 ;
-        RECT 666.865 50.745 668.415 50.915 ;
-        RECT 692.165 50.745 692.335 52.445 ;
-        RECT 692.625 50.745 692.795 54.000 ;
-        RECT 740.465 53.805 740.635 54.000 ;
-        RECT 741.385 53.805 741.555 54.000 ;
-        RECT 788.765 50.745 788.935 54.000 ;
-        RECT 885.365 53.805 885.535 54.000 ;
-        RECT 934.585 53.805 934.755 54.000 ;
-        RECT 981.045 50.745 981.215 54.000 ;
-        RECT 1177.005 50.745 1177.175 53.975 ;
-      LAYER mcon ;
-        RECT 644.325 53.805 644.495 53.975 ;
-        RECT 669.625 52.445 669.795 52.615 ;
-        RECT 644.785 50.745 644.955 50.915 ;
-        RECT 1177.005 53.805 1177.175 53.975 ;
+        RECT 295.610 46.795 297.270 46.995 ;
+      LAYER L1M1_PR_C ;
+        RECT 296.995 46.825 297.165 46.995 ;
       LAYER met1 ;
-        RECT 266.590 53.960 266.910 54.000 ;
-        RECT 644.265 53.960 644.555 54.000 ;
-        RECT 266.590 53.820 644.555 53.960 ;
-        RECT 266.590 53.760 266.910 53.820 ;
-        RECT 644.265 53.775 644.555 53.820 ;
-        RECT 740.405 53.960 740.695 54.000 ;
-        RECT 741.325 53.960 741.615 54.000 ;
-        RECT 740.405 53.820 741.615 53.960 ;
-        RECT 740.405 53.775 740.695 53.820 ;
-        RECT 741.325 53.775 741.615 53.820 ;
-        RECT 885.305 53.960 885.595 54.000 ;
-        RECT 934.525 53.960 934.815 54.000 ;
-        RECT 885.305 53.820 934.815 53.960 ;
-        RECT 885.305 53.775 885.595 53.820 ;
-        RECT 934.525 53.775 934.815 53.820 ;
-        RECT 1175.090 53.960 1175.410 54.000 ;
-        RECT 1176.945 53.960 1177.235 54.000 ;
-        RECT 1175.090 53.820 1177.235 53.960 ;
-        RECT 1175.090 53.760 1175.410 53.820 ;
-        RECT 1176.945 53.775 1177.235 53.820 ;
-        RECT 669.565 52.600 669.855 52.645 ;
-        RECT 691.645 52.600 691.935 52.645 ;
-        RECT 669.565 52.460 691.935 52.600 ;
-        RECT 669.565 52.415 669.855 52.460 ;
-        RECT 691.645 52.415 691.935 52.460 ;
-        RECT 644.725 50.900 645.015 50.945 ;
-        RECT 666.805 50.900 667.095 50.945 ;
-        RECT 644.725 50.760 667.095 50.900 ;
-        RECT 644.725 50.715 645.015 50.760 ;
-        RECT 666.805 50.715 667.095 50.760 ;
-        RECT 692.105 50.900 692.395 50.945 ;
-        RECT 692.565 50.900 692.855 50.945 ;
-        RECT 692.105 50.760 692.855 50.900 ;
-        RECT 692.105 50.715 692.395 50.760 ;
-        RECT 692.565 50.715 692.855 50.760 ;
-        RECT 788.705 50.900 788.995 50.945 ;
-        RECT 837.910 50.900 838.230 50.960 ;
-        RECT 788.705 50.760 838.230 50.900 ;
-        RECT 788.705 50.715 788.995 50.760 ;
-        RECT 837.910 50.700 838.230 50.760 ;
-        RECT 980.985 50.900 981.275 50.945 ;
-        RECT 1127.250 50.900 1127.570 50.960 ;
-        RECT 980.985 50.760 1127.570 50.900 ;
-        RECT 980.985 50.715 981.275 50.760 ;
-        RECT 1127.250 50.700 1127.570 50.760 ;
-        RECT 1176.945 50.900 1177.235 50.945 ;
-        RECT 1204.530 50.900 1204.850 50.960 ;
-        RECT 1176.945 50.760 1204.850 50.900 ;
-        RECT 1176.945 50.715 1177.235 50.760 ;
-        RECT 1204.530 50.700 1204.850 50.760 ;
+        RECT 296.935 46.795 297.225 47.025 ;
+        RECT 297.010 46.640 297.150 46.795 ;
+        RECT 301.520 46.640 301.840 46.700 ;
+        RECT 297.010 46.500 301.840 46.640 ;
+        RECT 301.520 46.440 301.840 46.500 ;
       LAYER via ;
-        RECT 266.620 53.760 266.880 54.000 ;
-        RECT 1175.120 53.760 1175.380 54.000 ;
-        RECT 837.940 50.700 838.200 50.960 ;
-        RECT 1127.280 50.700 1127.540 50.960 ;
-        RECT 1204.560 50.700 1204.820 50.960 ;
+        RECT 301.550 46.440 301.810 46.700 ;
       LAYER met2 ;
-        RECT 266.620 53.730 266.880 54.000 ;
-        RECT 266.680 2.400 266.820 53.730 ;
-        RECT 838.000 50.990 838.140 54.000 ;
-        RECT 1175.120 53.730 1175.380 54.000 ;
-        RECT 1175.180 53.565 1175.320 53.730 ;
-        RECT 1127.270 53.195 1127.550 53.565 ;
-        RECT 1175.110 53.195 1175.390 53.565 ;
-        RECT 1127.340 50.990 1127.480 53.195 ;
-        RECT 1204.620 50.990 1204.760 54.000 ;
-        RECT 837.940 50.670 838.200 50.990 ;
-        RECT 1127.280 50.670 1127.540 50.990 ;
-        RECT 1204.560 50.670 1204.820 50.990 ;
-        RECT 266.610 0.000 266.890 2.400 ;
-      LAYER via2 ;
-        RECT 1127.270 53.240 1127.550 53.520 ;
-        RECT 1175.110 53.240 1175.390 53.520 ;
-      LAYER met3 ;
-        RECT 1127.245 53.530 1127.575 53.545 ;
-        RECT 1175.085 53.530 1175.415 53.545 ;
-        RECT 1127.245 53.230 1175.415 53.530 ;
-        RECT 1127.245 53.215 1127.575 53.230 ;
-        RECT 1175.085 53.215 1175.415 53.230 ;
+        RECT 301.550 46.410 301.810 46.730 ;
+        RECT 301.610 34.520 301.750 46.410 ;
+        RECT 301.540 32.120 301.890 34.520 ;
     END
   END wbs_dat_i[11]
   PIN wbs_dat_i[12]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 691.245 50.575 691.415 54.000 ;
-        RECT 691.245 50.405 691.875 50.575 ;
-        RECT 1127.785 50.405 1127.955 54.000 ;
-      LAYER mcon ;
-        RECT 691.705 50.405 691.875 50.575 ;
-      LAYER met1 ;
-        RECT 716.470 51.240 716.790 51.300 ;
-        RECT 716.470 51.100 788.000 51.240 ;
-        RECT 716.470 51.040 716.790 51.100 ;
-        RECT 787.860 50.900 788.000 51.100 ;
-        RECT 838.460 51.100 980.740 51.240 ;
-        RECT 787.860 50.760 788.460 50.900 ;
-        RECT 691.645 50.560 691.935 50.605 ;
-        RECT 716.470 50.560 716.790 50.620 ;
-        RECT 691.645 50.420 716.790 50.560 ;
-        RECT 788.320 50.560 788.460 50.760 ;
-        RECT 838.460 50.560 838.600 51.100 ;
-        RECT 788.320 50.420 838.600 50.560 ;
-        RECT 980.600 50.560 980.740 51.100 ;
-        RECT 1127.725 50.560 1128.015 50.605 ;
-        RECT 980.600 50.420 1128.015 50.560 ;
-        RECT 691.645 50.375 691.935 50.420 ;
-        RECT 716.470 50.360 716.790 50.420 ;
-        RECT 1127.725 50.375 1128.015 50.420 ;
-      LAYER via ;
-        RECT 716.500 51.040 716.760 51.300 ;
-        RECT 716.500 50.360 716.760 50.620 ;
       LAYER met2 ;
-        RECT 284.620 2.400 284.760 54.000 ;
-        RECT 716.500 51.010 716.760 51.330 ;
-        RECT 716.560 50.650 716.700 51.010 ;
-        RECT 716.500 50.330 716.760 50.650 ;
-        RECT 284.550 0.000 284.830 2.400 ;
+        RECT 319.550 32.120 319.830 34.520 ;
     END
   END wbs_dat_i[12]
   PIN wbs_dat_i[13]
     DIRECTION INPUT ;
     PORT
       LAYER li1 ;
-        RECT 1161.825 51.085 1162.455 51.255 ;
-        RECT 690.785 48.195 690.955 50.915 ;
-        RECT 690.785 48.025 691.875 48.195 ;
-        RECT 740.465 47.685 740.635 50.915 ;
-      LAYER mcon ;
-        RECT 1162.285 51.085 1162.455 51.255 ;
-        RECT 690.785 50.745 690.955 50.915 ;
-        RECT 740.465 50.745 740.635 50.915 ;
-        RECT 691.705 48.025 691.875 48.195 ;
+        RECT 380.425 46.635 380.945 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 380.715 47.505 380.885 47.675 ;
       LAYER met1 ;
-        RECT 302.470 51.240 302.790 51.300 ;
-        RECT 1128.170 51.240 1128.490 51.300 ;
-        RECT 1161.765 51.240 1162.055 51.285 ;
-        RECT 302.470 51.100 667.480 51.240 ;
-        RECT 302.470 51.040 302.790 51.100 ;
-        RECT 667.340 50.900 667.480 51.100 ;
-        RECT 1128.170 51.100 1162.055 51.240 ;
-        RECT 1128.170 51.040 1128.490 51.100 ;
-        RECT 1161.765 51.055 1162.055 51.100 ;
-        RECT 1162.210 51.240 1162.530 51.300 ;
-        RECT 1162.210 51.100 1162.725 51.240 ;
-        RECT 1162.210 51.040 1162.530 51.100 ;
-        RECT 690.725 50.900 691.015 50.945 ;
-        RECT 667.340 50.760 691.015 50.900 ;
-        RECT 690.725 50.715 691.015 50.760 ;
-        RECT 740.405 50.900 740.695 50.945 ;
-        RECT 787.310 50.900 787.630 50.960 ;
-        RECT 740.405 50.760 787.630 50.900 ;
-        RECT 740.405 50.715 740.695 50.760 ;
-        RECT 787.310 50.700 787.630 50.760 ;
-        RECT 839.290 50.900 839.610 50.960 ;
-        RECT 979.590 50.900 979.910 50.960 ;
-        RECT 839.290 50.760 979.910 50.900 ;
-        RECT 839.290 50.700 839.610 50.760 ;
-        RECT 979.590 50.700 979.910 50.760 ;
-        RECT 1209.590 50.560 1209.910 50.620 ;
-        RECT 1211.890 50.560 1212.210 50.620 ;
-        RECT 1209.590 50.420 1212.210 50.560 ;
-        RECT 1209.590 50.360 1209.910 50.420 ;
-        RECT 1211.890 50.360 1212.210 50.420 ;
-        RECT 691.645 48.180 691.935 48.225 ;
-        RECT 691.645 48.040 693.240 48.180 ;
-        RECT 691.645 47.995 691.935 48.040 ;
-        RECT 693.100 47.840 693.240 48.040 ;
-        RECT 740.405 47.840 740.695 47.885 ;
-        RECT 693.100 47.700 740.695 47.840 ;
-        RECT 740.405 47.655 740.695 47.700 ;
+        RECT 339.240 47.660 339.560 47.720 ;
+        RECT 380.655 47.660 380.945 47.705 ;
+        RECT 339.240 47.520 380.945 47.660 ;
+        RECT 339.240 47.460 339.560 47.520 ;
+        RECT 380.655 47.475 380.945 47.520 ;
       LAYER via ;
-        RECT 302.500 51.040 302.760 51.300 ;
-        RECT 1128.200 51.040 1128.460 51.300 ;
-        RECT 1162.240 51.040 1162.500 51.300 ;
-        RECT 787.340 50.700 787.600 50.960 ;
-        RECT 839.320 50.700 839.580 50.960 ;
-        RECT 979.620 50.700 979.880 50.960 ;
-        RECT 1209.620 50.360 1209.880 50.620 ;
-        RECT 1211.920 50.360 1212.180 50.620 ;
+        RECT 339.270 47.460 339.530 47.720 ;
       LAYER met2 ;
-        RECT 789.170 51.835 789.450 52.205 ;
-        RECT 839.310 51.835 839.590 52.205 ;
-        RECT 982.370 51.835 982.650 52.205 ;
-        RECT 1031.590 51.835 1031.870 52.205 ;
-        RECT 1080.810 51.835 1081.090 52.205 ;
-        RECT 1128.190 51.835 1128.470 52.205 ;
-        RECT 302.500 51.010 302.760 51.330 ;
-        RECT 302.560 2.400 302.700 51.010 ;
-        RECT 787.340 50.845 787.600 50.990 ;
-        RECT 789.240 50.845 789.380 51.835 ;
-        RECT 839.380 50.990 839.520 51.835 ;
-        RECT 787.330 50.475 787.610 50.845 ;
-        RECT 789.170 50.475 789.450 50.845 ;
-        RECT 839.320 50.670 839.580 50.990 ;
-        RECT 979.620 50.845 979.880 50.990 ;
-        RECT 982.440 50.845 982.580 51.835 ;
-        RECT 1031.660 50.845 1031.800 51.835 ;
-        RECT 1080.880 50.845 1081.020 51.835 ;
-        RECT 1128.260 51.330 1128.400 51.835 ;
-        RECT 1128.200 51.010 1128.460 51.330 ;
-        RECT 1162.240 51.010 1162.500 51.330 ;
-        RECT 979.610 50.475 979.890 50.845 ;
-        RECT 982.370 50.475 982.650 50.845 ;
-        RECT 1031.590 50.475 1031.870 50.845 ;
-        RECT 1080.810 50.475 1081.090 50.845 ;
-        RECT 1162.300 50.165 1162.440 51.010 ;
-        RECT 1211.980 50.650 1212.120 54.000 ;
-        RECT 1209.620 50.330 1209.880 50.650 ;
-        RECT 1211.920 50.330 1212.180 50.650 ;
-        RECT 1209.680 50.165 1209.820 50.330 ;
-        RECT 1162.230 49.795 1162.510 50.165 ;
-        RECT 1209.610 49.795 1209.890 50.165 ;
-        RECT 302.490 0.000 302.770 2.400 ;
-      LAYER via2 ;
-        RECT 789.170 51.880 789.450 52.160 ;
-        RECT 839.310 51.880 839.590 52.160 ;
-        RECT 982.370 51.880 982.650 52.160 ;
-        RECT 1031.590 51.880 1031.870 52.160 ;
-        RECT 1080.810 51.880 1081.090 52.160 ;
-        RECT 1128.190 51.880 1128.470 52.160 ;
-        RECT 787.330 50.520 787.610 50.800 ;
-        RECT 789.170 50.520 789.450 50.800 ;
-        RECT 979.610 50.520 979.890 50.800 ;
-        RECT 982.370 50.520 982.650 50.800 ;
-        RECT 1031.590 50.520 1031.870 50.800 ;
-        RECT 1080.810 50.520 1081.090 50.800 ;
-        RECT 1162.230 49.840 1162.510 50.120 ;
-        RECT 1209.610 49.840 1209.890 50.120 ;
-      LAYER met3 ;
-        RECT 789.145 52.170 789.475 52.185 ;
-        RECT 839.285 52.170 839.615 52.185 ;
-        RECT 789.145 51.870 839.615 52.170 ;
-        RECT 789.145 51.855 789.475 51.870 ;
-        RECT 839.285 51.855 839.615 51.870 ;
-        RECT 982.345 52.170 982.675 52.185 ;
-        RECT 1031.565 52.170 1031.895 52.185 ;
-        RECT 982.345 51.870 1031.895 52.170 ;
-        RECT 982.345 51.855 982.675 51.870 ;
-        RECT 1031.565 51.855 1031.895 51.870 ;
-        RECT 1080.785 52.170 1081.115 52.185 ;
-        RECT 1128.165 52.170 1128.495 52.185 ;
-        RECT 1080.785 51.870 1128.495 52.170 ;
-        RECT 1080.785 51.855 1081.115 51.870 ;
-        RECT 1128.165 51.855 1128.495 51.870 ;
-        RECT 787.305 50.810 787.635 50.825 ;
-        RECT 789.145 50.810 789.475 50.825 ;
-        RECT 787.305 50.510 789.475 50.810 ;
-        RECT 787.305 50.495 787.635 50.510 ;
-        RECT 789.145 50.495 789.475 50.510 ;
-        RECT 979.585 50.810 979.915 50.825 ;
-        RECT 982.345 50.810 982.675 50.825 ;
-        RECT 979.585 50.510 982.675 50.810 ;
-        RECT 979.585 50.495 979.915 50.510 ;
-        RECT 982.345 50.495 982.675 50.510 ;
-        RECT 1031.565 50.810 1031.895 50.825 ;
-        RECT 1080.785 50.810 1081.115 50.825 ;
-        RECT 1031.565 50.510 1081.115 50.810 ;
-        RECT 1031.565 50.495 1031.895 50.510 ;
-        RECT 1080.785 50.495 1081.115 50.510 ;
-        RECT 1162.205 50.130 1162.535 50.145 ;
-        RECT 1209.585 50.130 1209.915 50.145 ;
-        RECT 1162.205 49.830 1209.915 50.130 ;
-        RECT 1162.205 49.815 1162.535 49.830 ;
-        RECT 1209.585 49.815 1209.915 49.830 ;
+        RECT 339.270 47.430 339.530 47.750 ;
+        RECT 339.330 46.810 339.470 47.430 ;
+        RECT 337.490 46.670 339.470 46.810 ;
+        RECT 337.490 34.520 337.630 46.670 ;
+        RECT 337.420 32.120 337.770 34.520 ;
     END
   END wbs_dat_i[13]
   PIN wbs_dat_i[14]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1191.725 53.125 1191.895 54.000 ;
-        RECT 692.625 48.025 693.715 48.195 ;
-        RECT 739.545 48.025 739.715 50.575 ;
-        RECT 692.625 47.685 692.795 48.025 ;
-      LAYER mcon ;
-        RECT 739.545 50.405 739.715 50.575 ;
-        RECT 693.545 48.025 693.715 48.195 ;
       LAYER met1 ;
-        RECT 1191.665 53.280 1191.955 53.325 ;
-        RECT 1218.790 53.280 1219.110 53.340 ;
-        RECT 1191.665 53.140 1219.110 53.280 ;
-        RECT 1191.665 53.095 1191.955 53.140 ;
-        RECT 1218.790 53.080 1219.110 53.140 ;
-        RECT 326.390 50.900 326.710 50.960 ;
-        RECT 644.250 50.900 644.570 50.960 ;
-        RECT 326.390 50.760 644.570 50.900 ;
-        RECT 326.390 50.700 326.710 50.760 ;
-        RECT 644.250 50.700 644.570 50.760 ;
-        RECT 739.485 50.560 739.775 50.605 ;
-        RECT 787.770 50.560 788.090 50.620 ;
-        RECT 739.485 50.420 788.090 50.560 ;
-        RECT 739.485 50.375 739.775 50.420 ;
-        RECT 787.770 50.360 788.090 50.420 ;
-        RECT 838.830 50.560 839.150 50.620 ;
-        RECT 980.050 50.560 980.370 50.620 ;
-        RECT 838.830 50.420 980.370 50.560 ;
-        RECT 838.830 50.360 839.150 50.420 ;
-        RECT 980.050 50.360 980.370 50.420 ;
-        RECT 693.485 48.180 693.775 48.225 ;
-        RECT 739.485 48.180 739.775 48.225 ;
-        RECT 693.485 48.040 739.775 48.180 ;
-        RECT 693.485 47.995 693.775 48.040 ;
-        RECT 739.485 47.995 739.775 48.040 ;
-        RECT 644.250 47.840 644.570 47.900 ;
-        RECT 692.565 47.840 692.855 47.885 ;
-        RECT 644.250 47.700 692.855 47.840 ;
-        RECT 644.250 47.640 644.570 47.700 ;
-        RECT 692.565 47.655 692.855 47.700 ;
-        RECT 320.410 16.900 320.730 16.960 ;
-        RECT 325.930 16.900 326.250 16.960 ;
-        RECT 320.410 16.760 326.250 16.900 ;
-        RECT 320.410 16.700 320.730 16.760 ;
-        RECT 325.930 16.700 326.250 16.760 ;
+        RECT 355.340 50.720 355.660 50.780 ;
+        RECT 366.840 50.720 367.160 50.780 ;
+        RECT 355.340 50.580 367.160 50.720 ;
+        RECT 355.340 50.520 355.660 50.580 ;
+        RECT 366.840 50.520 367.160 50.580 ;
       LAYER via ;
-        RECT 1218.820 53.080 1219.080 53.340 ;
-        RECT 326.420 50.700 326.680 50.960 ;
-        RECT 644.280 50.700 644.540 50.960 ;
-        RECT 787.800 50.360 788.060 50.620 ;
-        RECT 838.860 50.360 839.120 50.620 ;
-        RECT 980.080 50.360 980.340 50.620 ;
-        RECT 644.280 47.640 644.540 47.900 ;
-        RECT 320.440 16.700 320.700 16.960 ;
-        RECT 325.960 16.700 326.220 16.960 ;
+        RECT 355.370 50.520 355.630 50.780 ;
+        RECT 366.870 50.520 367.130 50.780 ;
       LAYER met2 ;
-        RECT 326.420 50.670 326.680 50.990 ;
-        RECT 644.280 50.670 644.540 50.990 ;
-        RECT 326.480 17.410 326.620 50.670 ;
-        RECT 644.340 47.930 644.480 50.670 ;
-        RECT 787.800 50.330 788.060 50.650 ;
-        RECT 838.850 50.475 839.130 50.845 ;
-        RECT 838.860 50.330 839.120 50.475 ;
-        RECT 980.080 50.330 980.340 50.650 ;
-        RECT 787.860 50.165 788.000 50.330 ;
-        RECT 980.140 50.165 980.280 50.330 ;
-        RECT 787.790 49.795 788.070 50.165 ;
-        RECT 980.070 49.795 980.350 50.165 ;
-        RECT 1079.960 49.485 1080.100 54.000 ;
-        RECT 1218.880 53.370 1219.020 54.000 ;
-        RECT 1218.820 53.050 1219.080 53.370 ;
-        RECT 1079.890 49.115 1080.170 49.485 ;
-        RECT 644.280 47.610 644.540 47.930 ;
-        RECT 326.020 17.270 326.620 17.410 ;
-        RECT 326.020 16.990 326.160 17.270 ;
-        RECT 320.440 16.670 320.700 16.990 ;
-        RECT 325.960 16.670 326.220 16.990 ;
-        RECT 320.500 2.400 320.640 16.670 ;
-        RECT 320.430 0.000 320.710 2.400 ;
-      LAYER via2 ;
-        RECT 838.850 50.520 839.130 50.800 ;
-        RECT 787.790 49.840 788.070 50.120 ;
-        RECT 980.070 49.840 980.350 50.120 ;
-        RECT 1079.890 49.160 1080.170 49.440 ;
-      LAYER met3 ;
-        RECT 838.825 50.810 839.155 50.825 ;
-        RECT 791.230 50.510 839.155 50.810 ;
-        RECT 787.765 50.130 788.095 50.145 ;
-        RECT 791.230 50.130 791.530 50.510 ;
-        RECT 838.825 50.495 839.155 50.510 ;
-        RECT 983.510 50.510 1030.730 50.810 ;
-        RECT 787.765 49.830 791.530 50.130 ;
-        RECT 980.045 50.130 980.375 50.145 ;
-        RECT 983.510 50.130 983.810 50.510 ;
-        RECT 980.045 49.830 983.810 50.130 ;
-        RECT 787.765 49.815 788.095 49.830 ;
-        RECT 980.045 49.815 980.375 49.830 ;
-        RECT 1030.430 49.450 1030.730 50.510 ;
-        RECT 1079.865 49.450 1080.195 49.465 ;
-        RECT 1030.430 49.150 1080.195 49.450 ;
-        RECT 1079.865 49.135 1080.195 49.150 ;
+        RECT 366.930 50.810 367.070 54.000 ;
+        RECT 355.370 50.490 355.630 50.810 ;
+        RECT 366.870 50.490 367.130 50.810 ;
+        RECT 355.430 34.520 355.570 50.490 ;
+        RECT 355.360 32.120 355.710 34.520 ;
     END
   END wbs_dat_i[14]
   PIN wbs_dat_i[15]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 740.005 48.025 740.175 50.915 ;
-        RECT 741.385 44.285 741.555 48.195 ;
-        RECT 789.225 44.285 789.395 54.000 ;
-        RECT 982.885 44.965 983.055 54.000 ;
-      LAYER mcon ;
-        RECT 740.005 50.745 740.175 50.915 ;
-        RECT 741.385 48.025 741.555 48.195 ;
-      LAYER met1 ;
-        RECT 1192.570 53.960 1192.890 54.000 ;
-        RECT 1220.630 53.960 1220.950 54.000 ;
-        RECT 1192.570 53.820 1220.950 53.960 ;
-        RECT 1192.570 53.760 1192.890 53.820 ;
-        RECT 1220.630 53.760 1220.950 53.820 ;
-        RECT 693.010 50.900 693.330 50.960 ;
-        RECT 739.945 50.900 740.235 50.945 ;
-        RECT 693.010 50.760 740.235 50.900 ;
-        RECT 693.010 50.700 693.330 50.760 ;
-        RECT 739.945 50.715 740.235 50.760 ;
-        RECT 340.190 50.560 340.510 50.620 ;
-        RECT 691.170 50.560 691.490 50.620 ;
-        RECT 340.190 50.420 691.490 50.560 ;
-        RECT 340.190 50.360 340.510 50.420 ;
-        RECT 691.170 50.360 691.490 50.420 ;
-        RECT 1128.170 50.560 1128.490 50.620 ;
-        RECT 1175.090 50.560 1175.410 50.620 ;
-        RECT 1128.170 50.420 1175.410 50.560 ;
-        RECT 1128.170 50.360 1128.490 50.420 ;
-        RECT 1175.090 50.360 1175.410 50.420 ;
-        RECT 739.945 48.180 740.235 48.225 ;
-        RECT 741.325 48.180 741.615 48.225 ;
-        RECT 739.945 48.040 741.615 48.180 ;
-        RECT 739.945 47.995 740.235 48.040 ;
-        RECT 741.325 47.995 741.615 48.040 ;
-        RECT 958.890 45.120 959.210 45.180 ;
-        RECT 982.825 45.120 983.115 45.165 ;
-        RECT 958.890 44.980 983.115 45.120 ;
-        RECT 958.890 44.920 959.210 44.980 ;
-        RECT 982.825 44.935 983.115 44.980 ;
-        RECT 741.325 44.440 741.615 44.485 ;
-        RECT 789.165 44.440 789.455 44.485 ;
-        RECT 741.325 44.300 789.455 44.440 ;
-        RECT 741.325 44.255 741.615 44.300 ;
-        RECT 789.165 44.255 789.455 44.300 ;
-      LAYER via ;
-        RECT 1192.600 53.760 1192.860 54.000 ;
-        RECT 1220.660 53.760 1220.920 54.000 ;
-        RECT 693.040 50.700 693.300 50.960 ;
-        RECT 340.220 50.360 340.480 50.620 ;
-        RECT 691.200 50.360 691.460 50.620 ;
-        RECT 1128.200 50.360 1128.460 50.620 ;
-        RECT 1175.120 50.360 1175.380 50.620 ;
-        RECT 958.920 44.920 959.180 45.180 ;
       LAYER met2 ;
-        RECT 693.040 50.845 693.300 50.990 ;
-        RECT 340.220 50.330 340.480 50.650 ;
-        RECT 691.190 50.475 691.470 50.845 ;
-        RECT 693.030 50.475 693.310 50.845 ;
-        RECT 691.200 50.330 691.460 50.475 ;
-        RECT 340.280 3.130 340.420 50.330 ;
-        RECT 837.540 50.165 837.680 54.000 ;
-        RECT 958.910 50.475 959.190 50.845 ;
-        RECT 837.470 49.795 837.750 50.165 ;
-        RECT 958.980 45.210 959.120 50.475 ;
-        RECT 1079.040 50.165 1079.180 54.000 ;
-        RECT 1192.600 53.730 1192.860 54.000 ;
-        RECT 1220.660 53.730 1220.920 54.000 ;
-        RECT 1192.660 50.845 1192.800 53.730 ;
-        RECT 1128.200 50.330 1128.460 50.650 ;
-        RECT 1175.110 50.475 1175.390 50.845 ;
-        RECT 1192.590 50.475 1192.870 50.845 ;
-        RECT 1175.120 50.330 1175.380 50.475 ;
-        RECT 1128.260 50.165 1128.400 50.330 ;
-        RECT 1078.970 49.795 1079.250 50.165 ;
-        RECT 1128.190 49.795 1128.470 50.165 ;
-        RECT 958.920 44.890 959.180 45.210 ;
-        RECT 338.440 2.990 340.420 3.130 ;
-        RECT 338.440 2.400 338.580 2.990 ;
-        RECT 338.370 0.000 338.650 2.400 ;
-      LAYER via2 ;
-        RECT 691.190 50.520 691.470 50.800 ;
-        RECT 693.030 50.520 693.310 50.800 ;
-        RECT 958.910 50.520 959.190 50.800 ;
-        RECT 837.470 49.840 837.750 50.120 ;
-        RECT 1175.110 50.520 1175.390 50.800 ;
-        RECT 1192.590 50.520 1192.870 50.800 ;
-        RECT 1078.970 49.840 1079.250 50.120 ;
-        RECT 1128.190 49.840 1128.470 50.120 ;
-      LAYER met3 ;
-        RECT 691.165 50.810 691.495 50.825 ;
-        RECT 693.005 50.810 693.335 50.825 ;
-        RECT 691.165 50.510 693.335 50.810 ;
-        RECT 691.165 50.495 691.495 50.510 ;
-        RECT 693.005 50.495 693.335 50.510 ;
-        RECT 885.950 50.810 886.330 50.820 ;
-        RECT 958.885 50.810 959.215 50.825 ;
-        RECT 885.950 50.510 959.215 50.810 ;
-        RECT 885.950 50.500 886.330 50.510 ;
-        RECT 958.885 50.495 959.215 50.510 ;
-        RECT 1175.085 50.810 1175.415 50.825 ;
-        RECT 1192.565 50.810 1192.895 50.825 ;
-        RECT 1175.085 50.510 1192.895 50.810 ;
-        RECT 1175.085 50.495 1175.415 50.510 ;
-        RECT 1192.565 50.495 1192.895 50.510 ;
-        RECT 837.445 50.130 837.775 50.145 ;
-        RECT 885.030 50.130 885.410 50.140 ;
-        RECT 837.445 49.830 885.410 50.130 ;
-        RECT 837.445 49.815 837.775 49.830 ;
-        RECT 885.030 49.820 885.410 49.830 ;
-        RECT 1078.945 50.130 1079.275 50.145 ;
-        RECT 1128.165 50.130 1128.495 50.145 ;
-        RECT 1078.945 49.830 1128.495 50.130 ;
-        RECT 1078.945 49.815 1079.275 49.830 ;
-        RECT 1128.165 49.815 1128.495 49.830 ;
-      LAYER via3 ;
-        RECT 885.980 50.500 886.300 50.820 ;
-        RECT 885.060 49.820 885.380 50.140 ;
-      LAYER met4 ;
-        RECT 885.975 50.495 886.305 50.825 ;
-        RECT 885.055 49.815 885.385 50.145 ;
-        RECT 885.070 49.450 885.370 49.815 ;
-        RECT 885.990 49.450 886.290 50.495 ;
-        RECT 885.070 49.150 886.290 49.450 ;
+        RECT 373.370 32.120 373.650 34.520 ;
     END
   END wbs_dat_i[15]
   PIN wbs_dat_i[16]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 355.830 15.880 356.150 15.940 ;
-        RECT 360.890 15.880 361.210 15.940 ;
-        RECT 355.830 15.740 361.210 15.880 ;
-        RECT 355.830 15.680 356.150 15.740 ;
-        RECT 360.890 15.680 361.210 15.740 ;
-      LAYER via ;
-        RECT 355.860 15.680 356.120 15.940 ;
-        RECT 360.920 15.680 361.180 15.940 ;
       LAYER met2 ;
-        RECT 360.980 15.970 361.120 54.000 ;
-        RECT 355.860 15.650 356.120 15.970 ;
-        RECT 360.920 15.650 361.180 15.970 ;
-        RECT 355.920 2.400 356.060 15.650 ;
-        RECT 355.850 0.000 356.130 2.400 ;
+        RECT 390.850 32.120 391.130 34.520 ;
     END
   END wbs_dat_i[16]
   PIN wbs_dat_i[17]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 373.770 2.960 374.090 3.020 ;
-        RECT 374.690 2.960 375.010 3.020 ;
-        RECT 373.770 2.820 375.010 2.960 ;
-        RECT 373.770 2.760 374.090 2.820 ;
-        RECT 374.690 2.760 375.010 2.820 ;
-      LAYER via ;
-        RECT 373.800 2.760 374.060 3.020 ;
-        RECT 374.720 2.760 374.980 3.020 ;
       LAYER met2 ;
-        RECT 374.780 3.050 374.920 54.000 ;
-        RECT 373.800 2.730 374.060 3.050 ;
-        RECT 374.720 2.730 374.980 3.050 ;
-        RECT 373.860 2.400 374.000 2.730 ;
-        RECT 373.790 0.000 374.070 2.400 ;
+        RECT 408.790 32.120 409.070 34.520 ;
     END
   END wbs_dat_i[17]
   PIN wbs_dat_i[18]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 391.710 15.540 392.030 15.600 ;
-        RECT 395.390 15.540 395.710 15.600 ;
-        RECT 391.710 15.400 395.710 15.540 ;
-        RECT 391.710 15.340 392.030 15.400 ;
-        RECT 395.390 15.340 395.710 15.400 ;
-      LAYER via ;
-        RECT 391.740 15.340 392.000 15.600 ;
-        RECT 395.420 15.340 395.680 15.600 ;
       LAYER met2 ;
-        RECT 395.480 15.630 395.620 54.000 ;
-        RECT 391.740 15.310 392.000 15.630 ;
-        RECT 395.420 15.310 395.680 15.630 ;
-        RECT 391.800 2.400 391.940 15.310 ;
-        RECT 391.730 0.000 392.010 2.400 ;
+        RECT 426.660 32.120 427.010 34.520 ;
     END
   END wbs_dat_i[18]
   PIN wbs_dat_i[19]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 409.650 17.580 409.970 17.640 ;
-        RECT 415.170 17.580 415.490 17.640 ;
-        RECT 409.650 17.440 415.490 17.580 ;
-        RECT 409.650 17.380 409.970 17.440 ;
-        RECT 415.170 17.380 415.490 17.440 ;
-      LAYER via ;
-        RECT 409.680 17.380 409.940 17.640 ;
-        RECT 415.200 17.380 415.460 17.640 ;
       LAYER met2 ;
-        RECT 415.720 18.090 415.860 54.000 ;
-        RECT 415.260 17.950 415.860 18.090 ;
-        RECT 415.260 17.670 415.400 17.950 ;
-        RECT 409.680 17.350 409.940 17.670 ;
-        RECT 415.200 17.350 415.460 17.670 ;
-        RECT 409.740 2.400 409.880 17.350 ;
-        RECT 409.670 0.000 409.950 2.400 ;
+        RECT 444.670 32.120 444.950 34.520 ;
     END
   END wbs_dat_i[19]
   PIN wbs_dat_i[1]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 71.180 3.130 71.320 54.000 ;
-        RECT 70.720 2.990 71.320 3.130 ;
-        RECT 70.720 2.400 70.860 2.990 ;
-        RECT 70.650 0.000 70.930 2.400 ;
+        RECT 105.650 32.120 105.930 34.520 ;
     END
   END wbs_dat_i[1]
   PIN wbs_dat_i[20]
     DIRECTION INPUT ;
     PORT
+      LAYER li1 ;
+        RECT 464.605 46.635 465.125 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 464.895 46.825 465.065 46.995 ;
       LAYER met1 ;
-        RECT 427.130 15.200 427.450 15.260 ;
-        RECT 429.890 15.200 430.210 15.260 ;
-        RECT 427.130 15.060 430.210 15.200 ;
-        RECT 427.130 15.000 427.450 15.060 ;
-        RECT 429.890 15.000 430.210 15.060 ;
+        RECT 462.060 46.980 462.380 47.040 ;
+        RECT 464.835 46.980 465.125 47.025 ;
+        RECT 462.060 46.840 465.125 46.980 ;
+        RECT 462.060 46.780 462.380 46.840 ;
+        RECT 464.835 46.795 465.125 46.840 ;
       LAYER via ;
-        RECT 427.160 15.000 427.420 15.260 ;
-        RECT 429.920 15.000 430.180 15.260 ;
+        RECT 462.090 46.780 462.350 47.040 ;
       LAYER met2 ;
-        RECT 429.980 15.290 430.120 54.000 ;
-        RECT 427.160 14.970 427.420 15.290 ;
-        RECT 429.920 14.970 430.180 15.290 ;
-        RECT 427.220 2.400 427.360 14.970 ;
-        RECT 427.150 0.000 427.430 2.400 ;
+        RECT 462.090 46.750 462.350 47.070 ;
+        RECT 462.150 34.520 462.290 46.750 ;
+        RECT 462.080 32.120 462.430 34.520 ;
     END
   END wbs_dat_i[20]
   PIN wbs_dat_i[21]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 445.070 15.200 445.390 15.260 ;
-        RECT 450.590 15.200 450.910 15.260 ;
-        RECT 445.070 15.060 450.910 15.200 ;
-        RECT 445.070 15.000 445.390 15.060 ;
-        RECT 450.590 15.000 450.910 15.060 ;
-      LAYER via ;
-        RECT 445.100 15.000 445.360 15.260 ;
-        RECT 450.620 15.000 450.880 15.260 ;
       LAYER met2 ;
-        RECT 450.680 15.290 450.820 54.000 ;
-        RECT 445.100 14.970 445.360 15.290 ;
-        RECT 450.620 14.970 450.880 15.290 ;
-        RECT 445.160 2.400 445.300 14.970 ;
-        RECT 445.090 0.000 445.370 2.400 ;
+        RECT 480.090 32.120 480.370 34.520 ;
     END
   END wbs_dat_i[21]
   PIN wbs_dat_i[22]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 463.100 2.400 463.240 54.000 ;
-        RECT 463.030 0.000 463.310 2.400 ;
+        RECT 498.030 32.120 498.310 34.520 ;
     END
   END wbs_dat_i[22]
   PIN wbs_dat_i[23]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 480.950 17.580 481.270 17.640 ;
-        RECT 485.090 17.580 485.410 17.640 ;
-        RECT 480.950 17.440 485.410 17.580 ;
-        RECT 480.950 17.380 481.270 17.440 ;
-        RECT 485.090 17.380 485.410 17.440 ;
-      LAYER via ;
-        RECT 480.980 17.380 481.240 17.640 ;
-        RECT 485.120 17.380 485.380 17.640 ;
       LAYER met2 ;
-        RECT 485.180 17.670 485.320 54.000 ;
-        RECT 480.980 17.350 481.240 17.670 ;
-        RECT 485.120 17.350 485.380 17.670 ;
-        RECT 481.040 2.400 481.180 17.350 ;
-        RECT 480.970 0.000 481.250 2.400 ;
+        RECT 515.900 32.120 516.250 34.520 ;
     END
   END wbs_dat_i[23]
   PIN wbs_dat_i[24]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 497.510 15.200 497.830 15.260 ;
-        RECT 498.890 15.200 499.210 15.260 ;
-        RECT 497.510 15.060 499.210 15.200 ;
-        RECT 497.510 15.000 497.830 15.060 ;
-        RECT 498.890 15.000 499.210 15.060 ;
-      LAYER via ;
-        RECT 497.540 15.000 497.800 15.260 ;
-        RECT 498.920 15.000 499.180 15.260 ;
       LAYER met2 ;
-        RECT 497.600 15.290 497.740 54.000 ;
-        RECT 497.540 14.970 497.800 15.290 ;
-        RECT 498.920 14.970 499.180 15.290 ;
-        RECT 498.980 2.400 499.120 14.970 ;
-        RECT 498.910 0.000 499.190 2.400 ;
+        RECT 533.910 32.120 534.190 34.520 ;
     END
   END wbs_dat_i[24]
   PIN wbs_dat_i[25]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 516.370 14.520 516.690 14.580 ;
-        RECT 519.590 14.520 519.910 14.580 ;
-        RECT 516.370 14.380 519.910 14.520 ;
-        RECT 516.370 14.320 516.690 14.380 ;
-        RECT 519.590 14.320 519.910 14.380 ;
-      LAYER via ;
-        RECT 516.400 14.320 516.660 14.580 ;
-        RECT 519.620 14.320 519.880 14.580 ;
       LAYER met2 ;
-        RECT 519.680 14.610 519.820 54.000 ;
-        RECT 516.400 14.290 516.660 14.610 ;
-        RECT 519.620 14.290 519.880 14.610 ;
-        RECT 516.460 2.400 516.600 14.290 ;
-        RECT 516.390 0.000 516.670 2.400 ;
+        RECT 551.320 32.120 551.670 34.520 ;
     END
   END wbs_dat_i[25]
   PIN wbs_dat_i[26]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 534.310 14.860 534.630 14.920 ;
-        RECT 539.830 14.860 540.150 14.920 ;
-        RECT 534.310 14.720 540.150 14.860 ;
-        RECT 534.310 14.660 534.630 14.720 ;
-        RECT 539.830 14.660 540.150 14.720 ;
-      LAYER via ;
-        RECT 534.340 14.660 534.600 14.920 ;
-        RECT 539.860 14.660 540.120 14.920 ;
       LAYER met2 ;
-        RECT 539.920 14.950 540.060 54.000 ;
-        RECT 534.340 14.630 534.600 14.950 ;
-        RECT 539.860 14.630 540.120 14.950 ;
-        RECT 534.400 2.400 534.540 14.630 ;
-        RECT 534.330 0.000 534.610 2.400 ;
+        RECT 569.330 32.120 569.610 34.520 ;
     END
   END wbs_dat_i[26]
   PIN wbs_dat_i[27]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 554.180 17.410 554.320 54.000 ;
-        RECT 552.340 17.270 554.320 17.410 ;
-        RECT 552.340 2.400 552.480 17.270 ;
-        RECT 552.270 0.000 552.550 2.400 ;
+        RECT 587.270 32.120 587.550 34.520 ;
     END
   END wbs_dat_i[27]
   PIN wbs_dat_i[28]
     DIRECTION INPUT ;
     PORT
+      LAYER li1 ;
+        RECT 604.905 48.695 605.425 50.245 ;
+      LAYER L1M1_PR_C ;
+        RECT 605.195 48.865 605.365 49.035 ;
       LAYER met1 ;
-        RECT 570.190 17.580 570.510 17.640 ;
-        RECT 574.790 17.580 575.110 17.640 ;
-        RECT 570.190 17.440 575.110 17.580 ;
-        RECT 570.190 17.380 570.510 17.440 ;
-        RECT 574.790 17.380 575.110 17.440 ;
+        RECT 605.120 49.020 605.440 49.080 ;
+        RECT 604.925 48.880 605.440 49.020 ;
+        RECT 605.120 48.820 605.440 48.880 ;
       LAYER via ;
-        RECT 570.220 17.380 570.480 17.640 ;
-        RECT 574.820 17.380 575.080 17.640 ;
+        RECT 605.150 48.820 605.410 49.080 ;
       LAYER met2 ;
-        RECT 574.880 17.670 575.020 54.000 ;
-        RECT 570.220 17.350 570.480 17.670 ;
-        RECT 574.820 17.350 575.080 17.670 ;
-        RECT 570.280 2.400 570.420 17.350 ;
-        RECT 570.210 0.000 570.490 2.400 ;
+        RECT 605.150 48.790 605.410 49.110 ;
+        RECT 605.210 34.520 605.350 48.790 ;
+        RECT 605.140 32.120 605.490 34.520 ;
     END
   END wbs_dat_i[28]
   PIN wbs_dat_i[29]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 588.680 17.410 588.820 54.000 ;
-        RECT 588.220 17.270 588.820 17.410 ;
-        RECT 588.220 2.400 588.360 17.270 ;
-        RECT 588.150 0.000 588.430 2.400 ;
+        RECT 623.150 32.120 623.430 34.520 ;
     END
   END wbs_dat_i[29]
   PIN wbs_dat_i[2]
     DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.891000 ;
     PORT
+      LAYER li1 ;
+        RECT 175.955 47.355 176.290 48.115 ;
+        RECT 176.810 47.355 177.140 48.115 ;
+        RECT 175.955 47.185 177.625 47.355 ;
+        RECT 177.380 46.620 177.625 47.185 ;
+        RECT 175.955 46.450 177.625 46.620 ;
+        RECT 175.955 46.025 176.290 46.450 ;
+        RECT 176.810 46.025 177.145 46.450 ;
+      LAYER L1M1_PR_C ;
+        RECT 176.015 47.505 176.185 47.675 ;
       LAYER met1 ;
-        RECT 94.090 17.580 94.410 17.640 ;
-        RECT 98.690 17.580 99.010 17.640 ;
-        RECT 94.090 17.440 99.010 17.580 ;
-        RECT 94.090 17.380 94.410 17.440 ;
-        RECT 98.690 17.380 99.010 17.440 ;
+        RECT 174.100 47.660 174.420 47.720 ;
+        RECT 175.955 47.660 176.245 47.705 ;
+        RECT 174.100 47.520 176.245 47.660 ;
+        RECT 174.100 47.460 174.420 47.520 ;
+        RECT 175.955 47.475 176.245 47.520 ;
+        RECT 130.860 46.980 131.180 47.040 ;
+        RECT 140.520 46.980 140.840 47.040 ;
+        RECT 130.860 46.840 140.840 46.980 ;
+        RECT 130.860 46.780 131.180 46.840 ;
+        RECT 140.520 46.780 140.840 46.840 ;
       LAYER via ;
-        RECT 94.120 17.380 94.380 17.640 ;
-        RECT 98.720 17.380 98.980 17.640 ;
+        RECT 174.130 47.460 174.390 47.720 ;
+        RECT 130.890 46.780 131.150 47.040 ;
+        RECT 140.550 46.780 140.810 47.040 ;
       LAYER met2 ;
-        RECT 98.780 17.670 98.920 54.000 ;
-        RECT 94.120 17.350 94.380 17.670 ;
-        RECT 98.720 17.350 98.980 17.670 ;
-        RECT 94.180 2.400 94.320 17.350 ;
-        RECT 94.110 0.000 94.390 2.400 ;
+        RECT 173.270 48.170 173.410 54.000 ;
+        RECT 173.270 48.030 174.330 48.170 ;
+        RECT 174.190 47.750 174.330 48.030 ;
+        RECT 174.130 47.430 174.390 47.750 ;
+        RECT 130.890 46.750 131.150 47.070 ;
+        RECT 140.550 46.750 140.810 47.070 ;
+        RECT 130.950 37.970 131.090 46.750 ;
+        RECT 140.610 46.245 140.750 46.750 ;
+        RECT 174.190 46.245 174.330 47.430 ;
+        RECT 140.540 45.875 140.820 46.245 ;
+        RECT 174.120 45.875 174.400 46.245 ;
+        RECT 129.110 37.830 131.090 37.970 ;
+        RECT 129.110 34.520 129.250 37.830 ;
+        RECT 129.040 32.120 129.390 34.520 ;
+      LAYER via2 ;
+        RECT 140.540 45.920 140.820 46.200 ;
+        RECT 174.120 45.920 174.400 46.200 ;
+      LAYER met3 ;
+        RECT 140.515 46.210 140.845 46.225 ;
+        RECT 174.095 46.210 174.425 46.225 ;
+        RECT 140.515 45.910 174.425 46.210 ;
+        RECT 140.515 45.895 140.845 45.910 ;
+        RECT 174.095 45.895 174.425 45.910 ;
     END
   END wbs_dat_i[2]
   PIN wbs_dat_i[30]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 605.610 17.580 605.930 17.640 ;
-        RECT 609.290 17.580 609.610 17.640 ;
-        RECT 605.610 17.440 609.610 17.580 ;
-        RECT 605.610 17.380 605.930 17.440 ;
-        RECT 609.290 17.380 609.610 17.440 ;
-      LAYER via ;
-        RECT 605.640 17.380 605.900 17.640 ;
-        RECT 609.320 17.380 609.580 17.640 ;
       LAYER met2 ;
-        RECT 609.380 17.670 609.520 54.000 ;
-        RECT 605.640 17.350 605.900 17.670 ;
-        RECT 609.320 17.350 609.580 17.670 ;
-        RECT 605.700 2.400 605.840 17.350 ;
-        RECT 605.630 0.000 605.910 2.400 ;
+        RECT 640.630 32.120 640.910 34.520 ;
     END
   END wbs_dat_i[30]
   PIN wbs_dat_i[31]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 623.550 17.580 623.870 17.640 ;
-        RECT 629.070 17.580 629.390 17.640 ;
-        RECT 623.550 17.440 629.390 17.580 ;
-        RECT 623.550 17.380 623.870 17.440 ;
-        RECT 629.070 17.380 629.390 17.440 ;
-      LAYER via ;
-        RECT 623.580 17.380 623.840 17.640 ;
-        RECT 629.100 17.380 629.360 17.640 ;
       LAYER met2 ;
-        RECT 629.620 18.090 629.760 54.000 ;
-        RECT 629.160 17.950 629.760 18.090 ;
-        RECT 629.160 17.670 629.300 17.950 ;
-        RECT 623.580 17.350 623.840 17.670 ;
-        RECT 629.100 17.350 629.360 17.670 ;
-        RECT 623.640 2.400 623.780 17.350 ;
-        RECT 623.570 0.000 623.850 2.400 ;
+        RECT 658.570 32.120 658.850 34.520 ;
     END
   END wbs_dat_i[31]
   PIN wbs_dat_i[3]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 118.010 2.960 118.330 3.020 ;
-        RECT 119.390 2.960 119.710 3.020 ;
-        RECT 118.010 2.820 119.710 2.960 ;
-        RECT 118.010 2.760 118.330 2.820 ;
-        RECT 119.390 2.760 119.710 2.820 ;
-      LAYER via ;
-        RECT 118.040 2.760 118.300 3.020 ;
-        RECT 119.420 2.760 119.680 3.020 ;
       LAYER met2 ;
-        RECT 119.480 3.050 119.620 54.000 ;
-        RECT 118.040 2.730 118.300 3.050 ;
-        RECT 119.420 2.730 119.680 3.050 ;
-        RECT 118.100 2.400 118.240 2.730 ;
-        RECT 118.030 0.000 118.310 2.400 ;
+        RECT 153.030 32.120 153.310 34.520 ;
     END
   END wbs_dat_i[3]
   PIN wbs_dat_i[4]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 141.930 15.880 142.250 15.940 ;
-        RECT 146.990 15.880 147.310 15.940 ;
-        RECT 141.930 15.740 147.310 15.880 ;
-        RECT 141.930 15.680 142.250 15.740 ;
-        RECT 146.990 15.680 147.310 15.740 ;
-      LAYER via ;
-        RECT 141.960 15.680 142.220 15.940 ;
-        RECT 147.020 15.680 147.280 15.940 ;
       LAYER met2 ;
-        RECT 147.080 15.970 147.220 54.000 ;
-        RECT 141.960 15.650 142.220 15.970 ;
-        RECT 147.020 15.650 147.280 15.970 ;
-        RECT 142.020 2.400 142.160 15.650 ;
-        RECT 141.950 0.000 142.230 2.400 ;
+        RECT 176.880 32.120 177.230 34.520 ;
     END
   END wbs_dat_i[4]
   PIN wbs_dat_i[5]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1184.840 20.245 1184.980 54.000 ;
-        RECT 159.890 19.875 160.170 20.245 ;
-        RECT 1184.770 19.875 1185.050 20.245 ;
-        RECT 159.960 2.400 160.100 19.875 ;
-        RECT 159.890 0.000 160.170 2.400 ;
-      LAYER via2 ;
-        RECT 159.890 19.920 160.170 20.200 ;
-        RECT 1184.770 19.920 1185.050 20.200 ;
-      LAYER met3 ;
-        RECT 159.865 20.210 160.195 20.225 ;
-        RECT 1184.745 20.210 1185.075 20.225 ;
-        RECT 159.865 19.910 1185.075 20.210 ;
-        RECT 159.865 19.895 160.195 19.910 ;
-        RECT 1184.745 19.895 1185.075 19.910 ;
+        RECT 194.890 32.120 195.170 34.520 ;
     END
   END wbs_dat_i[5]
   PIN wbs_dat_i[6]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 739.545 21.165 740.635 21.335 ;
-        RECT 739.545 20.825 739.715 21.165 ;
-        RECT 182.025 15.725 182.195 17.595 ;
-        RECT 229.865 15.725 230.035 17.595 ;
-        RECT 281.385 15.725 281.555 17.595 ;
-        RECT 326.465 15.725 326.635 17.595 ;
-        RECT 375.225 14.705 375.395 17.595 ;
-        RECT 423.065 14.705 423.235 17.595 ;
-        RECT 468.605 14.365 468.775 17.595 ;
-        RECT 519.665 14.875 519.835 17.595 ;
-        RECT 740.465 17.425 740.635 21.165 ;
-        RECT 519.205 14.705 519.835 14.875 ;
-      LAYER mcon ;
-        RECT 182.025 17.425 182.195 17.595 ;
-        RECT 229.865 17.425 230.035 17.595 ;
-        RECT 281.385 17.425 281.555 17.595 ;
-        RECT 326.465 17.425 326.635 17.595 ;
-        RECT 375.225 17.425 375.395 17.595 ;
-        RECT 423.065 17.425 423.235 17.595 ;
-        RECT 468.605 17.425 468.775 17.595 ;
-        RECT 519.665 17.425 519.835 17.595 ;
-      LAYER met1 ;
-        RECT 644.250 21.320 644.570 21.380 ;
-        RECT 644.250 21.180 672.080 21.320 ;
-        RECT 644.250 21.120 644.570 21.180 ;
-        RECT 671.940 20.980 672.080 21.180 ;
-        RECT 739.485 20.980 739.775 21.025 ;
-        RECT 671.940 20.840 739.775 20.980 ;
-        RECT 739.485 20.795 739.775 20.840 ;
-        RECT 177.350 17.580 177.670 17.640 ;
-        RECT 181.965 17.580 182.255 17.625 ;
-        RECT 177.350 17.440 182.255 17.580 ;
-        RECT 177.350 17.380 177.670 17.440 ;
-        RECT 181.965 17.395 182.255 17.440 ;
-        RECT 229.805 17.580 230.095 17.625 ;
-        RECT 281.325 17.580 281.615 17.625 ;
-        RECT 229.805 17.440 281.615 17.580 ;
-        RECT 229.805 17.395 230.095 17.440 ;
-        RECT 281.325 17.395 281.615 17.440 ;
-        RECT 326.405 17.580 326.695 17.625 ;
-        RECT 375.165 17.580 375.455 17.625 ;
-        RECT 326.405 17.440 375.455 17.580 ;
-        RECT 326.405 17.395 326.695 17.440 ;
-        RECT 375.165 17.395 375.455 17.440 ;
-        RECT 423.005 17.580 423.295 17.625 ;
-        RECT 468.545 17.580 468.835 17.625 ;
-        RECT 423.005 17.440 468.835 17.580 ;
-        RECT 423.005 17.395 423.295 17.440 ;
-        RECT 468.545 17.395 468.835 17.440 ;
-        RECT 519.605 17.580 519.895 17.625 ;
-        RECT 740.405 17.580 740.695 17.625 ;
-        RECT 788.230 17.580 788.550 17.640 ;
-        RECT 519.605 17.440 569.960 17.580 ;
-        RECT 519.605 17.395 519.895 17.440 ;
-        RECT 569.820 17.240 569.960 17.440 ;
-        RECT 740.405 17.440 788.550 17.580 ;
-        RECT 740.405 17.395 740.695 17.440 ;
-        RECT 788.230 17.380 788.550 17.440 ;
-        RECT 1031.110 17.580 1031.430 17.640 ;
-        RECT 1183.370 17.580 1183.690 17.640 ;
-        RECT 1031.110 17.440 1183.690 17.580 ;
-        RECT 1031.110 17.380 1031.430 17.440 ;
-        RECT 1183.370 17.380 1183.690 17.440 ;
-        RECT 644.250 17.240 644.570 17.300 ;
-        RECT 569.820 17.100 644.570 17.240 ;
-        RECT 644.250 17.040 644.570 17.100 ;
-        RECT 790.530 17.240 790.850 17.300 ;
-        RECT 932.670 17.240 932.990 17.300 ;
-        RECT 790.530 17.100 932.990 17.240 ;
-        RECT 790.530 17.040 790.850 17.100 ;
-        RECT 932.670 17.040 932.990 17.100 ;
-        RECT 181.965 15.880 182.255 15.925 ;
-        RECT 229.805 15.880 230.095 15.925 ;
-        RECT 181.965 15.740 230.095 15.880 ;
-        RECT 181.965 15.695 182.255 15.740 ;
-        RECT 229.805 15.695 230.095 15.740 ;
-        RECT 281.325 15.880 281.615 15.925 ;
-        RECT 326.405 15.880 326.695 15.925 ;
-        RECT 281.325 15.740 326.695 15.880 ;
-        RECT 281.325 15.695 281.615 15.740 ;
-        RECT 326.405 15.695 326.695 15.740 ;
-        RECT 935.890 15.880 936.210 15.940 ;
-        RECT 980.970 15.880 981.290 15.940 ;
-        RECT 935.890 15.740 981.290 15.880 ;
-        RECT 935.890 15.680 936.210 15.740 ;
-        RECT 980.970 15.680 981.290 15.740 ;
-        RECT 983.730 15.880 984.050 15.940 ;
-        RECT 1029.270 15.880 1029.590 15.940 ;
-        RECT 983.730 15.740 1029.590 15.880 ;
-        RECT 983.730 15.680 984.050 15.740 ;
-        RECT 1029.270 15.680 1029.590 15.740 ;
-        RECT 375.165 14.860 375.455 14.905 ;
-        RECT 423.005 14.860 423.295 14.905 ;
-        RECT 519.145 14.860 519.435 14.905 ;
-        RECT 375.165 14.720 423.295 14.860 ;
-        RECT 375.165 14.675 375.455 14.720 ;
-        RECT 423.005 14.675 423.295 14.720 ;
-        RECT 513.700 14.720 519.435 14.860 ;
-        RECT 468.545 14.520 468.835 14.565 ;
-        RECT 513.700 14.520 513.840 14.720 ;
-        RECT 519.145 14.675 519.435 14.720 ;
-        RECT 468.545 14.380 513.840 14.520 ;
-        RECT 468.545 14.335 468.835 14.380 ;
-      LAYER via ;
-        RECT 644.280 21.120 644.540 21.380 ;
-        RECT 177.380 17.380 177.640 17.640 ;
-        RECT 788.260 17.380 788.520 17.640 ;
-        RECT 1031.140 17.380 1031.400 17.640 ;
-        RECT 1183.400 17.380 1183.660 17.640 ;
-        RECT 644.280 17.040 644.540 17.300 ;
-        RECT 790.560 17.040 790.820 17.300 ;
-        RECT 932.700 17.040 932.960 17.300 ;
-        RECT 935.920 15.680 936.180 15.940 ;
-        RECT 981.000 15.680 981.260 15.940 ;
-        RECT 983.760 15.680 984.020 15.940 ;
-        RECT 1029.300 15.680 1029.560 15.940 ;
       LAYER met2 ;
-        RECT 644.280 21.090 644.540 21.410 ;
-        RECT 177.380 17.350 177.640 17.670 ;
-        RECT 177.440 2.400 177.580 17.350 ;
-        RECT 644.340 17.330 644.480 21.090 ;
-        RECT 1183.460 17.670 1183.600 54.000 ;
-        RECT 788.260 17.350 788.520 17.670 ;
-        RECT 1031.140 17.350 1031.400 17.670 ;
-        RECT 1183.400 17.350 1183.660 17.670 ;
-        RECT 644.280 17.010 644.540 17.330 ;
-        RECT 788.320 16.165 788.460 17.350 ;
-        RECT 790.560 17.010 790.820 17.330 ;
-        RECT 932.700 17.010 932.960 17.330 ;
-        RECT 790.620 16.165 790.760 17.010 ;
-        RECT 932.760 16.165 932.900 17.010 ;
-        RECT 1031.200 16.165 1031.340 17.350 ;
-        RECT 788.250 15.795 788.530 16.165 ;
-        RECT 790.550 15.795 790.830 16.165 ;
-        RECT 932.690 15.795 932.970 16.165 ;
-        RECT 935.910 15.795 936.190 16.165 ;
-        RECT 980.990 15.795 981.270 16.165 ;
-        RECT 983.750 15.795 984.030 16.165 ;
-        RECT 1029.290 15.795 1029.570 16.165 ;
-        RECT 1031.130 15.795 1031.410 16.165 ;
-        RECT 935.920 15.650 936.180 15.795 ;
-        RECT 981.000 15.650 981.260 15.795 ;
-        RECT 983.760 15.650 984.020 15.795 ;
-        RECT 1029.300 15.650 1029.560 15.795 ;
-        RECT 177.370 0.000 177.650 2.400 ;
+        RECT 212.300 41.795 212.580 42.165 ;
+        RECT 212.370 34.520 212.510 41.795 ;
+        RECT 212.300 32.120 212.650 34.520 ;
       LAYER via2 ;
-        RECT 788.250 15.840 788.530 16.120 ;
-        RECT 790.550 15.840 790.830 16.120 ;
-        RECT 932.690 15.840 932.970 16.120 ;
-        RECT 935.910 15.840 936.190 16.120 ;
-        RECT 980.990 15.840 981.270 16.120 ;
-        RECT 983.750 15.840 984.030 16.120 ;
-        RECT 1029.290 15.840 1029.570 16.120 ;
-        RECT 1031.130 15.840 1031.410 16.120 ;
+        RECT 212.300 41.840 212.580 42.120 ;
       LAYER met3 ;
-        RECT 788.225 16.130 788.555 16.145 ;
-        RECT 790.525 16.130 790.855 16.145 ;
-        RECT 788.225 15.830 790.855 16.130 ;
-        RECT 788.225 15.815 788.555 15.830 ;
-        RECT 790.525 15.815 790.855 15.830 ;
-        RECT 932.665 16.130 932.995 16.145 ;
-        RECT 935.885 16.130 936.215 16.145 ;
-        RECT 932.665 15.830 936.215 16.130 ;
-        RECT 932.665 15.815 932.995 15.830 ;
-        RECT 935.885 15.815 936.215 15.830 ;
-        RECT 980.965 16.130 981.295 16.145 ;
-        RECT 983.725 16.130 984.055 16.145 ;
-        RECT 980.965 15.830 984.055 16.130 ;
-        RECT 980.965 15.815 981.295 15.830 ;
-        RECT 983.725 15.815 984.055 15.830 ;
-        RECT 1029.265 16.130 1029.595 16.145 ;
-        RECT 1031.105 16.130 1031.435 16.145 ;
-        RECT 1029.265 15.830 1031.435 16.130 ;
-        RECT 1029.265 15.815 1029.595 15.830 ;
-        RECT 1031.105 15.815 1031.435 15.830 ;
+        RECT 212.275 42.130 212.605 42.145 ;
+        RECT 214.780 42.130 215.160 42.140 ;
+        RECT 212.275 41.830 215.160 42.130 ;
+        RECT 212.275 41.815 212.605 41.830 ;
+        RECT 214.780 41.820 215.160 41.830 ;
+      LAYER via3 ;
+        RECT 214.810 41.820 215.130 42.140 ;
+      LAYER met4 ;
+        RECT 214.820 42.145 215.120 54.000 ;
+        RECT 214.805 41.815 215.135 42.145 ;
     END
   END wbs_dat_i[6]
   PIN wbs_dat_i[7]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 906.065 17.765 907.615 17.935 ;
-      LAYER mcon ;
-        RECT 907.445 17.765 907.615 17.935 ;
-      LAYER met1 ;
-        RECT 194.830 18.260 195.150 18.320 ;
-        RECT 1174.170 18.260 1174.490 18.320 ;
-        RECT 194.830 18.120 223.580 18.260 ;
-        RECT 194.830 18.060 195.150 18.120 ;
-        RECT 223.440 17.920 223.580 18.120 ;
-        RECT 1127.800 18.120 1174.490 18.260 ;
-        RECT 906.005 17.920 906.295 17.965 ;
-        RECT 223.440 17.780 906.295 17.920 ;
-        RECT 906.005 17.735 906.295 17.780 ;
-        RECT 907.385 17.920 907.675 17.965 ;
-        RECT 1127.800 17.920 1127.940 18.120 ;
-        RECT 1174.170 18.060 1174.490 18.120 ;
-        RECT 907.385 17.780 1127.940 17.920 ;
-        RECT 1176.930 17.920 1177.250 17.980 ;
-        RECT 1192.110 17.920 1192.430 17.980 ;
-        RECT 1176.930 17.780 1192.430 17.920 ;
-        RECT 907.385 17.735 907.675 17.780 ;
-        RECT 1176.930 17.720 1177.250 17.780 ;
-        RECT 1192.110 17.720 1192.430 17.780 ;
-      LAYER via ;
-        RECT 194.860 18.060 195.120 18.320 ;
-        RECT 1174.200 18.060 1174.460 18.320 ;
-        RECT 1176.960 17.720 1177.220 17.980 ;
-        RECT 1192.140 17.720 1192.400 17.980 ;
       LAYER met2 ;
-        RECT 1191.740 53.960 1191.880 54.000 ;
-        RECT 1191.740 53.820 1192.340 53.960 ;
-        RECT 194.860 18.030 195.120 18.350 ;
-        RECT 1174.200 18.030 1174.460 18.350 ;
-        RECT 194.920 9.250 195.060 18.030 ;
-        RECT 1174.260 16.845 1174.400 18.030 ;
-        RECT 1192.200 18.010 1192.340 53.820 ;
-        RECT 1176.960 17.690 1177.220 18.010 ;
-        RECT 1192.140 17.690 1192.400 18.010 ;
-        RECT 1177.020 16.845 1177.160 17.690 ;
-        RECT 1174.190 16.475 1174.470 16.845 ;
-        RECT 1176.950 16.475 1177.230 16.845 ;
-        RECT 194.920 9.110 195.520 9.250 ;
-        RECT 195.380 2.400 195.520 9.110 ;
-        RECT 195.310 0.000 195.590 2.400 ;
-      LAYER via2 ;
-        RECT 1174.190 16.520 1174.470 16.800 ;
-        RECT 1176.950 16.520 1177.230 16.800 ;
-      LAYER met3 ;
-        RECT 1174.165 16.810 1174.495 16.825 ;
-        RECT 1176.925 16.810 1177.255 16.825 ;
-        RECT 1174.165 16.510 1177.255 16.810 ;
-        RECT 1174.165 16.495 1174.495 16.510 ;
-        RECT 1176.925 16.495 1177.255 16.510 ;
+        RECT 230.310 32.120 230.590 34.520 ;
     END
   END wbs_dat_i[7]
   PIN wbs_dat_i[8]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1151.705 18.445 1151.875 19.975 ;
-        RECT 1164.585 19.805 1164.755 39.355 ;
-      LAYER mcon ;
-        RECT 1164.585 39.185 1164.755 39.355 ;
-        RECT 1151.705 19.805 1151.875 19.975 ;
-      LAYER met1 ;
-        RECT 1164.525 39.340 1164.815 39.385 ;
-        RECT 1189.350 39.340 1189.670 39.400 ;
-        RECT 1164.525 39.200 1189.670 39.340 ;
-        RECT 1164.525 39.155 1164.815 39.200 ;
-        RECT 1189.350 39.140 1189.670 39.200 ;
-        RECT 1151.645 19.960 1151.935 20.005 ;
-        RECT 1164.525 19.960 1164.815 20.005 ;
-        RECT 1151.645 19.820 1164.815 19.960 ;
-        RECT 1151.645 19.775 1151.935 19.820 ;
-        RECT 1164.525 19.775 1164.815 19.820 ;
-        RECT 213.230 18.600 213.550 18.660 ;
-        RECT 1151.645 18.600 1151.935 18.645 ;
-        RECT 213.230 18.460 1151.935 18.600 ;
-        RECT 213.230 18.400 213.550 18.460 ;
-        RECT 1151.645 18.415 1151.935 18.460 ;
-      LAYER via ;
-        RECT 1189.380 39.140 1189.640 39.400 ;
-        RECT 213.260 18.400 213.520 18.660 ;
       LAYER met2 ;
-        RECT 1189.440 39.430 1189.580 54.000 ;
-        RECT 1189.380 39.110 1189.640 39.430 ;
-        RECT 213.260 18.370 213.520 18.690 ;
-        RECT 213.320 2.400 213.460 18.370 ;
-        RECT 213.250 0.000 213.530 2.400 ;
+        RECT 248.250 32.120 248.530 34.520 ;
     END
   END wbs_dat_i[8]
   PIN wbs_dat_i[9]
     DIRECTION INPUT ;
     PORT
-      LAYER li1 ;
-        RECT 1174.705 19.465 1175.335 19.635 ;
-        RECT 1175.165 17.765 1175.335 19.465 ;
-        RECT 1176.545 17.765 1176.715 18.615 ;
-      LAYER mcon ;
-        RECT 1176.545 18.445 1176.715 18.615 ;
-      LAYER met1 ;
-        RECT 231.170 19.620 231.490 19.680 ;
-        RECT 1174.645 19.620 1174.935 19.665 ;
-        RECT 231.170 19.480 1174.935 19.620 ;
-        RECT 231.170 19.420 231.490 19.480 ;
-        RECT 1174.645 19.435 1174.935 19.480 ;
-        RECT 1176.485 18.600 1176.775 18.645 ;
-        RECT 1198.550 18.600 1198.870 18.660 ;
-        RECT 1176.485 18.460 1198.870 18.600 ;
-        RECT 1176.485 18.415 1176.775 18.460 ;
-        RECT 1198.550 18.400 1198.870 18.460 ;
-        RECT 1175.105 17.920 1175.395 17.965 ;
-        RECT 1176.485 17.920 1176.775 17.965 ;
-        RECT 1175.105 17.780 1176.775 17.920 ;
-        RECT 1175.105 17.735 1175.395 17.780 ;
-        RECT 1176.485 17.735 1176.775 17.780 ;
-      LAYER via ;
-        RECT 231.200 19.420 231.460 19.680 ;
-        RECT 1198.580 18.400 1198.840 18.660 ;
       LAYER met2 ;
-        RECT 231.200 19.390 231.460 19.710 ;
-        RECT 231.260 2.400 231.400 19.390 ;
-        RECT 1198.640 18.690 1198.780 54.000 ;
-        RECT 1198.580 18.370 1198.840 18.690 ;
-        RECT 231.190 0.000 231.470 2.400 ;
+        RECT 266.120 32.120 266.470 34.520 ;
     END
   END wbs_dat_i[9]
   PIN wbs_dat_o[0]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 52.690 17.580 53.010 17.640 ;
-        RECT 57.290 17.580 57.610 17.640 ;
-        RECT 52.690 17.440 57.610 17.580 ;
-        RECT 52.690 17.380 53.010 17.440 ;
-        RECT 57.290 17.380 57.610 17.440 ;
-      LAYER via ;
-        RECT 52.720 17.380 52.980 17.640 ;
-        RECT 57.320 17.380 57.580 17.640 ;
       LAYER met2 ;
-        RECT 57.380 17.670 57.520 54.000 ;
-        RECT 52.720 17.350 52.980 17.670 ;
-        RECT 57.320 17.350 57.580 17.670 ;
-        RECT 52.780 2.400 52.920 17.350 ;
-        RECT 52.710 0.000 52.990 2.400 ;
+        RECT 87.710 34.520 87.850 54.000 ;
+        RECT 87.640 32.120 87.990 34.520 ;
     END
   END wbs_dat_o[0]
   PIN wbs_dat_o[10]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 255.090 20.300 255.410 20.360 ;
-        RECT 1140.590 20.300 1140.910 20.360 ;
-        RECT 255.090 20.160 1140.910 20.300 ;
-        RECT 255.090 20.100 255.410 20.160 ;
-        RECT 1140.590 20.100 1140.910 20.160 ;
-        RECT 1152.090 18.600 1152.410 18.660 ;
-        RECT 1176.010 18.600 1176.330 18.660 ;
-        RECT 1152.090 18.460 1176.330 18.600 ;
-        RECT 1152.090 18.400 1152.410 18.460 ;
-        RECT 1176.010 18.400 1176.330 18.460 ;
-        RECT 1183.830 17.580 1184.150 17.640 ;
-        RECT 1205.450 17.580 1205.770 17.640 ;
-        RECT 1183.830 17.440 1205.770 17.580 ;
-        RECT 1183.830 17.380 1184.150 17.440 ;
-        RECT 1205.450 17.380 1205.770 17.440 ;
-      LAYER via ;
-        RECT 255.120 20.100 255.380 20.360 ;
-        RECT 1140.620 20.100 1140.880 20.360 ;
-        RECT 1152.120 18.400 1152.380 18.660 ;
-        RECT 1176.040 18.400 1176.300 18.660 ;
-        RECT 1183.860 17.380 1184.120 17.640 ;
-        RECT 1205.480 17.380 1205.740 17.640 ;
       LAYER met2 ;
-        RECT 255.120 20.070 255.380 20.390 ;
-        RECT 1140.620 20.070 1140.880 20.390 ;
-        RECT 255.180 2.400 255.320 20.070 ;
-        RECT 1140.680 18.205 1140.820 20.070 ;
-        RECT 1152.120 18.370 1152.380 18.690 ;
-        RECT 1176.040 18.370 1176.300 18.690 ;
-        RECT 1152.180 18.205 1152.320 18.370 ;
-        RECT 1176.100 18.205 1176.240 18.370 ;
-        RECT 1140.610 17.835 1140.890 18.205 ;
-        RECT 1152.110 17.835 1152.390 18.205 ;
-        RECT 1176.030 17.835 1176.310 18.205 ;
-        RECT 1183.850 17.835 1184.130 18.205 ;
-        RECT 1183.920 17.670 1184.060 17.835 ;
-        RECT 1205.540 17.670 1205.680 54.000 ;
-        RECT 1183.860 17.350 1184.120 17.670 ;
-        RECT 1205.480 17.350 1205.740 17.670 ;
-        RECT 255.110 0.000 255.390 2.400 ;
-      LAYER via2 ;
-        RECT 1140.610 17.880 1140.890 18.160 ;
-        RECT 1152.110 17.880 1152.390 18.160 ;
-        RECT 1176.030 17.880 1176.310 18.160 ;
-        RECT 1183.850 17.880 1184.130 18.160 ;
-      LAYER met3 ;
-        RECT 1140.585 18.170 1140.915 18.185 ;
-        RECT 1152.085 18.170 1152.415 18.185 ;
-        RECT 1140.585 17.870 1152.415 18.170 ;
-        RECT 1140.585 17.855 1140.915 17.870 ;
-        RECT 1152.085 17.855 1152.415 17.870 ;
-        RECT 1176.005 18.170 1176.335 18.185 ;
-        RECT 1183.825 18.170 1184.155 18.185 ;
-        RECT 1176.005 17.870 1184.155 18.170 ;
-        RECT 1176.005 17.855 1176.335 17.870 ;
-        RECT 1183.825 17.855 1184.155 17.870 ;
+        RECT 290.110 32.120 290.390 34.520 ;
     END
   END wbs_dat_o[10]
   PIN wbs_dat_o[11]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 272.570 14.860 272.890 14.920 ;
-        RECT 278.090 14.860 278.410 14.920 ;
-        RECT 272.570 14.720 278.410 14.860 ;
-        RECT 272.570 14.660 272.890 14.720 ;
-        RECT 278.090 14.660 278.410 14.720 ;
-      LAYER via ;
-        RECT 272.600 14.660 272.860 14.920 ;
-        RECT 278.120 14.660 278.380 14.920 ;
       LAYER met2 ;
-        RECT 278.180 14.950 278.320 54.000 ;
-        RECT 272.600 14.630 272.860 14.950 ;
-        RECT 278.120 14.630 278.380 14.950 ;
-        RECT 272.660 2.400 272.800 14.630 ;
-        RECT 272.590 0.000 272.870 2.400 ;
+        RECT 307.590 32.120 307.870 34.520 ;
     END
   END wbs_dat_o[11]
   PIN wbs_dat_o[12]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 290.510 20.640 290.830 20.700 ;
-        RECT 1175.550 20.640 1175.870 20.700 ;
-        RECT 290.510 20.500 1175.870 20.640 ;
-        RECT 290.510 20.440 290.830 20.500 ;
-        RECT 1175.550 20.440 1175.870 20.500 ;
-        RECT 1190.270 20.640 1190.590 20.700 ;
-        RECT 1213.730 20.640 1214.050 20.700 ;
-        RECT 1190.270 20.500 1214.050 20.640 ;
-        RECT 1190.270 20.440 1190.590 20.500 ;
-        RECT 1213.730 20.440 1214.050 20.500 ;
-      LAYER via ;
-        RECT 290.540 20.440 290.800 20.700 ;
-        RECT 1175.580 20.440 1175.840 20.700 ;
-        RECT 1190.300 20.440 1190.560 20.700 ;
-        RECT 1213.760 20.440 1214.020 20.700 ;
       LAYER met2 ;
-        RECT 290.540 20.410 290.800 20.730 ;
-        RECT 1175.570 20.555 1175.850 20.925 ;
-        RECT 1190.290 20.555 1190.570 20.925 ;
-        RECT 1213.820 20.730 1213.960 54.000 ;
-        RECT 1175.580 20.410 1175.840 20.555 ;
-        RECT 1190.300 20.410 1190.560 20.555 ;
-        RECT 1213.760 20.410 1214.020 20.730 ;
-        RECT 290.600 2.400 290.740 20.410 ;
-        RECT 290.530 0.000 290.810 2.400 ;
-      LAYER via2 ;
-        RECT 1175.570 20.600 1175.850 20.880 ;
-        RECT 1190.290 20.600 1190.570 20.880 ;
-      LAYER met3 ;
-        RECT 1175.545 20.890 1175.875 20.905 ;
-        RECT 1190.265 20.890 1190.595 20.905 ;
-        RECT 1175.545 20.590 1190.595 20.890 ;
-        RECT 1175.545 20.575 1175.875 20.590 ;
-        RECT 1190.265 20.575 1190.595 20.590 ;
+        RECT 325.530 32.120 325.810 34.520 ;
     END
   END wbs_dat_o[12]
   PIN wbs_dat_o[13]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met1 ;
-        RECT 308.450 16.900 308.770 16.960 ;
-        RECT 312.590 16.900 312.910 16.960 ;
-        RECT 308.450 16.760 312.910 16.900 ;
-        RECT 308.450 16.700 308.770 16.760 ;
-        RECT 312.590 16.700 312.910 16.760 ;
+        RECT 298.760 53.440 299.080 53.500 ;
+        RECT 298.760 53.300 328.430 53.440 ;
+        RECT 298.760 53.240 299.080 53.300 ;
+        RECT 328.290 53.100 328.430 53.300 ;
+        RECT 340.160 53.100 340.480 53.160 ;
+        RECT 328.290 52.960 340.480 53.100 ;
+        RECT 340.160 52.900 340.480 52.960 ;
+        RECT 340.160 49.360 340.480 49.420 ;
+        RECT 340.160 49.220 343.610 49.360 ;
+        RECT 340.160 49.160 340.480 49.220 ;
+        RECT 343.470 49.080 343.610 49.220 ;
+        RECT 343.380 48.820 343.700 49.080 ;
       LAYER via ;
-        RECT 308.480 16.700 308.740 16.960 ;
-        RECT 312.620 16.700 312.880 16.960 ;
+        RECT 298.790 53.240 299.050 53.500 ;
+        RECT 340.190 52.900 340.450 53.160 ;
+        RECT 340.190 49.160 340.450 49.420 ;
+        RECT 343.410 48.820 343.670 49.080 ;
       LAYER met2 ;
-        RECT 312.680 16.990 312.820 54.000 ;
-        RECT 308.480 16.670 308.740 16.990 ;
-        RECT 312.620 16.670 312.880 16.990 ;
-        RECT 308.540 2.400 308.680 16.670 ;
-        RECT 308.470 0.000 308.750 2.400 ;
+        RECT 298.850 53.530 298.990 54.000 ;
+        RECT 298.790 53.210 299.050 53.530 ;
+        RECT 340.190 52.870 340.450 53.190 ;
+        RECT 340.250 49.450 340.390 52.870 ;
+        RECT 340.190 49.130 340.450 49.450 ;
+        RECT 343.410 48.790 343.670 49.110 ;
+        RECT 343.470 34.520 343.610 48.790 ;
+        RECT 343.400 32.120 343.750 34.520 ;
     END
   END wbs_dat_o[13]
   PIN wbs_dat_o[14]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 326.390 16.900 326.710 16.960 ;
-        RECT 1175.550 16.900 1175.870 16.960 ;
-        RECT 326.390 16.760 1175.870 16.900 ;
-        RECT 326.390 16.700 326.710 16.760 ;
-        RECT 1175.550 16.700 1175.870 16.760 ;
-        RECT 1185.670 16.900 1185.990 16.960 ;
-        RECT 1219.250 16.900 1219.570 16.960 ;
-        RECT 1185.670 16.760 1219.570 16.900 ;
-        RECT 1185.670 16.700 1185.990 16.760 ;
-        RECT 1219.250 16.700 1219.570 16.760 ;
-      LAYER via ;
-        RECT 326.420 16.700 326.680 16.960 ;
-        RECT 1175.580 16.700 1175.840 16.960 ;
-        RECT 1185.700 16.700 1185.960 16.960 ;
-        RECT 1219.280 16.700 1219.540 16.960 ;
       LAYER met2 ;
-        RECT 1175.570 17.155 1175.850 17.525 ;
-        RECT 1185.690 17.155 1185.970 17.525 ;
-        RECT 1175.640 16.990 1175.780 17.155 ;
-        RECT 1185.760 16.990 1185.900 17.155 ;
-        RECT 1219.340 16.990 1219.480 54.000 ;
-        RECT 326.420 16.670 326.680 16.990 ;
-        RECT 1175.580 16.670 1175.840 16.990 ;
-        RECT 1185.700 16.670 1185.960 16.990 ;
-        RECT 1219.280 16.670 1219.540 16.990 ;
-        RECT 326.480 2.400 326.620 16.670 ;
-        RECT 326.410 0.000 326.690 2.400 ;
-      LAYER via2 ;
-        RECT 1175.570 17.200 1175.850 17.480 ;
-        RECT 1185.690 17.200 1185.970 17.480 ;
-      LAYER met3 ;
-        RECT 1175.545 17.490 1175.875 17.505 ;
-        RECT 1185.665 17.490 1185.995 17.505 ;
-        RECT 1175.545 17.190 1185.995 17.490 ;
-        RECT 1175.545 17.175 1175.875 17.190 ;
-        RECT 1185.665 17.175 1185.995 17.190 ;
+        RECT 361.410 32.120 361.690 34.520 ;
     END
   END wbs_dat_o[14]
   PIN wbs_dat_o[15]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 343.870 15.880 344.190 15.940 ;
-        RECT 347.090 15.880 347.410 15.940 ;
-        RECT 343.870 15.740 347.410 15.880 ;
-        RECT 343.870 15.680 344.190 15.740 ;
-        RECT 347.090 15.680 347.410 15.740 ;
-      LAYER via ;
-        RECT 343.900 15.680 344.160 15.940 ;
-        RECT 347.120 15.680 347.380 15.940 ;
       LAYER met2 ;
-        RECT 347.180 15.970 347.320 54.000 ;
-        RECT 343.900 15.650 344.160 15.970 ;
-        RECT 347.120 15.650 347.380 15.970 ;
-        RECT 343.960 2.400 344.100 15.650 ;
-        RECT 343.890 0.000 344.170 2.400 ;
+        RECT 378.890 34.520 379.030 54.000 ;
+        RECT 378.820 32.120 379.170 34.520 ;
     END
   END wbs_dat_o[15]
   PIN wbs_dat_o[16]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 361.810 15.880 362.130 15.940 ;
-        RECT 1225.690 15.880 1226.010 15.940 ;
-        RECT 361.810 15.740 935.200 15.880 ;
-        RECT 361.810 15.680 362.130 15.740 ;
-        RECT 935.060 15.540 935.200 15.740 ;
-        RECT 981.520 15.740 983.040 15.880 ;
-        RECT 981.520 15.540 981.660 15.740 ;
-        RECT 935.060 15.400 981.660 15.540 ;
-        RECT 982.900 15.540 983.040 15.740 ;
-        RECT 1029.820 15.740 1226.010 15.880 ;
-        RECT 1029.820 15.540 1029.960 15.740 ;
-        RECT 1225.690 15.680 1226.010 15.740 ;
-        RECT 982.900 15.400 1029.960 15.540 ;
-      LAYER via ;
-        RECT 361.840 15.680 362.100 15.940 ;
-        RECT 1225.720 15.680 1225.980 15.940 ;
       LAYER met2 ;
-        RECT 1225.780 15.970 1225.920 54.000 ;
-        RECT 361.840 15.650 362.100 15.970 ;
-        RECT 1225.720 15.650 1225.980 15.970 ;
-        RECT 361.900 2.400 362.040 15.650 ;
-        RECT 361.830 0.000 362.110 2.400 ;
+        RECT 396.830 32.120 397.110 34.520 ;
     END
   END wbs_dat_o[16]
   PIN wbs_dat_o[17]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 381.680 16.730 381.820 54.000 ;
-        RECT 379.840 16.590 381.820 16.730 ;
-        RECT 379.840 2.400 379.980 16.590 ;
-        RECT 379.770 0.000 380.050 2.400 ;
+        RECT 414.770 32.120 415.050 34.520 ;
     END
   END wbs_dat_o[17]
   PIN wbs_dat_o[18]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER li1 ;
-        RECT 934.585 15.385 935.215 15.555 ;
-        RECT 935.045 15.045 935.215 15.385 ;
-        RECT 1029.805 15.385 1030.435 15.555 ;
-        RECT 981.045 15.045 983.055 15.215 ;
-        RECT 1029.805 15.045 1029.975 15.385 ;
-      LAYER mcon ;
-        RECT 1030.265 15.385 1030.435 15.555 ;
-        RECT 982.885 15.045 983.055 15.215 ;
+        RECT 436.545 46.635 437.065 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 436.835 46.825 437.005 46.995 ;
       LAYER met1 ;
-        RECT 934.525 15.540 934.815 15.585 ;
-        RECT 426.760 15.400 934.815 15.540 ;
-        RECT 397.690 15.200 398.010 15.260 ;
-        RECT 426.760 15.200 426.900 15.400 ;
-        RECT 934.525 15.355 934.815 15.400 ;
-        RECT 1030.205 15.540 1030.495 15.585 ;
-        RECT 1233.050 15.540 1233.370 15.600 ;
-        RECT 1030.205 15.400 1233.370 15.540 ;
-        RECT 1030.205 15.355 1030.495 15.400 ;
-        RECT 1233.050 15.340 1233.370 15.400 ;
-        RECT 397.690 15.060 426.900 15.200 ;
-        RECT 934.985 15.200 935.275 15.245 ;
-        RECT 980.985 15.200 981.275 15.245 ;
-        RECT 934.985 15.060 981.275 15.200 ;
-        RECT 397.690 15.000 398.010 15.060 ;
-        RECT 934.985 15.015 935.275 15.060 ;
-        RECT 980.985 15.015 981.275 15.060 ;
-        RECT 982.825 15.200 983.115 15.245 ;
-        RECT 1029.745 15.200 1030.035 15.245 ;
-        RECT 982.825 15.060 1030.035 15.200 ;
-        RECT 982.825 15.015 983.115 15.060 ;
-        RECT 1029.745 15.015 1030.035 15.060 ;
+        RECT 432.620 46.980 432.940 47.040 ;
+        RECT 436.775 46.980 437.065 47.025 ;
+        RECT 432.620 46.840 437.065 46.980 ;
+        RECT 432.620 46.780 432.940 46.840 ;
+        RECT 436.775 46.795 437.065 46.840 ;
       LAYER via ;
-        RECT 397.720 15.000 397.980 15.260 ;
-        RECT 1233.080 15.340 1233.340 15.600 ;
+        RECT 432.650 46.780 432.910 47.040 ;
       LAYER met2 ;
-        RECT 1233.140 15.630 1233.280 54.000 ;
-        RECT 1233.080 15.310 1233.340 15.630 ;
-        RECT 397.720 14.970 397.980 15.290 ;
-        RECT 397.780 2.400 397.920 14.970 ;
-        RECT 397.710 0.000 397.990 2.400 ;
+        RECT 432.650 46.750 432.910 47.070 ;
+        RECT 432.710 34.520 432.850 46.750 ;
+        RECT 432.640 32.120 432.990 34.520 ;
     END
   END wbs_dat_o[18]
   PIN wbs_dat_o[19]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 416.180 17.410 416.320 54.000 ;
-        RECT 415.720 17.270 416.320 17.410 ;
-        RECT 415.720 2.400 415.860 17.270 ;
-        RECT 415.650 0.000 415.930 2.400 ;
+        RECT 450.650 32.120 450.930 34.520 ;
     END
   END wbs_dat_o[19]
   PIN wbs_dat_o[1]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 291.060 17.950 292.120 18.090 ;
-        RECT 291.060 17.525 291.200 17.950 ;
-        RECT 291.980 17.525 292.120 17.950 ;
-        RECT 76.630 17.155 76.910 17.525 ;
-        RECT 290.990 17.155 291.270 17.525 ;
-        RECT 291.910 17.155 292.190 17.525 ;
-        RECT 76.700 2.400 76.840 17.155 ;
-        RECT 1163.680 16.845 1163.820 54.000 ;
-        RECT 1163.610 16.475 1163.890 16.845 ;
-        RECT 76.630 0.000 76.910 2.400 ;
-      LAYER via2 ;
-        RECT 76.630 17.200 76.910 17.480 ;
-        RECT 290.990 17.200 291.270 17.480 ;
-        RECT 291.910 17.200 292.190 17.480 ;
-        RECT 1163.610 16.520 1163.890 16.800 ;
-      LAYER met3 ;
-        RECT 76.605 17.490 76.935 17.505 ;
-        RECT 290.965 17.490 291.295 17.505 ;
-        RECT 76.605 17.190 291.295 17.490 ;
-        RECT 76.605 17.175 76.935 17.190 ;
-        RECT 290.965 17.175 291.295 17.190 ;
-        RECT 291.885 17.490 292.215 17.505 ;
-        RECT 291.885 17.190 1139.290 17.490 ;
-        RECT 291.885 17.175 292.215 17.190 ;
-        RECT 1138.990 16.810 1139.290 17.190 ;
-        RECT 1163.585 16.810 1163.915 16.825 ;
-        RECT 1138.990 16.510 1163.915 16.810 ;
-        RECT 1163.585 16.495 1163.915 16.510 ;
+        RECT 111.630 32.120 111.910 34.520 ;
     END
   END wbs_dat_o[1]
   PIN wbs_dat_o[20]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 433.110 15.200 433.430 15.260 ;
-        RECT 436.790 15.200 437.110 15.260 ;
-        RECT 433.110 15.060 437.110 15.200 ;
-        RECT 433.110 15.000 433.430 15.060 ;
-        RECT 436.790 15.000 437.110 15.060 ;
-      LAYER via ;
-        RECT 433.140 15.000 433.400 15.260 ;
-        RECT 436.820 15.000 437.080 15.260 ;
       LAYER met2 ;
-        RECT 436.880 15.290 437.020 54.000 ;
-        RECT 433.140 14.970 433.400 15.290 ;
-        RECT 436.820 14.970 437.080 15.290 ;
-        RECT 433.200 2.400 433.340 14.970 ;
-        RECT 433.130 0.000 433.410 2.400 ;
+        RECT 468.060 32.120 468.410 34.520 ;
     END
   END wbs_dat_o[20]
   PIN wbs_dat_o[21]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 934.050 15.200 934.370 15.260 ;
-        RECT 513.240 15.060 934.370 15.200 ;
-        RECT 451.050 14.860 451.370 14.920 ;
-        RECT 513.240 14.860 513.380 15.060 ;
-        RECT 934.050 15.000 934.370 15.060 ;
-        RECT 1038.470 15.200 1038.790 15.260 ;
-        RECT 1239.490 15.200 1239.810 15.260 ;
-        RECT 1038.470 15.060 1239.810 15.200 ;
-        RECT 1038.470 15.000 1038.790 15.060 ;
-        RECT 1239.490 15.000 1239.810 15.060 ;
-        RECT 451.050 14.720 513.380 14.860 ;
-        RECT 935.430 14.860 935.750 14.920 ;
-        RECT 979.590 14.860 979.910 14.920 ;
-        RECT 935.430 14.720 979.910 14.860 ;
-        RECT 451.050 14.660 451.370 14.720 ;
-        RECT 935.430 14.660 935.750 14.720 ;
-        RECT 979.590 14.660 979.910 14.720 ;
-      LAYER via ;
-        RECT 451.080 14.660 451.340 14.920 ;
-        RECT 934.080 15.000 934.340 15.260 ;
-        RECT 1038.500 15.000 1038.760 15.260 ;
-        RECT 1239.520 15.000 1239.780 15.260 ;
-        RECT 935.460 14.660 935.720 14.920 ;
-        RECT 979.620 14.660 979.880 14.920 ;
       LAYER met2 ;
-        RECT 934.140 15.290 935.660 15.370 ;
-        RECT 1239.580 15.290 1239.720 54.000 ;
-        RECT 934.080 15.230 935.660 15.290 ;
-        RECT 934.080 14.970 934.340 15.230 ;
-        RECT 935.520 14.950 935.660 15.230 ;
-        RECT 1038.500 14.970 1038.760 15.290 ;
-        RECT 1239.520 14.970 1239.780 15.290 ;
-        RECT 451.080 14.630 451.340 14.950 ;
-        RECT 935.460 14.630 935.720 14.950 ;
-        RECT 979.620 14.805 979.880 14.950 ;
-        RECT 1038.560 14.805 1038.700 14.970 ;
-        RECT 451.140 2.400 451.280 14.630 ;
-        RECT 979.610 14.435 979.890 14.805 ;
-        RECT 1038.490 14.435 1038.770 14.805 ;
-        RECT 451.070 0.000 451.350 2.400 ;
-      LAYER via2 ;
-        RECT 979.610 14.480 979.890 14.760 ;
-        RECT 1038.490 14.480 1038.770 14.760 ;
-      LAYER met3 ;
-        RECT 979.585 14.770 979.915 14.785 ;
-        RECT 1038.465 14.770 1038.795 14.785 ;
-        RECT 979.585 14.470 1038.795 14.770 ;
-        RECT 979.585 14.455 979.915 14.470 ;
-        RECT 1038.465 14.455 1038.795 14.470 ;
+        RECT 486.070 32.120 486.350 34.520 ;
     END
   END wbs_dat_o[21]
   PIN wbs_dat_o[22]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 468.990 17.580 469.310 17.640 ;
-        RECT 471.290 17.580 471.610 17.640 ;
-        RECT 468.990 17.440 471.610 17.580 ;
-        RECT 468.990 17.380 469.310 17.440 ;
-        RECT 471.290 17.380 471.610 17.440 ;
-      LAYER via ;
-        RECT 469.020 17.380 469.280 17.640 ;
-        RECT 471.320 17.380 471.580 17.640 ;
       LAYER met2 ;
-        RECT 471.380 17.670 471.520 54.000 ;
-        RECT 469.020 17.350 469.280 17.670 ;
-        RECT 471.320 17.350 471.580 17.670 ;
-        RECT 469.080 2.400 469.220 17.350 ;
-        RECT 469.010 0.000 469.290 2.400 ;
+        RECT 504.010 32.120 504.290 34.520 ;
     END
   END wbs_dat_o[22]
   PIN wbs_dat_o[23]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 981.045 13.005 981.215 14.535 ;
-        RECT 992.545 13.005 992.715 14.875 ;
-        RECT 1029.345 14.705 1030.895 14.875 ;
-      LAYER mcon ;
-        RECT 992.545 14.705 992.715 14.875 ;
-        RECT 1030.725 14.705 1030.895 14.875 ;
-        RECT 981.045 14.365 981.215 14.535 ;
-      LAYER met1 ;
-        RECT 992.485 14.860 992.775 14.905 ;
-        RECT 1029.285 14.860 1029.575 14.905 ;
-        RECT 543.600 14.720 935.200 14.860 ;
-        RECT 486.930 14.180 487.250 14.240 ;
-        RECT 543.600 14.180 543.740 14.720 ;
-        RECT 935.060 14.520 935.200 14.720 ;
-        RECT 992.485 14.720 1029.575 14.860 ;
-        RECT 992.485 14.675 992.775 14.720 ;
-        RECT 1029.285 14.675 1029.575 14.720 ;
-        RECT 1030.665 14.860 1030.955 14.905 ;
-        RECT 1253.290 14.860 1253.610 14.920 ;
-        RECT 1030.665 14.720 1253.610 14.860 ;
-        RECT 1030.665 14.675 1030.955 14.720 ;
-        RECT 1253.290 14.660 1253.610 14.720 ;
-        RECT 980.985 14.520 981.275 14.565 ;
-        RECT 935.060 14.380 981.275 14.520 ;
-        RECT 980.985 14.335 981.275 14.380 ;
-        RECT 486.930 14.040 543.740 14.180 ;
-        RECT 486.930 13.980 487.250 14.040 ;
-        RECT 980.985 13.160 981.275 13.205 ;
-        RECT 992.485 13.160 992.775 13.205 ;
-        RECT 980.985 13.020 992.775 13.160 ;
-        RECT 980.985 12.975 981.275 13.020 ;
-        RECT 992.485 12.975 992.775 13.020 ;
-      LAYER via ;
-        RECT 486.960 13.980 487.220 14.240 ;
-        RECT 1253.320 14.660 1253.580 14.920 ;
       LAYER met2 ;
-        RECT 1253.380 14.950 1253.520 54.000 ;
-        RECT 1253.320 14.630 1253.580 14.950 ;
-        RECT 486.960 13.950 487.220 14.270 ;
-        RECT 487.020 2.400 487.160 13.950 ;
-        RECT 486.950 0.000 487.230 2.400 ;
+        RECT 521.880 32.120 522.230 34.520 ;
     END
   END wbs_dat_o[23]
   PIN wbs_dat_o[24]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 505.880 16.730 506.020 54.000 ;
-        RECT 504.960 16.590 506.020 16.730 ;
-        RECT 504.960 2.400 505.100 16.590 ;
-        RECT 504.890 0.000 505.170 2.400 ;
+        RECT 539.890 32.120 540.170 34.520 ;
     END
   END wbs_dat_o[24]
   PIN wbs_dat_o[25]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 543.125 14.195 543.295 14.535 ;
-        RECT 934.125 14.195 934.295 14.535 ;
-        RECT 981.505 14.365 982.595 14.535 ;
-        RECT 543.125 14.025 544.215 14.195 ;
-        RECT 563.825 14.025 567.215 14.195 ;
-        RECT 934.125 14.025 935.215 14.195 ;
-        RECT 981.505 14.025 981.675 14.365 ;
-      LAYER mcon ;
-        RECT 543.125 14.365 543.295 14.535 ;
-        RECT 934.125 14.365 934.295 14.535 ;
-        RECT 982.425 14.365 982.595 14.535 ;
-        RECT 544.045 14.025 544.215 14.195 ;
-        RECT 567.045 14.025 567.215 14.195 ;
-        RECT 935.045 14.025 935.215 14.195 ;
-      LAYER met1 ;
-        RECT 522.350 14.520 522.670 14.580 ;
-        RECT 543.065 14.520 543.355 14.565 ;
-        RECT 934.065 14.520 934.355 14.565 ;
-        RECT 522.350 14.380 543.355 14.520 ;
-        RECT 522.350 14.320 522.670 14.380 ;
-        RECT 543.065 14.335 543.355 14.380 ;
-        RECT 567.980 14.380 934.355 14.520 ;
-        RECT 543.985 14.180 544.275 14.225 ;
-        RECT 563.765 14.180 564.055 14.225 ;
-        RECT 543.985 14.040 564.055 14.180 ;
-        RECT 543.985 13.995 544.275 14.040 ;
-        RECT 563.765 13.995 564.055 14.040 ;
-        RECT 566.985 14.180 567.275 14.225 ;
-        RECT 567.980 14.180 568.120 14.380 ;
-        RECT 934.065 14.335 934.355 14.380 ;
-        RECT 982.365 14.520 982.655 14.565 ;
-        RECT 1260.650 14.520 1260.970 14.580 ;
-        RECT 982.365 14.380 1260.970 14.520 ;
-        RECT 982.365 14.335 982.655 14.380 ;
-        RECT 1260.650 14.320 1260.970 14.380 ;
-        RECT 566.985 14.040 568.120 14.180 ;
-        RECT 934.985 14.180 935.275 14.225 ;
-        RECT 981.445 14.180 981.735 14.225 ;
-        RECT 934.985 14.040 981.735 14.180 ;
-        RECT 566.985 13.995 567.275 14.040 ;
-        RECT 934.985 13.995 935.275 14.040 ;
-        RECT 981.445 13.995 981.735 14.040 ;
-      LAYER via ;
-        RECT 522.380 14.320 522.640 14.580 ;
-        RECT 1260.680 14.320 1260.940 14.580 ;
       LAYER met2 ;
-        RECT 1260.740 14.610 1260.880 54.000 ;
-        RECT 522.380 14.290 522.640 14.610 ;
-        RECT 1260.680 14.290 1260.940 14.610 ;
-        RECT 522.440 2.400 522.580 14.290 ;
-        RECT 522.370 0.000 522.650 2.400 ;
+        RECT 557.370 32.120 557.650 34.520 ;
     END
   END wbs_dat_o[25]
   PIN wbs_dat_o[26]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 540.380 2.400 540.520 54.000 ;
-        RECT 540.310 0.000 540.590 2.400 ;
+        RECT 575.310 32.120 575.590 34.520 ;
     END
   END wbs_dat_o[26]
   PIN wbs_dat_o[27]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 935.505 14.535 935.675 17.255 ;
-        RECT 567.505 13.005 567.675 14.535 ;
-        RECT 934.585 14.365 935.675 14.535 ;
-        RECT 568.425 13.005 568.595 14.195 ;
-      LAYER mcon ;
-        RECT 935.505 17.085 935.675 17.255 ;
-        RECT 567.505 14.365 567.675 14.535 ;
-        RECT 568.425 14.025 568.595 14.195 ;
-      LAYER met1 ;
-        RECT 935.445 17.240 935.735 17.285 ;
-        RECT 981.430 17.240 981.750 17.300 ;
-        RECT 935.445 17.100 981.750 17.240 ;
-        RECT 935.445 17.055 935.735 17.100 ;
-        RECT 981.430 17.040 981.750 17.100 ;
-        RECT 558.230 14.520 558.550 14.580 ;
-        RECT 567.445 14.520 567.735 14.565 ;
-        RECT 558.230 14.380 567.735 14.520 ;
-        RECT 558.230 14.320 558.550 14.380 ;
-        RECT 567.445 14.335 567.735 14.380 ;
-        RECT 934.525 14.335 934.815 14.565 ;
-        RECT 568.365 14.180 568.655 14.225 ;
-        RECT 934.600 14.180 934.740 14.335 ;
-        RECT 568.365 14.040 934.740 14.180 ;
-        RECT 981.890 14.180 982.210 14.240 ;
-        RECT 1267.090 14.180 1267.410 14.240 ;
-        RECT 981.890 14.040 1267.410 14.180 ;
-        RECT 568.365 13.995 568.655 14.040 ;
-        RECT 981.890 13.980 982.210 14.040 ;
-        RECT 1267.090 13.980 1267.410 14.040 ;
-        RECT 567.445 13.160 567.735 13.205 ;
-        RECT 568.365 13.160 568.655 13.205 ;
-        RECT 567.445 13.020 568.655 13.160 ;
-        RECT 567.445 12.975 567.735 13.020 ;
-        RECT 568.365 12.975 568.655 13.020 ;
-      LAYER via ;
-        RECT 981.460 17.040 981.720 17.300 ;
-        RECT 558.260 14.320 558.520 14.580 ;
-        RECT 981.920 13.980 982.180 14.240 ;
-        RECT 1267.120 13.980 1267.380 14.240 ;
       LAYER met2 ;
-        RECT 981.460 17.010 981.720 17.330 ;
-        RECT 558.260 14.290 558.520 14.610 ;
-        RECT 558.320 2.400 558.460 14.290 ;
-        RECT 981.520 14.180 981.660 17.010 ;
-        RECT 1267.180 14.270 1267.320 54.000 ;
-        RECT 981.920 14.180 982.180 14.270 ;
-        RECT 981.520 14.040 982.180 14.180 ;
-        RECT 981.920 13.950 982.180 14.040 ;
-        RECT 1267.120 13.950 1267.380 14.270 ;
-        RECT 558.250 0.000 558.530 2.400 ;
+        RECT 593.180 32.120 593.530 34.520 ;
     END
   END wbs_dat_o[27]
   PIN wbs_dat_o[28]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 576.170 17.580 576.490 17.640 ;
-        RECT 581.690 17.580 582.010 17.640 ;
-        RECT 576.170 17.440 582.010 17.580 ;
-        RECT 576.170 17.380 576.490 17.440 ;
-        RECT 581.690 17.380 582.010 17.440 ;
-      LAYER via ;
-        RECT 576.200 17.380 576.460 17.640 ;
-        RECT 581.720 17.380 581.980 17.640 ;
       LAYER met2 ;
-        RECT 581.780 17.670 581.920 54.000 ;
-        RECT 576.200 17.350 576.460 17.670 ;
-        RECT 581.720 17.350 581.980 17.670 ;
-        RECT 576.260 2.400 576.400 17.350 ;
-        RECT 576.190 0.000 576.470 2.400 ;
+        RECT 611.190 32.120 611.470 34.520 ;
     END
   END wbs_dat_o[28]
   PIN wbs_dat_o[29]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 885.825 21.165 887.375 21.335 ;
-        RECT 664.565 14.025 666.575 14.195 ;
-        RECT 664.565 12.665 664.735 14.025 ;
-        RECT 666.405 12.665 666.575 14.025 ;
-        RECT 712.865 12.665 713.035 17.255 ;
-        RECT 761.625 12.665 761.795 17.255 ;
-        RECT 809.465 12.665 809.635 17.595 ;
-        RECT 885.825 17.425 885.995 21.165 ;
-        RECT 954.825 12.665 954.995 21.335 ;
-        RECT 1002.665 14.025 1003.295 14.195 ;
-        RECT 1002.665 12.665 1002.835 14.025 ;
-        RECT 1003.125 12.665 1003.295 14.025 ;
-        RECT 1050.965 12.665 1051.135 17.255 ;
-        RECT 1126.865 17.085 1127.495 17.255 ;
-      LAYER mcon ;
-        RECT 887.205 21.165 887.375 21.335 ;
-        RECT 954.825 21.165 954.995 21.335 ;
-        RECT 809.465 17.425 809.635 17.595 ;
-        RECT 712.865 17.085 713.035 17.255 ;
-        RECT 761.625 17.085 761.795 17.255 ;
-        RECT 1050.965 17.085 1051.135 17.255 ;
-        RECT 1127.325 17.085 1127.495 17.255 ;
-      LAYER met1 ;
-        RECT 887.145 21.320 887.435 21.365 ;
-        RECT 954.765 21.320 955.055 21.365 ;
-        RECT 887.145 21.180 955.055 21.320 ;
-        RECT 887.145 21.135 887.435 21.180 ;
-        RECT 954.765 21.135 955.055 21.180 ;
-        RECT 809.405 17.580 809.695 17.625 ;
-        RECT 885.765 17.580 886.055 17.625 ;
-        RECT 809.405 17.440 886.055 17.580 ;
-        RECT 809.405 17.395 809.695 17.440 ;
-        RECT 885.765 17.395 886.055 17.440 ;
-        RECT 712.805 17.240 713.095 17.285 ;
-        RECT 761.565 17.240 761.855 17.285 ;
-        RECT 712.805 17.100 761.855 17.240 ;
-        RECT 712.805 17.055 713.095 17.100 ;
-        RECT 761.565 17.055 761.855 17.100 ;
-        RECT 1050.905 17.240 1051.195 17.285 ;
-        RECT 1126.805 17.240 1127.095 17.285 ;
-        RECT 1050.905 17.100 1127.095 17.240 ;
-        RECT 1050.905 17.055 1051.195 17.100 ;
-        RECT 1126.805 17.055 1127.095 17.100 ;
-        RECT 1127.265 17.240 1127.555 17.285 ;
-        RECT 1273.530 17.240 1273.850 17.300 ;
-        RECT 1127.265 17.100 1273.850 17.240 ;
-        RECT 1127.265 17.055 1127.555 17.100 ;
-        RECT 1273.530 17.040 1273.850 17.100 ;
-        RECT 593.650 12.820 593.970 12.880 ;
-        RECT 664.505 12.820 664.795 12.865 ;
-        RECT 593.650 12.680 664.795 12.820 ;
-        RECT 593.650 12.620 593.970 12.680 ;
-        RECT 664.505 12.635 664.795 12.680 ;
-        RECT 666.345 12.820 666.635 12.865 ;
-        RECT 712.805 12.820 713.095 12.865 ;
-        RECT 666.345 12.680 713.095 12.820 ;
-        RECT 666.345 12.635 666.635 12.680 ;
-        RECT 712.805 12.635 713.095 12.680 ;
-        RECT 761.565 12.820 761.855 12.865 ;
-        RECT 809.405 12.820 809.695 12.865 ;
-        RECT 761.565 12.680 809.695 12.820 ;
-        RECT 761.565 12.635 761.855 12.680 ;
-        RECT 809.405 12.635 809.695 12.680 ;
-        RECT 954.765 12.820 955.055 12.865 ;
-        RECT 1002.605 12.820 1002.895 12.865 ;
-        RECT 954.765 12.680 1002.895 12.820 ;
-        RECT 954.765 12.635 955.055 12.680 ;
-        RECT 1002.605 12.635 1002.895 12.680 ;
-        RECT 1003.065 12.820 1003.355 12.865 ;
-        RECT 1050.905 12.820 1051.195 12.865 ;
-        RECT 1003.065 12.680 1051.195 12.820 ;
-        RECT 1003.065 12.635 1003.355 12.680 ;
-        RECT 1050.905 12.635 1051.195 12.680 ;
-      LAYER via ;
-        RECT 1273.560 17.040 1273.820 17.300 ;
-        RECT 593.680 12.620 593.940 12.880 ;
       LAYER met2 ;
-        RECT 1272.700 46.650 1272.840 54.000 ;
-        RECT 1272.700 46.510 1273.760 46.650 ;
-        RECT 1273.620 17.330 1273.760 46.510 ;
-        RECT 1273.560 17.010 1273.820 17.330 ;
-        RECT 593.680 12.590 593.940 12.910 ;
-        RECT 593.740 2.400 593.880 12.590 ;
-        RECT 593.670 0.000 593.950 2.400 ;
+        RECT 628.600 32.120 628.950 34.520 ;
     END
   END wbs_dat_o[29]
   PIN wbs_dat_o[2]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met1 ;
-        RECT 100.070 17.580 100.390 17.640 ;
-        RECT 105.590 17.580 105.910 17.640 ;
-        RECT 100.070 17.440 105.910 17.580 ;
-        RECT 100.070 17.380 100.390 17.440 ;
-        RECT 105.590 17.380 105.910 17.440 ;
+        RECT 135.000 39.160 135.320 39.220 ;
+        RECT 154.320 39.160 154.640 39.220 ;
+        RECT 135.000 39.020 154.640 39.160 ;
+        RECT 135.000 38.960 135.320 39.020 ;
+        RECT 154.320 38.960 154.640 39.020 ;
       LAYER via ;
-        RECT 100.100 17.380 100.360 17.640 ;
-        RECT 105.620 17.380 105.880 17.640 ;
+        RECT 135.030 38.960 135.290 39.220 ;
+        RECT 154.350 38.960 154.610 39.220 ;
       LAYER met2 ;
-        RECT 105.680 17.670 105.820 54.000 ;
-        RECT 100.100 17.350 100.360 17.670 ;
-        RECT 105.620 17.350 105.880 17.670 ;
-        RECT 100.160 2.400 100.300 17.350 ;
-        RECT 100.090 0.000 100.370 2.400 ;
+        RECT 153.490 50.210 153.630 54.000 ;
+        RECT 153.490 50.070 154.550 50.210 ;
+        RECT 154.410 39.250 154.550 50.070 ;
+        RECT 135.030 38.930 135.290 39.250 ;
+        RECT 154.350 38.930 154.610 39.250 ;
+        RECT 135.090 34.520 135.230 38.930 ;
+        RECT 135.020 32.120 135.370 34.520 ;
     END
   END wbs_dat_o[2]
   PIN wbs_dat_o[30]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 616.725 13.005 616.895 20.995 ;
-        RECT 644.785 17.425 644.955 20.995 ;
-        RECT 692.165 17.595 692.335 19.975 ;
-        RECT 1099.265 17.935 1099.435 19.975 ;
-        RECT 1099.265 17.765 1099.895 17.935 ;
-        RECT 691.705 17.425 692.335 17.595 ;
-        RECT 1099.725 12.665 1099.895 17.765 ;
-        RECT 1148.485 12.665 1148.655 20.315 ;
-        RECT 1247.845 17.425 1248.015 18.615 ;
-      LAYER mcon ;
-        RECT 616.725 20.825 616.895 20.995 ;
-        RECT 644.785 20.825 644.955 20.995 ;
-        RECT 1148.485 20.145 1148.655 20.315 ;
-        RECT 692.165 19.805 692.335 19.975 ;
-        RECT 1099.265 19.805 1099.435 19.975 ;
-        RECT 1247.845 18.445 1248.015 18.615 ;
-      LAYER met1 ;
-        RECT 616.665 20.980 616.955 21.025 ;
-        RECT 644.725 20.980 645.015 21.025 ;
-        RECT 616.665 20.840 645.015 20.980 ;
-        RECT 616.665 20.795 616.955 20.840 ;
-        RECT 644.725 20.795 645.015 20.840 ;
-        RECT 1148.425 20.300 1148.715 20.345 ;
-        RECT 1150.710 20.300 1151.030 20.360 ;
-        RECT 1148.425 20.160 1151.030 20.300 ;
-        RECT 1148.425 20.115 1148.715 20.160 ;
-        RECT 1150.710 20.100 1151.030 20.160 ;
-        RECT 692.105 19.960 692.395 20.005 ;
-        RECT 1078.950 19.960 1079.270 20.020 ;
-        RECT 692.105 19.820 1079.270 19.960 ;
-        RECT 692.105 19.775 692.395 19.820 ;
-        RECT 1078.950 19.760 1079.270 19.820 ;
-        RECT 1098.730 19.960 1099.050 20.020 ;
-        RECT 1099.205 19.960 1099.495 20.005 ;
-        RECT 1098.730 19.820 1099.495 19.960 ;
-        RECT 1098.730 19.760 1099.050 19.820 ;
-        RECT 1099.205 19.775 1099.495 19.820 ;
-        RECT 1247.785 18.600 1248.075 18.645 ;
-        RECT 1273.990 18.600 1274.310 18.660 ;
-        RECT 1247.785 18.460 1274.310 18.600 ;
-        RECT 1247.785 18.415 1248.075 18.460 ;
-        RECT 1273.990 18.400 1274.310 18.460 ;
-        RECT 1174.630 18.260 1174.950 18.320 ;
-        RECT 1174.630 18.120 1206.140 18.260 ;
-        RECT 1174.630 18.060 1174.950 18.120 ;
-        RECT 644.725 17.580 645.015 17.625 ;
-        RECT 691.645 17.580 691.935 17.625 ;
-        RECT 644.725 17.440 691.935 17.580 ;
-        RECT 1206.000 17.580 1206.140 18.120 ;
-        RECT 1247.785 17.580 1248.075 17.625 ;
-        RECT 1206.000 17.440 1248.075 17.580 ;
-        RECT 644.725 17.395 645.015 17.440 ;
-        RECT 691.645 17.395 691.935 17.440 ;
-        RECT 1247.785 17.395 1248.075 17.440 ;
-        RECT 611.590 13.160 611.910 13.220 ;
-        RECT 616.665 13.160 616.955 13.205 ;
-        RECT 611.590 13.020 616.955 13.160 ;
-        RECT 611.590 12.960 611.910 13.020 ;
-        RECT 616.665 12.975 616.955 13.020 ;
-        RECT 1099.665 12.820 1099.955 12.865 ;
-        RECT 1148.425 12.820 1148.715 12.865 ;
-        RECT 1099.665 12.680 1148.715 12.820 ;
-        RECT 1099.665 12.635 1099.955 12.680 ;
-        RECT 1148.425 12.635 1148.715 12.680 ;
-      LAYER via ;
-        RECT 1150.740 20.100 1151.000 20.360 ;
-        RECT 1078.980 19.760 1079.240 20.020 ;
-        RECT 1098.760 19.760 1099.020 20.020 ;
-        RECT 1274.020 18.400 1274.280 18.660 ;
-        RECT 1174.660 18.060 1174.920 18.320 ;
-        RECT 611.620 12.960 611.880 13.220 ;
       LAYER met2 ;
-        RECT 1078.970 20.555 1079.250 20.925 ;
-        RECT 1098.750 20.555 1099.030 20.925 ;
-        RECT 1150.730 20.555 1151.010 20.925 ;
-        RECT 1174.650 20.555 1174.930 20.925 ;
-        RECT 1079.040 20.050 1079.180 20.555 ;
-        RECT 1098.820 20.050 1098.960 20.555 ;
-        RECT 1150.800 20.390 1150.940 20.555 ;
-        RECT 1150.740 20.070 1151.000 20.390 ;
-        RECT 1078.980 19.730 1079.240 20.050 ;
-        RECT 1098.760 19.730 1099.020 20.050 ;
-        RECT 1174.720 18.350 1174.860 20.555 ;
-        RECT 1274.080 18.690 1274.220 54.000 ;
-        RECT 1274.020 18.370 1274.280 18.690 ;
-        RECT 1174.660 18.030 1174.920 18.350 ;
-        RECT 611.620 12.930 611.880 13.250 ;
-        RECT 611.680 2.400 611.820 12.930 ;
-        RECT 611.610 0.000 611.890 2.400 ;
-      LAYER via2 ;
-        RECT 1078.970 20.600 1079.250 20.880 ;
-        RECT 1098.750 20.600 1099.030 20.880 ;
-        RECT 1150.730 20.600 1151.010 20.880 ;
-        RECT 1174.650 20.600 1174.930 20.880 ;
-      LAYER met3 ;
-        RECT 1078.945 20.890 1079.275 20.905 ;
-        RECT 1098.725 20.890 1099.055 20.905 ;
-        RECT 1078.945 20.590 1099.055 20.890 ;
-        RECT 1078.945 20.575 1079.275 20.590 ;
-        RECT 1098.725 20.575 1099.055 20.590 ;
-        RECT 1150.705 20.890 1151.035 20.905 ;
-        RECT 1174.625 20.890 1174.955 20.905 ;
-        RECT 1150.705 20.590 1174.955 20.890 ;
-        RECT 1150.705 20.575 1151.035 20.590 ;
-        RECT 1174.625 20.575 1174.955 20.590 ;
+        RECT 646.610 32.120 646.890 34.520 ;
     END
   END wbs_dat_o[30]
   PIN wbs_dat_o[31]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 630.080 17.410 630.220 54.000 ;
-        RECT 629.620 17.270 630.220 17.410 ;
-        RECT 629.620 2.400 629.760 17.270 ;
-        RECT 629.550 0.000 629.830 2.400 ;
+        RECT 664.550 32.120 664.830 34.520 ;
     END
   END wbs_dat_o[31]
   PIN wbs_dat_o[3]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met2 ;
-        RECT 1177.940 18.885 1178.080 54.000 ;
-        RECT 124.010 18.515 124.290 18.885 ;
-        RECT 1177.870 18.515 1178.150 18.885 ;
-        RECT 124.080 2.400 124.220 18.515 ;
-        RECT 124.010 0.000 124.290 2.400 ;
-      LAYER via2 ;
-        RECT 124.010 18.560 124.290 18.840 ;
-        RECT 1177.870 18.560 1178.150 18.840 ;
-      LAYER met3 ;
-        RECT 123.985 18.850 124.315 18.865 ;
-        RECT 1177.845 18.850 1178.175 18.865 ;
-        RECT 123.985 18.550 1178.175 18.850 ;
-        RECT 123.985 18.535 124.315 18.550 ;
-        RECT 1177.845 18.535 1178.175 18.550 ;
+        RECT 159.010 32.120 159.290 34.520 ;
     END
   END wbs_dat_o[3]
   PIN wbs_dat_o[4]
     DIRECTION OUTPUT TRISTATE ;
     PORT
       LAYER met1 ;
-        RECT 147.910 17.920 148.230 17.980 ;
-        RECT 153.890 17.920 154.210 17.980 ;
-        RECT 147.910 17.780 154.210 17.920 ;
-        RECT 147.910 17.720 148.230 17.780 ;
-        RECT 153.890 17.720 154.210 17.780 ;
+        RECT 174.100 51.740 174.420 51.800 ;
+        RECT 182.840 51.740 183.160 51.800 ;
+        RECT 174.100 51.600 183.160 51.740 ;
+        RECT 174.100 51.540 174.420 51.600 ;
+        RECT 182.840 51.540 183.160 51.600 ;
       LAYER via ;
-        RECT 147.940 17.720 148.200 17.980 ;
-        RECT 153.920 17.720 154.180 17.980 ;
+        RECT 174.130 51.540 174.390 51.800 ;
+        RECT 182.870 51.540 183.130 51.800 ;
       LAYER met2 ;
-        RECT 153.980 18.010 154.120 54.000 ;
-        RECT 147.940 17.690 148.200 18.010 ;
-        RECT 153.920 17.690 154.180 18.010 ;
-        RECT 148.000 2.400 148.140 17.690 ;
-        RECT 147.930 0.000 148.210 2.400 ;
+        RECT 174.190 51.830 174.330 54.000 ;
+        RECT 174.130 51.510 174.390 51.830 ;
+        RECT 182.870 51.510 183.130 51.830 ;
+        RECT 182.930 34.520 183.070 51.510 ;
+        RECT 182.860 32.120 183.210 34.520 ;
     END
   END wbs_dat_o[4]
   PIN wbs_dat_o[5]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 837.525 21.165 838.615 21.335 ;
-        RECT 200.885 15.385 201.055 16.915 ;
-        RECT 229.405 15.385 229.575 17.255 ;
-        RECT 281.845 15.385 282.015 17.255 ;
-        RECT 326.005 15.385 326.175 17.595 ;
-        RECT 641.105 17.425 644.495 17.595 ;
-        RECT 644.325 17.255 644.495 17.425 ;
-        RECT 375.685 14.365 375.855 17.255 ;
-        RECT 422.605 14.365 422.775 17.255 ;
-        RECT 644.325 17.085 645.415 17.255 ;
-        RECT 664.105 13.005 664.275 17.255 ;
-        RECT 666.865 13.005 667.035 17.255 ;
-        RECT 711.945 13.005 712.115 17.255 ;
-        RECT 713.785 13.005 713.955 18.275 ;
-        RECT 742.305 18.105 747.995 18.275 ;
-        RECT 760.705 13.005 760.875 18.275 ;
-        RECT 762.545 13.005 762.715 17.255 ;
-        RECT 808.085 13.005 808.255 17.595 ;
-        RECT 810.385 13.005 810.555 18.275 ;
-        RECT 813.605 18.105 816.995 18.275 ;
-        RECT 831.545 18.105 837.235 18.275 ;
-        RECT 837.525 18.105 837.695 21.165 ;
-        RECT 838.445 20.825 838.615 21.165 ;
-        RECT 857.305 13.005 857.475 20.995 ;
-        RECT 858.685 13.005 858.855 20.995 ;
-        RECT 884.445 17.935 884.615 20.995 ;
-        RECT 885.365 17.935 885.535 18.275 ;
-        RECT 884.445 17.765 885.535 17.935 ;
-        RECT 905.605 13.005 905.775 18.275 ;
-        RECT 906.985 13.005 907.155 17.595 ;
-        RECT 953.905 13.005 954.075 20.995 ;
-        RECT 955.285 13.005 955.455 20.995 ;
-        RECT 1001.285 13.005 1001.455 20.995 ;
-        RECT 1003.585 13.005 1003.755 20.995 ;
-        RECT 1087.305 19.805 1087.475 21.335 ;
-        RECT 1092.825 19.805 1092.995 21.335 ;
-        RECT 1098.345 19.805 1098.975 19.975 ;
-        RECT 1049.585 13.005 1049.755 17.255 ;
-        RECT 1051.885 13.005 1052.055 18.275 ;
-        RECT 1098.805 13.005 1098.975 19.805 ;
-      LAYER mcon ;
-        RECT 1087.305 21.165 1087.475 21.335 ;
-        RECT 857.305 20.825 857.475 20.995 ;
-        RECT 713.785 18.105 713.955 18.275 ;
-        RECT 747.825 18.105 747.995 18.275 ;
-        RECT 760.705 18.105 760.875 18.275 ;
-        RECT 326.005 17.425 326.175 17.595 ;
-        RECT 229.405 17.085 229.575 17.255 ;
-        RECT 200.885 16.745 201.055 16.915 ;
-        RECT 281.845 17.085 282.015 17.255 ;
-        RECT 375.685 17.085 375.855 17.255 ;
-        RECT 422.605 17.085 422.775 17.255 ;
-        RECT 645.245 17.085 645.415 17.255 ;
-        RECT 664.105 17.085 664.275 17.255 ;
-        RECT 666.865 17.085 667.035 17.255 ;
-        RECT 711.945 17.085 712.115 17.255 ;
-        RECT 810.385 18.105 810.555 18.275 ;
-        RECT 816.825 18.105 816.995 18.275 ;
-        RECT 837.065 18.105 837.235 18.275 ;
-        RECT 808.085 17.425 808.255 17.595 ;
-        RECT 762.545 17.085 762.715 17.255 ;
-        RECT 858.685 20.825 858.855 20.995 ;
-        RECT 884.445 20.825 884.615 20.995 ;
-        RECT 953.905 20.825 954.075 20.995 ;
-        RECT 885.365 18.105 885.535 18.275 ;
-        RECT 905.605 18.105 905.775 18.275 ;
-        RECT 906.985 17.425 907.155 17.595 ;
-        RECT 955.285 20.825 955.455 20.995 ;
-        RECT 1001.285 20.825 1001.455 20.995 ;
-        RECT 1003.585 20.825 1003.755 20.995 ;
-        RECT 1092.825 21.165 1092.995 21.335 ;
-        RECT 1051.885 18.105 1052.055 18.275 ;
-        RECT 1049.585 17.085 1049.755 17.255 ;
-      LAYER met1 ;
-        RECT 1087.245 21.320 1087.535 21.365 ;
-        RECT 1092.765 21.320 1093.055 21.365 ;
-        RECT 1087.245 21.180 1093.055 21.320 ;
-        RECT 1087.245 21.135 1087.535 21.180 ;
-        RECT 1092.765 21.135 1093.055 21.180 ;
-        RECT 838.385 20.980 838.675 21.025 ;
-        RECT 857.245 20.980 857.535 21.025 ;
-        RECT 838.385 20.840 857.535 20.980 ;
-        RECT 838.385 20.795 838.675 20.840 ;
-        RECT 857.245 20.795 857.535 20.840 ;
-        RECT 858.625 20.980 858.915 21.025 ;
-        RECT 884.385 20.980 884.675 21.025 ;
-        RECT 858.625 20.840 884.675 20.980 ;
-        RECT 858.625 20.795 858.915 20.840 ;
-        RECT 884.385 20.795 884.675 20.840 ;
-        RECT 934.050 20.980 934.370 21.040 ;
-        RECT 953.845 20.980 954.135 21.025 ;
-        RECT 934.050 20.840 954.135 20.980 ;
-        RECT 934.050 20.780 934.370 20.840 ;
-        RECT 953.845 20.795 954.135 20.840 ;
-        RECT 955.225 20.980 955.515 21.025 ;
-        RECT 981.890 20.980 982.210 21.040 ;
-        RECT 955.225 20.840 982.210 20.980 ;
-        RECT 955.225 20.795 955.515 20.840 ;
-        RECT 981.890 20.780 982.210 20.840 ;
-        RECT 982.350 20.980 982.670 21.040 ;
-        RECT 1001.225 20.980 1001.515 21.025 ;
-        RECT 982.350 20.840 1001.515 20.980 ;
-        RECT 982.350 20.780 982.670 20.840 ;
-        RECT 1001.225 20.795 1001.515 20.840 ;
-        RECT 1003.525 20.980 1003.815 21.025 ;
-        RECT 1030.190 20.980 1030.510 21.040 ;
-        RECT 1003.525 20.840 1030.510 20.980 ;
-        RECT 1003.525 20.795 1003.815 20.840 ;
-        RECT 1030.190 20.780 1030.510 20.840 ;
-        RECT 1079.410 19.960 1079.730 20.020 ;
-        RECT 1087.245 19.960 1087.535 20.005 ;
-        RECT 1079.410 19.820 1087.535 19.960 ;
-        RECT 1079.410 19.760 1079.730 19.820 ;
-        RECT 1087.245 19.775 1087.535 19.820 ;
-        RECT 1092.765 19.960 1093.055 20.005 ;
-        RECT 1098.285 19.960 1098.575 20.005 ;
-        RECT 1092.765 19.820 1098.575 19.960 ;
-        RECT 1092.765 19.775 1093.055 19.820 ;
-        RECT 1098.285 19.775 1098.575 19.820 ;
-        RECT 713.725 18.260 714.015 18.305 ;
-        RECT 742.245 18.260 742.535 18.305 ;
-        RECT 713.725 18.120 742.535 18.260 ;
-        RECT 713.725 18.075 714.015 18.120 ;
-        RECT 742.245 18.075 742.535 18.120 ;
-        RECT 747.765 18.260 748.055 18.305 ;
-        RECT 760.645 18.260 760.935 18.305 ;
-        RECT 747.765 18.120 760.935 18.260 ;
-        RECT 747.765 18.075 748.055 18.120 ;
-        RECT 760.645 18.075 760.935 18.120 ;
-        RECT 810.325 18.260 810.615 18.305 ;
-        RECT 813.545 18.260 813.835 18.305 ;
-        RECT 810.325 18.120 813.835 18.260 ;
-        RECT 810.325 18.075 810.615 18.120 ;
-        RECT 813.545 18.075 813.835 18.120 ;
-        RECT 816.765 18.260 817.055 18.305 ;
-        RECT 831.485 18.260 831.775 18.305 ;
-        RECT 816.765 18.120 831.775 18.260 ;
-        RECT 816.765 18.075 817.055 18.120 ;
-        RECT 831.485 18.075 831.775 18.120 ;
-        RECT 837.005 18.260 837.295 18.305 ;
-        RECT 837.465 18.260 837.755 18.305 ;
-        RECT 837.005 18.120 837.755 18.260 ;
-        RECT 837.005 18.075 837.295 18.120 ;
-        RECT 837.465 18.075 837.755 18.120 ;
-        RECT 885.305 18.260 885.595 18.305 ;
-        RECT 905.545 18.260 905.835 18.305 ;
-        RECT 885.305 18.120 905.835 18.260 ;
-        RECT 885.305 18.075 885.595 18.120 ;
-        RECT 905.545 18.075 905.835 18.120 ;
-        RECT 1051.825 18.260 1052.115 18.305 ;
-        RECT 1078.950 18.260 1079.270 18.320 ;
-        RECT 1051.825 18.120 1079.270 18.260 ;
-        RECT 1051.825 18.075 1052.115 18.120 ;
-        RECT 1078.950 18.060 1079.270 18.120 ;
-        RECT 1100.110 18.260 1100.430 18.320 ;
-        RECT 1122.650 18.260 1122.970 18.320 ;
-        RECT 1100.110 18.120 1122.970 18.260 ;
-        RECT 1100.110 18.060 1100.430 18.120 ;
-        RECT 1122.650 18.060 1122.970 18.120 ;
-        RECT 1128.170 17.920 1128.490 17.980 ;
-        RECT 1173.710 17.920 1174.030 17.980 ;
-        RECT 1128.170 17.780 1174.030 17.920 ;
-        RECT 1128.170 17.720 1128.490 17.780 ;
-        RECT 1173.710 17.720 1174.030 17.780 ;
-        RECT 325.945 17.395 326.235 17.625 ;
-        RECT 630.450 17.580 630.770 17.640 ;
-        RECT 641.045 17.580 641.335 17.625 ;
-        RECT 808.025 17.580 808.315 17.625 ;
-        RECT 630.450 17.440 641.335 17.580 ;
-        RECT 229.345 17.240 229.635 17.285 ;
-        RECT 281.785 17.240 282.075 17.285 ;
-        RECT 229.345 17.100 282.075 17.240 ;
-        RECT 326.020 17.240 326.160 17.395 ;
-        RECT 630.450 17.380 630.770 17.440 ;
-        RECT 641.045 17.395 641.335 17.440 ;
-        RECT 789.700 17.440 808.315 17.580 ;
-        RECT 375.625 17.240 375.915 17.285 ;
-        RECT 326.020 17.100 375.915 17.240 ;
-        RECT 229.345 17.055 229.635 17.100 ;
-        RECT 281.785 17.055 282.075 17.100 ;
-        RECT 375.625 17.055 375.915 17.100 ;
-        RECT 422.545 17.240 422.835 17.285 ;
-        RECT 498.430 17.240 498.750 17.300 ;
-        RECT 422.545 17.100 498.750 17.240 ;
-        RECT 422.545 17.055 422.835 17.100 ;
-        RECT 498.430 17.040 498.750 17.100 ;
-        RECT 519.130 17.240 519.450 17.300 ;
-        RECT 569.270 17.240 569.590 17.300 ;
-        RECT 519.130 17.100 569.590 17.240 ;
-        RECT 519.130 17.040 519.450 17.100 ;
-        RECT 569.270 17.040 569.590 17.100 ;
-        RECT 645.185 17.240 645.475 17.285 ;
-        RECT 664.045 17.240 664.335 17.285 ;
-        RECT 645.185 17.100 664.335 17.240 ;
-        RECT 645.185 17.055 645.475 17.100 ;
-        RECT 664.045 17.055 664.335 17.100 ;
-        RECT 666.805 17.240 667.095 17.285 ;
-        RECT 711.885 17.240 712.175 17.285 ;
-        RECT 666.805 17.100 712.175 17.240 ;
-        RECT 666.805 17.055 667.095 17.100 ;
-        RECT 711.885 17.055 712.175 17.100 ;
-        RECT 762.485 17.240 762.775 17.285 ;
-        RECT 789.700 17.240 789.840 17.440 ;
-        RECT 808.025 17.395 808.315 17.440 ;
-        RECT 906.925 17.580 907.215 17.625 ;
-        RECT 906.925 17.440 933.360 17.580 ;
-        RECT 906.925 17.395 907.215 17.440 ;
-        RECT 762.485 17.100 789.840 17.240 ;
-        RECT 933.220 17.240 933.360 17.440 ;
-        RECT 934.050 17.240 934.370 17.300 ;
-        RECT 933.220 17.100 934.370 17.240 ;
-        RECT 762.485 17.055 762.775 17.100 ;
-        RECT 934.050 17.040 934.370 17.100 ;
-        RECT 981.890 17.240 982.210 17.300 ;
-        RECT 982.350 17.240 982.670 17.300 ;
-        RECT 981.890 17.100 982.670 17.240 ;
-        RECT 981.890 17.040 982.210 17.100 ;
-        RECT 982.350 17.040 982.670 17.100 ;
-        RECT 1030.190 17.240 1030.510 17.300 ;
-        RECT 1049.525 17.240 1049.815 17.285 ;
-        RECT 1030.190 17.100 1049.815 17.240 ;
-        RECT 1030.190 17.040 1030.510 17.100 ;
-        RECT 1049.525 17.055 1049.815 17.100 ;
-        RECT 165.850 16.900 166.170 16.960 ;
-        RECT 200.825 16.900 201.115 16.945 ;
-        RECT 165.850 16.760 201.115 16.900 ;
-        RECT 165.850 16.700 166.170 16.760 ;
-        RECT 200.825 16.715 201.115 16.760 ;
-        RECT 1176.010 16.900 1176.330 16.960 ;
-        RECT 1185.210 16.900 1185.530 16.960 ;
-        RECT 1176.010 16.760 1185.530 16.900 ;
-        RECT 1176.010 16.700 1176.330 16.760 ;
-        RECT 1185.210 16.700 1185.530 16.760 ;
-        RECT 200.825 15.540 201.115 15.585 ;
-        RECT 229.345 15.540 229.635 15.585 ;
-        RECT 200.825 15.400 229.635 15.540 ;
-        RECT 200.825 15.355 201.115 15.400 ;
-        RECT 229.345 15.355 229.635 15.400 ;
-        RECT 281.785 15.540 282.075 15.585 ;
-        RECT 325.945 15.540 326.235 15.585 ;
-        RECT 281.785 15.400 326.235 15.540 ;
-        RECT 281.785 15.355 282.075 15.400 ;
-        RECT 325.945 15.355 326.235 15.400 ;
-        RECT 375.625 14.520 375.915 14.565 ;
-        RECT 422.545 14.520 422.835 14.565 ;
-        RECT 375.625 14.380 422.835 14.520 ;
-        RECT 375.625 14.335 375.915 14.380 ;
-        RECT 422.545 14.335 422.835 14.380 ;
-        RECT 664.045 13.160 664.335 13.205 ;
-        RECT 666.805 13.160 667.095 13.205 ;
-        RECT 664.045 13.020 667.095 13.160 ;
-        RECT 664.045 12.975 664.335 13.020 ;
-        RECT 666.805 12.975 667.095 13.020 ;
-        RECT 711.885 13.160 712.175 13.205 ;
-        RECT 713.725 13.160 714.015 13.205 ;
-        RECT 711.885 13.020 714.015 13.160 ;
-        RECT 711.885 12.975 712.175 13.020 ;
-        RECT 713.725 12.975 714.015 13.020 ;
-        RECT 760.645 13.160 760.935 13.205 ;
-        RECT 762.485 13.160 762.775 13.205 ;
-        RECT 760.645 13.020 762.775 13.160 ;
-        RECT 760.645 12.975 760.935 13.020 ;
-        RECT 762.485 12.975 762.775 13.020 ;
-        RECT 808.025 13.160 808.315 13.205 ;
-        RECT 810.325 13.160 810.615 13.205 ;
-        RECT 808.025 13.020 810.615 13.160 ;
-        RECT 808.025 12.975 808.315 13.020 ;
-        RECT 810.325 12.975 810.615 13.020 ;
-        RECT 857.245 13.160 857.535 13.205 ;
-        RECT 858.625 13.160 858.915 13.205 ;
-        RECT 857.245 13.020 858.915 13.160 ;
-        RECT 857.245 12.975 857.535 13.020 ;
-        RECT 858.625 12.975 858.915 13.020 ;
-        RECT 905.545 13.160 905.835 13.205 ;
-        RECT 906.925 13.160 907.215 13.205 ;
-        RECT 905.545 13.020 907.215 13.160 ;
-        RECT 905.545 12.975 905.835 13.020 ;
-        RECT 906.925 12.975 907.215 13.020 ;
-        RECT 953.845 13.160 954.135 13.205 ;
-        RECT 955.225 13.160 955.515 13.205 ;
-        RECT 953.845 13.020 955.515 13.160 ;
-        RECT 953.845 12.975 954.135 13.020 ;
-        RECT 955.225 12.975 955.515 13.020 ;
-        RECT 1001.225 13.160 1001.515 13.205 ;
-        RECT 1003.525 13.160 1003.815 13.205 ;
-        RECT 1001.225 13.020 1003.815 13.160 ;
-        RECT 1001.225 12.975 1001.515 13.020 ;
-        RECT 1003.525 12.975 1003.815 13.020 ;
-        RECT 1049.525 13.160 1049.815 13.205 ;
-        RECT 1051.825 13.160 1052.115 13.205 ;
-        RECT 1049.525 13.020 1052.115 13.160 ;
-        RECT 1049.525 12.975 1049.815 13.020 ;
-        RECT 1051.825 12.975 1052.115 13.020 ;
-        RECT 1098.745 13.160 1099.035 13.205 ;
-        RECT 1100.110 13.160 1100.430 13.220 ;
-        RECT 1098.745 13.020 1100.430 13.160 ;
-        RECT 1098.745 12.975 1099.035 13.020 ;
-        RECT 1100.110 12.960 1100.430 13.020 ;
-      LAYER via ;
-        RECT 934.080 20.780 934.340 21.040 ;
-        RECT 981.920 20.780 982.180 21.040 ;
-        RECT 982.380 20.780 982.640 21.040 ;
-        RECT 1030.220 20.780 1030.480 21.040 ;
-        RECT 1079.440 19.760 1079.700 20.020 ;
-        RECT 1078.980 18.060 1079.240 18.320 ;
-        RECT 1100.140 18.060 1100.400 18.320 ;
-        RECT 1122.680 18.060 1122.940 18.320 ;
-        RECT 1128.200 17.720 1128.460 17.980 ;
-        RECT 1173.740 17.720 1174.000 17.980 ;
-        RECT 630.480 17.380 630.740 17.640 ;
-        RECT 498.460 17.040 498.720 17.300 ;
-        RECT 519.160 17.040 519.420 17.300 ;
-        RECT 569.300 17.040 569.560 17.300 ;
-        RECT 934.080 17.040 934.340 17.300 ;
-        RECT 981.920 17.040 982.180 17.300 ;
-        RECT 982.380 17.040 982.640 17.300 ;
-        RECT 1030.220 17.040 1030.480 17.300 ;
-        RECT 165.880 16.700 166.140 16.960 ;
-        RECT 1176.040 16.700 1176.300 16.960 ;
-        RECT 1185.240 16.700 1185.500 16.960 ;
-        RECT 1100.140 12.960 1100.400 13.220 ;
       LAYER met2 ;
-        RECT 934.080 20.750 934.340 21.070 ;
-        RECT 981.920 20.750 982.180 21.070 ;
-        RECT 982.380 20.750 982.640 21.070 ;
-        RECT 1030.220 20.750 1030.480 21.070 ;
-        RECT 630.480 17.350 630.740 17.670 ;
-        RECT 498.460 17.010 498.720 17.330 ;
-        RECT 519.160 17.010 519.420 17.330 ;
-        RECT 569.300 17.010 569.560 17.330 ;
-        RECT 165.880 16.670 166.140 16.990 ;
-        RECT 165.940 2.400 166.080 16.670 ;
-        RECT 498.520 16.165 498.660 17.010 ;
-        RECT 519.220 16.165 519.360 17.010 ;
-        RECT 569.360 16.165 569.500 17.010 ;
-        RECT 630.540 16.165 630.680 17.350 ;
-        RECT 934.140 17.330 934.280 20.750 ;
-        RECT 981.980 17.330 982.120 20.750 ;
-        RECT 982.440 17.330 982.580 20.750 ;
-        RECT 1030.280 17.330 1030.420 20.750 ;
-        RECT 1079.440 19.730 1079.700 20.050 ;
-        RECT 1078.980 18.260 1079.240 18.350 ;
-        RECT 1079.500 18.260 1079.640 19.730 ;
-        RECT 1078.980 18.120 1079.640 18.260 ;
-        RECT 1078.980 18.030 1079.240 18.120 ;
-        RECT 1100.140 18.030 1100.400 18.350 ;
-        RECT 1122.680 18.030 1122.940 18.350 ;
-        RECT 934.080 17.010 934.340 17.330 ;
-        RECT 981.920 17.010 982.180 17.330 ;
-        RECT 982.380 17.010 982.640 17.330 ;
-        RECT 1030.220 17.010 1030.480 17.330 ;
-        RECT 498.450 15.795 498.730 16.165 ;
-        RECT 519.150 15.795 519.430 16.165 ;
-        RECT 569.290 15.795 569.570 16.165 ;
-        RECT 630.470 15.795 630.750 16.165 ;
-        RECT 1100.200 13.250 1100.340 18.030 ;
-        RECT 1122.740 16.165 1122.880 18.030 ;
-        RECT 1128.200 17.690 1128.460 18.010 ;
-        RECT 1173.740 17.690 1174.000 18.010 ;
-        RECT 1128.260 16.165 1128.400 17.690 ;
-        RECT 1173.800 16.165 1173.940 17.690 ;
-        RECT 1185.300 16.990 1185.440 54.000 ;
-        RECT 1176.040 16.670 1176.300 16.990 ;
-        RECT 1185.240 16.670 1185.500 16.990 ;
-        RECT 1176.100 16.165 1176.240 16.670 ;
-        RECT 1122.670 15.795 1122.950 16.165 ;
-        RECT 1128.190 15.795 1128.470 16.165 ;
-        RECT 1173.730 15.795 1174.010 16.165 ;
-        RECT 1176.030 15.795 1176.310 16.165 ;
-        RECT 1100.140 12.930 1100.400 13.250 ;
-        RECT 165.870 0.000 166.150 2.400 ;
-      LAYER via2 ;
-        RECT 498.450 15.840 498.730 16.120 ;
-        RECT 519.150 15.840 519.430 16.120 ;
-        RECT 569.290 15.840 569.570 16.120 ;
-        RECT 630.470 15.840 630.750 16.120 ;
-        RECT 1122.670 15.840 1122.950 16.120 ;
-        RECT 1128.190 15.840 1128.470 16.120 ;
-        RECT 1173.730 15.840 1174.010 16.120 ;
-        RECT 1176.030 15.840 1176.310 16.120 ;
-      LAYER met3 ;
-        RECT 498.425 16.130 498.755 16.145 ;
-        RECT 519.125 16.130 519.455 16.145 ;
-        RECT 498.425 15.830 519.455 16.130 ;
-        RECT 498.425 15.815 498.755 15.830 ;
-        RECT 519.125 15.815 519.455 15.830 ;
-        RECT 569.265 16.130 569.595 16.145 ;
-        RECT 630.445 16.130 630.775 16.145 ;
-        RECT 569.265 15.830 630.775 16.130 ;
-        RECT 569.265 15.815 569.595 15.830 ;
-        RECT 630.445 15.815 630.775 15.830 ;
-        RECT 1122.645 16.130 1122.975 16.145 ;
-        RECT 1128.165 16.130 1128.495 16.145 ;
-        RECT 1122.645 15.830 1128.495 16.130 ;
-        RECT 1122.645 15.815 1122.975 15.830 ;
-        RECT 1128.165 15.815 1128.495 15.830 ;
-        RECT 1173.705 16.130 1174.035 16.145 ;
-        RECT 1176.005 16.130 1176.335 16.145 ;
-        RECT 1173.705 15.830 1176.335 16.130 ;
-        RECT 1173.705 15.815 1174.035 15.830 ;
-        RECT 1176.005 15.815 1176.335 15.830 ;
+        RECT 200.870 32.120 201.150 34.520 ;
     END
   END wbs_dat_o[5]
   PIN wbs_dat_o[6]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 183.330 17.920 183.650 17.980 ;
-        RECT 188.390 17.920 188.710 17.980 ;
-        RECT 183.330 17.780 188.710 17.920 ;
-        RECT 183.330 17.720 183.650 17.780 ;
-        RECT 188.390 17.720 188.710 17.780 ;
-      LAYER via ;
-        RECT 183.360 17.720 183.620 17.980 ;
-        RECT 188.420 17.720 188.680 17.980 ;
       LAYER met2 ;
-        RECT 188.480 18.010 188.620 54.000 ;
-        RECT 183.360 17.690 183.620 18.010 ;
-        RECT 188.420 17.690 188.680 18.010 ;
-        RECT 183.420 2.400 183.560 17.690 ;
-        RECT 183.350 0.000 183.630 2.400 ;
+        RECT 218.280 32.120 218.630 34.520 ;
     END
   END wbs_dat_o[6]
   PIN wbs_dat_o[7]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 223.885 16.745 224.055 18.275 ;
-        RECT 694.465 17.425 694.635 18.275 ;
-        RECT 740.005 17.425 740.175 20.995 ;
-        RECT 761.165 18.105 761.335 20.995 ;
-        RECT 795.665 18.105 795.835 20.995 ;
-        RECT 837.985 18.105 838.155 20.995 ;
-        RECT 884.905 18.105 885.075 20.995 ;
-        RECT 886.285 17.425 886.455 20.995 ;
-      LAYER mcon ;
-        RECT 740.005 20.825 740.175 20.995 ;
-        RECT 223.885 18.105 224.055 18.275 ;
-        RECT 694.465 18.105 694.635 18.275 ;
-        RECT 761.165 20.825 761.335 20.995 ;
-        RECT 795.665 20.825 795.835 20.995 ;
-        RECT 837.985 20.825 838.155 20.995 ;
-        RECT 884.905 20.825 885.075 20.995 ;
-        RECT 886.285 20.825 886.455 20.995 ;
-      LAYER met1 ;
-        RECT 739.945 20.980 740.235 21.025 ;
-        RECT 761.105 20.980 761.395 21.025 ;
-        RECT 739.945 20.840 761.395 20.980 ;
-        RECT 739.945 20.795 740.235 20.840 ;
-        RECT 761.105 20.795 761.395 20.840 ;
-        RECT 795.605 20.980 795.895 21.025 ;
-        RECT 837.925 20.980 838.215 21.025 ;
-        RECT 795.605 20.840 838.215 20.980 ;
-        RECT 795.605 20.795 795.895 20.840 ;
-        RECT 837.925 20.795 838.215 20.840 ;
-        RECT 884.845 20.980 885.135 21.025 ;
-        RECT 886.225 20.980 886.515 21.025 ;
-        RECT 884.845 20.840 886.515 20.980 ;
-        RECT 884.845 20.795 885.135 20.840 ;
-        RECT 886.225 20.795 886.515 20.840 ;
-        RECT 1178.310 20.300 1178.630 20.360 ;
-        RECT 1189.810 20.300 1190.130 20.360 ;
-        RECT 1178.310 20.160 1190.130 20.300 ;
-        RECT 1178.310 20.100 1178.630 20.160 ;
-        RECT 1189.810 20.100 1190.130 20.160 ;
-        RECT 223.825 18.260 224.115 18.305 ;
-        RECT 694.405 18.260 694.695 18.305 ;
-        RECT 223.825 18.120 694.695 18.260 ;
-        RECT 223.825 18.075 224.115 18.120 ;
-        RECT 694.405 18.075 694.695 18.120 ;
-        RECT 761.105 18.260 761.395 18.305 ;
-        RECT 795.605 18.260 795.895 18.305 ;
-        RECT 761.105 18.120 795.895 18.260 ;
-        RECT 761.105 18.075 761.395 18.120 ;
-        RECT 795.605 18.075 795.895 18.120 ;
-        RECT 837.925 18.260 838.215 18.305 ;
-        RECT 884.845 18.260 885.135 18.305 ;
-        RECT 1051.350 18.260 1051.670 18.320 ;
-        RECT 837.925 18.120 885.135 18.260 ;
-        RECT 837.925 18.075 838.215 18.120 ;
-        RECT 884.845 18.075 885.135 18.120 ;
-        RECT 906.540 18.120 1051.670 18.260 ;
-        RECT 694.405 17.580 694.695 17.625 ;
-        RECT 739.945 17.580 740.235 17.625 ;
-        RECT 694.405 17.440 740.235 17.580 ;
-        RECT 694.405 17.395 694.695 17.440 ;
-        RECT 739.945 17.395 740.235 17.440 ;
-        RECT 886.225 17.580 886.515 17.625 ;
-        RECT 906.540 17.580 906.680 18.120 ;
-        RECT 1051.350 18.060 1051.670 18.120 ;
-        RECT 1125.870 18.260 1126.190 18.320 ;
-        RECT 1127.250 18.260 1127.570 18.320 ;
-        RECT 1125.870 18.120 1127.570 18.260 ;
-        RECT 1125.870 18.060 1126.190 18.120 ;
-        RECT 1127.250 18.060 1127.570 18.120 ;
-        RECT 886.225 17.440 906.680 17.580 ;
-        RECT 886.225 17.395 886.515 17.440 ;
-        RECT 201.270 16.900 201.590 16.960 ;
-        RECT 223.825 16.900 224.115 16.945 ;
-        RECT 201.270 16.760 224.115 16.900 ;
-        RECT 201.270 16.700 201.590 16.760 ;
-        RECT 223.825 16.715 224.115 16.760 ;
-      LAYER via ;
-        RECT 1178.340 20.100 1178.600 20.360 ;
-        RECT 1189.840 20.100 1190.100 20.360 ;
-        RECT 1051.380 18.060 1051.640 18.320 ;
-        RECT 1125.900 18.060 1126.160 18.320 ;
-        RECT 1127.280 18.060 1127.540 18.320 ;
-        RECT 201.300 16.700 201.560 16.960 ;
       LAYER met2 ;
-        RECT 1127.270 21.235 1127.550 21.605 ;
-        RECT 1178.330 21.235 1178.610 21.605 ;
-        RECT 1127.340 18.350 1127.480 21.235 ;
-        RECT 1178.400 20.390 1178.540 21.235 ;
-        RECT 1189.900 20.390 1190.040 54.000 ;
-        RECT 1178.340 20.070 1178.600 20.390 ;
-        RECT 1189.840 20.070 1190.100 20.390 ;
-        RECT 1051.380 18.030 1051.640 18.350 ;
-        RECT 1125.900 18.030 1126.160 18.350 ;
-        RECT 1127.280 18.030 1127.540 18.350 ;
-        RECT 201.300 16.670 201.560 16.990 ;
-        RECT 201.360 2.400 201.500 16.670 ;
-        RECT 1051.440 15.485 1051.580 18.030 ;
-        RECT 1125.960 15.485 1126.100 18.030 ;
-        RECT 1051.370 15.115 1051.650 15.485 ;
-        RECT 1125.890 15.115 1126.170 15.485 ;
-        RECT 201.290 0.000 201.570 2.400 ;
-      LAYER via2 ;
-        RECT 1127.270 21.280 1127.550 21.560 ;
-        RECT 1178.330 21.280 1178.610 21.560 ;
-        RECT 1051.370 15.160 1051.650 15.440 ;
-        RECT 1125.890 15.160 1126.170 15.440 ;
-      LAYER met3 ;
-        RECT 1127.245 21.570 1127.575 21.585 ;
-        RECT 1178.305 21.570 1178.635 21.585 ;
-        RECT 1127.245 21.270 1178.635 21.570 ;
-        RECT 1127.245 21.255 1127.575 21.270 ;
-        RECT 1178.305 21.255 1178.635 21.270 ;
-        RECT 1051.345 15.450 1051.675 15.465 ;
-        RECT 1125.865 15.450 1126.195 15.465 ;
-        RECT 1051.345 15.150 1126.195 15.450 ;
-        RECT 1051.345 15.135 1051.675 15.150 ;
-        RECT 1125.865 15.135 1126.195 15.150 ;
+        RECT 236.290 32.120 236.570 34.520 ;
     END
   END wbs_dat_o[7]
   PIN wbs_dat_o[8]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER met1 ;
-        RECT 219.210 17.920 219.530 17.980 ;
-        RECT 222.890 17.920 223.210 17.980 ;
-        RECT 219.210 17.780 223.210 17.920 ;
-        RECT 219.210 17.720 219.530 17.780 ;
-        RECT 222.890 17.720 223.210 17.780 ;
-      LAYER via ;
-        RECT 219.240 17.720 219.500 17.980 ;
-        RECT 222.920 17.720 223.180 17.980 ;
       LAYER met2 ;
-        RECT 222.980 18.010 223.120 54.000 ;
-        RECT 219.240 17.690 219.500 18.010 ;
-        RECT 222.920 17.690 223.180 18.010 ;
-        RECT 219.300 2.400 219.440 17.690 ;
-        RECT 219.230 0.000 219.510 2.400 ;
+        RECT 254.160 32.120 254.510 34.520 ;
     END
   END wbs_dat_o[8]
   PIN wbs_dat_o[9]
     DIRECTION OUTPUT TRISTATE ;
     PORT
-      LAYER li1 ;
-        RECT 665.025 19.805 665.195 20.995 ;
-        RECT 671.465 19.805 671.635 20.995 ;
-        RECT 694.005 17.425 694.175 21.335 ;
-        RECT 788.765 17.425 788.935 21.335 ;
-        RECT 789.225 17.425 789.395 21.335 ;
-        RECT 933.665 17.425 933.835 20.995 ;
-        RECT 1030.725 17.425 1030.895 21.335 ;
-        RECT 1079.485 18.105 1079.655 21.335 ;
-        RECT 1099.725 18.105 1099.895 19.975 ;
-      LAYER mcon ;
-        RECT 694.005 21.165 694.175 21.335 ;
-        RECT 665.025 20.825 665.195 20.995 ;
-        RECT 671.465 20.825 671.635 20.995 ;
-        RECT 788.765 21.165 788.935 21.335 ;
-        RECT 789.225 21.165 789.395 21.335 ;
-        RECT 1030.725 21.165 1030.895 21.335 ;
-        RECT 933.665 20.825 933.835 20.995 ;
-        RECT 1079.485 21.165 1079.655 21.335 ;
-        RECT 1099.725 19.805 1099.895 19.975 ;
-      LAYER met1 ;
-        RECT 693.945 21.320 694.235 21.365 ;
-        RECT 788.705 21.320 788.995 21.365 ;
-        RECT 693.945 21.180 788.995 21.320 ;
-        RECT 693.945 21.135 694.235 21.180 ;
-        RECT 788.705 21.135 788.995 21.180 ;
-        RECT 789.165 21.320 789.455 21.365 ;
-        RECT 1030.665 21.320 1030.955 21.365 ;
-        RECT 1079.425 21.320 1079.715 21.365 ;
-        RECT 789.165 21.180 886.900 21.320 ;
-        RECT 789.165 21.135 789.455 21.180 ;
-        RECT 664.965 20.980 665.255 21.025 ;
-        RECT 671.405 20.980 671.695 21.025 ;
-        RECT 664.965 20.840 671.695 20.980 ;
-        RECT 886.760 20.980 886.900 21.180 ;
-        RECT 1030.665 21.180 1079.715 21.320 ;
-        RECT 1030.665 21.135 1030.955 21.180 ;
-        RECT 1079.425 21.135 1079.715 21.180 ;
-        RECT 933.605 20.980 933.895 21.025 ;
-        RECT 886.760 20.840 933.895 20.980 ;
-        RECT 664.965 20.795 665.255 20.840 ;
-        RECT 671.405 20.795 671.695 20.840 ;
-        RECT 933.605 20.795 933.895 20.840 ;
-        RECT 1151.260 20.160 1178.080 20.300 ;
-        RECT 237.150 19.960 237.470 20.020 ;
-        RECT 664.965 19.960 665.255 20.005 ;
-        RECT 237.150 19.820 665.255 19.960 ;
-        RECT 237.150 19.760 237.470 19.820 ;
-        RECT 664.965 19.775 665.255 19.820 ;
-        RECT 671.405 19.960 671.695 20.005 ;
-        RECT 688.410 19.960 688.730 20.020 ;
-        RECT 671.405 19.820 688.730 19.960 ;
-        RECT 671.405 19.775 671.695 19.820 ;
-        RECT 688.410 19.760 688.730 19.820 ;
-        RECT 1099.665 19.960 1099.955 20.005 ;
-        RECT 1151.260 19.960 1151.400 20.160 ;
-        RECT 1099.665 19.820 1151.400 19.960 ;
-        RECT 1177.940 19.960 1178.080 20.160 ;
-        RECT 1196.710 19.960 1197.030 20.020 ;
-        RECT 1177.940 19.820 1197.030 19.960 ;
-        RECT 1099.665 19.775 1099.955 19.820 ;
-        RECT 1196.710 19.760 1197.030 19.820 ;
-        RECT 1079.425 18.260 1079.715 18.305 ;
-        RECT 1099.665 18.260 1099.955 18.305 ;
-        RECT 1079.425 18.120 1099.955 18.260 ;
-        RECT 1079.425 18.075 1079.715 18.120 ;
-        RECT 1099.665 18.075 1099.955 18.120 ;
-        RECT 692.090 17.580 692.410 17.640 ;
-        RECT 693.945 17.580 694.235 17.625 ;
-        RECT 692.090 17.440 694.235 17.580 ;
-        RECT 692.090 17.380 692.410 17.440 ;
-        RECT 693.945 17.395 694.235 17.440 ;
-        RECT 788.705 17.580 788.995 17.625 ;
-        RECT 789.165 17.580 789.455 17.625 ;
-        RECT 788.705 17.440 789.455 17.580 ;
-        RECT 788.705 17.395 788.995 17.440 ;
-        RECT 789.165 17.395 789.455 17.440 ;
-        RECT 933.605 17.580 933.895 17.625 ;
-        RECT 1030.665 17.580 1030.955 17.625 ;
-        RECT 933.605 17.440 1030.955 17.580 ;
-        RECT 933.605 17.395 933.895 17.440 ;
-        RECT 1030.665 17.395 1030.955 17.440 ;
-      LAYER via ;
-        RECT 237.180 19.760 237.440 20.020 ;
-        RECT 688.440 19.760 688.700 20.020 ;
-        RECT 1196.740 19.760 1197.000 20.020 ;
-        RECT 692.120 17.380 692.380 17.640 ;
       LAYER met2 ;
-        RECT 688.500 20.670 689.560 20.810 ;
-        RECT 688.500 20.050 688.640 20.670 ;
-        RECT 237.180 19.730 237.440 20.050 ;
-        RECT 688.440 19.730 688.700 20.050 ;
-        RECT 237.240 2.400 237.380 19.730 ;
-        RECT 689.420 17.580 689.560 20.670 ;
-        RECT 1196.800 20.050 1196.940 54.000 ;
-        RECT 1196.740 19.730 1197.000 20.050 ;
-        RECT 692.120 17.580 692.380 17.670 ;
-        RECT 689.420 17.440 692.380 17.580 ;
-        RECT 692.120 17.350 692.380 17.440 ;
-        RECT 237.170 0.000 237.450 2.400 ;
+        RECT 272.100 32.120 272.450 34.520 ;
     END
   END wbs_dat_o[9]
   PIN wbs_sel_i[0]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 58.670 17.580 58.990 17.640 ;
-        RECT 64.190 17.580 64.510 17.640 ;
-        RECT 58.670 17.440 64.510 17.580 ;
-        RECT 58.670 17.380 58.990 17.440 ;
-        RECT 64.190 17.380 64.510 17.440 ;
-      LAYER via ;
-        RECT 58.700 17.380 58.960 17.640 ;
-        RECT 64.220 17.380 64.480 17.640 ;
       LAYER met2 ;
-        RECT 64.280 17.670 64.420 54.000 ;
-        RECT 58.700 17.350 58.960 17.670 ;
-        RECT 64.220 17.350 64.480 17.670 ;
-        RECT 58.760 2.400 58.900 17.350 ;
-        RECT 58.690 0.000 58.970 2.400 ;
+        RECT 93.620 32.120 93.970 34.520 ;
     END
   END wbs_sel_i[0]
   PIN wbs_sel_i[1]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1165.060 45.290 1165.200 54.000 ;
-        RECT 1164.600 45.150 1165.200 45.290 ;
-        RECT 82.610 17.835 82.890 18.205 ;
-        RECT 82.680 2.400 82.820 17.835 ;
-        RECT 1164.600 17.525 1164.740 45.150 ;
-        RECT 1164.530 17.155 1164.810 17.525 ;
-        RECT 82.610 0.000 82.890 2.400 ;
-      LAYER via2 ;
-        RECT 82.610 17.880 82.890 18.160 ;
-        RECT 1164.530 17.200 1164.810 17.480 ;
-      LAYER met3 ;
-        RECT 82.585 18.170 82.915 18.185 ;
-        RECT 82.585 17.870 1140.210 18.170 ;
-        RECT 82.585 17.855 82.915 17.870 ;
-        RECT 1139.910 17.490 1140.210 17.870 ;
-        RECT 1164.505 17.490 1164.835 17.505 ;
-        RECT 1139.910 17.190 1164.835 17.490 ;
-        RECT 1164.505 17.175 1164.835 17.190 ;
+        RECT 117.610 32.120 117.890 34.520 ;
     END
   END wbs_sel_i[1]
   PIN wbs_sel_i[2]
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 106.050 15.540 106.370 15.600 ;
-        RECT 112.490 15.540 112.810 15.600 ;
-        RECT 106.050 15.400 112.810 15.540 ;
-        RECT 106.050 15.340 106.370 15.400 ;
-        RECT 112.490 15.340 112.810 15.400 ;
-      LAYER via ;
-        RECT 106.080 15.340 106.340 15.600 ;
-        RECT 112.520 15.340 112.780 15.600 ;
       LAYER met2 ;
-        RECT 112.580 15.630 112.720 54.000 ;
-        RECT 106.080 15.310 106.340 15.630 ;
-        RECT 112.520 15.310 112.780 15.630 ;
-        RECT 106.140 2.400 106.280 15.310 ;
-        RECT 106.070 0.000 106.350 2.400 ;
+        RECT 141.070 32.120 141.350 34.520 ;
     END
   END wbs_sel_i[2]
   PIN wbs_sel_i[3]
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1176.100 53.960 1176.240 54.000 ;
-        RECT 1176.100 53.820 1176.700 53.960 ;
-        RECT 1176.560 21.490 1176.700 53.820 ;
-        RECT 1176.100 21.350 1176.700 21.490 ;
-        RECT 1176.100 19.565 1176.240 21.350 ;
-        RECT 129.990 19.195 130.270 19.565 ;
-        RECT 1176.030 19.195 1176.310 19.565 ;
-        RECT 130.060 2.400 130.200 19.195 ;
-        RECT 129.990 0.000 130.270 2.400 ;
-      LAYER via2 ;
-        RECT 129.990 19.240 130.270 19.520 ;
-        RECT 1176.030 19.240 1176.310 19.520 ;
-      LAYER met3 ;
-        RECT 129.965 19.530 130.295 19.545 ;
-        RECT 1176.005 19.530 1176.335 19.545 ;
-        RECT 129.965 19.230 1176.335 19.530 ;
-        RECT 129.965 19.215 130.295 19.230 ;
-        RECT 1176.005 19.215 1176.335 19.230 ;
+        RECT 164.990 32.120 165.270 34.520 ;
     END
   END wbs_sel_i[3]
   PIN wbs_stb_i
     DIRECTION INPUT ;
     PORT
-      LAYER met1 ;
-        RECT 28.770 2.960 29.090 3.020 ;
-        RECT 29.690 2.960 30.010 3.020 ;
-        RECT 28.770 2.820 30.010 2.960 ;
-        RECT 28.770 2.760 29.090 2.820 ;
-        RECT 29.690 2.760 30.010 2.820 ;
-      LAYER via ;
-        RECT 28.800 2.760 29.060 3.020 ;
-        RECT 29.720 2.760 29.980 3.020 ;
       LAYER met2 ;
-        RECT 29.710 1686.555 29.990 1686.925 ;
-        RECT 29.780 3.050 29.920 1686.555 ;
-        RECT 28.800 2.730 29.060 3.050 ;
-        RECT 29.720 2.730 29.980 3.050 ;
-        RECT 28.860 2.400 29.000 2.730 ;
-        RECT 28.790 0.000 29.070 2.400 ;
-      LAYER via2 ;
-        RECT 29.710 1686.600 29.990 1686.880 ;
-      LAYER met3 ;
-        RECT 29.685 1686.890 30.015 1686.905 ;
-        RECT 29.685 1686.590 54.000 1686.890 ;
-        RECT 29.685 1686.575 30.015 1686.590 ;
+        RECT 63.790 32.120 64.070 34.520 ;
     END
   END wbs_stb_i
   PIN wbs_we_i
     DIRECTION INPUT ;
     PORT
       LAYER met2 ;
-        RECT 1157.700 30.445 1157.840 54.000 ;
-        RECT 1157.630 30.075 1157.910 30.445 ;
-        RECT 34.770 16.475 35.050 16.845 ;
-        RECT 290.990 16.475 291.270 16.845 ;
-        RECT 291.910 16.475 292.190 16.845 ;
-        RECT 740.870 16.475 741.150 16.845 ;
-        RECT 1040.790 16.475 1041.070 16.845 ;
-        RECT 34.840 2.400 34.980 16.475 ;
-        RECT 291.060 16.050 291.200 16.475 ;
-        RECT 291.980 16.050 292.120 16.475 ;
-        RECT 291.060 15.910 292.120 16.050 ;
-        RECT 740.410 15.370 740.690 15.485 ;
-        RECT 740.940 15.370 741.080 16.475 ;
-        RECT 740.410 15.230 741.080 15.370 ;
-        RECT 740.410 15.115 740.690 15.230 ;
-        RECT 1040.860 12.765 1041.000 16.475 ;
-        RECT 1040.790 12.395 1041.070 12.765 ;
-        RECT 34.770 0.000 35.050 2.400 ;
-      LAYER via2 ;
-        RECT 1157.630 30.120 1157.910 30.400 ;
-        RECT 34.770 16.520 35.050 16.800 ;
-        RECT 290.990 16.520 291.270 16.800 ;
-        RECT 291.910 16.520 292.190 16.800 ;
-        RECT 740.870 16.520 741.150 16.800 ;
-        RECT 1040.790 16.520 1041.070 16.800 ;
-        RECT 740.410 15.160 740.690 15.440 ;
-        RECT 1040.790 12.440 1041.070 12.720 ;
-      LAYER met3 ;
-        RECT 1113.190 30.410 1113.570 30.420 ;
-        RECT 1157.605 30.410 1157.935 30.425 ;
-        RECT 1113.190 30.110 1157.935 30.410 ;
-        RECT 1113.190 30.100 1113.570 30.110 ;
-        RECT 1157.605 30.095 1157.935 30.110 ;
-        RECT 34.745 16.810 35.075 16.825 ;
-        RECT 290.965 16.810 291.295 16.825 ;
-        RECT 34.745 16.510 291.295 16.810 ;
-        RECT 34.745 16.495 35.075 16.510 ;
-        RECT 290.965 16.495 291.295 16.510 ;
-        RECT 291.885 16.810 292.215 16.825 ;
-        RECT 726.790 16.810 727.170 16.820 ;
-        RECT 291.885 16.510 727.170 16.810 ;
-        RECT 291.885 16.495 292.215 16.510 ;
-        RECT 726.790 16.500 727.170 16.510 ;
-        RECT 740.845 16.810 741.175 16.825 ;
-        RECT 1040.765 16.810 1041.095 16.825 ;
-        RECT 740.845 16.510 1041.095 16.810 ;
-        RECT 740.845 16.495 741.175 16.510 ;
-        RECT 1040.765 16.495 1041.095 16.510 ;
-        RECT 726.790 15.450 727.170 15.460 ;
-        RECT 740.385 15.450 740.715 15.465 ;
-        RECT 726.790 15.150 740.715 15.450 ;
-        RECT 726.790 15.140 727.170 15.150 ;
-        RECT 740.385 15.135 740.715 15.150 ;
-        RECT 1113.190 14.090 1113.570 14.100 ;
-        RECT 1064.470 13.790 1113.570 14.090 ;
-        RECT 1040.765 12.730 1041.095 12.745 ;
-        RECT 1064.470 12.730 1064.770 13.790 ;
-        RECT 1113.190 13.780 1113.570 13.790 ;
-        RECT 1040.765 12.430 1064.770 12.730 ;
-        RECT 1040.765 12.415 1041.095 12.430 ;
-      LAYER via3 ;
-        RECT 1113.220 30.100 1113.540 30.420 ;
-        RECT 726.820 16.500 727.140 16.820 ;
-        RECT 726.820 15.140 727.140 15.460 ;
-        RECT 1113.220 13.780 1113.540 14.100 ;
-      LAYER met4 ;
-        RECT 1113.215 30.095 1113.545 30.425 ;
-        RECT 726.815 16.495 727.145 16.825 ;
-        RECT 726.830 15.465 727.130 16.495 ;
-        RECT 726.815 15.135 727.145 15.465 ;
-        RECT 1113.230 14.105 1113.530 30.095 ;
-        RECT 1113.215 13.775 1113.545 14.105 ;
+        RECT 69.770 32.120 70.050 34.520 ;
     END
   END wbs_we_i
   PIN vccd1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT 4.000 6.880 6.000 3512.800 ;
-        RECT 2918.580 6.880 2920.580 3512.800 ;
-      LAYER via4 ;
-        RECT 4.410 3511.210 5.590 3512.390 ;
-        RECT 4.410 3396.900 5.590 3398.080 ;
-        RECT 4.410 3243.720 5.590 3244.900 ;
-        RECT 4.410 3090.540 5.590 3091.720 ;
-        RECT 4.410 2937.360 5.590 2938.540 ;
-        RECT 4.410 2784.180 5.590 2785.360 ;
-        RECT 4.410 2631.000 5.590 2632.180 ;
-        RECT 4.410 2477.820 5.590 2479.000 ;
-        RECT 4.410 2324.640 5.590 2325.820 ;
-        RECT 4.410 2171.460 5.590 2172.640 ;
-        RECT 4.410 2018.280 5.590 2019.460 ;
-        RECT 4.410 1865.100 5.590 1866.280 ;
-        RECT 4.410 1711.920 5.590 1713.100 ;
-        RECT 4.410 1558.740 5.590 1559.920 ;
-        RECT 4.410 1405.560 5.590 1406.740 ;
-        RECT 4.410 1252.380 5.590 1253.560 ;
-        RECT 4.410 1099.200 5.590 1100.380 ;
-        RECT 4.410 946.020 5.590 947.200 ;
-        RECT 4.410 792.840 5.590 794.020 ;
-        RECT 4.410 639.660 5.590 640.840 ;
-        RECT 4.410 486.480 5.590 487.660 ;
-        RECT 4.410 333.300 5.590 334.480 ;
-        RECT 4.410 180.120 5.590 181.300 ;
-        RECT 4.410 26.940 5.590 28.120 ;
-        RECT 4.410 7.290 5.590 8.470 ;
-        RECT 2918.990 3511.210 2920.170 3512.390 ;
-        RECT 2918.990 3396.900 2920.170 3398.080 ;
-        RECT 2918.990 3243.720 2920.170 3244.900 ;
-        RECT 2918.990 3090.540 2920.170 3091.720 ;
-        RECT 2918.990 2937.360 2920.170 2938.540 ;
-        RECT 2918.990 2784.180 2920.170 2785.360 ;
-        RECT 2918.990 2631.000 2920.170 2632.180 ;
-        RECT 2918.990 2477.820 2920.170 2479.000 ;
-        RECT 2918.990 2324.640 2920.170 2325.820 ;
-        RECT 2918.990 2171.460 2920.170 2172.640 ;
-        RECT 2918.990 2018.280 2920.170 2019.460 ;
-        RECT 2918.990 1865.100 2920.170 1866.280 ;
-        RECT 2918.990 1711.920 2920.170 1713.100 ;
-        RECT 2918.990 1558.740 2920.170 1559.920 ;
-        RECT 2918.990 1405.560 2920.170 1406.740 ;
-        RECT 2918.990 1252.380 2920.170 1253.560 ;
-        RECT 2918.990 1099.200 2920.170 1100.380 ;
-        RECT 2918.990 946.020 2920.170 947.200 ;
-        RECT 2918.990 792.840 2920.170 794.020 ;
-        RECT 2918.990 639.660 2920.170 640.840 ;
-        RECT 2918.990 486.480 2920.170 487.660 ;
-        RECT 2918.990 333.300 2920.170 334.480 ;
-        RECT 2918.990 180.120 2920.170 181.300 ;
-        RECT 2918.990 26.940 2920.170 28.120 ;
-        RECT 2918.990 7.290 2920.170 8.470 ;
+        RECT 28.000 28.000 30.000 3555.920 ;
+        RECT 42.000 3549.720 44.000 3559.920 ;
+        RECT 142.000 3549.720 144.000 3559.920 ;
+        RECT 242.000 3549.720 244.000 3559.920 ;
+        RECT 342.000 3549.720 344.000 3559.920 ;
+        RECT 442.000 3549.720 444.000 3559.920 ;
+        RECT 542.000 3549.720 544.000 3559.920 ;
+        RECT 642.000 3549.720 644.000 3559.920 ;
+        RECT 742.000 3549.720 744.000 3559.920 ;
+        RECT 842.000 3549.720 844.000 3559.920 ;
+        RECT 942.000 3549.720 944.000 3559.920 ;
+        RECT 1042.000 3549.720 1044.000 3559.920 ;
+        RECT 1142.000 3549.720 1144.000 3559.920 ;
+        RECT 1242.000 3549.720 1244.000 3559.920 ;
+        RECT 1342.000 3549.720 1344.000 3559.920 ;
+        RECT 1442.000 3549.720 1444.000 3559.920 ;
+        RECT 1542.000 3549.720 1544.000 3559.920 ;
+        RECT 1642.000 3549.720 1644.000 3559.920 ;
+        RECT 1742.000 3549.720 1744.000 3559.920 ;
+        RECT 1842.000 3549.720 1844.000 3559.920 ;
+        RECT 1942.000 3549.720 1944.000 3559.920 ;
+        RECT 2042.000 3549.720 2044.000 3559.920 ;
+        RECT 2142.000 3549.720 2144.000 3559.920 ;
+        RECT 2242.000 3549.720 2244.000 3559.920 ;
+        RECT 2342.000 3549.720 2344.000 3559.920 ;
+        RECT 2442.000 3549.720 2444.000 3559.920 ;
+        RECT 2542.000 3549.720 2544.000 3559.920 ;
+        RECT 2642.000 3549.720 2644.000 3559.920 ;
+        RECT 2742.000 3549.720 2744.000 3559.920 ;
+        RECT 2842.000 3549.720 2844.000 3559.920 ;
+        RECT 2942.000 3549.720 2944.000 3559.920 ;
+        RECT 42.000 24.000 44.000 34.520 ;
+        RECT 142.000 24.000 144.000 34.520 ;
+        RECT 242.000 24.000 244.000 34.520 ;
+        RECT 342.000 24.000 344.000 34.520 ;
+        RECT 442.000 24.000 444.000 34.520 ;
+        RECT 542.000 24.000 544.000 34.520 ;
+        RECT 642.000 24.000 644.000 34.520 ;
+        RECT 742.000 24.000 744.000 34.520 ;
+        RECT 842.000 24.000 844.000 34.520 ;
+        RECT 942.000 24.000 944.000 34.520 ;
+        RECT 1042.000 24.000 1044.000 34.520 ;
+        RECT 1142.000 24.000 1144.000 34.520 ;
+        RECT 1242.000 24.000 1244.000 34.520 ;
+        RECT 1342.000 24.000 1344.000 34.520 ;
+        RECT 1442.000 24.000 1444.000 34.520 ;
+        RECT 1542.000 24.000 1544.000 34.520 ;
+        RECT 1642.000 24.000 1644.000 34.520 ;
+        RECT 1742.000 24.000 1744.000 34.520 ;
+        RECT 1842.000 24.000 1844.000 34.520 ;
+        RECT 1942.000 24.000 1944.000 34.520 ;
+        RECT 2042.000 24.000 2044.000 34.520 ;
+        RECT 2142.000 24.000 2144.000 34.520 ;
+        RECT 2242.000 24.000 2244.000 34.520 ;
+        RECT 2342.000 24.000 2344.000 34.520 ;
+        RECT 2442.000 24.000 2444.000 34.520 ;
+        RECT 2542.000 24.000 2544.000 34.520 ;
+        RECT 2642.000 24.000 2644.000 34.520 ;
+        RECT 2742.000 24.000 2744.000 34.520 ;
+        RECT 2842.000 24.000 2844.000 34.520 ;
+        RECT 2942.000 24.000 2944.000 34.520 ;
+        RECT 2964.580 28.000 2966.580 3555.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 28.410 3554.330 29.590 3555.510 ;
+        RECT 42.410 3554.330 43.590 3555.510 ;
+        RECT 142.410 3554.330 143.590 3555.510 ;
+        RECT 242.410 3554.330 243.590 3555.510 ;
+        RECT 342.410 3554.330 343.590 3555.510 ;
+        RECT 442.410 3554.330 443.590 3555.510 ;
+        RECT 542.410 3554.330 543.590 3555.510 ;
+        RECT 642.410 3554.330 643.590 3555.510 ;
+        RECT 742.410 3554.330 743.590 3555.510 ;
+        RECT 842.410 3554.330 843.590 3555.510 ;
+        RECT 942.410 3554.330 943.590 3555.510 ;
+        RECT 1042.410 3554.330 1043.590 3555.510 ;
+        RECT 1142.410 3554.330 1143.590 3555.510 ;
+        RECT 1242.410 3554.330 1243.590 3555.510 ;
+        RECT 1342.410 3554.330 1343.590 3555.510 ;
+        RECT 1442.410 3554.330 1443.590 3555.510 ;
+        RECT 1542.410 3554.330 1543.590 3555.510 ;
+        RECT 1642.410 3554.330 1643.590 3555.510 ;
+        RECT 1742.410 3554.330 1743.590 3555.510 ;
+        RECT 1842.410 3554.330 1843.590 3555.510 ;
+        RECT 1942.410 3554.330 1943.590 3555.510 ;
+        RECT 2042.410 3554.330 2043.590 3555.510 ;
+        RECT 2142.410 3554.330 2143.590 3555.510 ;
+        RECT 2242.410 3554.330 2243.590 3555.510 ;
+        RECT 2342.410 3554.330 2343.590 3555.510 ;
+        RECT 2442.410 3554.330 2443.590 3555.510 ;
+        RECT 2542.410 3554.330 2543.590 3555.510 ;
+        RECT 2642.410 3554.330 2643.590 3555.510 ;
+        RECT 2742.410 3554.330 2743.590 3555.510 ;
+        RECT 2842.410 3554.330 2843.590 3555.510 ;
+        RECT 2942.410 3554.330 2943.590 3555.510 ;
+        RECT 2964.990 3554.330 2966.170 3555.510 ;
+        RECT 28.410 3442.410 29.590 3443.590 ;
+        RECT 28.410 3342.410 29.590 3343.590 ;
+        RECT 28.410 3242.410 29.590 3243.590 ;
+        RECT 28.410 3142.410 29.590 3143.590 ;
+        RECT 28.410 3042.410 29.590 3043.590 ;
+        RECT 28.410 2942.410 29.590 2943.590 ;
+        RECT 28.410 2842.410 29.590 2843.590 ;
+        RECT 28.410 2742.410 29.590 2743.590 ;
+        RECT 28.410 2642.410 29.590 2643.590 ;
+        RECT 28.410 2542.410 29.590 2543.590 ;
+        RECT 28.410 2442.410 29.590 2443.590 ;
+        RECT 28.410 2342.410 29.590 2343.590 ;
+        RECT 28.410 2242.410 29.590 2243.590 ;
+        RECT 28.410 2142.410 29.590 2143.590 ;
+        RECT 28.410 2042.410 29.590 2043.590 ;
+        RECT 28.410 1942.410 29.590 1943.590 ;
+        RECT 28.410 1842.410 29.590 1843.590 ;
+        RECT 28.410 1742.410 29.590 1743.590 ;
+        RECT 28.410 1642.410 29.590 1643.590 ;
+        RECT 28.410 1542.410 29.590 1543.590 ;
+        RECT 28.410 1442.410 29.590 1443.590 ;
+        RECT 28.410 1342.410 29.590 1343.590 ;
+        RECT 28.410 1242.410 29.590 1243.590 ;
+        RECT 28.410 1142.410 29.590 1143.590 ;
+        RECT 28.410 1042.410 29.590 1043.590 ;
+        RECT 28.410 942.410 29.590 943.590 ;
+        RECT 28.410 842.410 29.590 843.590 ;
+        RECT 28.410 742.410 29.590 743.590 ;
+        RECT 28.410 642.410 29.590 643.590 ;
+        RECT 28.410 542.410 29.590 543.590 ;
+        RECT 28.410 442.410 29.590 443.590 ;
+        RECT 28.410 342.410 29.590 343.590 ;
+        RECT 28.410 242.410 29.590 243.590 ;
+        RECT 28.410 142.410 29.590 143.590 ;
+        RECT 28.410 42.410 29.590 43.590 ;
+        RECT 2964.990 3442.410 2966.170 3443.590 ;
+        RECT 2964.990 3342.410 2966.170 3343.590 ;
+        RECT 2964.990 3242.410 2966.170 3243.590 ;
+        RECT 2964.990 3142.410 2966.170 3143.590 ;
+        RECT 2964.990 3042.410 2966.170 3043.590 ;
+        RECT 2964.990 2942.410 2966.170 2943.590 ;
+        RECT 2964.990 2842.410 2966.170 2843.590 ;
+        RECT 2964.990 2742.410 2966.170 2743.590 ;
+        RECT 2964.990 2642.410 2966.170 2643.590 ;
+        RECT 2964.990 2542.410 2966.170 2543.590 ;
+        RECT 2964.990 2442.410 2966.170 2443.590 ;
+        RECT 2964.990 2342.410 2966.170 2343.590 ;
+        RECT 2964.990 2242.410 2966.170 2243.590 ;
+        RECT 2964.990 2142.410 2966.170 2143.590 ;
+        RECT 2964.990 2042.410 2966.170 2043.590 ;
+        RECT 2964.990 1942.410 2966.170 1943.590 ;
+        RECT 2964.990 1842.410 2966.170 1843.590 ;
+        RECT 2964.990 1742.410 2966.170 1743.590 ;
+        RECT 2964.990 1642.410 2966.170 1643.590 ;
+        RECT 2964.990 1542.410 2966.170 1543.590 ;
+        RECT 2964.990 1442.410 2966.170 1443.590 ;
+        RECT 2964.990 1342.410 2966.170 1343.590 ;
+        RECT 2964.990 1242.410 2966.170 1243.590 ;
+        RECT 2964.990 1142.410 2966.170 1143.590 ;
+        RECT 2964.990 1042.410 2966.170 1043.590 ;
+        RECT 2964.990 942.410 2966.170 943.590 ;
+        RECT 2964.990 842.410 2966.170 843.590 ;
+        RECT 2964.990 742.410 2966.170 743.590 ;
+        RECT 2964.990 642.410 2966.170 643.590 ;
+        RECT 2964.990 542.410 2966.170 543.590 ;
+        RECT 2964.990 442.410 2966.170 443.590 ;
+        RECT 2964.990 342.410 2966.170 343.590 ;
+        RECT 2964.990 242.410 2966.170 243.590 ;
+        RECT 2964.990 142.410 2966.170 143.590 ;
+        RECT 2964.990 42.410 2966.170 43.590 ;
+        RECT 28.410 28.410 29.590 29.590 ;
+        RECT 42.410 28.410 43.590 29.590 ;
+        RECT 142.410 28.410 143.590 29.590 ;
+        RECT 242.410 28.410 243.590 29.590 ;
+        RECT 342.410 28.410 343.590 29.590 ;
+        RECT 442.410 28.410 443.590 29.590 ;
+        RECT 542.410 28.410 543.590 29.590 ;
+        RECT 642.410 28.410 643.590 29.590 ;
+        RECT 742.410 28.410 743.590 29.590 ;
+        RECT 842.410 28.410 843.590 29.590 ;
+        RECT 942.410 28.410 943.590 29.590 ;
+        RECT 1042.410 28.410 1043.590 29.590 ;
+        RECT 1142.410 28.410 1143.590 29.590 ;
+        RECT 1242.410 28.410 1243.590 29.590 ;
+        RECT 1342.410 28.410 1343.590 29.590 ;
+        RECT 1442.410 28.410 1443.590 29.590 ;
+        RECT 1542.410 28.410 1543.590 29.590 ;
+        RECT 1642.410 28.410 1643.590 29.590 ;
+        RECT 1742.410 28.410 1743.590 29.590 ;
+        RECT 1842.410 28.410 1843.590 29.590 ;
+        RECT 1942.410 28.410 1943.590 29.590 ;
+        RECT 2042.410 28.410 2043.590 29.590 ;
+        RECT 2142.410 28.410 2143.590 29.590 ;
+        RECT 2242.410 28.410 2243.590 29.590 ;
+        RECT 2342.410 28.410 2343.590 29.590 ;
+        RECT 2442.410 28.410 2443.590 29.590 ;
+        RECT 2542.410 28.410 2543.590 29.590 ;
+        RECT 2642.410 28.410 2643.590 29.590 ;
+        RECT 2742.410 28.410 2743.590 29.590 ;
+        RECT 2842.410 28.410 2843.590 29.590 ;
+        RECT 2942.410 28.410 2943.590 29.590 ;
+        RECT 2964.990 28.410 2966.170 29.590 ;
       LAYER met5 ;
-        RECT 4.000 3510.800 2920.580 3512.800 ;
-        RECT 0.000 3396.690 54.000 3398.290 ;
-        RECT 2870.580 3396.690 2924.580 3398.290 ;
-        RECT 0.000 3243.510 54.000 3245.110 ;
-        RECT 2870.580 3243.510 2924.580 3245.110 ;
-        RECT 0.000 3090.330 54.000 3091.930 ;
-        RECT 2870.580 3090.330 2924.580 3091.930 ;
-        RECT 0.000 2937.150 54.000 2938.750 ;
-        RECT 2870.580 2937.150 2924.580 2938.750 ;
-        RECT 0.000 2783.970 54.000 2785.570 ;
-        RECT 2870.580 2783.970 2924.580 2785.570 ;
-        RECT 0.000 2630.790 54.000 2632.390 ;
-        RECT 2870.580 2630.790 2924.580 2632.390 ;
-        RECT 0.000 2477.610 54.000 2479.210 ;
-        RECT 2870.580 2477.610 2924.580 2479.210 ;
-        RECT 0.000 2324.430 54.000 2326.030 ;
-        RECT 2870.580 2324.430 2924.580 2326.030 ;
-        RECT 0.000 2171.250 54.000 2172.850 ;
-        RECT 2870.580 2171.250 2924.580 2172.850 ;
-        RECT 0.000 2018.070 54.000 2019.670 ;
-        RECT 2870.580 2018.070 2924.580 2019.670 ;
-        RECT 0.000 1864.890 54.000 1866.490 ;
-        RECT 2870.580 1864.890 2924.580 1866.490 ;
-        RECT 0.000 1711.710 54.000 1713.310 ;
-        RECT 2870.580 1711.710 2924.580 1713.310 ;
-        RECT 0.000 1558.530 54.000 1560.130 ;
-        RECT 2870.580 1558.530 2924.580 1560.130 ;
-        RECT 0.000 1405.350 54.000 1406.950 ;
-        RECT 2870.580 1405.350 2924.580 1406.950 ;
-        RECT 0.000 1252.170 54.000 1253.770 ;
-        RECT 2870.580 1252.170 2924.580 1253.770 ;
-        RECT 0.000 1098.990 54.000 1100.590 ;
-        RECT 2870.580 1098.990 2924.580 1100.590 ;
-        RECT 0.000 945.810 54.000 947.410 ;
-        RECT 2870.580 945.810 2924.580 947.410 ;
-        RECT 0.000 792.630 54.000 794.230 ;
-        RECT 2870.580 792.630 2924.580 794.230 ;
-        RECT 0.000 639.450 54.000 641.050 ;
-        RECT 2870.580 639.450 2924.580 641.050 ;
-        RECT 0.000 486.270 54.000 487.870 ;
-        RECT 2870.580 486.270 2924.580 487.870 ;
-        RECT 0.000 333.090 54.000 334.690 ;
-        RECT 2870.580 333.090 2924.580 334.690 ;
-        RECT 0.000 179.910 54.000 181.510 ;
-        RECT 2870.580 179.910 2924.580 181.510 ;
-        RECT 0.000 26.730 2924.580 28.330 ;
-        RECT 4.000 6.880 2920.580 8.880 ;
+        RECT 28.000 3553.920 2966.580 3555.920 ;
+        RECT 24.000 3442.000 39.880 3444.000 ;
+        RECT 2955.080 3442.000 2970.580 3444.000 ;
+        RECT 24.000 3342.000 39.880 3344.000 ;
+        RECT 2955.080 3342.000 2970.580 3344.000 ;
+        RECT 24.000 3242.000 39.880 3244.000 ;
+        RECT 2955.080 3242.000 2970.580 3244.000 ;
+        RECT 24.000 3142.000 39.880 3144.000 ;
+        RECT 2955.080 3142.000 2970.580 3144.000 ;
+        RECT 24.000 3042.000 39.880 3044.000 ;
+        RECT 2955.080 3042.000 2970.580 3044.000 ;
+        RECT 24.000 2942.000 39.880 2944.000 ;
+        RECT 2955.080 2942.000 2970.580 2944.000 ;
+        RECT 24.000 2842.000 39.880 2844.000 ;
+        RECT 2955.080 2842.000 2970.580 2844.000 ;
+        RECT 24.000 2742.000 39.880 2744.000 ;
+        RECT 2955.080 2742.000 2970.580 2744.000 ;
+        RECT 24.000 2642.000 39.880 2644.000 ;
+        RECT 2955.080 2642.000 2970.580 2644.000 ;
+        RECT 24.000 2542.000 39.880 2544.000 ;
+        RECT 2955.080 2542.000 2970.580 2544.000 ;
+        RECT 24.000 2442.000 39.880 2444.000 ;
+        RECT 2955.080 2442.000 2970.580 2444.000 ;
+        RECT 24.000 2342.000 39.880 2344.000 ;
+        RECT 2955.080 2342.000 2970.580 2344.000 ;
+        RECT 24.000 2242.000 39.880 2244.000 ;
+        RECT 2955.080 2242.000 2970.580 2244.000 ;
+        RECT 24.000 2142.000 39.880 2144.000 ;
+        RECT 2955.080 2142.000 2970.580 2144.000 ;
+        RECT 24.000 2042.000 39.880 2044.000 ;
+        RECT 2955.080 2042.000 2970.580 2044.000 ;
+        RECT 24.000 1942.000 39.880 1944.000 ;
+        RECT 2955.080 1942.000 2970.580 1944.000 ;
+        RECT 24.000 1842.000 39.880 1844.000 ;
+        RECT 2955.080 1842.000 2970.580 1844.000 ;
+        RECT 24.000 1742.000 39.880 1744.000 ;
+        RECT 2955.080 1742.000 2970.580 1744.000 ;
+        RECT 24.000 1642.000 39.880 1644.000 ;
+        RECT 2955.080 1642.000 2970.580 1644.000 ;
+        RECT 24.000 1542.000 39.880 1544.000 ;
+        RECT 2955.080 1542.000 2970.580 1544.000 ;
+        RECT 24.000 1442.000 39.880 1444.000 ;
+        RECT 2955.080 1442.000 2970.580 1444.000 ;
+        RECT 24.000 1342.000 39.880 1344.000 ;
+        RECT 2955.080 1342.000 2970.580 1344.000 ;
+        RECT 24.000 1242.000 39.880 1244.000 ;
+        RECT 2955.080 1242.000 2970.580 1244.000 ;
+        RECT 24.000 1142.000 39.880 1144.000 ;
+        RECT 2955.080 1142.000 2970.580 1144.000 ;
+        RECT 24.000 1042.000 39.880 1044.000 ;
+        RECT 2955.080 1042.000 2970.580 1044.000 ;
+        RECT 24.000 942.000 39.880 944.000 ;
+        RECT 2955.080 942.000 2970.580 944.000 ;
+        RECT 24.000 842.000 39.880 844.000 ;
+        RECT 2955.080 842.000 2970.580 844.000 ;
+        RECT 24.000 742.000 39.880 744.000 ;
+        RECT 2955.080 742.000 2970.580 744.000 ;
+        RECT 24.000 642.000 39.880 644.000 ;
+        RECT 2955.080 642.000 2970.580 644.000 ;
+        RECT 24.000 542.000 39.880 544.000 ;
+        RECT 2955.080 542.000 2970.580 544.000 ;
+        RECT 24.000 442.000 39.880 444.000 ;
+        RECT 2955.080 442.000 2970.580 444.000 ;
+        RECT 24.000 342.000 39.880 344.000 ;
+        RECT 2955.080 342.000 2970.580 344.000 ;
+        RECT 24.000 242.000 39.880 244.000 ;
+        RECT 2955.080 242.000 2970.580 244.000 ;
+        RECT 24.000 142.000 39.880 144.000 ;
+        RECT 2955.080 142.000 2970.580 144.000 ;
+        RECT 24.000 42.000 39.880 44.000 ;
+        RECT 2955.080 42.000 2970.580 44.000 ;
+        RECT 28.000 28.000 2966.580 30.000 ;
     END
   END vccd1
   PIN vssd1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT 0.000 2.880 2.000 3516.800 ;
-        RECT 2922.580 2.880 2924.580 3516.800 ;
-      LAYER via4 ;
-        RECT 0.410 3515.210 1.590 3516.390 ;
-        RECT 0.410 3473.490 1.590 3474.670 ;
-        RECT 0.410 3320.310 1.590 3321.490 ;
-        RECT 0.410 3167.130 1.590 3168.310 ;
-        RECT 0.410 3013.950 1.590 3015.130 ;
-        RECT 0.410 2860.770 1.590 2861.950 ;
-        RECT 0.410 2707.590 1.590 2708.770 ;
-        RECT 0.410 2554.410 1.590 2555.590 ;
-        RECT 0.410 2401.230 1.590 2402.410 ;
-        RECT 0.410 2248.050 1.590 2249.230 ;
-        RECT 0.410 2094.870 1.590 2096.050 ;
-        RECT 0.410 1941.690 1.590 1942.870 ;
-        RECT 0.410 1788.510 1.590 1789.690 ;
-        RECT 0.410 1635.330 1.590 1636.510 ;
-        RECT 0.410 1482.150 1.590 1483.330 ;
-        RECT 0.410 1328.970 1.590 1330.150 ;
-        RECT 0.410 1175.790 1.590 1176.970 ;
-        RECT 0.410 1022.610 1.590 1023.790 ;
-        RECT 0.410 869.430 1.590 870.610 ;
-        RECT 0.410 716.250 1.590 717.430 ;
-        RECT 0.410 563.070 1.590 564.250 ;
-        RECT 0.410 409.890 1.590 411.070 ;
-        RECT 0.410 256.710 1.590 257.890 ;
-        RECT 0.410 103.530 1.590 104.710 ;
-        RECT 0.410 3.290 1.590 4.470 ;
-        RECT 2922.990 3515.210 2924.170 3516.390 ;
-        RECT 2922.990 3473.490 2924.170 3474.670 ;
-        RECT 2922.990 3320.310 2924.170 3321.490 ;
-        RECT 2922.990 3167.130 2924.170 3168.310 ;
-        RECT 2922.990 3013.950 2924.170 3015.130 ;
-        RECT 2922.990 2860.770 2924.170 2861.950 ;
-        RECT 2922.990 2707.590 2924.170 2708.770 ;
-        RECT 2922.990 2554.410 2924.170 2555.590 ;
-        RECT 2922.990 2401.230 2924.170 2402.410 ;
-        RECT 2922.990 2248.050 2924.170 2249.230 ;
-        RECT 2922.990 2094.870 2924.170 2096.050 ;
-        RECT 2922.990 1941.690 2924.170 1942.870 ;
-        RECT 2922.990 1788.510 2924.170 1789.690 ;
-        RECT 2922.990 1635.330 2924.170 1636.510 ;
-        RECT 2922.990 1482.150 2924.170 1483.330 ;
-        RECT 2922.990 1328.970 2924.170 1330.150 ;
-        RECT 2922.990 1175.790 2924.170 1176.970 ;
-        RECT 2922.990 1022.610 2924.170 1023.790 ;
-        RECT 2922.990 869.430 2924.170 870.610 ;
-        RECT 2922.990 716.250 2924.170 717.430 ;
-        RECT 2922.990 563.070 2924.170 564.250 ;
-        RECT 2922.990 409.890 2924.170 411.070 ;
-        RECT 2922.990 256.710 2924.170 257.890 ;
-        RECT 2922.990 103.530 2924.170 104.710 ;
-        RECT 2922.990 3.290 2924.170 4.470 ;
+        RECT 24.000 24.000 26.000 3559.920 ;
+        RECT 92.000 3549.720 94.000 3559.920 ;
+        RECT 192.000 3549.720 194.000 3559.920 ;
+        RECT 292.000 3549.720 294.000 3559.920 ;
+        RECT 392.000 3549.720 394.000 3559.920 ;
+        RECT 492.000 3549.720 494.000 3559.920 ;
+        RECT 592.000 3549.720 594.000 3559.920 ;
+        RECT 692.000 3549.720 694.000 3559.920 ;
+        RECT 792.000 3549.720 794.000 3559.920 ;
+        RECT 892.000 3549.720 894.000 3559.920 ;
+        RECT 992.000 3549.720 994.000 3559.920 ;
+        RECT 1092.000 3549.720 1094.000 3559.920 ;
+        RECT 1192.000 3549.720 1194.000 3559.920 ;
+        RECT 1292.000 3549.720 1294.000 3559.920 ;
+        RECT 1392.000 3549.720 1394.000 3559.920 ;
+        RECT 1492.000 3549.720 1494.000 3559.920 ;
+        RECT 1592.000 3549.720 1594.000 3559.920 ;
+        RECT 1692.000 3549.720 1694.000 3559.920 ;
+        RECT 1792.000 3549.720 1794.000 3559.920 ;
+        RECT 1892.000 3549.720 1894.000 3559.920 ;
+        RECT 1992.000 3549.720 1994.000 3559.920 ;
+        RECT 2092.000 3549.720 2094.000 3559.920 ;
+        RECT 2192.000 3549.720 2194.000 3559.920 ;
+        RECT 2292.000 3549.720 2294.000 3559.920 ;
+        RECT 2392.000 3549.720 2394.000 3559.920 ;
+        RECT 2492.000 3549.720 2494.000 3559.920 ;
+        RECT 2592.000 3549.720 2594.000 3559.920 ;
+        RECT 2692.000 3549.720 2694.000 3559.920 ;
+        RECT 2792.000 3549.720 2794.000 3559.920 ;
+        RECT 2892.000 3549.720 2894.000 3559.920 ;
+        RECT 92.000 24.000 94.000 34.520 ;
+        RECT 192.000 24.000 194.000 34.520 ;
+        RECT 292.000 24.000 294.000 34.520 ;
+        RECT 392.000 24.000 394.000 34.520 ;
+        RECT 492.000 24.000 494.000 34.520 ;
+        RECT 592.000 24.000 594.000 34.520 ;
+        RECT 692.000 24.000 694.000 34.520 ;
+        RECT 792.000 24.000 794.000 34.520 ;
+        RECT 892.000 24.000 894.000 34.520 ;
+        RECT 992.000 24.000 994.000 34.520 ;
+        RECT 1092.000 24.000 1094.000 34.520 ;
+        RECT 1192.000 24.000 1194.000 34.520 ;
+        RECT 1292.000 24.000 1294.000 34.520 ;
+        RECT 1392.000 24.000 1394.000 34.520 ;
+        RECT 1492.000 24.000 1494.000 34.520 ;
+        RECT 1592.000 24.000 1594.000 34.520 ;
+        RECT 1692.000 24.000 1694.000 34.520 ;
+        RECT 1792.000 24.000 1794.000 34.520 ;
+        RECT 1892.000 24.000 1894.000 34.520 ;
+        RECT 1992.000 24.000 1994.000 34.520 ;
+        RECT 2092.000 24.000 2094.000 34.520 ;
+        RECT 2192.000 24.000 2194.000 34.520 ;
+        RECT 2292.000 24.000 2294.000 34.520 ;
+        RECT 2392.000 24.000 2394.000 34.520 ;
+        RECT 2492.000 24.000 2494.000 34.520 ;
+        RECT 2592.000 24.000 2594.000 34.520 ;
+        RECT 2692.000 24.000 2694.000 34.520 ;
+        RECT 2792.000 24.000 2794.000 34.520 ;
+        RECT 2892.000 24.000 2894.000 34.520 ;
+        RECT 2968.580 24.000 2970.580 3559.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 24.410 3558.330 25.590 3559.510 ;
+        RECT 92.410 3558.330 93.590 3559.510 ;
+        RECT 192.410 3558.330 193.590 3559.510 ;
+        RECT 292.410 3558.330 293.590 3559.510 ;
+        RECT 392.410 3558.330 393.590 3559.510 ;
+        RECT 492.410 3558.330 493.590 3559.510 ;
+        RECT 592.410 3558.330 593.590 3559.510 ;
+        RECT 692.410 3558.330 693.590 3559.510 ;
+        RECT 792.410 3558.330 793.590 3559.510 ;
+        RECT 892.410 3558.330 893.590 3559.510 ;
+        RECT 992.410 3558.330 993.590 3559.510 ;
+        RECT 1092.410 3558.330 1093.590 3559.510 ;
+        RECT 1192.410 3558.330 1193.590 3559.510 ;
+        RECT 1292.410 3558.330 1293.590 3559.510 ;
+        RECT 1392.410 3558.330 1393.590 3559.510 ;
+        RECT 1492.410 3558.330 1493.590 3559.510 ;
+        RECT 1592.410 3558.330 1593.590 3559.510 ;
+        RECT 1692.410 3558.330 1693.590 3559.510 ;
+        RECT 1792.410 3558.330 1793.590 3559.510 ;
+        RECT 1892.410 3558.330 1893.590 3559.510 ;
+        RECT 1992.410 3558.330 1993.590 3559.510 ;
+        RECT 2092.410 3558.330 2093.590 3559.510 ;
+        RECT 2192.410 3558.330 2193.590 3559.510 ;
+        RECT 2292.410 3558.330 2293.590 3559.510 ;
+        RECT 2392.410 3558.330 2393.590 3559.510 ;
+        RECT 2492.410 3558.330 2493.590 3559.510 ;
+        RECT 2592.410 3558.330 2593.590 3559.510 ;
+        RECT 2692.410 3558.330 2693.590 3559.510 ;
+        RECT 2792.410 3558.330 2793.590 3559.510 ;
+        RECT 2892.410 3558.330 2893.590 3559.510 ;
+        RECT 2968.990 3558.330 2970.170 3559.510 ;
+        RECT 24.410 3492.410 25.590 3493.590 ;
+        RECT 24.410 3392.410 25.590 3393.590 ;
+        RECT 24.410 3292.410 25.590 3293.590 ;
+        RECT 24.410 3192.410 25.590 3193.590 ;
+        RECT 24.410 3092.410 25.590 3093.590 ;
+        RECT 24.410 2992.410 25.590 2993.590 ;
+        RECT 24.410 2892.410 25.590 2893.590 ;
+        RECT 24.410 2792.410 25.590 2793.590 ;
+        RECT 24.410 2692.410 25.590 2693.590 ;
+        RECT 24.410 2592.410 25.590 2593.590 ;
+        RECT 24.410 2492.410 25.590 2493.590 ;
+        RECT 24.410 2392.410 25.590 2393.590 ;
+        RECT 24.410 2292.410 25.590 2293.590 ;
+        RECT 24.410 2192.410 25.590 2193.590 ;
+        RECT 24.410 2092.410 25.590 2093.590 ;
+        RECT 24.410 1992.410 25.590 1993.590 ;
+        RECT 24.410 1892.410 25.590 1893.590 ;
+        RECT 24.410 1792.410 25.590 1793.590 ;
+        RECT 24.410 1692.410 25.590 1693.590 ;
+        RECT 24.410 1592.410 25.590 1593.590 ;
+        RECT 24.410 1492.410 25.590 1493.590 ;
+        RECT 24.410 1392.410 25.590 1393.590 ;
+        RECT 24.410 1292.410 25.590 1293.590 ;
+        RECT 24.410 1192.410 25.590 1193.590 ;
+        RECT 24.410 1092.410 25.590 1093.590 ;
+        RECT 24.410 992.410 25.590 993.590 ;
+        RECT 24.410 892.410 25.590 893.590 ;
+        RECT 24.410 792.410 25.590 793.590 ;
+        RECT 24.410 692.410 25.590 693.590 ;
+        RECT 24.410 592.410 25.590 593.590 ;
+        RECT 24.410 492.410 25.590 493.590 ;
+        RECT 24.410 392.410 25.590 393.590 ;
+        RECT 24.410 292.410 25.590 293.590 ;
+        RECT 24.410 192.410 25.590 193.590 ;
+        RECT 24.410 92.410 25.590 93.590 ;
+        RECT 2968.990 3492.410 2970.170 3493.590 ;
+        RECT 2968.990 3392.410 2970.170 3393.590 ;
+        RECT 2968.990 3292.410 2970.170 3293.590 ;
+        RECT 2968.990 3192.410 2970.170 3193.590 ;
+        RECT 2968.990 3092.410 2970.170 3093.590 ;
+        RECT 2968.990 2992.410 2970.170 2993.590 ;
+        RECT 2968.990 2892.410 2970.170 2893.590 ;
+        RECT 2968.990 2792.410 2970.170 2793.590 ;
+        RECT 2968.990 2692.410 2970.170 2693.590 ;
+        RECT 2968.990 2592.410 2970.170 2593.590 ;
+        RECT 2968.990 2492.410 2970.170 2493.590 ;
+        RECT 2968.990 2392.410 2970.170 2393.590 ;
+        RECT 2968.990 2292.410 2970.170 2293.590 ;
+        RECT 2968.990 2192.410 2970.170 2193.590 ;
+        RECT 2968.990 2092.410 2970.170 2093.590 ;
+        RECT 2968.990 1992.410 2970.170 1993.590 ;
+        RECT 2968.990 1892.410 2970.170 1893.590 ;
+        RECT 2968.990 1792.410 2970.170 1793.590 ;
+        RECT 2968.990 1692.410 2970.170 1693.590 ;
+        RECT 2968.990 1592.410 2970.170 1593.590 ;
+        RECT 2968.990 1492.410 2970.170 1493.590 ;
+        RECT 2968.990 1392.410 2970.170 1393.590 ;
+        RECT 2968.990 1292.410 2970.170 1293.590 ;
+        RECT 2968.990 1192.410 2970.170 1193.590 ;
+        RECT 2968.990 1092.410 2970.170 1093.590 ;
+        RECT 2968.990 992.410 2970.170 993.590 ;
+        RECT 2968.990 892.410 2970.170 893.590 ;
+        RECT 2968.990 792.410 2970.170 793.590 ;
+        RECT 2968.990 692.410 2970.170 693.590 ;
+        RECT 2968.990 592.410 2970.170 593.590 ;
+        RECT 2968.990 492.410 2970.170 493.590 ;
+        RECT 2968.990 392.410 2970.170 393.590 ;
+        RECT 2968.990 292.410 2970.170 293.590 ;
+        RECT 2968.990 192.410 2970.170 193.590 ;
+        RECT 2968.990 92.410 2970.170 93.590 ;
+        RECT 24.410 24.410 25.590 25.590 ;
+        RECT 92.410 24.410 93.590 25.590 ;
+        RECT 192.410 24.410 193.590 25.590 ;
+        RECT 292.410 24.410 293.590 25.590 ;
+        RECT 392.410 24.410 393.590 25.590 ;
+        RECT 492.410 24.410 493.590 25.590 ;
+        RECT 592.410 24.410 593.590 25.590 ;
+        RECT 692.410 24.410 693.590 25.590 ;
+        RECT 792.410 24.410 793.590 25.590 ;
+        RECT 892.410 24.410 893.590 25.590 ;
+        RECT 992.410 24.410 993.590 25.590 ;
+        RECT 1092.410 24.410 1093.590 25.590 ;
+        RECT 1192.410 24.410 1193.590 25.590 ;
+        RECT 1292.410 24.410 1293.590 25.590 ;
+        RECT 1392.410 24.410 1393.590 25.590 ;
+        RECT 1492.410 24.410 1493.590 25.590 ;
+        RECT 1592.410 24.410 1593.590 25.590 ;
+        RECT 1692.410 24.410 1693.590 25.590 ;
+        RECT 1792.410 24.410 1793.590 25.590 ;
+        RECT 1892.410 24.410 1893.590 25.590 ;
+        RECT 1992.410 24.410 1993.590 25.590 ;
+        RECT 2092.410 24.410 2093.590 25.590 ;
+        RECT 2192.410 24.410 2193.590 25.590 ;
+        RECT 2292.410 24.410 2293.590 25.590 ;
+        RECT 2392.410 24.410 2393.590 25.590 ;
+        RECT 2492.410 24.410 2493.590 25.590 ;
+        RECT 2592.410 24.410 2593.590 25.590 ;
+        RECT 2692.410 24.410 2693.590 25.590 ;
+        RECT 2792.410 24.410 2793.590 25.590 ;
+        RECT 2892.410 24.410 2893.590 25.590 ;
+        RECT 2968.990 24.410 2970.170 25.590 ;
       LAYER met5 ;
-        RECT 0.000 3514.800 2924.580 3516.800 ;
-        RECT 0.000 3473.280 2924.580 3474.880 ;
-        RECT 0.000 3320.100 54.000 3321.700 ;
-        RECT 2870.580 3320.100 2924.580 3321.700 ;
-        RECT 0.000 3166.920 54.000 3168.520 ;
-        RECT 2870.580 3166.920 2924.580 3168.520 ;
-        RECT 0.000 3013.740 54.000 3015.340 ;
-        RECT 2870.580 3013.740 2924.580 3015.340 ;
-        RECT 0.000 2860.560 54.000 2862.160 ;
-        RECT 2870.580 2860.560 2924.580 2862.160 ;
-        RECT 0.000 2707.380 54.000 2708.980 ;
-        RECT 2870.580 2707.380 2924.580 2708.980 ;
-        RECT 0.000 2554.200 54.000 2555.800 ;
-        RECT 2870.580 2554.200 2924.580 2555.800 ;
-        RECT 0.000 2401.020 54.000 2402.620 ;
-        RECT 2870.580 2401.020 2924.580 2402.620 ;
-        RECT 0.000 2247.840 54.000 2249.440 ;
-        RECT 2870.580 2247.840 2924.580 2249.440 ;
-        RECT 0.000 2094.660 54.000 2096.260 ;
-        RECT 2870.580 2094.660 2924.580 2096.260 ;
-        RECT 0.000 1941.480 54.000 1943.080 ;
-        RECT 2870.580 1941.480 2924.580 1943.080 ;
-        RECT 0.000 1788.300 54.000 1789.900 ;
-        RECT 2870.580 1788.300 2924.580 1789.900 ;
-        RECT 0.000 1635.120 54.000 1636.720 ;
-        RECT 2870.580 1635.120 2924.580 1636.720 ;
-        RECT 0.000 1481.940 54.000 1483.540 ;
-        RECT 2870.580 1481.940 2924.580 1483.540 ;
-        RECT 0.000 1328.760 54.000 1330.360 ;
-        RECT 2870.580 1328.760 2924.580 1330.360 ;
-        RECT 0.000 1175.580 54.000 1177.180 ;
-        RECT 2870.580 1175.580 2924.580 1177.180 ;
-        RECT 0.000 1022.400 54.000 1024.000 ;
-        RECT 2870.580 1022.400 2924.580 1024.000 ;
-        RECT 0.000 869.220 54.000 870.820 ;
-        RECT 2870.580 869.220 2924.580 870.820 ;
-        RECT 0.000 716.040 54.000 717.640 ;
-        RECT 2870.580 716.040 2924.580 717.640 ;
-        RECT 0.000 562.860 54.000 564.460 ;
-        RECT 2870.580 562.860 2924.580 564.460 ;
-        RECT 0.000 409.680 54.000 411.280 ;
-        RECT 2870.580 409.680 2924.580 411.280 ;
-        RECT 0.000 256.500 54.000 258.100 ;
-        RECT 2870.580 256.500 2924.580 258.100 ;
-        RECT 0.000 103.320 54.000 104.920 ;
-        RECT 2870.580 103.320 2924.580 104.920 ;
-        RECT 0.000 2.880 2924.580 4.880 ;
+        RECT 24.000 3557.920 2970.580 3559.920 ;
+        RECT 24.000 3492.000 39.880 3494.000 ;
+        RECT 2955.080 3492.000 2970.580 3494.000 ;
+        RECT 24.000 3392.000 39.880 3394.000 ;
+        RECT 2955.080 3392.000 2970.580 3394.000 ;
+        RECT 24.000 3292.000 39.880 3294.000 ;
+        RECT 2955.080 3292.000 2970.580 3294.000 ;
+        RECT 24.000 3192.000 39.880 3194.000 ;
+        RECT 2955.080 3192.000 2970.580 3194.000 ;
+        RECT 24.000 3092.000 39.880 3094.000 ;
+        RECT 2955.080 3092.000 2970.580 3094.000 ;
+        RECT 24.000 2992.000 39.880 2994.000 ;
+        RECT 2955.080 2992.000 2970.580 2994.000 ;
+        RECT 24.000 2892.000 39.880 2894.000 ;
+        RECT 2955.080 2892.000 2970.580 2894.000 ;
+        RECT 24.000 2792.000 39.880 2794.000 ;
+        RECT 2955.080 2792.000 2970.580 2794.000 ;
+        RECT 24.000 2692.000 39.880 2694.000 ;
+        RECT 2955.080 2692.000 2970.580 2694.000 ;
+        RECT 24.000 2592.000 39.880 2594.000 ;
+        RECT 2955.080 2592.000 2970.580 2594.000 ;
+        RECT 24.000 2492.000 39.880 2494.000 ;
+        RECT 2955.080 2492.000 2970.580 2494.000 ;
+        RECT 24.000 2392.000 39.880 2394.000 ;
+        RECT 2955.080 2392.000 2970.580 2394.000 ;
+        RECT 24.000 2292.000 39.880 2294.000 ;
+        RECT 2955.080 2292.000 2970.580 2294.000 ;
+        RECT 24.000 2192.000 39.880 2194.000 ;
+        RECT 2955.080 2192.000 2970.580 2194.000 ;
+        RECT 24.000 2092.000 39.880 2094.000 ;
+        RECT 2955.080 2092.000 2970.580 2094.000 ;
+        RECT 24.000 1992.000 39.880 1994.000 ;
+        RECT 2955.080 1992.000 2970.580 1994.000 ;
+        RECT 24.000 1892.000 39.880 1894.000 ;
+        RECT 2955.080 1892.000 2970.580 1894.000 ;
+        RECT 24.000 1792.000 39.880 1794.000 ;
+        RECT 2955.080 1792.000 2970.580 1794.000 ;
+        RECT 24.000 1692.000 39.880 1694.000 ;
+        RECT 2955.080 1692.000 2970.580 1694.000 ;
+        RECT 24.000 1592.000 39.880 1594.000 ;
+        RECT 2955.080 1592.000 2970.580 1594.000 ;
+        RECT 24.000 1492.000 39.880 1494.000 ;
+        RECT 2955.080 1492.000 2970.580 1494.000 ;
+        RECT 24.000 1392.000 39.880 1394.000 ;
+        RECT 2955.080 1392.000 2970.580 1394.000 ;
+        RECT 24.000 1292.000 39.880 1294.000 ;
+        RECT 2955.080 1292.000 2970.580 1294.000 ;
+        RECT 24.000 1192.000 39.880 1194.000 ;
+        RECT 2955.080 1192.000 2970.580 1194.000 ;
+        RECT 24.000 1092.000 39.880 1094.000 ;
+        RECT 2955.080 1092.000 2970.580 1094.000 ;
+        RECT 24.000 992.000 39.880 994.000 ;
+        RECT 2955.080 992.000 2970.580 994.000 ;
+        RECT 24.000 892.000 39.880 894.000 ;
+        RECT 2955.080 892.000 2970.580 894.000 ;
+        RECT 24.000 792.000 39.880 794.000 ;
+        RECT 2955.080 792.000 2970.580 794.000 ;
+        RECT 24.000 692.000 39.880 694.000 ;
+        RECT 2955.080 692.000 2970.580 694.000 ;
+        RECT 24.000 592.000 39.880 594.000 ;
+        RECT 2955.080 592.000 2970.580 594.000 ;
+        RECT 24.000 492.000 39.880 494.000 ;
+        RECT 2955.080 492.000 2970.580 494.000 ;
+        RECT 24.000 392.000 39.880 394.000 ;
+        RECT 2955.080 392.000 2970.580 394.000 ;
+        RECT 24.000 292.000 39.880 294.000 ;
+        RECT 2955.080 292.000 2970.580 294.000 ;
+        RECT 24.000 192.000 39.880 194.000 ;
+        RECT 2955.080 192.000 2970.580 194.000 ;
+        RECT 24.000 92.000 39.880 94.000 ;
+        RECT 2955.080 92.000 2970.580 94.000 ;
+        RECT 24.000 24.000 2970.580 26.000 ;
     END
   END vssd1
-  OBS
-      LAYER li1 ;
-        RECT 84.965 54.000 2868.135 3466.000 ;
-      LAYER met1 ;
-        RECT 8.000 3508.560 2916.580 3509.040 ;
-        RECT 8.000 3505.840 2916.580 3506.320 ;
-        RECT 8.000 3503.120 2916.580 3503.600 ;
-        RECT 8.000 3500.400 2916.580 3500.880 ;
-        RECT 8.000 3497.680 2916.580 3498.160 ;
-        RECT 8.000 3494.960 2916.580 3495.440 ;
-        RECT 8.000 3492.240 2916.580 3492.720 ;
-        RECT 8.000 3489.520 2916.580 3490.000 ;
-        RECT 8.000 3486.800 2916.580 3487.280 ;
-        RECT 8.000 3484.080 2916.580 3484.560 ;
-        RECT 8.000 3481.360 2916.580 3481.840 ;
-        RECT 8.000 3478.640 2916.580 3479.120 ;
-        RECT 8.000 3475.920 2916.580 3476.400 ;
-        RECT 8.000 3473.200 2916.580 3473.680 ;
-        RECT 8.000 3470.480 2916.580 3470.960 ;
-        RECT 8.000 3467.760 2916.580 3468.240 ;
-        RECT 54.000 3465.520 2870.580 3466.000 ;
-        RECT 8.000 3465.040 2916.580 3465.520 ;
-        RECT 54.000 3462.800 2870.580 3465.040 ;
-        RECT 8.000 3462.320 2916.580 3462.800 ;
-        RECT 54.000 3460.080 2870.580 3462.320 ;
-        RECT 8.000 3459.600 2916.580 3460.080 ;
-        RECT 54.000 3457.360 2870.580 3459.600 ;
-        RECT 8.000 3456.880 2916.580 3457.360 ;
-        RECT 54.000 3454.640 2870.580 3456.880 ;
-        RECT 8.000 3454.160 2916.580 3454.640 ;
-        RECT 54.000 3451.920 2870.580 3454.160 ;
-        RECT 8.000 3451.440 2916.580 3451.920 ;
-        RECT 54.000 3449.200 2870.580 3451.440 ;
-        RECT 8.000 3448.720 2916.580 3449.200 ;
-        RECT 54.000 3446.480 2870.580 3448.720 ;
-        RECT 8.000 3446.000 2916.580 3446.480 ;
-        RECT 54.000 3443.760 2870.580 3446.000 ;
-        RECT 8.000 3443.280 2916.580 3443.760 ;
-        RECT 54.000 3441.040 2870.580 3443.280 ;
-        RECT 8.000 3440.560 2916.580 3441.040 ;
-        RECT 54.000 3438.320 2870.580 3440.560 ;
-        RECT 8.000 3437.840 2916.580 3438.320 ;
-        RECT 54.000 3435.600 2870.580 3437.840 ;
-        RECT 8.000 3435.120 2916.580 3435.600 ;
-        RECT 54.000 3432.880 2870.580 3435.120 ;
-        RECT 8.000 3432.400 2916.580 3432.880 ;
-        RECT 54.000 3430.160 2870.580 3432.400 ;
-        RECT 8.000 3429.680 2916.580 3430.160 ;
-        RECT 54.000 3427.440 2870.580 3429.680 ;
-        RECT 8.000 3426.960 2916.580 3427.440 ;
-        RECT 54.000 3424.720 2870.580 3426.960 ;
-        RECT 8.000 3424.240 2916.580 3424.720 ;
-        RECT 54.000 3422.000 2870.580 3424.240 ;
-        RECT 8.000 3421.520 2916.580 3422.000 ;
-        RECT 54.000 3419.280 2870.580 3421.520 ;
-        RECT 8.000 3418.800 2916.580 3419.280 ;
-        RECT 54.000 3416.560 2870.580 3418.800 ;
-        RECT 8.000 3416.080 2916.580 3416.560 ;
-        RECT 54.000 3413.840 2870.580 3416.080 ;
-        RECT 8.000 3413.360 2916.580 3413.840 ;
-        RECT 54.000 3411.120 2870.580 3413.360 ;
-        RECT 8.000 3410.640 2916.580 3411.120 ;
-        RECT 54.000 3408.400 2870.580 3410.640 ;
-        RECT 8.000 3407.920 2916.580 3408.400 ;
-        RECT 54.000 3405.680 2870.580 3407.920 ;
-        RECT 8.000 3405.200 2916.580 3405.680 ;
-        RECT 54.000 3402.960 2870.580 3405.200 ;
-        RECT 8.000 3402.480 2916.580 3402.960 ;
-        RECT 54.000 3400.240 2870.580 3402.480 ;
-        RECT 8.000 3399.760 2916.580 3400.240 ;
-        RECT 54.000 3397.520 2870.580 3399.760 ;
-        RECT 8.000 3397.040 2916.580 3397.520 ;
-        RECT 54.000 3394.800 2870.580 3397.040 ;
-        RECT 8.000 3394.320 2916.580 3394.800 ;
-        RECT 54.000 3392.080 2870.580 3394.320 ;
-        RECT 8.000 3391.600 2916.580 3392.080 ;
-        RECT 54.000 3389.360 2870.580 3391.600 ;
-        RECT 8.000 3388.880 2916.580 3389.360 ;
-        RECT 54.000 3386.640 2870.580 3388.880 ;
-        RECT 8.000 3386.160 2916.580 3386.640 ;
-        RECT 54.000 3383.920 2870.580 3386.160 ;
-        RECT 8.000 3383.440 2916.580 3383.920 ;
-        RECT 54.000 3381.200 2870.580 3383.440 ;
-        RECT 8.000 3380.720 2916.580 3381.200 ;
-        RECT 54.000 3378.480 2870.580 3380.720 ;
-        RECT 8.000 3378.000 2916.580 3378.480 ;
-        RECT 54.000 3375.760 2870.580 3378.000 ;
-        RECT 8.000 3375.280 2916.580 3375.760 ;
-        RECT 54.000 3373.040 2870.580 3375.280 ;
-        RECT 8.000 3372.560 2916.580 3373.040 ;
-        RECT 54.000 3370.320 2870.580 3372.560 ;
-        RECT 8.000 3369.840 2916.580 3370.320 ;
-        RECT 54.000 3367.600 2870.580 3369.840 ;
-        RECT 8.000 3367.120 2916.580 3367.600 ;
-        RECT 54.000 3364.880 2870.580 3367.120 ;
-        RECT 8.000 3364.400 2916.580 3364.880 ;
-        RECT 54.000 3362.160 2870.580 3364.400 ;
-        RECT 8.000 3361.680 2916.580 3362.160 ;
-        RECT 54.000 3359.440 2870.580 3361.680 ;
-        RECT 8.000 3358.960 2916.580 3359.440 ;
-        RECT 54.000 3356.720 2870.580 3358.960 ;
-        RECT 8.000 3356.240 2916.580 3356.720 ;
-        RECT 54.000 3354.000 2870.580 3356.240 ;
-        RECT 8.000 3353.520 2916.580 3354.000 ;
-        RECT 54.000 3351.280 2870.580 3353.520 ;
-        RECT 8.000 3350.800 2916.580 3351.280 ;
-        RECT 54.000 3348.560 2870.580 3350.800 ;
-        RECT 8.000 3348.080 2916.580 3348.560 ;
-        RECT 54.000 3345.840 2870.580 3348.080 ;
-        RECT 8.000 3345.360 2916.580 3345.840 ;
-        RECT 54.000 3343.120 2870.580 3345.360 ;
-        RECT 8.000 3342.640 2916.580 3343.120 ;
-        RECT 54.000 3340.400 2870.580 3342.640 ;
-        RECT 8.000 3339.920 2916.580 3340.400 ;
-        RECT 54.000 3337.680 2870.580 3339.920 ;
-        RECT 8.000 3337.200 2916.580 3337.680 ;
-        RECT 54.000 3334.960 2870.580 3337.200 ;
-        RECT 8.000 3334.480 2916.580 3334.960 ;
-        RECT 54.000 3332.240 2870.580 3334.480 ;
-        RECT 8.000 3331.760 2916.580 3332.240 ;
-        RECT 54.000 3329.520 2870.580 3331.760 ;
-        RECT 8.000 3329.040 2916.580 3329.520 ;
-        RECT 54.000 3326.800 2870.580 3329.040 ;
-        RECT 8.000 3326.320 2916.580 3326.800 ;
-        RECT 54.000 3324.080 2870.580 3326.320 ;
-        RECT 8.000 3323.600 2916.580 3324.080 ;
-        RECT 54.000 3321.360 2870.580 3323.600 ;
-        RECT 8.000 3320.880 2916.580 3321.360 ;
-        RECT 54.000 3318.640 2870.580 3320.880 ;
-        RECT 8.000 3318.160 2916.580 3318.640 ;
-        RECT 54.000 3315.920 2870.580 3318.160 ;
-        RECT 8.000 3315.440 2916.580 3315.920 ;
-        RECT 54.000 3313.200 2870.580 3315.440 ;
-        RECT 8.000 3312.720 2916.580 3313.200 ;
-        RECT 54.000 3310.480 2870.580 3312.720 ;
-        RECT 8.000 3310.000 2916.580 3310.480 ;
-        RECT 54.000 3307.760 2870.580 3310.000 ;
-        RECT 8.000 3307.280 2916.580 3307.760 ;
-        RECT 54.000 3305.040 2870.580 3307.280 ;
-        RECT 8.000 3304.560 2916.580 3305.040 ;
-        RECT 54.000 3302.320 2870.580 3304.560 ;
-        RECT 8.000 3301.840 2916.580 3302.320 ;
-        RECT 54.000 3299.600 2870.580 3301.840 ;
-        RECT 8.000 3299.120 2916.580 3299.600 ;
-        RECT 54.000 3296.880 2870.580 3299.120 ;
-        RECT 8.000 3296.400 2916.580 3296.880 ;
-        RECT 54.000 3294.160 2870.580 3296.400 ;
-        RECT 8.000 3293.680 2916.580 3294.160 ;
-        RECT 54.000 3291.440 2870.580 3293.680 ;
-        RECT 8.000 3290.960 2916.580 3291.440 ;
-        RECT 54.000 3288.720 2870.580 3290.960 ;
-        RECT 8.000 3288.240 2916.580 3288.720 ;
-        RECT 54.000 3286.000 2870.580 3288.240 ;
-        RECT 8.000 3285.520 2916.580 3286.000 ;
-        RECT 54.000 3283.280 2870.580 3285.520 ;
-        RECT 8.000 3282.800 2916.580 3283.280 ;
-        RECT 54.000 3280.560 2870.580 3282.800 ;
-        RECT 8.000 3280.080 2916.580 3280.560 ;
-        RECT 54.000 3277.840 2870.580 3280.080 ;
-        RECT 8.000 3277.360 2916.580 3277.840 ;
-        RECT 54.000 3275.120 2870.580 3277.360 ;
-        RECT 8.000 3274.640 2916.580 3275.120 ;
-        RECT 54.000 3272.400 2870.580 3274.640 ;
-        RECT 8.000 3271.920 2916.580 3272.400 ;
-        RECT 54.000 3269.680 2870.580 3271.920 ;
-        RECT 8.000 3269.200 2916.580 3269.680 ;
-        RECT 54.000 3266.960 2870.580 3269.200 ;
-        RECT 8.000 3266.480 2916.580 3266.960 ;
-        RECT 54.000 3264.240 2870.580 3266.480 ;
-        RECT 8.000 3263.760 2916.580 3264.240 ;
-        RECT 54.000 3261.520 2870.580 3263.760 ;
-        RECT 8.000 3261.040 2916.580 3261.520 ;
-        RECT 54.000 3258.800 2870.580 3261.040 ;
-        RECT 8.000 3258.320 2916.580 3258.800 ;
-        RECT 54.000 3256.080 2870.580 3258.320 ;
-        RECT 8.000 3255.600 2916.580 3256.080 ;
-        RECT 54.000 3253.360 2870.580 3255.600 ;
-        RECT 8.000 3252.880 2916.580 3253.360 ;
-        RECT 54.000 3250.640 2870.580 3252.880 ;
-        RECT 8.000 3250.160 2916.580 3250.640 ;
-        RECT 54.000 3247.920 2870.580 3250.160 ;
-        RECT 8.000 3247.440 2916.580 3247.920 ;
-        RECT 54.000 3245.200 2870.580 3247.440 ;
-        RECT 8.000 3244.720 2916.580 3245.200 ;
-        RECT 54.000 3242.480 2870.580 3244.720 ;
-        RECT 8.000 3242.000 2916.580 3242.480 ;
-        RECT 54.000 3239.760 2870.580 3242.000 ;
-        RECT 8.000 3239.280 2916.580 3239.760 ;
-        RECT 54.000 3237.040 2870.580 3239.280 ;
-        RECT 8.000 3236.560 2916.580 3237.040 ;
-        RECT 54.000 3234.320 2870.580 3236.560 ;
-        RECT 8.000 3233.840 2916.580 3234.320 ;
-        RECT 54.000 3231.600 2870.580 3233.840 ;
-        RECT 8.000 3231.120 2916.580 3231.600 ;
-        RECT 54.000 3228.880 2870.580 3231.120 ;
-        RECT 8.000 3228.400 2916.580 3228.880 ;
-        RECT 54.000 3226.160 2870.580 3228.400 ;
-        RECT 8.000 3225.680 2916.580 3226.160 ;
-        RECT 54.000 3223.440 2870.580 3225.680 ;
-        RECT 8.000 3222.960 2916.580 3223.440 ;
-        RECT 54.000 3220.720 2870.580 3222.960 ;
-        RECT 8.000 3220.240 2916.580 3220.720 ;
-        RECT 54.000 3218.000 2870.580 3220.240 ;
-        RECT 8.000 3217.520 2916.580 3218.000 ;
-        RECT 54.000 3215.280 2870.580 3217.520 ;
-        RECT 8.000 3214.800 2916.580 3215.280 ;
-        RECT 54.000 3212.560 2870.580 3214.800 ;
-        RECT 8.000 3212.080 2916.580 3212.560 ;
-        RECT 54.000 3209.840 2870.580 3212.080 ;
-        RECT 8.000 3209.360 2916.580 3209.840 ;
-        RECT 54.000 3207.120 2870.580 3209.360 ;
-        RECT 8.000 3206.640 2916.580 3207.120 ;
-        RECT 54.000 3204.400 2870.580 3206.640 ;
-        RECT 8.000 3203.920 2916.580 3204.400 ;
-        RECT 54.000 3201.680 2870.580 3203.920 ;
-        RECT 8.000 3201.200 2916.580 3201.680 ;
-        RECT 54.000 3198.960 2870.580 3201.200 ;
-        RECT 8.000 3198.480 2916.580 3198.960 ;
-        RECT 54.000 3196.240 2870.580 3198.480 ;
-        RECT 8.000 3195.760 2916.580 3196.240 ;
-        RECT 54.000 3193.520 2870.580 3195.760 ;
-        RECT 8.000 3193.040 2916.580 3193.520 ;
-        RECT 54.000 3190.800 2870.580 3193.040 ;
-        RECT 8.000 3190.320 2916.580 3190.800 ;
-        RECT 54.000 3188.080 2870.580 3190.320 ;
-        RECT 8.000 3187.600 2916.580 3188.080 ;
-        RECT 54.000 3185.360 2870.580 3187.600 ;
-        RECT 8.000 3184.880 2916.580 3185.360 ;
-        RECT 54.000 3182.640 2870.580 3184.880 ;
-        RECT 8.000 3182.160 2916.580 3182.640 ;
-        RECT 54.000 3179.920 2870.580 3182.160 ;
-        RECT 8.000 3179.440 2916.580 3179.920 ;
-        RECT 54.000 3177.200 2870.580 3179.440 ;
-        RECT 8.000 3176.720 2916.580 3177.200 ;
-        RECT 54.000 3174.480 2870.580 3176.720 ;
-        RECT 8.000 3174.000 2916.580 3174.480 ;
-        RECT 54.000 3171.760 2870.580 3174.000 ;
-        RECT 8.000 3171.280 2916.580 3171.760 ;
-        RECT 54.000 3169.040 2870.580 3171.280 ;
-        RECT 8.000 3168.560 2916.580 3169.040 ;
-        RECT 54.000 3166.320 2870.580 3168.560 ;
-        RECT 8.000 3165.840 2916.580 3166.320 ;
-        RECT 54.000 3163.600 2870.580 3165.840 ;
-        RECT 8.000 3163.120 2916.580 3163.600 ;
-        RECT 54.000 3160.880 2870.580 3163.120 ;
-        RECT 8.000 3160.400 2916.580 3160.880 ;
-        RECT 54.000 3158.160 2870.580 3160.400 ;
-        RECT 8.000 3157.680 2916.580 3158.160 ;
-        RECT 54.000 3155.440 2870.580 3157.680 ;
-        RECT 8.000 3154.960 2916.580 3155.440 ;
-        RECT 54.000 3152.720 2870.580 3154.960 ;
-        RECT 8.000 3152.240 2916.580 3152.720 ;
-        RECT 54.000 3150.000 2870.580 3152.240 ;
-        RECT 8.000 3149.520 2916.580 3150.000 ;
-        RECT 54.000 3147.280 2870.580 3149.520 ;
-        RECT 8.000 3146.800 2916.580 3147.280 ;
-        RECT 54.000 3144.560 2870.580 3146.800 ;
-        RECT 8.000 3144.080 2916.580 3144.560 ;
-        RECT 54.000 3141.840 2870.580 3144.080 ;
-        RECT 8.000 3141.360 2916.580 3141.840 ;
-        RECT 54.000 3139.120 2870.580 3141.360 ;
-        RECT 8.000 3138.640 2916.580 3139.120 ;
-        RECT 54.000 3136.400 2870.580 3138.640 ;
-        RECT 8.000 3135.920 2916.580 3136.400 ;
-        RECT 54.000 3133.680 2870.580 3135.920 ;
-        RECT 8.000 3133.200 2916.580 3133.680 ;
-        RECT 54.000 3130.960 2870.580 3133.200 ;
-        RECT 8.000 3130.480 2916.580 3130.960 ;
-        RECT 54.000 3128.240 2870.580 3130.480 ;
-        RECT 8.000 3127.760 2916.580 3128.240 ;
-        RECT 54.000 3125.520 2870.580 3127.760 ;
-        RECT 8.000 3125.040 2916.580 3125.520 ;
-        RECT 54.000 3122.800 2870.580 3125.040 ;
-        RECT 8.000 3122.320 2916.580 3122.800 ;
-        RECT 54.000 3120.080 2870.580 3122.320 ;
-        RECT 8.000 3119.600 2916.580 3120.080 ;
-        RECT 54.000 3117.360 2870.580 3119.600 ;
-        RECT 8.000 3116.880 2916.580 3117.360 ;
-        RECT 54.000 3114.640 2870.580 3116.880 ;
-        RECT 8.000 3114.160 2916.580 3114.640 ;
-        RECT 54.000 3111.920 2870.580 3114.160 ;
-        RECT 8.000 3111.440 2916.580 3111.920 ;
-        RECT 54.000 3109.200 2870.580 3111.440 ;
-        RECT 8.000 3108.720 2916.580 3109.200 ;
-        RECT 54.000 3106.480 2870.580 3108.720 ;
-        RECT 8.000 3106.000 2916.580 3106.480 ;
-        RECT 54.000 3103.760 2870.580 3106.000 ;
-        RECT 8.000 3103.280 2916.580 3103.760 ;
-        RECT 54.000 3101.040 2870.580 3103.280 ;
-        RECT 8.000 3100.560 2916.580 3101.040 ;
-        RECT 54.000 3098.320 2870.580 3100.560 ;
-        RECT 8.000 3097.840 2916.580 3098.320 ;
-        RECT 54.000 3095.600 2870.580 3097.840 ;
-        RECT 8.000 3095.120 2916.580 3095.600 ;
-        RECT 54.000 3092.880 2870.580 3095.120 ;
-        RECT 8.000 3092.400 2916.580 3092.880 ;
-        RECT 54.000 3090.160 2870.580 3092.400 ;
-        RECT 8.000 3089.680 2916.580 3090.160 ;
-        RECT 54.000 3087.440 2870.580 3089.680 ;
-        RECT 8.000 3086.960 2916.580 3087.440 ;
-        RECT 54.000 3084.720 2870.580 3086.960 ;
-        RECT 8.000 3084.240 2916.580 3084.720 ;
-        RECT 54.000 3082.000 2870.580 3084.240 ;
-        RECT 8.000 3081.520 2916.580 3082.000 ;
-        RECT 54.000 3079.280 2870.580 3081.520 ;
-        RECT 8.000 3078.800 2916.580 3079.280 ;
-        RECT 54.000 3076.560 2870.580 3078.800 ;
-        RECT 8.000 3076.080 2916.580 3076.560 ;
-        RECT 54.000 3073.840 2870.580 3076.080 ;
-        RECT 8.000 3073.360 2916.580 3073.840 ;
-        RECT 54.000 3071.120 2870.580 3073.360 ;
-        RECT 8.000 3070.640 2916.580 3071.120 ;
-        RECT 54.000 3068.400 2870.580 3070.640 ;
-        RECT 8.000 3067.920 2916.580 3068.400 ;
-        RECT 54.000 3065.680 2870.580 3067.920 ;
-        RECT 8.000 3065.200 2916.580 3065.680 ;
-        RECT 54.000 3062.960 2870.580 3065.200 ;
-        RECT 8.000 3062.480 2916.580 3062.960 ;
-        RECT 54.000 3060.240 2870.580 3062.480 ;
-        RECT 8.000 3059.760 2916.580 3060.240 ;
-        RECT 54.000 3057.520 2870.580 3059.760 ;
-        RECT 8.000 3057.040 2916.580 3057.520 ;
-        RECT 54.000 3054.800 2870.580 3057.040 ;
-        RECT 8.000 3054.320 2916.580 3054.800 ;
-        RECT 54.000 3052.080 2870.580 3054.320 ;
-        RECT 8.000 3051.600 2916.580 3052.080 ;
-        RECT 54.000 3049.360 2870.580 3051.600 ;
-        RECT 8.000 3048.880 2916.580 3049.360 ;
-        RECT 54.000 3046.640 2870.580 3048.880 ;
-        RECT 8.000 3046.160 2916.580 3046.640 ;
-        RECT 54.000 3043.920 2870.580 3046.160 ;
-        RECT 8.000 3043.440 2916.580 3043.920 ;
-        RECT 54.000 3041.200 2870.580 3043.440 ;
-        RECT 8.000 3040.720 2916.580 3041.200 ;
-        RECT 54.000 3038.480 2870.580 3040.720 ;
-        RECT 8.000 3038.000 2916.580 3038.480 ;
-        RECT 54.000 3035.760 2870.580 3038.000 ;
-        RECT 8.000 3035.280 2916.580 3035.760 ;
-        RECT 54.000 3033.040 2870.580 3035.280 ;
-        RECT 8.000 3032.560 2916.580 3033.040 ;
-        RECT 54.000 3030.320 2870.580 3032.560 ;
-        RECT 8.000 3029.840 2916.580 3030.320 ;
-        RECT 54.000 3027.600 2870.580 3029.840 ;
-        RECT 8.000 3027.120 2916.580 3027.600 ;
-        RECT 54.000 3024.880 2870.580 3027.120 ;
-        RECT 8.000 3024.400 2916.580 3024.880 ;
-        RECT 54.000 3022.160 2870.580 3024.400 ;
-        RECT 8.000 3021.680 2916.580 3022.160 ;
-        RECT 54.000 3019.440 2870.580 3021.680 ;
-        RECT 8.000 3018.960 2916.580 3019.440 ;
-        RECT 54.000 3016.720 2870.580 3018.960 ;
-        RECT 8.000 3016.240 2916.580 3016.720 ;
-        RECT 54.000 3014.000 2870.580 3016.240 ;
-        RECT 8.000 3013.520 2916.580 3014.000 ;
-        RECT 54.000 3011.280 2870.580 3013.520 ;
-        RECT 8.000 3010.800 2916.580 3011.280 ;
-        RECT 54.000 3008.560 2870.580 3010.800 ;
-        RECT 8.000 3008.080 2916.580 3008.560 ;
-        RECT 54.000 3005.840 2870.580 3008.080 ;
-        RECT 8.000 3005.360 2916.580 3005.840 ;
-        RECT 54.000 3003.120 2870.580 3005.360 ;
-        RECT 8.000 3002.640 2916.580 3003.120 ;
-        RECT 54.000 3000.400 2870.580 3002.640 ;
-        RECT 8.000 2999.920 2916.580 3000.400 ;
-        RECT 54.000 2997.680 2870.580 2999.920 ;
-        RECT 8.000 2997.200 2916.580 2997.680 ;
-        RECT 54.000 2994.960 2870.580 2997.200 ;
-        RECT 8.000 2994.480 2916.580 2994.960 ;
-        RECT 54.000 2992.240 2870.580 2994.480 ;
-        RECT 8.000 2991.760 2916.580 2992.240 ;
-        RECT 54.000 2989.520 2870.580 2991.760 ;
-        RECT 8.000 2989.040 2916.580 2989.520 ;
-        RECT 54.000 2986.800 2870.580 2989.040 ;
-        RECT 8.000 2986.320 2916.580 2986.800 ;
-        RECT 54.000 2984.080 2870.580 2986.320 ;
-        RECT 8.000 2983.600 2916.580 2984.080 ;
-        RECT 54.000 2981.360 2870.580 2983.600 ;
-        RECT 8.000 2980.880 2916.580 2981.360 ;
-        RECT 54.000 2978.640 2870.580 2980.880 ;
-        RECT 8.000 2978.160 2916.580 2978.640 ;
-        RECT 54.000 2975.920 2870.580 2978.160 ;
-        RECT 8.000 2975.440 2916.580 2975.920 ;
-        RECT 54.000 2973.200 2870.580 2975.440 ;
-        RECT 8.000 2972.720 2916.580 2973.200 ;
-        RECT 54.000 2970.480 2870.580 2972.720 ;
-        RECT 8.000 2970.000 2916.580 2970.480 ;
-        RECT 54.000 2967.760 2870.580 2970.000 ;
-        RECT 8.000 2967.280 2916.580 2967.760 ;
-        RECT 54.000 2965.040 2870.580 2967.280 ;
-        RECT 8.000 2964.560 2916.580 2965.040 ;
-        RECT 54.000 2962.320 2870.580 2964.560 ;
-        RECT 8.000 2961.840 2916.580 2962.320 ;
-        RECT 54.000 2959.600 2870.580 2961.840 ;
-        RECT 8.000 2959.120 2916.580 2959.600 ;
-        RECT 54.000 2956.880 2870.580 2959.120 ;
-        RECT 8.000 2956.400 2916.580 2956.880 ;
-        RECT 54.000 2954.160 2870.580 2956.400 ;
-        RECT 8.000 2953.680 2916.580 2954.160 ;
-        RECT 54.000 2951.440 2870.580 2953.680 ;
-        RECT 8.000 2950.960 2916.580 2951.440 ;
-        RECT 54.000 2948.720 2870.580 2950.960 ;
-        RECT 8.000 2948.240 2916.580 2948.720 ;
-        RECT 54.000 2946.000 2870.580 2948.240 ;
-        RECT 8.000 2945.520 2916.580 2946.000 ;
-        RECT 54.000 2943.280 2870.580 2945.520 ;
-        RECT 8.000 2942.800 2916.580 2943.280 ;
-        RECT 54.000 2940.560 2870.580 2942.800 ;
-        RECT 8.000 2940.080 2916.580 2940.560 ;
-        RECT 54.000 2937.840 2870.580 2940.080 ;
-        RECT 8.000 2937.360 2916.580 2937.840 ;
-        RECT 54.000 2935.120 2870.580 2937.360 ;
-        RECT 8.000 2934.640 2916.580 2935.120 ;
-        RECT 54.000 2932.400 2870.580 2934.640 ;
-        RECT 8.000 2931.920 2916.580 2932.400 ;
-        RECT 54.000 2929.680 2870.580 2931.920 ;
-        RECT 8.000 2929.200 2916.580 2929.680 ;
-        RECT 54.000 2926.960 2870.580 2929.200 ;
-        RECT 8.000 2926.480 2916.580 2926.960 ;
-        RECT 54.000 2924.240 2870.580 2926.480 ;
-        RECT 8.000 2923.760 2916.580 2924.240 ;
-        RECT 54.000 2921.520 2870.580 2923.760 ;
-        RECT 8.000 2921.040 2916.580 2921.520 ;
-        RECT 54.000 2918.800 2870.580 2921.040 ;
-        RECT 8.000 2918.320 2916.580 2918.800 ;
-        RECT 54.000 2916.080 2870.580 2918.320 ;
-        RECT 8.000 2915.600 2916.580 2916.080 ;
-        RECT 54.000 2913.360 2870.580 2915.600 ;
-        RECT 8.000 2912.880 2916.580 2913.360 ;
-        RECT 54.000 2910.640 2870.580 2912.880 ;
-        RECT 8.000 2910.160 2916.580 2910.640 ;
-        RECT 54.000 2907.920 2870.580 2910.160 ;
-        RECT 8.000 2907.440 2916.580 2907.920 ;
-        RECT 54.000 2905.200 2870.580 2907.440 ;
-        RECT 8.000 2904.720 2916.580 2905.200 ;
-        RECT 54.000 2902.480 2870.580 2904.720 ;
-        RECT 8.000 2902.000 2916.580 2902.480 ;
-        RECT 54.000 2899.760 2870.580 2902.000 ;
-        RECT 8.000 2899.280 2916.580 2899.760 ;
-        RECT 54.000 2897.040 2870.580 2899.280 ;
-        RECT 8.000 2896.560 2916.580 2897.040 ;
-        RECT 54.000 2894.320 2870.580 2896.560 ;
-        RECT 8.000 2893.840 2916.580 2894.320 ;
-        RECT 54.000 2891.600 2870.580 2893.840 ;
-        RECT 8.000 2891.120 2916.580 2891.600 ;
-        RECT 54.000 2888.880 2870.580 2891.120 ;
-        RECT 8.000 2888.400 2916.580 2888.880 ;
-        RECT 54.000 2886.160 2870.580 2888.400 ;
-        RECT 8.000 2885.680 2916.580 2886.160 ;
-        RECT 54.000 2883.440 2870.580 2885.680 ;
-        RECT 8.000 2882.960 2916.580 2883.440 ;
-        RECT 54.000 2880.720 2870.580 2882.960 ;
-        RECT 8.000 2880.240 2916.580 2880.720 ;
-        RECT 54.000 2878.000 2870.580 2880.240 ;
-        RECT 8.000 2877.520 2916.580 2878.000 ;
-        RECT 54.000 2875.280 2870.580 2877.520 ;
-        RECT 8.000 2874.800 2916.580 2875.280 ;
-        RECT 54.000 2872.560 2870.580 2874.800 ;
-        RECT 8.000 2872.080 2916.580 2872.560 ;
-        RECT 54.000 2869.840 2870.580 2872.080 ;
-        RECT 8.000 2869.360 2916.580 2869.840 ;
-        RECT 54.000 2867.120 2870.580 2869.360 ;
-        RECT 8.000 2866.640 2916.580 2867.120 ;
-        RECT 54.000 2864.400 2870.580 2866.640 ;
-        RECT 8.000 2863.920 2916.580 2864.400 ;
-        RECT 54.000 2861.680 2870.580 2863.920 ;
-        RECT 8.000 2861.200 2916.580 2861.680 ;
-        RECT 54.000 2858.960 2870.580 2861.200 ;
-        RECT 8.000 2858.480 2916.580 2858.960 ;
-        RECT 54.000 2856.240 2870.580 2858.480 ;
-        RECT 8.000 2855.760 2916.580 2856.240 ;
-        RECT 54.000 2853.520 2870.580 2855.760 ;
-        RECT 8.000 2853.040 2916.580 2853.520 ;
-        RECT 54.000 2850.800 2870.580 2853.040 ;
-        RECT 8.000 2850.320 2916.580 2850.800 ;
-        RECT 54.000 2848.080 2870.580 2850.320 ;
-        RECT 8.000 2847.600 2916.580 2848.080 ;
-        RECT 54.000 2845.360 2870.580 2847.600 ;
-        RECT 8.000 2844.880 2916.580 2845.360 ;
-        RECT 54.000 2842.640 2870.580 2844.880 ;
-        RECT 8.000 2842.160 2916.580 2842.640 ;
-        RECT 54.000 2839.920 2870.580 2842.160 ;
-        RECT 8.000 2839.440 2916.580 2839.920 ;
-        RECT 54.000 2837.200 2870.580 2839.440 ;
-        RECT 8.000 2836.720 2916.580 2837.200 ;
-        RECT 54.000 2834.480 2870.580 2836.720 ;
-        RECT 8.000 2834.000 2916.580 2834.480 ;
-        RECT 54.000 2831.760 2870.580 2834.000 ;
-        RECT 8.000 2831.280 2916.580 2831.760 ;
-        RECT 54.000 2829.040 2870.580 2831.280 ;
-        RECT 8.000 2828.560 2916.580 2829.040 ;
-        RECT 54.000 2826.320 2870.580 2828.560 ;
-        RECT 8.000 2825.840 2916.580 2826.320 ;
-        RECT 54.000 2823.600 2870.580 2825.840 ;
-        RECT 8.000 2823.120 2916.580 2823.600 ;
-        RECT 54.000 2820.880 2870.580 2823.120 ;
-        RECT 8.000 2820.400 2916.580 2820.880 ;
-        RECT 54.000 2818.160 2870.580 2820.400 ;
-        RECT 8.000 2817.680 2916.580 2818.160 ;
-        RECT 54.000 2815.440 2870.580 2817.680 ;
-        RECT 8.000 2814.960 2916.580 2815.440 ;
-        RECT 54.000 2812.720 2870.580 2814.960 ;
-        RECT 8.000 2812.240 2916.580 2812.720 ;
-        RECT 54.000 2810.000 2870.580 2812.240 ;
-        RECT 8.000 2809.520 2916.580 2810.000 ;
-        RECT 54.000 2807.280 2870.580 2809.520 ;
-        RECT 8.000 2806.800 2916.580 2807.280 ;
-        RECT 54.000 2804.560 2870.580 2806.800 ;
-        RECT 8.000 2804.080 2916.580 2804.560 ;
-        RECT 54.000 2801.840 2870.580 2804.080 ;
-        RECT 8.000 2801.360 2916.580 2801.840 ;
-        RECT 54.000 2799.120 2870.580 2801.360 ;
-        RECT 8.000 2798.640 2916.580 2799.120 ;
-        RECT 54.000 2796.400 2870.580 2798.640 ;
-        RECT 8.000 2795.920 2916.580 2796.400 ;
-        RECT 54.000 2793.680 2870.580 2795.920 ;
-        RECT 8.000 2793.200 2916.580 2793.680 ;
-        RECT 54.000 2790.960 2870.580 2793.200 ;
-        RECT 8.000 2790.480 2916.580 2790.960 ;
-        RECT 54.000 2788.240 2870.580 2790.480 ;
-        RECT 8.000 2787.760 2916.580 2788.240 ;
-        RECT 54.000 2785.520 2870.580 2787.760 ;
-        RECT 8.000 2785.040 2916.580 2785.520 ;
-        RECT 54.000 2782.800 2870.580 2785.040 ;
-        RECT 8.000 2782.320 2916.580 2782.800 ;
-        RECT 54.000 2780.080 2870.580 2782.320 ;
-        RECT 8.000 2779.600 2916.580 2780.080 ;
-        RECT 54.000 2777.360 2870.580 2779.600 ;
-        RECT 8.000 2776.880 2916.580 2777.360 ;
-        RECT 54.000 2774.640 2870.580 2776.880 ;
-        RECT 8.000 2774.160 2916.580 2774.640 ;
-        RECT 54.000 2771.920 2870.580 2774.160 ;
-        RECT 8.000 2771.440 2916.580 2771.920 ;
-        RECT 54.000 2769.200 2870.580 2771.440 ;
-        RECT 8.000 2768.720 2916.580 2769.200 ;
-        RECT 54.000 2766.480 2870.580 2768.720 ;
-        RECT 8.000 2766.000 2916.580 2766.480 ;
-        RECT 54.000 2763.760 2870.580 2766.000 ;
-        RECT 8.000 2763.280 2916.580 2763.760 ;
-        RECT 54.000 2761.040 2870.580 2763.280 ;
-        RECT 8.000 2760.560 2916.580 2761.040 ;
-        RECT 54.000 2758.320 2870.580 2760.560 ;
-        RECT 8.000 2757.840 2916.580 2758.320 ;
-        RECT 54.000 2755.600 2870.580 2757.840 ;
-        RECT 8.000 2755.120 2916.580 2755.600 ;
-        RECT 54.000 2752.880 2870.580 2755.120 ;
-        RECT 8.000 2752.400 2916.580 2752.880 ;
-        RECT 54.000 2750.160 2870.580 2752.400 ;
-        RECT 8.000 2749.680 2916.580 2750.160 ;
-        RECT 54.000 2747.440 2870.580 2749.680 ;
-        RECT 8.000 2746.960 2916.580 2747.440 ;
-        RECT 54.000 2744.720 2870.580 2746.960 ;
-        RECT 8.000 2744.240 2916.580 2744.720 ;
-        RECT 54.000 2742.000 2870.580 2744.240 ;
-        RECT 8.000 2741.520 2916.580 2742.000 ;
-        RECT 54.000 2739.280 2870.580 2741.520 ;
-        RECT 8.000 2738.800 2916.580 2739.280 ;
-        RECT 54.000 2736.560 2870.580 2738.800 ;
-        RECT 8.000 2736.080 2916.580 2736.560 ;
-        RECT 54.000 2733.840 2870.580 2736.080 ;
-        RECT 8.000 2733.360 2916.580 2733.840 ;
-        RECT 54.000 2731.120 2870.580 2733.360 ;
-        RECT 8.000 2730.640 2916.580 2731.120 ;
-        RECT 54.000 2728.400 2870.580 2730.640 ;
-        RECT 8.000 2727.920 2916.580 2728.400 ;
-        RECT 54.000 2725.680 2870.580 2727.920 ;
-        RECT 8.000 2725.200 2916.580 2725.680 ;
-        RECT 54.000 2722.960 2870.580 2725.200 ;
-        RECT 8.000 2722.480 2916.580 2722.960 ;
-        RECT 54.000 2720.240 2870.580 2722.480 ;
-        RECT 8.000 2719.760 2916.580 2720.240 ;
-        RECT 54.000 2717.520 2870.580 2719.760 ;
-        RECT 8.000 2717.040 2916.580 2717.520 ;
-        RECT 54.000 2714.800 2870.580 2717.040 ;
-        RECT 8.000 2714.320 2916.580 2714.800 ;
-        RECT 54.000 2712.080 2870.580 2714.320 ;
-        RECT 8.000 2711.600 2916.580 2712.080 ;
-        RECT 54.000 2709.360 2870.580 2711.600 ;
-        RECT 8.000 2708.880 2916.580 2709.360 ;
-        RECT 54.000 2706.640 2870.580 2708.880 ;
-        RECT 8.000 2706.160 2916.580 2706.640 ;
-        RECT 54.000 2703.920 2870.580 2706.160 ;
-        RECT 8.000 2703.440 2916.580 2703.920 ;
-        RECT 54.000 2701.200 2870.580 2703.440 ;
-        RECT 8.000 2700.720 2916.580 2701.200 ;
-        RECT 54.000 2698.480 2870.580 2700.720 ;
-        RECT 8.000 2698.000 2916.580 2698.480 ;
-        RECT 54.000 2695.760 2870.580 2698.000 ;
-        RECT 8.000 2695.280 2916.580 2695.760 ;
-        RECT 54.000 2693.040 2870.580 2695.280 ;
-        RECT 8.000 2692.560 2916.580 2693.040 ;
-        RECT 54.000 2690.320 2870.580 2692.560 ;
-        RECT 8.000 2689.840 2916.580 2690.320 ;
-        RECT 54.000 2687.600 2870.580 2689.840 ;
-        RECT 8.000 2687.120 2916.580 2687.600 ;
-        RECT 54.000 2684.880 2870.580 2687.120 ;
-        RECT 8.000 2684.400 2916.580 2684.880 ;
-        RECT 54.000 2682.160 2870.580 2684.400 ;
-        RECT 8.000 2681.680 2916.580 2682.160 ;
-        RECT 54.000 2679.440 2870.580 2681.680 ;
-        RECT 8.000 2678.960 2916.580 2679.440 ;
-        RECT 54.000 2676.720 2870.580 2678.960 ;
-        RECT 8.000 2676.240 2916.580 2676.720 ;
-        RECT 54.000 2674.000 2870.580 2676.240 ;
-        RECT 8.000 2673.520 2916.580 2674.000 ;
-        RECT 54.000 2671.280 2870.580 2673.520 ;
-        RECT 8.000 2670.800 2916.580 2671.280 ;
-        RECT 54.000 2668.560 2870.580 2670.800 ;
-        RECT 8.000 2668.080 2916.580 2668.560 ;
-        RECT 54.000 2665.840 2870.580 2668.080 ;
-        RECT 8.000 2665.360 2916.580 2665.840 ;
-        RECT 54.000 2663.120 2870.580 2665.360 ;
-        RECT 8.000 2662.640 2916.580 2663.120 ;
-        RECT 54.000 2660.400 2870.580 2662.640 ;
-        RECT 8.000 2659.920 2916.580 2660.400 ;
-        RECT 54.000 2657.680 2870.580 2659.920 ;
-        RECT 8.000 2657.200 2916.580 2657.680 ;
-        RECT 54.000 2654.960 2870.580 2657.200 ;
-        RECT 8.000 2654.480 2916.580 2654.960 ;
-        RECT 54.000 2652.240 2870.580 2654.480 ;
-        RECT 8.000 2651.760 2916.580 2652.240 ;
-        RECT 54.000 2649.520 2870.580 2651.760 ;
-        RECT 8.000 2649.040 2916.580 2649.520 ;
-        RECT 54.000 2646.800 2870.580 2649.040 ;
-        RECT 8.000 2646.320 2916.580 2646.800 ;
-        RECT 54.000 2644.080 2870.580 2646.320 ;
-        RECT 8.000 2643.600 2916.580 2644.080 ;
-        RECT 54.000 2641.360 2870.580 2643.600 ;
-        RECT 8.000 2640.880 2916.580 2641.360 ;
-        RECT 54.000 2638.640 2870.580 2640.880 ;
-        RECT 8.000 2638.160 2916.580 2638.640 ;
-        RECT 54.000 2635.920 2870.580 2638.160 ;
-        RECT 8.000 2635.440 2916.580 2635.920 ;
-        RECT 54.000 2633.200 2870.580 2635.440 ;
-        RECT 8.000 2632.720 2916.580 2633.200 ;
-        RECT 54.000 2630.480 2870.580 2632.720 ;
-        RECT 8.000 2630.000 2916.580 2630.480 ;
-        RECT 54.000 2627.760 2870.580 2630.000 ;
-        RECT 8.000 2627.280 2916.580 2627.760 ;
-        RECT 54.000 2625.040 2870.580 2627.280 ;
-        RECT 8.000 2624.560 2916.580 2625.040 ;
-        RECT 54.000 2622.320 2870.580 2624.560 ;
-        RECT 8.000 2621.840 2916.580 2622.320 ;
-        RECT 54.000 2619.600 2870.580 2621.840 ;
-        RECT 8.000 2619.120 2916.580 2619.600 ;
-        RECT 54.000 2616.880 2870.580 2619.120 ;
-        RECT 8.000 2616.400 2916.580 2616.880 ;
-        RECT 54.000 2614.160 2870.580 2616.400 ;
-        RECT 8.000 2613.680 2916.580 2614.160 ;
-        RECT 54.000 2611.440 2870.580 2613.680 ;
-        RECT 8.000 2610.960 2916.580 2611.440 ;
-        RECT 54.000 2608.720 2870.580 2610.960 ;
-        RECT 8.000 2608.240 2916.580 2608.720 ;
-        RECT 54.000 2606.000 2870.580 2608.240 ;
-        RECT 8.000 2605.520 2916.580 2606.000 ;
-        RECT 54.000 2603.280 2870.580 2605.520 ;
-        RECT 8.000 2602.800 2916.580 2603.280 ;
-        RECT 54.000 2600.560 2870.580 2602.800 ;
-        RECT 8.000 2600.080 2916.580 2600.560 ;
-        RECT 54.000 2597.840 2870.580 2600.080 ;
-        RECT 8.000 2597.360 2916.580 2597.840 ;
-        RECT 54.000 2595.120 2870.580 2597.360 ;
-        RECT 8.000 2594.640 2916.580 2595.120 ;
-        RECT 54.000 2592.400 2870.580 2594.640 ;
-        RECT 8.000 2591.920 2916.580 2592.400 ;
-        RECT 54.000 2589.680 2870.580 2591.920 ;
-        RECT 8.000 2589.200 2916.580 2589.680 ;
-        RECT 54.000 2586.960 2870.580 2589.200 ;
-        RECT 8.000 2586.480 2916.580 2586.960 ;
-        RECT 54.000 2584.240 2870.580 2586.480 ;
-        RECT 8.000 2583.760 2916.580 2584.240 ;
-        RECT 54.000 2581.520 2870.580 2583.760 ;
-        RECT 8.000 2581.040 2916.580 2581.520 ;
-        RECT 54.000 2578.800 2870.580 2581.040 ;
-        RECT 8.000 2578.320 2916.580 2578.800 ;
-        RECT 54.000 2576.080 2870.580 2578.320 ;
-        RECT 8.000 2575.600 2916.580 2576.080 ;
-        RECT 54.000 2573.360 2870.580 2575.600 ;
-        RECT 8.000 2572.880 2916.580 2573.360 ;
-        RECT 54.000 2570.640 2870.580 2572.880 ;
-        RECT 8.000 2570.160 2916.580 2570.640 ;
-        RECT 54.000 2567.920 2870.580 2570.160 ;
-        RECT 8.000 2567.440 2916.580 2567.920 ;
-        RECT 54.000 2565.200 2870.580 2567.440 ;
-        RECT 8.000 2564.720 2916.580 2565.200 ;
-        RECT 54.000 2562.480 2870.580 2564.720 ;
-        RECT 8.000 2562.000 2916.580 2562.480 ;
-        RECT 54.000 2559.760 2870.580 2562.000 ;
-        RECT 8.000 2559.280 2916.580 2559.760 ;
-        RECT 54.000 2557.040 2870.580 2559.280 ;
-        RECT 8.000 2556.560 2916.580 2557.040 ;
-        RECT 54.000 2554.320 2870.580 2556.560 ;
-        RECT 8.000 2553.840 2916.580 2554.320 ;
-        RECT 54.000 2551.600 2870.580 2553.840 ;
-        RECT 8.000 2551.120 2916.580 2551.600 ;
-        RECT 54.000 2548.880 2870.580 2551.120 ;
-        RECT 8.000 2548.400 2916.580 2548.880 ;
-        RECT 54.000 2546.160 2870.580 2548.400 ;
-        RECT 8.000 2545.680 2916.580 2546.160 ;
-        RECT 54.000 2543.440 2870.580 2545.680 ;
-        RECT 8.000 2542.960 2916.580 2543.440 ;
-        RECT 54.000 2540.720 2870.580 2542.960 ;
-        RECT 8.000 2540.240 2916.580 2540.720 ;
-        RECT 54.000 2538.000 2870.580 2540.240 ;
-        RECT 8.000 2537.520 2916.580 2538.000 ;
-        RECT 54.000 2535.280 2870.580 2537.520 ;
-        RECT 8.000 2534.800 2916.580 2535.280 ;
-        RECT 54.000 2532.560 2870.580 2534.800 ;
-        RECT 8.000 2532.080 2916.580 2532.560 ;
-        RECT 54.000 2529.840 2870.580 2532.080 ;
-        RECT 8.000 2529.360 2916.580 2529.840 ;
-        RECT 54.000 2527.120 2870.580 2529.360 ;
-        RECT 8.000 2526.640 2916.580 2527.120 ;
-        RECT 54.000 2524.400 2870.580 2526.640 ;
-        RECT 8.000 2523.920 2916.580 2524.400 ;
-        RECT 54.000 2521.680 2870.580 2523.920 ;
-        RECT 8.000 2521.200 2916.580 2521.680 ;
-        RECT 54.000 2518.960 2870.580 2521.200 ;
-        RECT 8.000 2518.480 2916.580 2518.960 ;
-        RECT 54.000 2516.240 2870.580 2518.480 ;
-        RECT 8.000 2515.760 2916.580 2516.240 ;
-        RECT 54.000 2513.520 2870.580 2515.760 ;
-        RECT 8.000 2513.040 2916.580 2513.520 ;
-        RECT 54.000 2510.800 2870.580 2513.040 ;
-        RECT 8.000 2510.320 2916.580 2510.800 ;
-        RECT 54.000 2508.080 2870.580 2510.320 ;
-        RECT 8.000 2507.600 2916.580 2508.080 ;
-        RECT 54.000 2505.360 2870.580 2507.600 ;
-        RECT 8.000 2504.880 2916.580 2505.360 ;
-        RECT 54.000 2502.640 2870.580 2504.880 ;
-        RECT 8.000 2502.160 2916.580 2502.640 ;
-        RECT 54.000 2499.920 2870.580 2502.160 ;
-        RECT 8.000 2499.440 2916.580 2499.920 ;
-        RECT 54.000 2497.200 2870.580 2499.440 ;
-        RECT 8.000 2496.720 2916.580 2497.200 ;
-        RECT 54.000 2494.480 2870.580 2496.720 ;
-        RECT 8.000 2494.000 2916.580 2494.480 ;
-        RECT 54.000 2491.760 2870.580 2494.000 ;
-        RECT 8.000 2491.280 2916.580 2491.760 ;
-        RECT 54.000 2489.040 2870.580 2491.280 ;
-        RECT 8.000 2488.560 2916.580 2489.040 ;
-        RECT 54.000 2486.320 2870.580 2488.560 ;
-        RECT 8.000 2485.840 2916.580 2486.320 ;
-        RECT 54.000 2483.600 2870.580 2485.840 ;
-        RECT 8.000 2483.120 2916.580 2483.600 ;
-        RECT 54.000 2480.880 2870.580 2483.120 ;
-        RECT 8.000 2480.400 2916.580 2480.880 ;
-        RECT 54.000 2478.160 2870.580 2480.400 ;
-        RECT 8.000 2477.680 2916.580 2478.160 ;
-        RECT 54.000 2475.440 2870.580 2477.680 ;
-        RECT 8.000 2474.960 2916.580 2475.440 ;
-        RECT 54.000 2472.720 2870.580 2474.960 ;
-        RECT 8.000 2472.240 2916.580 2472.720 ;
-        RECT 54.000 2470.000 2870.580 2472.240 ;
-        RECT 8.000 2469.520 2916.580 2470.000 ;
-        RECT 54.000 2467.280 2870.580 2469.520 ;
-        RECT 8.000 2466.800 2916.580 2467.280 ;
-        RECT 54.000 2464.560 2870.580 2466.800 ;
-        RECT 8.000 2464.080 2916.580 2464.560 ;
-        RECT 54.000 2461.840 2870.580 2464.080 ;
-        RECT 8.000 2461.360 2916.580 2461.840 ;
-        RECT 54.000 2459.120 2870.580 2461.360 ;
-        RECT 8.000 2458.640 2916.580 2459.120 ;
-        RECT 54.000 2456.400 2870.580 2458.640 ;
-        RECT 8.000 2455.920 2916.580 2456.400 ;
-        RECT 54.000 2453.680 2870.580 2455.920 ;
-        RECT 8.000 2453.200 2916.580 2453.680 ;
-        RECT 54.000 2450.960 2870.580 2453.200 ;
-        RECT 8.000 2450.480 2916.580 2450.960 ;
-        RECT 54.000 2448.240 2870.580 2450.480 ;
-        RECT 8.000 2447.760 2916.580 2448.240 ;
-        RECT 54.000 2445.520 2870.580 2447.760 ;
-        RECT 8.000 2445.040 2916.580 2445.520 ;
-        RECT 54.000 2442.800 2870.580 2445.040 ;
-        RECT 8.000 2442.320 2916.580 2442.800 ;
-        RECT 54.000 2440.080 2870.580 2442.320 ;
-        RECT 8.000 2439.600 2916.580 2440.080 ;
-        RECT 54.000 2437.360 2870.580 2439.600 ;
-        RECT 8.000 2436.880 2916.580 2437.360 ;
-        RECT 54.000 2434.640 2870.580 2436.880 ;
-        RECT 8.000 2434.160 2916.580 2434.640 ;
-        RECT 54.000 2431.920 2870.580 2434.160 ;
-        RECT 8.000 2431.440 2916.580 2431.920 ;
-        RECT 54.000 2429.200 2870.580 2431.440 ;
-        RECT 8.000 2428.720 2916.580 2429.200 ;
-        RECT 54.000 2426.480 2870.580 2428.720 ;
-        RECT 8.000 2426.000 2916.580 2426.480 ;
-        RECT 54.000 2423.760 2870.580 2426.000 ;
-        RECT 8.000 2423.280 2916.580 2423.760 ;
-        RECT 54.000 2421.040 2870.580 2423.280 ;
-        RECT 8.000 2420.560 2916.580 2421.040 ;
-        RECT 54.000 2418.320 2870.580 2420.560 ;
-        RECT 8.000 2417.840 2916.580 2418.320 ;
-        RECT 54.000 2415.600 2870.580 2417.840 ;
-        RECT 8.000 2415.120 2916.580 2415.600 ;
-        RECT 54.000 2412.880 2870.580 2415.120 ;
-        RECT 8.000 2412.400 2916.580 2412.880 ;
-        RECT 54.000 2410.160 2870.580 2412.400 ;
-        RECT 8.000 2409.680 2916.580 2410.160 ;
-        RECT 54.000 2407.440 2870.580 2409.680 ;
-        RECT 8.000 2406.960 2916.580 2407.440 ;
-        RECT 54.000 2404.720 2870.580 2406.960 ;
-        RECT 8.000 2404.240 2916.580 2404.720 ;
-        RECT 54.000 2402.000 2870.580 2404.240 ;
-        RECT 8.000 2401.520 2916.580 2402.000 ;
-        RECT 54.000 2399.280 2870.580 2401.520 ;
-        RECT 8.000 2398.800 2916.580 2399.280 ;
-        RECT 54.000 2396.560 2870.580 2398.800 ;
-        RECT 8.000 2396.080 2916.580 2396.560 ;
-        RECT 54.000 2393.840 2870.580 2396.080 ;
-        RECT 8.000 2393.360 2916.580 2393.840 ;
-        RECT 54.000 2391.120 2870.580 2393.360 ;
-        RECT 8.000 2390.640 2916.580 2391.120 ;
-        RECT 54.000 2388.400 2870.580 2390.640 ;
-        RECT 8.000 2387.920 2916.580 2388.400 ;
-        RECT 54.000 2385.680 2870.580 2387.920 ;
-        RECT 8.000 2385.200 2916.580 2385.680 ;
-        RECT 54.000 2382.960 2870.580 2385.200 ;
-        RECT 8.000 2382.480 2916.580 2382.960 ;
-        RECT 54.000 2380.240 2870.580 2382.480 ;
-        RECT 8.000 2379.760 2916.580 2380.240 ;
-        RECT 54.000 2377.520 2870.580 2379.760 ;
-        RECT 8.000 2377.040 2916.580 2377.520 ;
-        RECT 54.000 2374.800 2870.580 2377.040 ;
-        RECT 8.000 2374.320 2916.580 2374.800 ;
-        RECT 54.000 2372.080 2870.580 2374.320 ;
-        RECT 8.000 2371.600 2916.580 2372.080 ;
-        RECT 54.000 2369.360 2870.580 2371.600 ;
-        RECT 8.000 2368.880 2916.580 2369.360 ;
-        RECT 54.000 2366.640 2870.580 2368.880 ;
-        RECT 8.000 2366.160 2916.580 2366.640 ;
-        RECT 54.000 2363.920 2870.580 2366.160 ;
-        RECT 8.000 2363.440 2916.580 2363.920 ;
-        RECT 54.000 2361.200 2870.580 2363.440 ;
-        RECT 8.000 2360.720 2916.580 2361.200 ;
-        RECT 54.000 2358.480 2870.580 2360.720 ;
-        RECT 8.000 2358.000 2916.580 2358.480 ;
-        RECT 54.000 2355.760 2870.580 2358.000 ;
-        RECT 8.000 2355.280 2916.580 2355.760 ;
-        RECT 54.000 2353.040 2870.580 2355.280 ;
-        RECT 8.000 2352.560 2916.580 2353.040 ;
-        RECT 54.000 2350.320 2870.580 2352.560 ;
-        RECT 8.000 2349.840 2916.580 2350.320 ;
-        RECT 54.000 2347.600 2870.580 2349.840 ;
-        RECT 8.000 2347.120 2916.580 2347.600 ;
-        RECT 54.000 2344.880 2870.580 2347.120 ;
-        RECT 8.000 2344.400 2916.580 2344.880 ;
-        RECT 54.000 2342.160 2870.580 2344.400 ;
-        RECT 8.000 2341.680 2916.580 2342.160 ;
-        RECT 54.000 2339.440 2870.580 2341.680 ;
-        RECT 8.000 2338.960 2916.580 2339.440 ;
-        RECT 54.000 2336.720 2870.580 2338.960 ;
-        RECT 8.000 2336.240 2916.580 2336.720 ;
-        RECT 54.000 2334.000 2870.580 2336.240 ;
-        RECT 8.000 2333.520 2916.580 2334.000 ;
-        RECT 54.000 2331.280 2870.580 2333.520 ;
-        RECT 8.000 2330.800 2916.580 2331.280 ;
-        RECT 54.000 2328.560 2870.580 2330.800 ;
-        RECT 8.000 2328.080 2916.580 2328.560 ;
-        RECT 54.000 2325.840 2870.580 2328.080 ;
-        RECT 8.000 2325.360 2916.580 2325.840 ;
-        RECT 54.000 2323.120 2870.580 2325.360 ;
-        RECT 8.000 2322.640 2916.580 2323.120 ;
-        RECT 54.000 2320.400 2870.580 2322.640 ;
-        RECT 8.000 2319.920 2916.580 2320.400 ;
-        RECT 54.000 2317.680 2870.580 2319.920 ;
-        RECT 8.000 2317.200 2916.580 2317.680 ;
-        RECT 54.000 2314.960 2870.580 2317.200 ;
-        RECT 8.000 2314.480 2916.580 2314.960 ;
-        RECT 54.000 2312.240 2870.580 2314.480 ;
-        RECT 8.000 2311.760 2916.580 2312.240 ;
-        RECT 54.000 2309.520 2870.580 2311.760 ;
-        RECT 8.000 2309.040 2916.580 2309.520 ;
-        RECT 54.000 2306.800 2870.580 2309.040 ;
-        RECT 8.000 2306.320 2916.580 2306.800 ;
-        RECT 54.000 2304.080 2870.580 2306.320 ;
-        RECT 8.000 2303.600 2916.580 2304.080 ;
-        RECT 54.000 2301.360 2870.580 2303.600 ;
-        RECT 8.000 2300.880 2916.580 2301.360 ;
-        RECT 54.000 2298.640 2870.580 2300.880 ;
-        RECT 8.000 2298.160 2916.580 2298.640 ;
-        RECT 54.000 2295.920 2870.580 2298.160 ;
-        RECT 8.000 2295.440 2916.580 2295.920 ;
-        RECT 54.000 2293.200 2870.580 2295.440 ;
-        RECT 8.000 2292.720 2916.580 2293.200 ;
-        RECT 54.000 2290.480 2870.580 2292.720 ;
-        RECT 8.000 2290.000 2916.580 2290.480 ;
-        RECT 54.000 2287.760 2870.580 2290.000 ;
-        RECT 8.000 2287.280 2916.580 2287.760 ;
-        RECT 54.000 2285.040 2870.580 2287.280 ;
-        RECT 8.000 2284.560 2916.580 2285.040 ;
-        RECT 54.000 2282.320 2870.580 2284.560 ;
-        RECT 8.000 2281.840 2916.580 2282.320 ;
-        RECT 54.000 2279.600 2870.580 2281.840 ;
-        RECT 8.000 2279.120 2916.580 2279.600 ;
-        RECT 54.000 2276.880 2870.580 2279.120 ;
-        RECT 8.000 2276.400 2916.580 2276.880 ;
-        RECT 54.000 2274.160 2870.580 2276.400 ;
-        RECT 8.000 2273.680 2916.580 2274.160 ;
-        RECT 54.000 2271.440 2870.580 2273.680 ;
-        RECT 8.000 2270.960 2916.580 2271.440 ;
-        RECT 54.000 2268.720 2870.580 2270.960 ;
-        RECT 8.000 2268.240 2916.580 2268.720 ;
-        RECT 54.000 2266.000 2870.580 2268.240 ;
-        RECT 8.000 2265.520 2916.580 2266.000 ;
-        RECT 54.000 2263.280 2870.580 2265.520 ;
-        RECT 8.000 2262.800 2916.580 2263.280 ;
-        RECT 54.000 2260.560 2870.580 2262.800 ;
-        RECT 8.000 2260.080 2916.580 2260.560 ;
-        RECT 54.000 2257.840 2870.580 2260.080 ;
-        RECT 8.000 2257.360 2916.580 2257.840 ;
-        RECT 54.000 2255.120 2870.580 2257.360 ;
-        RECT 8.000 2254.640 2916.580 2255.120 ;
-        RECT 54.000 2252.400 2870.580 2254.640 ;
-        RECT 8.000 2251.920 2916.580 2252.400 ;
-        RECT 54.000 2249.680 2870.580 2251.920 ;
-        RECT 8.000 2249.200 2916.580 2249.680 ;
-        RECT 54.000 2246.960 2870.580 2249.200 ;
-        RECT 8.000 2246.480 2916.580 2246.960 ;
-        RECT 54.000 2244.240 2870.580 2246.480 ;
-        RECT 8.000 2243.760 2916.580 2244.240 ;
-        RECT 54.000 2241.520 2870.580 2243.760 ;
-        RECT 8.000 2241.040 2916.580 2241.520 ;
-        RECT 54.000 2238.800 2870.580 2241.040 ;
-        RECT 8.000 2238.320 2916.580 2238.800 ;
-        RECT 54.000 2236.080 2870.580 2238.320 ;
-        RECT 8.000 2235.600 2916.580 2236.080 ;
-        RECT 54.000 2233.360 2870.580 2235.600 ;
-        RECT 8.000 2232.880 2916.580 2233.360 ;
-        RECT 54.000 2230.640 2870.580 2232.880 ;
-        RECT 8.000 2230.160 2916.580 2230.640 ;
-        RECT 54.000 2227.920 2870.580 2230.160 ;
-        RECT 8.000 2227.440 2916.580 2227.920 ;
-        RECT 54.000 2225.200 2870.580 2227.440 ;
-        RECT 8.000 2224.720 2916.580 2225.200 ;
-        RECT 54.000 2222.480 2870.580 2224.720 ;
-        RECT 8.000 2222.000 2916.580 2222.480 ;
-        RECT 54.000 2219.760 2870.580 2222.000 ;
-        RECT 8.000 2219.280 2916.580 2219.760 ;
-        RECT 54.000 2217.040 2870.580 2219.280 ;
-        RECT 8.000 2216.560 2916.580 2217.040 ;
-        RECT 54.000 2214.320 2870.580 2216.560 ;
-        RECT 8.000 2213.840 2916.580 2214.320 ;
-        RECT 54.000 2211.600 2870.580 2213.840 ;
-        RECT 8.000 2211.120 2916.580 2211.600 ;
-        RECT 54.000 2208.880 2870.580 2211.120 ;
-        RECT 8.000 2208.400 2916.580 2208.880 ;
-        RECT 54.000 2206.160 2870.580 2208.400 ;
-        RECT 8.000 2205.680 2916.580 2206.160 ;
-        RECT 54.000 2203.440 2870.580 2205.680 ;
-        RECT 8.000 2202.960 2916.580 2203.440 ;
-        RECT 54.000 2200.720 2870.580 2202.960 ;
-        RECT 8.000 2200.240 2916.580 2200.720 ;
-        RECT 54.000 2198.000 2870.580 2200.240 ;
-        RECT 8.000 2197.520 2916.580 2198.000 ;
-        RECT 54.000 2195.280 2870.580 2197.520 ;
-        RECT 8.000 2194.800 2916.580 2195.280 ;
-        RECT 54.000 2192.560 2870.580 2194.800 ;
-        RECT 8.000 2192.080 2916.580 2192.560 ;
-        RECT 54.000 2189.840 2870.580 2192.080 ;
-        RECT 8.000 2189.360 2916.580 2189.840 ;
-        RECT 54.000 2187.120 2870.580 2189.360 ;
-        RECT 8.000 2186.640 2916.580 2187.120 ;
-        RECT 54.000 2184.400 2870.580 2186.640 ;
-        RECT 8.000 2183.920 2916.580 2184.400 ;
-        RECT 54.000 2181.680 2870.580 2183.920 ;
-        RECT 8.000 2181.200 2916.580 2181.680 ;
-        RECT 54.000 2178.960 2870.580 2181.200 ;
-        RECT 8.000 2178.480 2916.580 2178.960 ;
-        RECT 54.000 2176.240 2870.580 2178.480 ;
-        RECT 8.000 2175.760 2916.580 2176.240 ;
-        RECT 54.000 2173.520 2870.580 2175.760 ;
-        RECT 8.000 2173.040 2916.580 2173.520 ;
-        RECT 54.000 2170.800 2870.580 2173.040 ;
-        RECT 8.000 2170.320 2916.580 2170.800 ;
-        RECT 54.000 2168.080 2870.580 2170.320 ;
-        RECT 8.000 2167.600 2916.580 2168.080 ;
-        RECT 54.000 2165.360 2870.580 2167.600 ;
-        RECT 8.000 2164.880 2916.580 2165.360 ;
-        RECT 54.000 2162.640 2870.580 2164.880 ;
-        RECT 8.000 2162.160 2916.580 2162.640 ;
-        RECT 54.000 2159.920 2870.580 2162.160 ;
-        RECT 8.000 2159.440 2916.580 2159.920 ;
-        RECT 54.000 2157.200 2870.580 2159.440 ;
-        RECT 8.000 2156.720 2916.580 2157.200 ;
-        RECT 54.000 2154.480 2870.580 2156.720 ;
-        RECT 8.000 2154.000 2916.580 2154.480 ;
-        RECT 54.000 2151.760 2870.580 2154.000 ;
-        RECT 8.000 2151.280 2916.580 2151.760 ;
-        RECT 54.000 2149.040 2870.580 2151.280 ;
-        RECT 8.000 2148.560 2916.580 2149.040 ;
-        RECT 54.000 2146.320 2870.580 2148.560 ;
-        RECT 8.000 2145.840 2916.580 2146.320 ;
-        RECT 54.000 2143.600 2870.580 2145.840 ;
-        RECT 8.000 2143.120 2916.580 2143.600 ;
-        RECT 54.000 2140.880 2870.580 2143.120 ;
-        RECT 8.000 2140.400 2916.580 2140.880 ;
-        RECT 54.000 2138.160 2870.580 2140.400 ;
-        RECT 8.000 2137.680 2916.580 2138.160 ;
-        RECT 54.000 2135.440 2870.580 2137.680 ;
-        RECT 8.000 2134.960 2916.580 2135.440 ;
-        RECT 54.000 2132.720 2870.580 2134.960 ;
-        RECT 8.000 2132.240 2916.580 2132.720 ;
-        RECT 54.000 2130.000 2870.580 2132.240 ;
-        RECT 8.000 2129.520 2916.580 2130.000 ;
-        RECT 54.000 2127.280 2870.580 2129.520 ;
-        RECT 8.000 2126.800 2916.580 2127.280 ;
-        RECT 54.000 2124.560 2870.580 2126.800 ;
-        RECT 8.000 2124.080 2916.580 2124.560 ;
-        RECT 54.000 2121.840 2870.580 2124.080 ;
-        RECT 8.000 2121.360 2916.580 2121.840 ;
-        RECT 54.000 2119.120 2870.580 2121.360 ;
-        RECT 8.000 2118.640 2916.580 2119.120 ;
-        RECT 54.000 2116.400 2870.580 2118.640 ;
-        RECT 8.000 2115.920 2916.580 2116.400 ;
-        RECT 54.000 2113.680 2870.580 2115.920 ;
-        RECT 8.000 2113.200 2916.580 2113.680 ;
-        RECT 54.000 2110.960 2870.580 2113.200 ;
-        RECT 8.000 2110.480 2916.580 2110.960 ;
-        RECT 54.000 2108.240 2870.580 2110.480 ;
-        RECT 8.000 2107.760 2916.580 2108.240 ;
-        RECT 54.000 2105.520 2870.580 2107.760 ;
-        RECT 8.000 2105.040 2916.580 2105.520 ;
-        RECT 54.000 2102.800 2870.580 2105.040 ;
-        RECT 8.000 2102.320 2916.580 2102.800 ;
-        RECT 54.000 2100.080 2870.580 2102.320 ;
-        RECT 8.000 2099.600 2916.580 2100.080 ;
-        RECT 54.000 2097.360 2870.580 2099.600 ;
-        RECT 8.000 2096.880 2916.580 2097.360 ;
-        RECT 54.000 2094.640 2870.580 2096.880 ;
-        RECT 8.000 2094.160 2916.580 2094.640 ;
-        RECT 54.000 2091.920 2870.580 2094.160 ;
-        RECT 8.000 2091.440 2916.580 2091.920 ;
-        RECT 54.000 2089.200 2870.580 2091.440 ;
-        RECT 8.000 2088.720 2916.580 2089.200 ;
-        RECT 54.000 2086.480 2870.580 2088.720 ;
-        RECT 8.000 2086.000 2916.580 2086.480 ;
-        RECT 54.000 2083.760 2870.580 2086.000 ;
-        RECT 8.000 2083.280 2916.580 2083.760 ;
-        RECT 54.000 2081.040 2870.580 2083.280 ;
-        RECT 8.000 2080.560 2916.580 2081.040 ;
-        RECT 54.000 2078.320 2870.580 2080.560 ;
-        RECT 8.000 2077.840 2916.580 2078.320 ;
-        RECT 54.000 2075.600 2870.580 2077.840 ;
-        RECT 8.000 2075.120 2916.580 2075.600 ;
-        RECT 54.000 2072.880 2870.580 2075.120 ;
-        RECT 8.000 2072.400 2916.580 2072.880 ;
-        RECT 54.000 2070.160 2870.580 2072.400 ;
-        RECT 8.000 2069.680 2916.580 2070.160 ;
-        RECT 54.000 2067.440 2870.580 2069.680 ;
-        RECT 8.000 2066.960 2916.580 2067.440 ;
-        RECT 54.000 2064.720 2870.580 2066.960 ;
-        RECT 8.000 2064.240 2916.580 2064.720 ;
-        RECT 54.000 2062.000 2870.580 2064.240 ;
-        RECT 8.000 2061.520 2916.580 2062.000 ;
-        RECT 54.000 2059.280 2870.580 2061.520 ;
-        RECT 8.000 2058.800 2916.580 2059.280 ;
-        RECT 54.000 2056.560 2870.580 2058.800 ;
-        RECT 8.000 2056.080 2916.580 2056.560 ;
-        RECT 54.000 2053.840 2870.580 2056.080 ;
-        RECT 8.000 2053.360 2916.580 2053.840 ;
-        RECT 54.000 2051.120 2870.580 2053.360 ;
-        RECT 8.000 2050.640 2916.580 2051.120 ;
-        RECT 54.000 2048.400 2870.580 2050.640 ;
-        RECT 8.000 2047.920 2916.580 2048.400 ;
-        RECT 54.000 2045.680 2870.580 2047.920 ;
-        RECT 8.000 2045.200 2916.580 2045.680 ;
-        RECT 54.000 2042.960 2870.580 2045.200 ;
-        RECT 8.000 2042.480 2916.580 2042.960 ;
-        RECT 54.000 2040.240 2870.580 2042.480 ;
-        RECT 8.000 2039.760 2916.580 2040.240 ;
-        RECT 54.000 2037.520 2870.580 2039.760 ;
-        RECT 8.000 2037.040 2916.580 2037.520 ;
-        RECT 54.000 2034.800 2870.580 2037.040 ;
-        RECT 8.000 2034.320 2916.580 2034.800 ;
-        RECT 54.000 2032.080 2870.580 2034.320 ;
-        RECT 8.000 2031.600 2916.580 2032.080 ;
-        RECT 54.000 2029.360 2870.580 2031.600 ;
-        RECT 8.000 2028.880 2916.580 2029.360 ;
-        RECT 54.000 2026.640 2870.580 2028.880 ;
-        RECT 8.000 2026.160 2916.580 2026.640 ;
-        RECT 54.000 2023.920 2870.580 2026.160 ;
-        RECT 8.000 2023.440 2916.580 2023.920 ;
-        RECT 54.000 2021.200 2870.580 2023.440 ;
-        RECT 8.000 2020.720 2916.580 2021.200 ;
-        RECT 54.000 2018.480 2870.580 2020.720 ;
-        RECT 8.000 2018.000 2916.580 2018.480 ;
-        RECT 54.000 2015.760 2870.580 2018.000 ;
-        RECT 8.000 2015.280 2916.580 2015.760 ;
-        RECT 54.000 2013.040 2870.580 2015.280 ;
-        RECT 8.000 2012.560 2916.580 2013.040 ;
-        RECT 54.000 2010.320 2870.580 2012.560 ;
-        RECT 8.000 2009.840 2916.580 2010.320 ;
-        RECT 54.000 2007.600 2870.580 2009.840 ;
-        RECT 8.000 2007.120 2916.580 2007.600 ;
-        RECT 54.000 2004.880 2870.580 2007.120 ;
-        RECT 8.000 2004.400 2916.580 2004.880 ;
-        RECT 54.000 2002.160 2870.580 2004.400 ;
-        RECT 8.000 2001.680 2916.580 2002.160 ;
-        RECT 54.000 1999.440 2870.580 2001.680 ;
-        RECT 8.000 1998.960 2916.580 1999.440 ;
-        RECT 54.000 1996.720 2870.580 1998.960 ;
-        RECT 8.000 1996.240 2916.580 1996.720 ;
-        RECT 54.000 1994.000 2870.580 1996.240 ;
-        RECT 8.000 1993.520 2916.580 1994.000 ;
-        RECT 54.000 1991.280 2870.580 1993.520 ;
-        RECT 8.000 1990.800 2916.580 1991.280 ;
-        RECT 54.000 1988.560 2870.580 1990.800 ;
-        RECT 8.000 1988.080 2916.580 1988.560 ;
-        RECT 54.000 1985.840 2870.580 1988.080 ;
-        RECT 8.000 1985.360 2916.580 1985.840 ;
-        RECT 54.000 1983.120 2870.580 1985.360 ;
-        RECT 8.000 1982.640 2916.580 1983.120 ;
-        RECT 54.000 1980.400 2870.580 1982.640 ;
-        RECT 8.000 1979.920 2916.580 1980.400 ;
-        RECT 54.000 1977.680 2870.580 1979.920 ;
-        RECT 8.000 1977.200 2916.580 1977.680 ;
-        RECT 54.000 1974.960 2870.580 1977.200 ;
-        RECT 8.000 1974.480 2916.580 1974.960 ;
-        RECT 54.000 1972.240 2870.580 1974.480 ;
-        RECT 8.000 1971.760 2916.580 1972.240 ;
-        RECT 54.000 1969.520 2870.580 1971.760 ;
-        RECT 8.000 1969.040 2916.580 1969.520 ;
-        RECT 54.000 1966.800 2870.580 1969.040 ;
-        RECT 8.000 1966.320 2916.580 1966.800 ;
-        RECT 54.000 1964.080 2870.580 1966.320 ;
-        RECT 8.000 1963.600 2916.580 1964.080 ;
-        RECT 54.000 1961.360 2870.580 1963.600 ;
-        RECT 8.000 1960.880 2916.580 1961.360 ;
-        RECT 54.000 1958.640 2870.580 1960.880 ;
-        RECT 8.000 1958.160 2916.580 1958.640 ;
-        RECT 54.000 1955.920 2870.580 1958.160 ;
-        RECT 8.000 1955.440 2916.580 1955.920 ;
-        RECT 54.000 1953.200 2870.580 1955.440 ;
-        RECT 8.000 1952.720 2916.580 1953.200 ;
-        RECT 54.000 1950.480 2870.580 1952.720 ;
-        RECT 8.000 1950.000 2916.580 1950.480 ;
-        RECT 54.000 1947.760 2870.580 1950.000 ;
-        RECT 8.000 1947.280 2916.580 1947.760 ;
-        RECT 54.000 1945.040 2870.580 1947.280 ;
-        RECT 8.000 1944.560 2916.580 1945.040 ;
-        RECT 54.000 1942.320 2870.580 1944.560 ;
-        RECT 8.000 1941.840 2916.580 1942.320 ;
-        RECT 54.000 1939.600 2870.580 1941.840 ;
-        RECT 8.000 1939.120 2916.580 1939.600 ;
-        RECT 54.000 1936.880 2870.580 1939.120 ;
-        RECT 8.000 1936.400 2916.580 1936.880 ;
-        RECT 54.000 1934.160 2870.580 1936.400 ;
-        RECT 8.000 1933.680 2916.580 1934.160 ;
-        RECT 54.000 1931.440 2870.580 1933.680 ;
-        RECT 8.000 1930.960 2916.580 1931.440 ;
-        RECT 54.000 1928.720 2870.580 1930.960 ;
-        RECT 8.000 1928.240 2916.580 1928.720 ;
-        RECT 54.000 1926.000 2870.580 1928.240 ;
-        RECT 8.000 1925.520 2916.580 1926.000 ;
-        RECT 54.000 1923.280 2870.580 1925.520 ;
-        RECT 8.000 1922.800 2916.580 1923.280 ;
-        RECT 54.000 1920.560 2870.580 1922.800 ;
-        RECT 8.000 1920.080 2916.580 1920.560 ;
-        RECT 54.000 1917.840 2870.580 1920.080 ;
-        RECT 8.000 1917.360 2916.580 1917.840 ;
-        RECT 54.000 1915.120 2870.580 1917.360 ;
-        RECT 8.000 1914.640 2916.580 1915.120 ;
-        RECT 54.000 1912.400 2870.580 1914.640 ;
-        RECT 8.000 1911.920 2916.580 1912.400 ;
-        RECT 54.000 1909.680 2870.580 1911.920 ;
-        RECT 8.000 1909.200 2916.580 1909.680 ;
-        RECT 54.000 1906.960 2870.580 1909.200 ;
-        RECT 8.000 1906.480 2916.580 1906.960 ;
-        RECT 54.000 1904.240 2870.580 1906.480 ;
-        RECT 8.000 1903.760 2916.580 1904.240 ;
-        RECT 54.000 1901.520 2870.580 1903.760 ;
-        RECT 8.000 1901.040 2916.580 1901.520 ;
-        RECT 54.000 1898.800 2870.580 1901.040 ;
-        RECT 8.000 1898.320 2916.580 1898.800 ;
-        RECT 54.000 1896.080 2870.580 1898.320 ;
-        RECT 8.000 1895.600 2916.580 1896.080 ;
-        RECT 54.000 1893.360 2870.580 1895.600 ;
-        RECT 8.000 1892.880 2916.580 1893.360 ;
-        RECT 54.000 1890.640 2870.580 1892.880 ;
-        RECT 8.000 1890.160 2916.580 1890.640 ;
-        RECT 54.000 1887.920 2870.580 1890.160 ;
-        RECT 8.000 1887.440 2916.580 1887.920 ;
-        RECT 54.000 1885.200 2870.580 1887.440 ;
-        RECT 8.000 1884.720 2916.580 1885.200 ;
-        RECT 54.000 1882.480 2870.580 1884.720 ;
-        RECT 8.000 1882.000 2916.580 1882.480 ;
-        RECT 54.000 1879.760 2870.580 1882.000 ;
-        RECT 8.000 1879.280 2916.580 1879.760 ;
-        RECT 54.000 1877.040 2870.580 1879.280 ;
-        RECT 8.000 1876.560 2916.580 1877.040 ;
-        RECT 54.000 1874.320 2870.580 1876.560 ;
-        RECT 8.000 1873.840 2916.580 1874.320 ;
-        RECT 54.000 1871.600 2870.580 1873.840 ;
-        RECT 8.000 1871.120 2916.580 1871.600 ;
-        RECT 54.000 1868.880 2870.580 1871.120 ;
-        RECT 8.000 1868.400 2916.580 1868.880 ;
-        RECT 54.000 1866.160 2870.580 1868.400 ;
-        RECT 8.000 1865.680 2916.580 1866.160 ;
-        RECT 54.000 1863.440 2870.580 1865.680 ;
-        RECT 8.000 1862.960 2916.580 1863.440 ;
-        RECT 54.000 1860.720 2870.580 1862.960 ;
-        RECT 8.000 1860.240 2916.580 1860.720 ;
-        RECT 54.000 1858.000 2870.580 1860.240 ;
-        RECT 8.000 1857.520 2916.580 1858.000 ;
-        RECT 54.000 1855.280 2870.580 1857.520 ;
-        RECT 8.000 1854.800 2916.580 1855.280 ;
-        RECT 54.000 1852.560 2870.580 1854.800 ;
-        RECT 8.000 1852.080 2916.580 1852.560 ;
-        RECT 54.000 1849.840 2870.580 1852.080 ;
-        RECT 8.000 1849.360 2916.580 1849.840 ;
-        RECT 54.000 1847.120 2870.580 1849.360 ;
-        RECT 8.000 1846.640 2916.580 1847.120 ;
-        RECT 54.000 1844.400 2870.580 1846.640 ;
-        RECT 8.000 1843.920 2916.580 1844.400 ;
-        RECT 54.000 1841.680 2870.580 1843.920 ;
-        RECT 8.000 1841.200 2916.580 1841.680 ;
-        RECT 54.000 1838.960 2870.580 1841.200 ;
-        RECT 8.000 1838.480 2916.580 1838.960 ;
-        RECT 54.000 1836.240 2870.580 1838.480 ;
-        RECT 8.000 1835.760 2916.580 1836.240 ;
-        RECT 54.000 1833.520 2870.580 1835.760 ;
-        RECT 8.000 1833.040 2916.580 1833.520 ;
-        RECT 54.000 1830.800 2870.580 1833.040 ;
-        RECT 8.000 1830.320 2916.580 1830.800 ;
-        RECT 54.000 1828.080 2870.580 1830.320 ;
-        RECT 8.000 1827.600 2916.580 1828.080 ;
-        RECT 54.000 1825.360 2870.580 1827.600 ;
-        RECT 8.000 1824.880 2916.580 1825.360 ;
-        RECT 54.000 1822.640 2870.580 1824.880 ;
-        RECT 8.000 1822.160 2916.580 1822.640 ;
-        RECT 54.000 1819.920 2870.580 1822.160 ;
-        RECT 8.000 1819.440 2916.580 1819.920 ;
-        RECT 54.000 1817.200 2870.580 1819.440 ;
-        RECT 8.000 1816.720 2916.580 1817.200 ;
-        RECT 54.000 1814.480 2870.580 1816.720 ;
-        RECT 8.000 1814.000 2916.580 1814.480 ;
-        RECT 54.000 1811.760 2870.580 1814.000 ;
-        RECT 8.000 1811.280 2916.580 1811.760 ;
-        RECT 54.000 1809.040 2870.580 1811.280 ;
-        RECT 8.000 1808.560 2916.580 1809.040 ;
-        RECT 54.000 1806.320 2870.580 1808.560 ;
-        RECT 8.000 1805.840 2916.580 1806.320 ;
-        RECT 54.000 1803.600 2870.580 1805.840 ;
-        RECT 8.000 1803.120 2916.580 1803.600 ;
-        RECT 54.000 1800.880 2870.580 1803.120 ;
-        RECT 8.000 1800.400 2916.580 1800.880 ;
-        RECT 54.000 1798.160 2870.580 1800.400 ;
-        RECT 8.000 1797.680 2916.580 1798.160 ;
-        RECT 54.000 1795.440 2870.580 1797.680 ;
-        RECT 8.000 1794.960 2916.580 1795.440 ;
-        RECT 54.000 1792.720 2870.580 1794.960 ;
-        RECT 8.000 1792.240 2916.580 1792.720 ;
-        RECT 54.000 1790.000 2870.580 1792.240 ;
-        RECT 8.000 1789.520 2916.580 1790.000 ;
-        RECT 54.000 1787.280 2870.580 1789.520 ;
-        RECT 8.000 1786.800 2916.580 1787.280 ;
-        RECT 54.000 1784.560 2870.580 1786.800 ;
-        RECT 8.000 1784.080 2916.580 1784.560 ;
-        RECT 54.000 1781.840 2870.580 1784.080 ;
-        RECT 8.000 1781.360 2916.580 1781.840 ;
-        RECT 54.000 1779.120 2870.580 1781.360 ;
-        RECT 8.000 1778.640 2916.580 1779.120 ;
-        RECT 54.000 1776.400 2870.580 1778.640 ;
-        RECT 8.000 1775.920 2916.580 1776.400 ;
-        RECT 54.000 1773.680 2870.580 1775.920 ;
-        RECT 8.000 1773.200 2916.580 1773.680 ;
-        RECT 54.000 1770.960 2870.580 1773.200 ;
-        RECT 8.000 1770.480 2916.580 1770.960 ;
-        RECT 54.000 1768.240 2870.580 1770.480 ;
-        RECT 8.000 1767.760 2916.580 1768.240 ;
-        RECT 54.000 1765.520 2870.580 1767.760 ;
-        RECT 8.000 1765.040 2916.580 1765.520 ;
-        RECT 54.000 1762.800 2870.580 1765.040 ;
-        RECT 8.000 1762.320 2916.580 1762.800 ;
-        RECT 54.000 1760.080 2870.580 1762.320 ;
-        RECT 8.000 1759.600 2916.580 1760.080 ;
-        RECT 54.000 1757.360 2870.580 1759.600 ;
-        RECT 8.000 1756.880 2916.580 1757.360 ;
-        RECT 54.000 1754.640 2870.580 1756.880 ;
-        RECT 8.000 1754.160 2916.580 1754.640 ;
-        RECT 54.000 1751.920 2870.580 1754.160 ;
-        RECT 8.000 1751.440 2916.580 1751.920 ;
-        RECT 54.000 1749.200 2870.580 1751.440 ;
-        RECT 8.000 1748.720 2916.580 1749.200 ;
-        RECT 54.000 1746.480 2870.580 1748.720 ;
-        RECT 8.000 1746.000 2916.580 1746.480 ;
-        RECT 54.000 1743.760 2870.580 1746.000 ;
-        RECT 8.000 1743.280 2916.580 1743.760 ;
-        RECT 54.000 1741.040 2870.580 1743.280 ;
-        RECT 8.000 1740.560 2916.580 1741.040 ;
-        RECT 54.000 1738.320 2870.580 1740.560 ;
-        RECT 8.000 1737.840 2916.580 1738.320 ;
-        RECT 54.000 1735.600 2870.580 1737.840 ;
-        RECT 8.000 1735.120 2916.580 1735.600 ;
-        RECT 54.000 1732.880 2870.580 1735.120 ;
-        RECT 8.000 1732.400 2916.580 1732.880 ;
-        RECT 54.000 1730.160 2870.580 1732.400 ;
-        RECT 8.000 1729.680 2916.580 1730.160 ;
-        RECT 54.000 1727.440 2870.580 1729.680 ;
-        RECT 8.000 1726.960 2916.580 1727.440 ;
-        RECT 54.000 1724.720 2870.580 1726.960 ;
-        RECT 8.000 1724.240 2916.580 1724.720 ;
-        RECT 54.000 1722.000 2870.580 1724.240 ;
-        RECT 8.000 1721.520 2916.580 1722.000 ;
-        RECT 54.000 1719.280 2870.580 1721.520 ;
-        RECT 8.000 1718.800 2916.580 1719.280 ;
-        RECT 54.000 1716.560 2870.580 1718.800 ;
-        RECT 8.000 1716.080 2916.580 1716.560 ;
-        RECT 54.000 1713.840 2870.580 1716.080 ;
-        RECT 8.000 1713.360 2916.580 1713.840 ;
-        RECT 54.000 1711.120 2870.580 1713.360 ;
-        RECT 8.000 1710.640 2916.580 1711.120 ;
-        RECT 54.000 1708.400 2870.580 1710.640 ;
-        RECT 8.000 1707.920 2916.580 1708.400 ;
-        RECT 54.000 1705.680 2870.580 1707.920 ;
-        RECT 8.000 1705.200 2916.580 1705.680 ;
-        RECT 54.000 1702.960 2870.580 1705.200 ;
-        RECT 8.000 1702.480 2916.580 1702.960 ;
-        RECT 54.000 1700.240 2870.580 1702.480 ;
-        RECT 8.000 1699.760 2916.580 1700.240 ;
-        RECT 54.000 1697.520 2870.580 1699.760 ;
-        RECT 8.000 1697.040 2916.580 1697.520 ;
-        RECT 54.000 1694.800 2870.580 1697.040 ;
-        RECT 8.000 1694.320 2916.580 1694.800 ;
-        RECT 54.000 1692.080 2870.580 1694.320 ;
-        RECT 8.000 1691.600 2916.580 1692.080 ;
-        RECT 54.000 1689.360 2870.580 1691.600 ;
-        RECT 8.000 1688.880 2916.580 1689.360 ;
-        RECT 54.000 1686.640 2870.580 1688.880 ;
-        RECT 8.000 1686.160 2916.580 1686.640 ;
-        RECT 54.000 1683.920 2870.580 1686.160 ;
-        RECT 8.000 1683.440 2916.580 1683.920 ;
-        RECT 54.000 1681.200 2870.580 1683.440 ;
-        RECT 8.000 1680.720 2916.580 1681.200 ;
-        RECT 54.000 1678.480 2870.580 1680.720 ;
-        RECT 8.000 1678.000 2916.580 1678.480 ;
-        RECT 54.000 1675.760 2870.580 1678.000 ;
-        RECT 8.000 1675.280 2916.580 1675.760 ;
-        RECT 54.000 1673.040 2870.580 1675.280 ;
-        RECT 8.000 1672.560 2916.580 1673.040 ;
-        RECT 54.000 1670.320 2870.580 1672.560 ;
-        RECT 8.000 1669.840 2916.580 1670.320 ;
-        RECT 54.000 1667.600 2870.580 1669.840 ;
-        RECT 8.000 1667.120 2916.580 1667.600 ;
-        RECT 54.000 1664.880 2870.580 1667.120 ;
-        RECT 8.000 1664.400 2916.580 1664.880 ;
-        RECT 54.000 1662.160 2870.580 1664.400 ;
-        RECT 8.000 1661.680 2916.580 1662.160 ;
-        RECT 54.000 1659.440 2870.580 1661.680 ;
-        RECT 8.000 1658.960 2916.580 1659.440 ;
-        RECT 54.000 1656.720 2870.580 1658.960 ;
-        RECT 8.000 1656.240 2916.580 1656.720 ;
-        RECT 54.000 1654.000 2870.580 1656.240 ;
-        RECT 8.000 1653.520 2916.580 1654.000 ;
-        RECT 54.000 1651.280 2870.580 1653.520 ;
-        RECT 8.000 1650.800 2916.580 1651.280 ;
-        RECT 54.000 1648.560 2870.580 1650.800 ;
-        RECT 8.000 1648.080 2916.580 1648.560 ;
-        RECT 54.000 1645.840 2870.580 1648.080 ;
-        RECT 8.000 1645.360 2916.580 1645.840 ;
-        RECT 54.000 1643.120 2870.580 1645.360 ;
-        RECT 8.000 1642.640 2916.580 1643.120 ;
-        RECT 54.000 1640.400 2870.580 1642.640 ;
-        RECT 8.000 1639.920 2916.580 1640.400 ;
-        RECT 54.000 1637.680 2870.580 1639.920 ;
-        RECT 8.000 1637.200 2916.580 1637.680 ;
-        RECT 54.000 1634.960 2870.580 1637.200 ;
-        RECT 8.000 1634.480 2916.580 1634.960 ;
-        RECT 54.000 1632.240 2870.580 1634.480 ;
-        RECT 8.000 1631.760 2916.580 1632.240 ;
-        RECT 54.000 1629.520 2870.580 1631.760 ;
-        RECT 8.000 1629.040 2916.580 1629.520 ;
-        RECT 54.000 1626.800 2870.580 1629.040 ;
-        RECT 8.000 1626.320 2916.580 1626.800 ;
-        RECT 54.000 1624.080 2870.580 1626.320 ;
-        RECT 8.000 1623.600 2916.580 1624.080 ;
-        RECT 54.000 1621.360 2870.580 1623.600 ;
-        RECT 8.000 1620.880 2916.580 1621.360 ;
-        RECT 54.000 1618.640 2870.580 1620.880 ;
-        RECT 8.000 1618.160 2916.580 1618.640 ;
-        RECT 54.000 1615.920 2870.580 1618.160 ;
-        RECT 8.000 1615.440 2916.580 1615.920 ;
-        RECT 54.000 1613.200 2870.580 1615.440 ;
-        RECT 8.000 1612.720 2916.580 1613.200 ;
-        RECT 54.000 1610.480 2870.580 1612.720 ;
-        RECT 8.000 1610.000 2916.580 1610.480 ;
-        RECT 54.000 1607.760 2870.580 1610.000 ;
-        RECT 8.000 1607.280 2916.580 1607.760 ;
-        RECT 54.000 1605.040 2870.580 1607.280 ;
-        RECT 8.000 1604.560 2916.580 1605.040 ;
-        RECT 54.000 1602.320 2870.580 1604.560 ;
-        RECT 8.000 1601.840 2916.580 1602.320 ;
-        RECT 54.000 1599.600 2870.580 1601.840 ;
-        RECT 8.000 1599.120 2916.580 1599.600 ;
-        RECT 54.000 1596.880 2870.580 1599.120 ;
-        RECT 8.000 1596.400 2916.580 1596.880 ;
-        RECT 54.000 1594.160 2870.580 1596.400 ;
-        RECT 8.000 1593.680 2916.580 1594.160 ;
-        RECT 54.000 1591.440 2870.580 1593.680 ;
-        RECT 8.000 1590.960 2916.580 1591.440 ;
-        RECT 54.000 1588.720 2870.580 1590.960 ;
-        RECT 8.000 1588.240 2916.580 1588.720 ;
-        RECT 54.000 1586.000 2870.580 1588.240 ;
-        RECT 8.000 1585.520 2916.580 1586.000 ;
-        RECT 54.000 1583.280 2870.580 1585.520 ;
-        RECT 8.000 1582.800 2916.580 1583.280 ;
-        RECT 54.000 1580.560 2870.580 1582.800 ;
-        RECT 8.000 1580.080 2916.580 1580.560 ;
-        RECT 54.000 1577.840 2870.580 1580.080 ;
-        RECT 8.000 1577.360 2916.580 1577.840 ;
-        RECT 54.000 1575.120 2870.580 1577.360 ;
-        RECT 8.000 1574.640 2916.580 1575.120 ;
-        RECT 54.000 1572.400 2870.580 1574.640 ;
-        RECT 8.000 1571.920 2916.580 1572.400 ;
-        RECT 54.000 1569.680 2870.580 1571.920 ;
-        RECT 8.000 1569.200 2916.580 1569.680 ;
-        RECT 54.000 1566.960 2870.580 1569.200 ;
-        RECT 8.000 1566.480 2916.580 1566.960 ;
-        RECT 54.000 1564.240 2870.580 1566.480 ;
-        RECT 8.000 1563.760 2916.580 1564.240 ;
-        RECT 54.000 1561.520 2870.580 1563.760 ;
-        RECT 8.000 1561.040 2916.580 1561.520 ;
-        RECT 54.000 1558.800 2870.580 1561.040 ;
-        RECT 8.000 1558.320 2916.580 1558.800 ;
-        RECT 54.000 1556.080 2870.580 1558.320 ;
-        RECT 8.000 1555.600 2916.580 1556.080 ;
-        RECT 54.000 1553.360 2870.580 1555.600 ;
-        RECT 8.000 1552.880 2916.580 1553.360 ;
-        RECT 54.000 1550.640 2870.580 1552.880 ;
-        RECT 8.000 1550.160 2916.580 1550.640 ;
-        RECT 54.000 1547.920 2870.580 1550.160 ;
-        RECT 8.000 1547.440 2916.580 1547.920 ;
-        RECT 54.000 1545.200 2870.580 1547.440 ;
-        RECT 8.000 1544.720 2916.580 1545.200 ;
-        RECT 54.000 1542.480 2870.580 1544.720 ;
-        RECT 8.000 1542.000 2916.580 1542.480 ;
-        RECT 54.000 1539.760 2870.580 1542.000 ;
-        RECT 8.000 1539.280 2916.580 1539.760 ;
-        RECT 54.000 1537.040 2870.580 1539.280 ;
-        RECT 8.000 1536.560 2916.580 1537.040 ;
-        RECT 54.000 1534.320 2870.580 1536.560 ;
-        RECT 8.000 1533.840 2916.580 1534.320 ;
-        RECT 54.000 1531.600 2870.580 1533.840 ;
-        RECT 8.000 1531.120 2916.580 1531.600 ;
-        RECT 54.000 1528.880 2870.580 1531.120 ;
-        RECT 8.000 1528.400 2916.580 1528.880 ;
-        RECT 54.000 1526.160 2870.580 1528.400 ;
-        RECT 8.000 1525.680 2916.580 1526.160 ;
-        RECT 54.000 1523.440 2870.580 1525.680 ;
-        RECT 8.000 1522.960 2916.580 1523.440 ;
-        RECT 54.000 1520.720 2870.580 1522.960 ;
-        RECT 8.000 1520.240 2916.580 1520.720 ;
-        RECT 54.000 1518.000 2870.580 1520.240 ;
-        RECT 8.000 1517.520 2916.580 1518.000 ;
-        RECT 54.000 1515.280 2870.580 1517.520 ;
-        RECT 8.000 1514.800 2916.580 1515.280 ;
-        RECT 54.000 1512.560 2870.580 1514.800 ;
-        RECT 8.000 1512.080 2916.580 1512.560 ;
-        RECT 54.000 1509.840 2870.580 1512.080 ;
-        RECT 8.000 1509.360 2916.580 1509.840 ;
-        RECT 54.000 1507.120 2870.580 1509.360 ;
-        RECT 8.000 1506.640 2916.580 1507.120 ;
-        RECT 54.000 1504.400 2870.580 1506.640 ;
-        RECT 8.000 1503.920 2916.580 1504.400 ;
-        RECT 54.000 1501.680 2870.580 1503.920 ;
-        RECT 8.000 1501.200 2916.580 1501.680 ;
-        RECT 54.000 1498.960 2870.580 1501.200 ;
-        RECT 8.000 1498.480 2916.580 1498.960 ;
-        RECT 54.000 1496.240 2870.580 1498.480 ;
-        RECT 8.000 1495.760 2916.580 1496.240 ;
-        RECT 54.000 1493.520 2870.580 1495.760 ;
-        RECT 8.000 1493.040 2916.580 1493.520 ;
-        RECT 54.000 1490.800 2870.580 1493.040 ;
-        RECT 8.000 1490.320 2916.580 1490.800 ;
-        RECT 54.000 1488.080 2870.580 1490.320 ;
-        RECT 8.000 1487.600 2916.580 1488.080 ;
-        RECT 54.000 1485.360 2870.580 1487.600 ;
-        RECT 8.000 1484.880 2916.580 1485.360 ;
-        RECT 54.000 1482.640 2870.580 1484.880 ;
-        RECT 8.000 1482.160 2916.580 1482.640 ;
-        RECT 54.000 1479.920 2870.580 1482.160 ;
-        RECT 8.000 1479.440 2916.580 1479.920 ;
-        RECT 54.000 1477.200 2870.580 1479.440 ;
-        RECT 8.000 1476.720 2916.580 1477.200 ;
-        RECT 54.000 1474.480 2870.580 1476.720 ;
-        RECT 8.000 1474.000 2916.580 1474.480 ;
-        RECT 54.000 1471.760 2870.580 1474.000 ;
-        RECT 8.000 1471.280 2916.580 1471.760 ;
-        RECT 54.000 1469.040 2870.580 1471.280 ;
-        RECT 8.000 1468.560 2916.580 1469.040 ;
-        RECT 54.000 1466.320 2870.580 1468.560 ;
-        RECT 8.000 1465.840 2916.580 1466.320 ;
-        RECT 54.000 1463.600 2870.580 1465.840 ;
-        RECT 8.000 1463.120 2916.580 1463.600 ;
-        RECT 54.000 1460.880 2870.580 1463.120 ;
-        RECT 8.000 1460.400 2916.580 1460.880 ;
-        RECT 54.000 1458.160 2870.580 1460.400 ;
-        RECT 8.000 1457.680 2916.580 1458.160 ;
-        RECT 54.000 1455.440 2870.580 1457.680 ;
-        RECT 8.000 1454.960 2916.580 1455.440 ;
-        RECT 54.000 1452.720 2870.580 1454.960 ;
-        RECT 8.000 1452.240 2916.580 1452.720 ;
-        RECT 54.000 1450.000 2870.580 1452.240 ;
-        RECT 8.000 1449.520 2916.580 1450.000 ;
-        RECT 54.000 1447.280 2870.580 1449.520 ;
-        RECT 8.000 1446.800 2916.580 1447.280 ;
-        RECT 54.000 1444.560 2870.580 1446.800 ;
-        RECT 8.000 1444.080 2916.580 1444.560 ;
-        RECT 54.000 1441.840 2870.580 1444.080 ;
-        RECT 8.000 1441.360 2916.580 1441.840 ;
-        RECT 54.000 1439.120 2870.580 1441.360 ;
-        RECT 8.000 1438.640 2916.580 1439.120 ;
-        RECT 54.000 1436.400 2870.580 1438.640 ;
-        RECT 8.000 1435.920 2916.580 1436.400 ;
-        RECT 54.000 1433.680 2870.580 1435.920 ;
-        RECT 8.000 1433.200 2916.580 1433.680 ;
-        RECT 54.000 1430.960 2870.580 1433.200 ;
-        RECT 8.000 1430.480 2916.580 1430.960 ;
-        RECT 54.000 1428.240 2870.580 1430.480 ;
-        RECT 8.000 1427.760 2916.580 1428.240 ;
-        RECT 54.000 1425.520 2870.580 1427.760 ;
-        RECT 8.000 1425.040 2916.580 1425.520 ;
-        RECT 54.000 1422.800 2870.580 1425.040 ;
-        RECT 8.000 1422.320 2916.580 1422.800 ;
-        RECT 54.000 1420.080 2870.580 1422.320 ;
-        RECT 8.000 1419.600 2916.580 1420.080 ;
-        RECT 54.000 1417.360 2870.580 1419.600 ;
-        RECT 8.000 1416.880 2916.580 1417.360 ;
-        RECT 54.000 1414.640 2870.580 1416.880 ;
-        RECT 8.000 1414.160 2916.580 1414.640 ;
-        RECT 54.000 1411.920 2870.580 1414.160 ;
-        RECT 8.000 1411.440 2916.580 1411.920 ;
-        RECT 54.000 1409.200 2870.580 1411.440 ;
-        RECT 8.000 1408.720 2916.580 1409.200 ;
-        RECT 54.000 1406.480 2870.580 1408.720 ;
-        RECT 8.000 1406.000 2916.580 1406.480 ;
-        RECT 54.000 1403.760 2870.580 1406.000 ;
-        RECT 8.000 1403.280 2916.580 1403.760 ;
-        RECT 54.000 1401.040 2870.580 1403.280 ;
-        RECT 8.000 1400.560 2916.580 1401.040 ;
-        RECT 54.000 1398.320 2870.580 1400.560 ;
-        RECT 8.000 1397.840 2916.580 1398.320 ;
-        RECT 54.000 1395.600 2870.580 1397.840 ;
-        RECT 8.000 1395.120 2916.580 1395.600 ;
-        RECT 54.000 1392.880 2870.580 1395.120 ;
-        RECT 8.000 1392.400 2916.580 1392.880 ;
-        RECT 54.000 1390.160 2870.580 1392.400 ;
-        RECT 8.000 1389.680 2916.580 1390.160 ;
-        RECT 54.000 1387.440 2870.580 1389.680 ;
-        RECT 8.000 1386.960 2916.580 1387.440 ;
-        RECT 54.000 1384.720 2870.580 1386.960 ;
-        RECT 8.000 1384.240 2916.580 1384.720 ;
-        RECT 54.000 1382.000 2870.580 1384.240 ;
-        RECT 8.000 1381.520 2916.580 1382.000 ;
-        RECT 54.000 1379.280 2870.580 1381.520 ;
-        RECT 8.000 1378.800 2916.580 1379.280 ;
-        RECT 54.000 1376.560 2870.580 1378.800 ;
-        RECT 8.000 1376.080 2916.580 1376.560 ;
-        RECT 54.000 1373.840 2870.580 1376.080 ;
-        RECT 8.000 1373.360 2916.580 1373.840 ;
-        RECT 54.000 1371.120 2870.580 1373.360 ;
-        RECT 8.000 1370.640 2916.580 1371.120 ;
-        RECT 54.000 1368.400 2870.580 1370.640 ;
-        RECT 8.000 1367.920 2916.580 1368.400 ;
-        RECT 54.000 1365.680 2870.580 1367.920 ;
-        RECT 8.000 1365.200 2916.580 1365.680 ;
-        RECT 54.000 1362.960 2870.580 1365.200 ;
-        RECT 8.000 1362.480 2916.580 1362.960 ;
-        RECT 54.000 1360.240 2870.580 1362.480 ;
-        RECT 8.000 1359.760 2916.580 1360.240 ;
-        RECT 54.000 1357.520 2870.580 1359.760 ;
-        RECT 8.000 1357.040 2916.580 1357.520 ;
-        RECT 54.000 1354.800 2870.580 1357.040 ;
-        RECT 8.000 1354.320 2916.580 1354.800 ;
-        RECT 54.000 1352.080 2870.580 1354.320 ;
-        RECT 8.000 1351.600 2916.580 1352.080 ;
-        RECT 54.000 1349.360 2870.580 1351.600 ;
-        RECT 8.000 1348.880 2916.580 1349.360 ;
-        RECT 54.000 1346.640 2870.580 1348.880 ;
-        RECT 8.000 1346.160 2916.580 1346.640 ;
-        RECT 54.000 1343.920 2870.580 1346.160 ;
-        RECT 8.000 1343.440 2916.580 1343.920 ;
-        RECT 54.000 1341.200 2870.580 1343.440 ;
-        RECT 8.000 1340.720 2916.580 1341.200 ;
-        RECT 54.000 1338.480 2870.580 1340.720 ;
-        RECT 8.000 1338.000 2916.580 1338.480 ;
-        RECT 54.000 1335.760 2870.580 1338.000 ;
-        RECT 8.000 1335.280 2916.580 1335.760 ;
-        RECT 54.000 1333.040 2870.580 1335.280 ;
-        RECT 8.000 1332.560 2916.580 1333.040 ;
-        RECT 54.000 1330.320 2870.580 1332.560 ;
-        RECT 8.000 1329.840 2916.580 1330.320 ;
-        RECT 54.000 1327.600 2870.580 1329.840 ;
-        RECT 8.000 1327.120 2916.580 1327.600 ;
-        RECT 54.000 1324.880 2870.580 1327.120 ;
-        RECT 8.000 1324.400 2916.580 1324.880 ;
-        RECT 54.000 1322.160 2870.580 1324.400 ;
-        RECT 8.000 1321.680 2916.580 1322.160 ;
-        RECT 54.000 1319.440 2870.580 1321.680 ;
-        RECT 8.000 1318.960 2916.580 1319.440 ;
-        RECT 54.000 1316.720 2870.580 1318.960 ;
-        RECT 8.000 1316.240 2916.580 1316.720 ;
-        RECT 54.000 1314.000 2870.580 1316.240 ;
-        RECT 8.000 1313.520 2916.580 1314.000 ;
-        RECT 54.000 1311.280 2870.580 1313.520 ;
-        RECT 8.000 1310.800 2916.580 1311.280 ;
-        RECT 54.000 1308.560 2870.580 1310.800 ;
-        RECT 8.000 1308.080 2916.580 1308.560 ;
-        RECT 54.000 1305.840 2870.580 1308.080 ;
-        RECT 8.000 1305.360 2916.580 1305.840 ;
-        RECT 54.000 1303.120 2870.580 1305.360 ;
-        RECT 8.000 1302.640 2916.580 1303.120 ;
-        RECT 54.000 1300.400 2870.580 1302.640 ;
-        RECT 8.000 1299.920 2916.580 1300.400 ;
-        RECT 54.000 1297.680 2870.580 1299.920 ;
-        RECT 8.000 1297.200 2916.580 1297.680 ;
-        RECT 54.000 1294.960 2870.580 1297.200 ;
-        RECT 8.000 1294.480 2916.580 1294.960 ;
-        RECT 54.000 1292.240 2870.580 1294.480 ;
-        RECT 8.000 1291.760 2916.580 1292.240 ;
-        RECT 54.000 1289.520 2870.580 1291.760 ;
-        RECT 8.000 1289.040 2916.580 1289.520 ;
-        RECT 54.000 1286.800 2870.580 1289.040 ;
-        RECT 8.000 1286.320 2916.580 1286.800 ;
-        RECT 54.000 1284.080 2870.580 1286.320 ;
-        RECT 8.000 1283.600 2916.580 1284.080 ;
-        RECT 54.000 1281.360 2870.580 1283.600 ;
-        RECT 8.000 1280.880 2916.580 1281.360 ;
-        RECT 54.000 1278.640 2870.580 1280.880 ;
-        RECT 8.000 1278.160 2916.580 1278.640 ;
-        RECT 54.000 1275.920 2870.580 1278.160 ;
-        RECT 8.000 1275.440 2916.580 1275.920 ;
-        RECT 54.000 1273.200 2870.580 1275.440 ;
-        RECT 8.000 1272.720 2916.580 1273.200 ;
-        RECT 54.000 1270.480 2870.580 1272.720 ;
-        RECT 8.000 1270.000 2916.580 1270.480 ;
-        RECT 54.000 1267.760 2870.580 1270.000 ;
-        RECT 8.000 1267.280 2916.580 1267.760 ;
-        RECT 54.000 1265.040 2870.580 1267.280 ;
-        RECT 8.000 1264.560 2916.580 1265.040 ;
-        RECT 54.000 1262.320 2870.580 1264.560 ;
-        RECT 8.000 1261.840 2916.580 1262.320 ;
-        RECT 54.000 1259.600 2870.580 1261.840 ;
-        RECT 8.000 1259.120 2916.580 1259.600 ;
-        RECT 54.000 1256.880 2870.580 1259.120 ;
-        RECT 8.000 1256.400 2916.580 1256.880 ;
-        RECT 54.000 1254.160 2870.580 1256.400 ;
-        RECT 8.000 1253.680 2916.580 1254.160 ;
-        RECT 54.000 1251.440 2870.580 1253.680 ;
-        RECT 8.000 1250.960 2916.580 1251.440 ;
-        RECT 54.000 1248.720 2870.580 1250.960 ;
-        RECT 8.000 1248.240 2916.580 1248.720 ;
-        RECT 54.000 1246.000 2870.580 1248.240 ;
-        RECT 8.000 1245.520 2916.580 1246.000 ;
-        RECT 54.000 1243.280 2870.580 1245.520 ;
-        RECT 8.000 1242.800 2916.580 1243.280 ;
-        RECT 54.000 1240.560 2870.580 1242.800 ;
-        RECT 8.000 1240.080 2916.580 1240.560 ;
-        RECT 54.000 1237.840 2870.580 1240.080 ;
-        RECT 8.000 1237.360 2916.580 1237.840 ;
-        RECT 54.000 1235.120 2870.580 1237.360 ;
-        RECT 8.000 1234.640 2916.580 1235.120 ;
-        RECT 54.000 1232.400 2870.580 1234.640 ;
-        RECT 8.000 1231.920 2916.580 1232.400 ;
-        RECT 54.000 1229.680 2870.580 1231.920 ;
-        RECT 8.000 1229.200 2916.580 1229.680 ;
-        RECT 54.000 1226.960 2870.580 1229.200 ;
-        RECT 8.000 1226.480 2916.580 1226.960 ;
-        RECT 54.000 1224.240 2870.580 1226.480 ;
-        RECT 8.000 1223.760 2916.580 1224.240 ;
-        RECT 54.000 1221.520 2870.580 1223.760 ;
-        RECT 8.000 1221.040 2916.580 1221.520 ;
-        RECT 54.000 1218.800 2870.580 1221.040 ;
-        RECT 8.000 1218.320 2916.580 1218.800 ;
-        RECT 54.000 1216.080 2870.580 1218.320 ;
-        RECT 8.000 1215.600 2916.580 1216.080 ;
-        RECT 54.000 1213.360 2870.580 1215.600 ;
-        RECT 8.000 1212.880 2916.580 1213.360 ;
-        RECT 54.000 1210.640 2870.580 1212.880 ;
-        RECT 8.000 1210.160 2916.580 1210.640 ;
-        RECT 54.000 1207.920 2870.580 1210.160 ;
-        RECT 8.000 1207.440 2916.580 1207.920 ;
-        RECT 54.000 1205.200 2870.580 1207.440 ;
-        RECT 8.000 1204.720 2916.580 1205.200 ;
-        RECT 54.000 1202.480 2870.580 1204.720 ;
-        RECT 8.000 1202.000 2916.580 1202.480 ;
-        RECT 54.000 1199.760 2870.580 1202.000 ;
-        RECT 8.000 1199.280 2916.580 1199.760 ;
-        RECT 54.000 1197.040 2870.580 1199.280 ;
-        RECT 8.000 1196.560 2916.580 1197.040 ;
-        RECT 54.000 1194.320 2870.580 1196.560 ;
-        RECT 8.000 1193.840 2916.580 1194.320 ;
-        RECT 54.000 1191.600 2870.580 1193.840 ;
-        RECT 8.000 1191.120 2916.580 1191.600 ;
-        RECT 54.000 1188.880 2870.580 1191.120 ;
-        RECT 8.000 1188.400 2916.580 1188.880 ;
-        RECT 54.000 1186.160 2870.580 1188.400 ;
-        RECT 8.000 1185.680 2916.580 1186.160 ;
-        RECT 54.000 1183.440 2870.580 1185.680 ;
-        RECT 8.000 1182.960 2916.580 1183.440 ;
-        RECT 54.000 1180.720 2870.580 1182.960 ;
-        RECT 8.000 1180.240 2916.580 1180.720 ;
-        RECT 54.000 1178.000 2870.580 1180.240 ;
-        RECT 8.000 1177.520 2916.580 1178.000 ;
-        RECT 54.000 1175.280 2870.580 1177.520 ;
-        RECT 8.000 1174.800 2916.580 1175.280 ;
-        RECT 54.000 1172.560 2870.580 1174.800 ;
-        RECT 8.000 1172.080 2916.580 1172.560 ;
-        RECT 54.000 1169.840 2870.580 1172.080 ;
-        RECT 8.000 1169.360 2916.580 1169.840 ;
-        RECT 54.000 1167.120 2870.580 1169.360 ;
-        RECT 8.000 1166.640 2916.580 1167.120 ;
-        RECT 54.000 1164.400 2870.580 1166.640 ;
-        RECT 8.000 1163.920 2916.580 1164.400 ;
-        RECT 54.000 1161.680 2870.580 1163.920 ;
-        RECT 8.000 1161.200 2916.580 1161.680 ;
-        RECT 54.000 1158.960 2870.580 1161.200 ;
-        RECT 8.000 1158.480 2916.580 1158.960 ;
-        RECT 54.000 1156.240 2870.580 1158.480 ;
-        RECT 8.000 1155.760 2916.580 1156.240 ;
-        RECT 54.000 1153.520 2870.580 1155.760 ;
-        RECT 8.000 1153.040 2916.580 1153.520 ;
-        RECT 54.000 1150.800 2870.580 1153.040 ;
-        RECT 8.000 1150.320 2916.580 1150.800 ;
-        RECT 54.000 1148.080 2870.580 1150.320 ;
-        RECT 8.000 1147.600 2916.580 1148.080 ;
-        RECT 54.000 1145.360 2870.580 1147.600 ;
-        RECT 8.000 1144.880 2916.580 1145.360 ;
-        RECT 54.000 1142.640 2870.580 1144.880 ;
-        RECT 8.000 1142.160 2916.580 1142.640 ;
-        RECT 54.000 1139.920 2870.580 1142.160 ;
-        RECT 8.000 1139.440 2916.580 1139.920 ;
-        RECT 54.000 1137.200 2870.580 1139.440 ;
-        RECT 8.000 1136.720 2916.580 1137.200 ;
-        RECT 54.000 1134.480 2870.580 1136.720 ;
-        RECT 8.000 1134.000 2916.580 1134.480 ;
-        RECT 54.000 1131.760 2870.580 1134.000 ;
-        RECT 8.000 1131.280 2916.580 1131.760 ;
-        RECT 54.000 1129.040 2870.580 1131.280 ;
-        RECT 8.000 1128.560 2916.580 1129.040 ;
-        RECT 54.000 1126.320 2870.580 1128.560 ;
-        RECT 8.000 1125.840 2916.580 1126.320 ;
-        RECT 54.000 1123.600 2870.580 1125.840 ;
-        RECT 8.000 1123.120 2916.580 1123.600 ;
-        RECT 54.000 1120.880 2870.580 1123.120 ;
-        RECT 8.000 1120.400 2916.580 1120.880 ;
-        RECT 54.000 1118.160 2870.580 1120.400 ;
-        RECT 8.000 1117.680 2916.580 1118.160 ;
-        RECT 54.000 1115.440 2870.580 1117.680 ;
-        RECT 8.000 1114.960 2916.580 1115.440 ;
-        RECT 54.000 1112.720 2870.580 1114.960 ;
-        RECT 8.000 1112.240 2916.580 1112.720 ;
-        RECT 54.000 1110.000 2870.580 1112.240 ;
-        RECT 8.000 1109.520 2916.580 1110.000 ;
-        RECT 54.000 1107.280 2870.580 1109.520 ;
-        RECT 8.000 1106.800 2916.580 1107.280 ;
-        RECT 54.000 1104.560 2870.580 1106.800 ;
-        RECT 8.000 1104.080 2916.580 1104.560 ;
-        RECT 54.000 1101.840 2870.580 1104.080 ;
-        RECT 8.000 1101.360 2916.580 1101.840 ;
-        RECT 54.000 1099.120 2870.580 1101.360 ;
-        RECT 8.000 1098.640 2916.580 1099.120 ;
-        RECT 54.000 1096.400 2870.580 1098.640 ;
-        RECT 8.000 1095.920 2916.580 1096.400 ;
-        RECT 54.000 1093.680 2870.580 1095.920 ;
-        RECT 8.000 1093.200 2916.580 1093.680 ;
-        RECT 54.000 1090.960 2870.580 1093.200 ;
-        RECT 8.000 1090.480 2916.580 1090.960 ;
-        RECT 54.000 1088.240 2870.580 1090.480 ;
-        RECT 8.000 1087.760 2916.580 1088.240 ;
-        RECT 54.000 1085.520 2870.580 1087.760 ;
-        RECT 8.000 1085.040 2916.580 1085.520 ;
-        RECT 54.000 1082.800 2870.580 1085.040 ;
-        RECT 8.000 1082.320 2916.580 1082.800 ;
-        RECT 54.000 1080.080 2870.580 1082.320 ;
-        RECT 8.000 1079.600 2916.580 1080.080 ;
-        RECT 54.000 1077.360 2870.580 1079.600 ;
-        RECT 8.000 1076.880 2916.580 1077.360 ;
-        RECT 54.000 1074.640 2870.580 1076.880 ;
-        RECT 8.000 1074.160 2916.580 1074.640 ;
-        RECT 54.000 1071.920 2870.580 1074.160 ;
-        RECT 8.000 1071.440 2916.580 1071.920 ;
-        RECT 54.000 1069.200 2870.580 1071.440 ;
-        RECT 8.000 1068.720 2916.580 1069.200 ;
-        RECT 54.000 1066.480 2870.580 1068.720 ;
-        RECT 8.000 1066.000 2916.580 1066.480 ;
-        RECT 54.000 1063.760 2870.580 1066.000 ;
-        RECT 8.000 1063.280 2916.580 1063.760 ;
-        RECT 54.000 1061.040 2870.580 1063.280 ;
-        RECT 8.000 1060.560 2916.580 1061.040 ;
-        RECT 54.000 1058.320 2870.580 1060.560 ;
-        RECT 8.000 1057.840 2916.580 1058.320 ;
-        RECT 54.000 1055.600 2870.580 1057.840 ;
-        RECT 8.000 1055.120 2916.580 1055.600 ;
-        RECT 54.000 1052.880 2870.580 1055.120 ;
-        RECT 8.000 1052.400 2916.580 1052.880 ;
-        RECT 54.000 1050.160 2870.580 1052.400 ;
-        RECT 8.000 1049.680 2916.580 1050.160 ;
-        RECT 54.000 1047.440 2870.580 1049.680 ;
-        RECT 8.000 1046.960 2916.580 1047.440 ;
-        RECT 54.000 1044.720 2870.580 1046.960 ;
-        RECT 8.000 1044.240 2916.580 1044.720 ;
-        RECT 54.000 1042.000 2870.580 1044.240 ;
-        RECT 8.000 1041.520 2916.580 1042.000 ;
-        RECT 54.000 1039.280 2870.580 1041.520 ;
-        RECT 8.000 1038.800 2916.580 1039.280 ;
-        RECT 54.000 1036.560 2870.580 1038.800 ;
-        RECT 8.000 1036.080 2916.580 1036.560 ;
-        RECT 54.000 1033.840 2870.580 1036.080 ;
-        RECT 8.000 1033.360 2916.580 1033.840 ;
-        RECT 54.000 1031.120 2870.580 1033.360 ;
-        RECT 8.000 1030.640 2916.580 1031.120 ;
-        RECT 54.000 1028.400 2870.580 1030.640 ;
-        RECT 8.000 1027.920 2916.580 1028.400 ;
-        RECT 54.000 1025.680 2870.580 1027.920 ;
-        RECT 8.000 1025.200 2916.580 1025.680 ;
-        RECT 54.000 1022.960 2870.580 1025.200 ;
-        RECT 8.000 1022.480 2916.580 1022.960 ;
-        RECT 54.000 1020.240 2870.580 1022.480 ;
-        RECT 8.000 1019.760 2916.580 1020.240 ;
-        RECT 54.000 1017.520 2870.580 1019.760 ;
-        RECT 8.000 1017.040 2916.580 1017.520 ;
-        RECT 54.000 1014.800 2870.580 1017.040 ;
-        RECT 8.000 1014.320 2916.580 1014.800 ;
-        RECT 54.000 1012.080 2870.580 1014.320 ;
-        RECT 8.000 1011.600 2916.580 1012.080 ;
-        RECT 54.000 1009.360 2870.580 1011.600 ;
-        RECT 8.000 1008.880 2916.580 1009.360 ;
-        RECT 54.000 1006.640 2870.580 1008.880 ;
-        RECT 8.000 1006.160 2916.580 1006.640 ;
-        RECT 54.000 1003.920 2870.580 1006.160 ;
-        RECT 8.000 1003.440 2916.580 1003.920 ;
-        RECT 54.000 1001.200 2870.580 1003.440 ;
-        RECT 8.000 1000.720 2916.580 1001.200 ;
-        RECT 54.000 998.480 2870.580 1000.720 ;
-        RECT 8.000 998.000 2916.580 998.480 ;
-        RECT 54.000 995.760 2870.580 998.000 ;
-        RECT 8.000 995.280 2916.580 995.760 ;
-        RECT 54.000 993.040 2870.580 995.280 ;
-        RECT 8.000 992.560 2916.580 993.040 ;
-        RECT 54.000 990.320 2870.580 992.560 ;
-        RECT 8.000 989.840 2916.580 990.320 ;
-        RECT 54.000 987.600 2870.580 989.840 ;
-        RECT 8.000 987.120 2916.580 987.600 ;
-        RECT 54.000 984.880 2870.580 987.120 ;
-        RECT 8.000 984.400 2916.580 984.880 ;
-        RECT 54.000 982.160 2870.580 984.400 ;
-        RECT 8.000 981.680 2916.580 982.160 ;
-        RECT 54.000 979.440 2870.580 981.680 ;
-        RECT 8.000 978.960 2916.580 979.440 ;
-        RECT 54.000 976.720 2870.580 978.960 ;
-        RECT 8.000 976.240 2916.580 976.720 ;
-        RECT 54.000 974.000 2870.580 976.240 ;
-        RECT 8.000 973.520 2916.580 974.000 ;
-        RECT 54.000 971.280 2870.580 973.520 ;
-        RECT 8.000 970.800 2916.580 971.280 ;
-        RECT 54.000 968.560 2870.580 970.800 ;
-        RECT 8.000 968.080 2916.580 968.560 ;
-        RECT 54.000 965.840 2870.580 968.080 ;
-        RECT 8.000 965.360 2916.580 965.840 ;
-        RECT 54.000 963.120 2870.580 965.360 ;
-        RECT 8.000 962.640 2916.580 963.120 ;
-        RECT 54.000 960.400 2870.580 962.640 ;
-        RECT 8.000 959.920 2916.580 960.400 ;
-        RECT 54.000 957.680 2870.580 959.920 ;
-        RECT 8.000 957.200 2916.580 957.680 ;
-        RECT 54.000 954.960 2870.580 957.200 ;
-        RECT 8.000 954.480 2916.580 954.960 ;
-        RECT 54.000 952.240 2870.580 954.480 ;
-        RECT 8.000 951.760 2916.580 952.240 ;
-        RECT 54.000 949.520 2870.580 951.760 ;
-        RECT 8.000 949.040 2916.580 949.520 ;
-        RECT 54.000 946.800 2870.580 949.040 ;
-        RECT 8.000 946.320 2916.580 946.800 ;
-        RECT 54.000 944.080 2870.580 946.320 ;
-        RECT 8.000 943.600 2916.580 944.080 ;
-        RECT 54.000 941.360 2870.580 943.600 ;
-        RECT 8.000 940.880 2916.580 941.360 ;
-        RECT 54.000 938.640 2870.580 940.880 ;
-        RECT 8.000 938.160 2916.580 938.640 ;
-        RECT 54.000 935.920 2870.580 938.160 ;
-        RECT 8.000 935.440 2916.580 935.920 ;
-        RECT 54.000 933.200 2870.580 935.440 ;
-        RECT 8.000 932.720 2916.580 933.200 ;
-        RECT 54.000 930.480 2870.580 932.720 ;
-        RECT 8.000 930.000 2916.580 930.480 ;
-        RECT 54.000 927.760 2870.580 930.000 ;
-        RECT 8.000 927.280 2916.580 927.760 ;
-        RECT 54.000 925.040 2870.580 927.280 ;
-        RECT 8.000 924.560 2916.580 925.040 ;
-        RECT 54.000 922.320 2870.580 924.560 ;
-        RECT 8.000 921.840 2916.580 922.320 ;
-        RECT 54.000 919.600 2870.580 921.840 ;
-        RECT 8.000 919.120 2916.580 919.600 ;
-        RECT 54.000 916.880 2870.580 919.120 ;
-        RECT 8.000 916.400 2916.580 916.880 ;
-        RECT 54.000 914.160 2870.580 916.400 ;
-        RECT 8.000 913.680 2916.580 914.160 ;
-        RECT 54.000 911.440 2870.580 913.680 ;
-        RECT 8.000 910.960 2916.580 911.440 ;
-        RECT 54.000 908.720 2870.580 910.960 ;
-        RECT 8.000 908.240 2916.580 908.720 ;
-        RECT 54.000 906.000 2870.580 908.240 ;
-        RECT 8.000 905.520 2916.580 906.000 ;
-        RECT 54.000 903.280 2870.580 905.520 ;
-        RECT 8.000 902.800 2916.580 903.280 ;
-        RECT 54.000 900.560 2870.580 902.800 ;
-        RECT 8.000 900.080 2916.580 900.560 ;
-        RECT 54.000 897.840 2870.580 900.080 ;
-        RECT 8.000 897.360 2916.580 897.840 ;
-        RECT 54.000 895.120 2870.580 897.360 ;
-        RECT 8.000 894.640 2916.580 895.120 ;
-        RECT 54.000 892.400 2870.580 894.640 ;
-        RECT 8.000 891.920 2916.580 892.400 ;
-        RECT 54.000 889.680 2870.580 891.920 ;
-        RECT 8.000 889.200 2916.580 889.680 ;
-        RECT 54.000 886.960 2870.580 889.200 ;
-        RECT 8.000 886.480 2916.580 886.960 ;
-        RECT 54.000 884.240 2870.580 886.480 ;
-        RECT 8.000 883.760 2916.580 884.240 ;
-        RECT 54.000 881.520 2870.580 883.760 ;
-        RECT 8.000 881.040 2916.580 881.520 ;
-        RECT 54.000 878.800 2870.580 881.040 ;
-        RECT 8.000 878.320 2916.580 878.800 ;
-        RECT 54.000 876.080 2870.580 878.320 ;
-        RECT 8.000 875.600 2916.580 876.080 ;
-        RECT 54.000 873.360 2870.580 875.600 ;
-        RECT 8.000 872.880 2916.580 873.360 ;
-        RECT 54.000 870.640 2870.580 872.880 ;
-        RECT 8.000 870.160 2916.580 870.640 ;
-        RECT 54.000 867.920 2870.580 870.160 ;
-        RECT 8.000 867.440 2916.580 867.920 ;
-        RECT 54.000 865.200 2870.580 867.440 ;
-        RECT 8.000 864.720 2916.580 865.200 ;
-        RECT 54.000 862.480 2870.580 864.720 ;
-        RECT 8.000 862.000 2916.580 862.480 ;
-        RECT 54.000 859.760 2870.580 862.000 ;
-        RECT 8.000 859.280 2916.580 859.760 ;
-        RECT 54.000 857.040 2870.580 859.280 ;
-        RECT 8.000 856.560 2916.580 857.040 ;
-        RECT 54.000 854.320 2870.580 856.560 ;
-        RECT 8.000 853.840 2916.580 854.320 ;
-        RECT 54.000 851.600 2870.580 853.840 ;
-        RECT 8.000 851.120 2916.580 851.600 ;
-        RECT 54.000 848.880 2870.580 851.120 ;
-        RECT 8.000 848.400 2916.580 848.880 ;
-        RECT 54.000 846.160 2870.580 848.400 ;
-        RECT 8.000 845.680 2916.580 846.160 ;
-        RECT 54.000 843.440 2870.580 845.680 ;
-        RECT 8.000 842.960 2916.580 843.440 ;
-        RECT 54.000 840.720 2870.580 842.960 ;
-        RECT 8.000 840.240 2916.580 840.720 ;
-        RECT 54.000 838.000 2870.580 840.240 ;
-        RECT 8.000 837.520 2916.580 838.000 ;
-        RECT 54.000 835.280 2870.580 837.520 ;
-        RECT 8.000 834.800 2916.580 835.280 ;
-        RECT 54.000 832.560 2870.580 834.800 ;
-        RECT 8.000 832.080 2916.580 832.560 ;
-        RECT 54.000 829.840 2870.580 832.080 ;
-        RECT 8.000 829.360 2916.580 829.840 ;
-        RECT 54.000 827.120 2870.580 829.360 ;
-        RECT 8.000 826.640 2916.580 827.120 ;
-        RECT 54.000 824.400 2870.580 826.640 ;
-        RECT 8.000 823.920 2916.580 824.400 ;
-        RECT 54.000 821.680 2870.580 823.920 ;
-        RECT 8.000 821.200 2916.580 821.680 ;
-        RECT 54.000 818.960 2870.580 821.200 ;
-        RECT 8.000 818.480 2916.580 818.960 ;
-        RECT 54.000 816.240 2870.580 818.480 ;
-        RECT 8.000 815.760 2916.580 816.240 ;
-        RECT 54.000 813.520 2870.580 815.760 ;
-        RECT 8.000 813.040 2916.580 813.520 ;
-        RECT 54.000 810.800 2870.580 813.040 ;
-        RECT 8.000 810.320 2916.580 810.800 ;
-        RECT 54.000 808.080 2870.580 810.320 ;
-        RECT 8.000 807.600 2916.580 808.080 ;
-        RECT 54.000 805.360 2870.580 807.600 ;
-        RECT 8.000 804.880 2916.580 805.360 ;
-        RECT 54.000 802.640 2870.580 804.880 ;
-        RECT 8.000 802.160 2916.580 802.640 ;
-        RECT 54.000 799.920 2870.580 802.160 ;
-        RECT 8.000 799.440 2916.580 799.920 ;
-        RECT 54.000 797.200 2870.580 799.440 ;
-        RECT 8.000 796.720 2916.580 797.200 ;
-        RECT 54.000 794.480 2870.580 796.720 ;
-        RECT 8.000 794.000 2916.580 794.480 ;
-        RECT 54.000 791.760 2870.580 794.000 ;
-        RECT 8.000 791.280 2916.580 791.760 ;
-        RECT 54.000 789.040 2870.580 791.280 ;
-        RECT 8.000 788.560 2916.580 789.040 ;
-        RECT 54.000 786.320 2870.580 788.560 ;
-        RECT 8.000 785.840 2916.580 786.320 ;
-        RECT 54.000 783.600 2870.580 785.840 ;
-        RECT 8.000 783.120 2916.580 783.600 ;
-        RECT 54.000 780.880 2870.580 783.120 ;
-        RECT 8.000 780.400 2916.580 780.880 ;
-        RECT 54.000 778.160 2870.580 780.400 ;
-        RECT 8.000 777.680 2916.580 778.160 ;
-        RECT 54.000 775.440 2870.580 777.680 ;
-        RECT 8.000 774.960 2916.580 775.440 ;
-        RECT 54.000 772.720 2870.580 774.960 ;
-        RECT 8.000 772.240 2916.580 772.720 ;
-        RECT 54.000 770.000 2870.580 772.240 ;
-        RECT 8.000 769.520 2916.580 770.000 ;
-        RECT 54.000 767.280 2870.580 769.520 ;
-        RECT 8.000 766.800 2916.580 767.280 ;
-        RECT 54.000 764.560 2870.580 766.800 ;
-        RECT 8.000 764.080 2916.580 764.560 ;
-        RECT 54.000 761.840 2870.580 764.080 ;
-        RECT 8.000 761.360 2916.580 761.840 ;
-        RECT 54.000 759.120 2870.580 761.360 ;
-        RECT 8.000 758.640 2916.580 759.120 ;
-        RECT 54.000 756.400 2870.580 758.640 ;
-        RECT 8.000 755.920 2916.580 756.400 ;
-        RECT 54.000 753.680 2870.580 755.920 ;
-        RECT 8.000 753.200 2916.580 753.680 ;
-        RECT 54.000 750.960 2870.580 753.200 ;
-        RECT 8.000 750.480 2916.580 750.960 ;
-        RECT 54.000 748.240 2870.580 750.480 ;
-        RECT 8.000 747.760 2916.580 748.240 ;
-        RECT 54.000 745.520 2870.580 747.760 ;
-        RECT 8.000 745.040 2916.580 745.520 ;
-        RECT 54.000 742.800 2870.580 745.040 ;
-        RECT 8.000 742.320 2916.580 742.800 ;
-        RECT 54.000 740.080 2870.580 742.320 ;
-        RECT 8.000 739.600 2916.580 740.080 ;
-        RECT 54.000 737.360 2870.580 739.600 ;
-        RECT 8.000 736.880 2916.580 737.360 ;
-        RECT 54.000 734.640 2870.580 736.880 ;
-        RECT 8.000 734.160 2916.580 734.640 ;
-        RECT 54.000 731.920 2870.580 734.160 ;
-        RECT 8.000 731.440 2916.580 731.920 ;
-        RECT 54.000 729.200 2870.580 731.440 ;
-        RECT 8.000 728.720 2916.580 729.200 ;
-        RECT 54.000 726.480 2870.580 728.720 ;
-        RECT 8.000 726.000 2916.580 726.480 ;
-        RECT 54.000 723.760 2870.580 726.000 ;
-        RECT 8.000 723.280 2916.580 723.760 ;
-        RECT 54.000 721.040 2870.580 723.280 ;
-        RECT 8.000 720.560 2916.580 721.040 ;
-        RECT 54.000 718.320 2870.580 720.560 ;
-        RECT 8.000 717.840 2916.580 718.320 ;
-        RECT 54.000 715.600 2870.580 717.840 ;
-        RECT 8.000 715.120 2916.580 715.600 ;
-        RECT 54.000 712.880 2870.580 715.120 ;
-        RECT 8.000 712.400 2916.580 712.880 ;
-        RECT 54.000 710.160 2870.580 712.400 ;
-        RECT 8.000 709.680 2916.580 710.160 ;
-        RECT 54.000 707.440 2870.580 709.680 ;
-        RECT 8.000 706.960 2916.580 707.440 ;
-        RECT 54.000 704.720 2870.580 706.960 ;
-        RECT 8.000 704.240 2916.580 704.720 ;
-        RECT 54.000 702.000 2870.580 704.240 ;
-        RECT 8.000 701.520 2916.580 702.000 ;
-        RECT 54.000 699.280 2870.580 701.520 ;
-        RECT 8.000 698.800 2916.580 699.280 ;
-        RECT 54.000 696.560 2870.580 698.800 ;
-        RECT 8.000 696.080 2916.580 696.560 ;
-        RECT 54.000 693.840 2870.580 696.080 ;
-        RECT 8.000 693.360 2916.580 693.840 ;
-        RECT 54.000 691.120 2870.580 693.360 ;
-        RECT 8.000 690.640 2916.580 691.120 ;
-        RECT 54.000 688.400 2870.580 690.640 ;
-        RECT 8.000 687.920 2916.580 688.400 ;
-        RECT 54.000 685.680 2870.580 687.920 ;
-        RECT 8.000 685.200 2916.580 685.680 ;
-        RECT 54.000 682.960 2870.580 685.200 ;
-        RECT 8.000 682.480 2916.580 682.960 ;
-        RECT 54.000 680.240 2870.580 682.480 ;
-        RECT 8.000 679.760 2916.580 680.240 ;
-        RECT 54.000 677.520 2870.580 679.760 ;
-        RECT 8.000 677.040 2916.580 677.520 ;
-        RECT 54.000 674.800 2870.580 677.040 ;
-        RECT 8.000 674.320 2916.580 674.800 ;
-        RECT 54.000 672.080 2870.580 674.320 ;
-        RECT 8.000 671.600 2916.580 672.080 ;
-        RECT 54.000 669.360 2870.580 671.600 ;
-        RECT 8.000 668.880 2916.580 669.360 ;
-        RECT 54.000 666.640 2870.580 668.880 ;
-        RECT 8.000 666.160 2916.580 666.640 ;
-        RECT 54.000 663.920 2870.580 666.160 ;
-        RECT 8.000 663.440 2916.580 663.920 ;
-        RECT 54.000 661.200 2870.580 663.440 ;
-        RECT 8.000 660.720 2916.580 661.200 ;
-        RECT 54.000 658.480 2870.580 660.720 ;
-        RECT 8.000 658.000 2916.580 658.480 ;
-        RECT 54.000 655.760 2870.580 658.000 ;
-        RECT 8.000 655.280 2916.580 655.760 ;
-        RECT 54.000 653.040 2870.580 655.280 ;
-        RECT 8.000 652.560 2916.580 653.040 ;
-        RECT 54.000 650.320 2870.580 652.560 ;
-        RECT 8.000 649.840 2916.580 650.320 ;
-        RECT 54.000 647.600 2870.580 649.840 ;
-        RECT 8.000 647.120 2916.580 647.600 ;
-        RECT 54.000 644.880 2870.580 647.120 ;
-        RECT 8.000 644.400 2916.580 644.880 ;
-        RECT 54.000 642.160 2870.580 644.400 ;
-        RECT 8.000 641.680 2916.580 642.160 ;
-        RECT 54.000 639.440 2870.580 641.680 ;
-        RECT 8.000 638.960 2916.580 639.440 ;
-        RECT 54.000 636.720 2870.580 638.960 ;
-        RECT 8.000 636.240 2916.580 636.720 ;
-        RECT 54.000 634.000 2870.580 636.240 ;
-        RECT 8.000 633.520 2916.580 634.000 ;
-        RECT 54.000 631.280 2870.580 633.520 ;
-        RECT 8.000 630.800 2916.580 631.280 ;
-        RECT 54.000 628.560 2870.580 630.800 ;
-        RECT 8.000 628.080 2916.580 628.560 ;
-        RECT 54.000 625.840 2870.580 628.080 ;
-        RECT 8.000 625.360 2916.580 625.840 ;
-        RECT 54.000 623.120 2870.580 625.360 ;
-        RECT 8.000 622.640 2916.580 623.120 ;
-        RECT 54.000 620.400 2870.580 622.640 ;
-        RECT 8.000 619.920 2916.580 620.400 ;
-        RECT 54.000 617.680 2870.580 619.920 ;
-        RECT 8.000 617.200 2916.580 617.680 ;
-        RECT 54.000 614.960 2870.580 617.200 ;
-        RECT 8.000 614.480 2916.580 614.960 ;
-        RECT 54.000 612.240 2870.580 614.480 ;
-        RECT 8.000 611.760 2916.580 612.240 ;
-        RECT 54.000 609.520 2870.580 611.760 ;
-        RECT 8.000 609.040 2916.580 609.520 ;
-        RECT 54.000 606.800 2870.580 609.040 ;
-        RECT 8.000 606.320 2916.580 606.800 ;
-        RECT 54.000 604.080 2870.580 606.320 ;
-        RECT 8.000 603.600 2916.580 604.080 ;
-        RECT 54.000 601.360 2870.580 603.600 ;
-        RECT 8.000 600.880 2916.580 601.360 ;
-        RECT 54.000 598.640 2870.580 600.880 ;
-        RECT 8.000 598.160 2916.580 598.640 ;
-        RECT 54.000 595.920 2870.580 598.160 ;
-        RECT 8.000 595.440 2916.580 595.920 ;
-        RECT 54.000 593.200 2870.580 595.440 ;
-        RECT 8.000 592.720 2916.580 593.200 ;
-        RECT 54.000 590.480 2870.580 592.720 ;
-        RECT 8.000 590.000 2916.580 590.480 ;
-        RECT 54.000 587.760 2870.580 590.000 ;
-        RECT 8.000 587.280 2916.580 587.760 ;
-        RECT 54.000 585.040 2870.580 587.280 ;
-        RECT 8.000 584.560 2916.580 585.040 ;
-        RECT 54.000 582.320 2870.580 584.560 ;
-        RECT 8.000 581.840 2916.580 582.320 ;
-        RECT 54.000 579.600 2870.580 581.840 ;
-        RECT 8.000 579.120 2916.580 579.600 ;
-        RECT 54.000 576.880 2870.580 579.120 ;
-        RECT 8.000 576.400 2916.580 576.880 ;
-        RECT 54.000 574.160 2870.580 576.400 ;
-        RECT 8.000 573.680 2916.580 574.160 ;
-        RECT 54.000 571.440 2870.580 573.680 ;
-        RECT 8.000 570.960 2916.580 571.440 ;
-        RECT 54.000 568.720 2870.580 570.960 ;
-        RECT 8.000 568.240 2916.580 568.720 ;
-        RECT 54.000 566.000 2870.580 568.240 ;
-        RECT 8.000 565.520 2916.580 566.000 ;
-        RECT 54.000 563.280 2870.580 565.520 ;
-        RECT 8.000 562.800 2916.580 563.280 ;
-        RECT 54.000 560.560 2870.580 562.800 ;
-        RECT 8.000 560.080 2916.580 560.560 ;
-        RECT 54.000 557.840 2870.580 560.080 ;
-        RECT 8.000 557.360 2916.580 557.840 ;
-        RECT 54.000 555.120 2870.580 557.360 ;
-        RECT 8.000 554.640 2916.580 555.120 ;
-        RECT 54.000 552.400 2870.580 554.640 ;
-        RECT 8.000 551.920 2916.580 552.400 ;
-        RECT 54.000 549.680 2870.580 551.920 ;
-        RECT 8.000 549.200 2916.580 549.680 ;
-        RECT 54.000 546.960 2870.580 549.200 ;
-        RECT 8.000 546.480 2916.580 546.960 ;
-        RECT 54.000 544.240 2870.580 546.480 ;
-        RECT 8.000 543.760 2916.580 544.240 ;
-        RECT 54.000 541.520 2870.580 543.760 ;
-        RECT 8.000 541.040 2916.580 541.520 ;
-        RECT 54.000 538.800 2870.580 541.040 ;
-        RECT 8.000 538.320 2916.580 538.800 ;
-        RECT 54.000 536.080 2870.580 538.320 ;
-        RECT 8.000 535.600 2916.580 536.080 ;
-        RECT 54.000 533.360 2870.580 535.600 ;
-        RECT 8.000 532.880 2916.580 533.360 ;
-        RECT 54.000 530.640 2870.580 532.880 ;
-        RECT 8.000 530.160 2916.580 530.640 ;
-        RECT 54.000 527.920 2870.580 530.160 ;
-        RECT 8.000 527.440 2916.580 527.920 ;
-        RECT 54.000 525.200 2870.580 527.440 ;
-        RECT 8.000 524.720 2916.580 525.200 ;
-        RECT 54.000 522.480 2870.580 524.720 ;
-        RECT 8.000 522.000 2916.580 522.480 ;
-        RECT 54.000 519.760 2870.580 522.000 ;
-        RECT 8.000 519.280 2916.580 519.760 ;
-        RECT 54.000 517.040 2870.580 519.280 ;
-        RECT 8.000 516.560 2916.580 517.040 ;
-        RECT 54.000 514.320 2870.580 516.560 ;
-        RECT 8.000 513.840 2916.580 514.320 ;
-        RECT 54.000 511.600 2870.580 513.840 ;
-        RECT 8.000 511.120 2916.580 511.600 ;
-        RECT 54.000 508.880 2870.580 511.120 ;
-        RECT 8.000 508.400 2916.580 508.880 ;
-        RECT 54.000 506.160 2870.580 508.400 ;
-        RECT 8.000 505.680 2916.580 506.160 ;
-        RECT 54.000 503.440 2870.580 505.680 ;
-        RECT 8.000 502.960 2916.580 503.440 ;
-        RECT 54.000 500.720 2870.580 502.960 ;
-        RECT 8.000 500.240 2916.580 500.720 ;
-        RECT 54.000 498.000 2870.580 500.240 ;
-        RECT 8.000 497.520 2916.580 498.000 ;
-        RECT 54.000 495.280 2870.580 497.520 ;
-        RECT 8.000 494.800 2916.580 495.280 ;
-        RECT 54.000 492.560 2870.580 494.800 ;
-        RECT 8.000 492.080 2916.580 492.560 ;
-        RECT 54.000 489.840 2870.580 492.080 ;
-        RECT 8.000 489.360 2916.580 489.840 ;
-        RECT 54.000 487.120 2870.580 489.360 ;
-        RECT 8.000 486.640 2916.580 487.120 ;
-        RECT 54.000 484.400 2870.580 486.640 ;
-        RECT 8.000 483.920 2916.580 484.400 ;
-        RECT 54.000 481.680 2870.580 483.920 ;
-        RECT 8.000 481.200 2916.580 481.680 ;
-        RECT 54.000 478.960 2870.580 481.200 ;
-        RECT 8.000 478.480 2916.580 478.960 ;
-        RECT 54.000 476.240 2870.580 478.480 ;
-        RECT 8.000 475.760 2916.580 476.240 ;
-        RECT 54.000 473.520 2870.580 475.760 ;
-        RECT 8.000 473.040 2916.580 473.520 ;
-        RECT 54.000 470.800 2870.580 473.040 ;
-        RECT 8.000 470.320 2916.580 470.800 ;
-        RECT 54.000 468.080 2870.580 470.320 ;
-        RECT 8.000 467.600 2916.580 468.080 ;
-        RECT 54.000 465.360 2870.580 467.600 ;
-        RECT 8.000 464.880 2916.580 465.360 ;
-        RECT 54.000 462.640 2870.580 464.880 ;
-        RECT 8.000 462.160 2916.580 462.640 ;
-        RECT 54.000 459.920 2870.580 462.160 ;
-        RECT 8.000 459.440 2916.580 459.920 ;
-        RECT 54.000 457.200 2870.580 459.440 ;
-        RECT 8.000 456.720 2916.580 457.200 ;
-        RECT 54.000 454.480 2870.580 456.720 ;
-        RECT 8.000 454.000 2916.580 454.480 ;
-        RECT 54.000 451.760 2870.580 454.000 ;
-        RECT 8.000 451.280 2916.580 451.760 ;
-        RECT 54.000 449.040 2870.580 451.280 ;
-        RECT 8.000 448.560 2916.580 449.040 ;
-        RECT 54.000 446.320 2870.580 448.560 ;
-        RECT 8.000 445.840 2916.580 446.320 ;
-        RECT 54.000 443.600 2870.580 445.840 ;
-        RECT 8.000 443.120 2916.580 443.600 ;
-        RECT 54.000 440.880 2870.580 443.120 ;
-        RECT 8.000 440.400 2916.580 440.880 ;
-        RECT 54.000 438.160 2870.580 440.400 ;
-        RECT 8.000 437.680 2916.580 438.160 ;
-        RECT 54.000 435.440 2870.580 437.680 ;
-        RECT 8.000 434.960 2916.580 435.440 ;
-        RECT 54.000 432.720 2870.580 434.960 ;
-        RECT 8.000 432.240 2916.580 432.720 ;
-        RECT 54.000 430.000 2870.580 432.240 ;
-        RECT 8.000 429.520 2916.580 430.000 ;
-        RECT 54.000 427.280 2870.580 429.520 ;
-        RECT 8.000 426.800 2916.580 427.280 ;
-        RECT 54.000 424.560 2870.580 426.800 ;
-        RECT 8.000 424.080 2916.580 424.560 ;
-        RECT 54.000 421.840 2870.580 424.080 ;
-        RECT 8.000 421.360 2916.580 421.840 ;
-        RECT 54.000 419.120 2870.580 421.360 ;
-        RECT 8.000 418.640 2916.580 419.120 ;
-        RECT 54.000 416.400 2870.580 418.640 ;
-        RECT 8.000 415.920 2916.580 416.400 ;
-        RECT 54.000 413.680 2870.580 415.920 ;
-        RECT 8.000 413.200 2916.580 413.680 ;
-        RECT 54.000 410.960 2870.580 413.200 ;
-        RECT 8.000 410.480 2916.580 410.960 ;
-        RECT 54.000 408.240 2870.580 410.480 ;
-        RECT 8.000 407.760 2916.580 408.240 ;
-        RECT 54.000 405.520 2870.580 407.760 ;
-        RECT 8.000 405.040 2916.580 405.520 ;
-        RECT 54.000 402.800 2870.580 405.040 ;
-        RECT 8.000 402.320 2916.580 402.800 ;
-        RECT 54.000 400.080 2870.580 402.320 ;
-        RECT 8.000 399.600 2916.580 400.080 ;
-        RECT 54.000 397.360 2870.580 399.600 ;
-        RECT 8.000 396.880 2916.580 397.360 ;
-        RECT 54.000 394.640 2870.580 396.880 ;
-        RECT 8.000 394.160 2916.580 394.640 ;
-        RECT 54.000 391.920 2870.580 394.160 ;
-        RECT 8.000 391.440 2916.580 391.920 ;
-        RECT 54.000 389.200 2870.580 391.440 ;
-        RECT 8.000 388.720 2916.580 389.200 ;
-        RECT 54.000 386.480 2870.580 388.720 ;
-        RECT 8.000 386.000 2916.580 386.480 ;
-        RECT 54.000 383.760 2870.580 386.000 ;
-        RECT 8.000 383.280 2916.580 383.760 ;
-        RECT 54.000 381.040 2870.580 383.280 ;
-        RECT 8.000 380.560 2916.580 381.040 ;
-        RECT 54.000 378.320 2870.580 380.560 ;
-        RECT 8.000 377.840 2916.580 378.320 ;
-        RECT 54.000 375.600 2870.580 377.840 ;
-        RECT 8.000 375.120 2916.580 375.600 ;
-        RECT 54.000 372.880 2870.580 375.120 ;
-        RECT 8.000 372.400 2916.580 372.880 ;
-        RECT 54.000 370.160 2870.580 372.400 ;
-        RECT 8.000 369.680 2916.580 370.160 ;
-        RECT 54.000 367.440 2870.580 369.680 ;
-        RECT 8.000 366.960 2916.580 367.440 ;
-        RECT 54.000 364.720 2870.580 366.960 ;
-        RECT 8.000 364.240 2916.580 364.720 ;
-        RECT 54.000 362.000 2870.580 364.240 ;
-        RECT 8.000 361.520 2916.580 362.000 ;
-        RECT 54.000 359.280 2870.580 361.520 ;
-        RECT 8.000 358.800 2916.580 359.280 ;
-        RECT 54.000 356.560 2870.580 358.800 ;
-        RECT 8.000 356.080 2916.580 356.560 ;
-        RECT 54.000 353.840 2870.580 356.080 ;
-        RECT 8.000 353.360 2916.580 353.840 ;
-        RECT 54.000 351.120 2870.580 353.360 ;
-        RECT 8.000 350.640 2916.580 351.120 ;
-        RECT 54.000 348.400 2870.580 350.640 ;
-        RECT 8.000 347.920 2916.580 348.400 ;
-        RECT 54.000 345.680 2870.580 347.920 ;
-        RECT 8.000 345.200 2916.580 345.680 ;
-        RECT 54.000 342.960 2870.580 345.200 ;
-        RECT 8.000 342.480 2916.580 342.960 ;
-        RECT 54.000 340.240 2870.580 342.480 ;
-        RECT 8.000 339.760 2916.580 340.240 ;
-        RECT 54.000 337.520 2870.580 339.760 ;
-        RECT 8.000 337.040 2916.580 337.520 ;
-        RECT 54.000 334.800 2870.580 337.040 ;
-        RECT 8.000 334.320 2916.580 334.800 ;
-        RECT 54.000 332.080 2870.580 334.320 ;
-        RECT 8.000 331.600 2916.580 332.080 ;
-        RECT 54.000 329.360 2870.580 331.600 ;
-        RECT 8.000 328.880 2916.580 329.360 ;
-        RECT 54.000 326.640 2870.580 328.880 ;
-        RECT 8.000 326.160 2916.580 326.640 ;
-        RECT 54.000 323.920 2870.580 326.160 ;
-        RECT 8.000 323.440 2916.580 323.920 ;
-        RECT 54.000 321.200 2870.580 323.440 ;
-        RECT 8.000 320.720 2916.580 321.200 ;
-        RECT 54.000 318.480 2870.580 320.720 ;
-        RECT 8.000 318.000 2916.580 318.480 ;
-        RECT 54.000 315.760 2870.580 318.000 ;
-        RECT 8.000 315.280 2916.580 315.760 ;
-        RECT 54.000 313.040 2870.580 315.280 ;
-        RECT 8.000 312.560 2916.580 313.040 ;
-        RECT 54.000 310.320 2870.580 312.560 ;
-        RECT 8.000 309.840 2916.580 310.320 ;
-        RECT 54.000 307.600 2870.580 309.840 ;
-        RECT 8.000 307.120 2916.580 307.600 ;
-        RECT 54.000 304.880 2870.580 307.120 ;
-        RECT 8.000 304.400 2916.580 304.880 ;
-        RECT 54.000 302.160 2870.580 304.400 ;
-        RECT 8.000 301.680 2916.580 302.160 ;
-        RECT 54.000 299.440 2870.580 301.680 ;
-        RECT 8.000 298.960 2916.580 299.440 ;
-        RECT 54.000 296.720 2870.580 298.960 ;
-        RECT 8.000 296.240 2916.580 296.720 ;
-        RECT 54.000 294.000 2870.580 296.240 ;
-        RECT 8.000 293.520 2916.580 294.000 ;
-        RECT 54.000 291.280 2870.580 293.520 ;
-        RECT 8.000 290.800 2916.580 291.280 ;
-        RECT 54.000 288.560 2870.580 290.800 ;
-        RECT 8.000 288.080 2916.580 288.560 ;
-        RECT 54.000 285.840 2870.580 288.080 ;
-        RECT 8.000 285.360 2916.580 285.840 ;
-        RECT 54.000 283.120 2870.580 285.360 ;
-        RECT 8.000 282.640 2916.580 283.120 ;
-        RECT 54.000 280.400 2870.580 282.640 ;
-        RECT 8.000 279.920 2916.580 280.400 ;
-        RECT 54.000 277.680 2870.580 279.920 ;
-        RECT 8.000 277.200 2916.580 277.680 ;
-        RECT 54.000 274.960 2870.580 277.200 ;
-        RECT 8.000 274.480 2916.580 274.960 ;
-        RECT 54.000 272.240 2870.580 274.480 ;
-        RECT 8.000 271.760 2916.580 272.240 ;
-        RECT 54.000 269.520 2870.580 271.760 ;
-        RECT 8.000 269.040 2916.580 269.520 ;
-        RECT 54.000 266.800 2870.580 269.040 ;
-        RECT 8.000 266.320 2916.580 266.800 ;
-        RECT 54.000 264.080 2870.580 266.320 ;
-        RECT 8.000 263.600 2916.580 264.080 ;
-        RECT 54.000 261.360 2870.580 263.600 ;
-        RECT 8.000 260.880 2916.580 261.360 ;
-        RECT 54.000 258.640 2870.580 260.880 ;
-        RECT 8.000 258.160 2916.580 258.640 ;
-        RECT 54.000 255.920 2870.580 258.160 ;
-        RECT 8.000 255.440 2916.580 255.920 ;
-        RECT 54.000 253.200 2870.580 255.440 ;
-        RECT 8.000 252.720 2916.580 253.200 ;
-        RECT 54.000 250.480 2870.580 252.720 ;
-        RECT 8.000 250.000 2916.580 250.480 ;
-        RECT 54.000 247.760 2870.580 250.000 ;
-        RECT 8.000 247.280 2916.580 247.760 ;
-        RECT 54.000 245.040 2870.580 247.280 ;
-        RECT 8.000 244.560 2916.580 245.040 ;
-        RECT 54.000 242.320 2870.580 244.560 ;
-        RECT 8.000 241.840 2916.580 242.320 ;
-        RECT 54.000 239.600 2870.580 241.840 ;
-        RECT 8.000 239.120 2916.580 239.600 ;
-        RECT 54.000 236.880 2870.580 239.120 ;
-        RECT 8.000 236.400 2916.580 236.880 ;
-        RECT 54.000 234.160 2870.580 236.400 ;
-        RECT 8.000 233.680 2916.580 234.160 ;
-        RECT 54.000 231.440 2870.580 233.680 ;
-        RECT 8.000 230.960 2916.580 231.440 ;
-        RECT 54.000 228.720 2870.580 230.960 ;
-        RECT 8.000 228.240 2916.580 228.720 ;
-        RECT 54.000 226.000 2870.580 228.240 ;
-        RECT 8.000 225.520 2916.580 226.000 ;
-        RECT 54.000 223.280 2870.580 225.520 ;
-        RECT 8.000 222.800 2916.580 223.280 ;
-        RECT 54.000 220.560 2870.580 222.800 ;
-        RECT 8.000 220.080 2916.580 220.560 ;
-        RECT 54.000 217.840 2870.580 220.080 ;
-        RECT 8.000 217.360 2916.580 217.840 ;
-        RECT 54.000 215.120 2870.580 217.360 ;
-        RECT 8.000 214.640 2916.580 215.120 ;
-        RECT 54.000 212.400 2870.580 214.640 ;
-        RECT 8.000 211.920 2916.580 212.400 ;
-        RECT 54.000 209.680 2870.580 211.920 ;
-        RECT 8.000 209.200 2916.580 209.680 ;
-        RECT 54.000 206.960 2870.580 209.200 ;
-        RECT 8.000 206.480 2916.580 206.960 ;
-        RECT 54.000 204.240 2870.580 206.480 ;
-        RECT 8.000 203.760 2916.580 204.240 ;
-        RECT 54.000 201.520 2870.580 203.760 ;
-        RECT 8.000 201.040 2916.580 201.520 ;
-        RECT 54.000 198.800 2870.580 201.040 ;
-        RECT 8.000 198.320 2916.580 198.800 ;
-        RECT 54.000 196.080 2870.580 198.320 ;
-        RECT 8.000 195.600 2916.580 196.080 ;
-        RECT 54.000 193.360 2870.580 195.600 ;
-        RECT 8.000 192.880 2916.580 193.360 ;
-        RECT 54.000 190.640 2870.580 192.880 ;
-        RECT 8.000 190.160 2916.580 190.640 ;
-        RECT 54.000 187.920 2870.580 190.160 ;
-        RECT 8.000 187.440 2916.580 187.920 ;
-        RECT 54.000 185.200 2870.580 187.440 ;
-        RECT 8.000 184.720 2916.580 185.200 ;
-        RECT 54.000 182.480 2870.580 184.720 ;
-        RECT 8.000 182.000 2916.580 182.480 ;
-        RECT 54.000 179.760 2870.580 182.000 ;
-        RECT 8.000 179.280 2916.580 179.760 ;
-        RECT 54.000 177.040 2870.580 179.280 ;
-        RECT 8.000 176.560 2916.580 177.040 ;
-        RECT 54.000 174.320 2870.580 176.560 ;
-        RECT 8.000 173.840 2916.580 174.320 ;
-        RECT 54.000 171.600 2870.580 173.840 ;
-        RECT 8.000 171.120 2916.580 171.600 ;
-        RECT 54.000 168.880 2870.580 171.120 ;
-        RECT 8.000 168.400 2916.580 168.880 ;
-        RECT 54.000 166.160 2870.580 168.400 ;
-        RECT 8.000 165.680 2916.580 166.160 ;
-        RECT 54.000 163.440 2870.580 165.680 ;
-        RECT 8.000 162.960 2916.580 163.440 ;
-        RECT 54.000 160.720 2870.580 162.960 ;
-        RECT 8.000 160.240 2916.580 160.720 ;
-        RECT 54.000 158.000 2870.580 160.240 ;
-        RECT 8.000 157.520 2916.580 158.000 ;
-        RECT 54.000 155.280 2870.580 157.520 ;
-        RECT 8.000 154.800 2916.580 155.280 ;
-        RECT 54.000 152.560 2870.580 154.800 ;
-        RECT 8.000 152.080 2916.580 152.560 ;
-        RECT 54.000 149.840 2870.580 152.080 ;
-        RECT 8.000 149.360 2916.580 149.840 ;
-        RECT 54.000 147.120 2870.580 149.360 ;
-        RECT 8.000 146.640 2916.580 147.120 ;
-        RECT 54.000 144.400 2870.580 146.640 ;
-        RECT 8.000 143.920 2916.580 144.400 ;
-        RECT 54.000 141.680 2870.580 143.920 ;
-        RECT 8.000 141.200 2916.580 141.680 ;
-        RECT 54.000 138.960 2870.580 141.200 ;
-        RECT 8.000 138.480 2916.580 138.960 ;
-        RECT 54.000 136.240 2870.580 138.480 ;
-        RECT 8.000 135.760 2916.580 136.240 ;
-        RECT 54.000 133.520 2870.580 135.760 ;
-        RECT 8.000 133.040 2916.580 133.520 ;
-        RECT 54.000 130.800 2870.580 133.040 ;
-        RECT 8.000 130.320 2916.580 130.800 ;
-        RECT 54.000 128.080 2870.580 130.320 ;
-        RECT 8.000 127.600 2916.580 128.080 ;
-        RECT 54.000 125.360 2870.580 127.600 ;
-        RECT 8.000 124.880 2916.580 125.360 ;
-        RECT 54.000 122.640 2870.580 124.880 ;
-        RECT 8.000 122.160 2916.580 122.640 ;
-        RECT 54.000 119.920 2870.580 122.160 ;
-        RECT 8.000 119.440 2916.580 119.920 ;
-        RECT 54.000 117.200 2870.580 119.440 ;
-        RECT 8.000 116.720 2916.580 117.200 ;
-        RECT 54.000 114.480 2870.580 116.720 ;
-        RECT 8.000 114.000 2916.580 114.480 ;
-        RECT 54.000 111.760 2870.580 114.000 ;
-        RECT 8.000 111.280 2916.580 111.760 ;
-        RECT 54.000 109.040 2870.580 111.280 ;
-        RECT 8.000 108.560 2916.580 109.040 ;
-        RECT 54.000 106.320 2870.580 108.560 ;
-        RECT 8.000 105.840 2916.580 106.320 ;
-        RECT 54.000 103.600 2870.580 105.840 ;
-        RECT 8.000 103.120 2916.580 103.600 ;
-        RECT 54.000 100.880 2870.580 103.120 ;
-        RECT 8.000 100.400 2916.580 100.880 ;
-        RECT 54.000 98.160 2870.580 100.400 ;
-        RECT 8.000 97.680 2916.580 98.160 ;
-        RECT 54.000 95.440 2870.580 97.680 ;
-        RECT 8.000 94.960 2916.580 95.440 ;
-        RECT 54.000 92.720 2870.580 94.960 ;
-        RECT 8.000 92.240 2916.580 92.720 ;
-        RECT 54.000 90.000 2870.580 92.240 ;
-        RECT 8.000 89.520 2916.580 90.000 ;
-        RECT 54.000 87.280 2870.580 89.520 ;
-        RECT 8.000 86.800 2916.580 87.280 ;
-        RECT 54.000 84.560 2870.580 86.800 ;
-        RECT 8.000 84.080 2916.580 84.560 ;
-        RECT 54.000 81.840 2870.580 84.080 ;
-        RECT 8.000 81.360 2916.580 81.840 ;
-        RECT 54.000 79.120 2870.580 81.360 ;
-        RECT 8.000 78.640 2916.580 79.120 ;
-        RECT 54.000 76.400 2870.580 78.640 ;
-        RECT 8.000 75.920 2916.580 76.400 ;
-        RECT 54.000 73.680 2870.580 75.920 ;
-        RECT 8.000 73.200 2916.580 73.680 ;
-        RECT 54.000 70.960 2870.580 73.200 ;
-        RECT 8.000 70.480 2916.580 70.960 ;
-        RECT 54.000 68.240 2870.580 70.480 ;
-        RECT 8.000 67.760 2916.580 68.240 ;
-        RECT 54.000 65.520 2870.580 67.760 ;
-        RECT 8.000 65.040 2916.580 65.520 ;
-        RECT 54.000 62.800 2870.580 65.040 ;
-        RECT 8.000 62.320 2916.580 62.800 ;
-        RECT 54.000 60.080 2870.580 62.320 ;
-        RECT 8.000 59.600 2916.580 60.080 ;
-        RECT 54.000 57.360 2870.580 59.600 ;
-        RECT 8.000 56.880 2916.580 57.360 ;
-        RECT 54.000 54.640 2870.580 56.880 ;
-        RECT 8.000 54.160 2916.580 54.640 ;
-        RECT 54.000 54.000 2870.580 54.160 ;
-        RECT 8.000 51.440 2916.580 51.920 ;
-        RECT 8.000 48.720 2916.580 49.200 ;
-        RECT 8.000 46.000 2916.580 46.480 ;
-        RECT 8.000 43.280 2916.580 43.760 ;
-        RECT 8.000 40.560 2916.580 41.040 ;
-        RECT 8.000 37.840 2916.580 38.320 ;
-        RECT 8.000 35.120 2916.580 35.600 ;
-        RECT 8.000 32.400 2916.580 32.880 ;
-        RECT 8.000 29.680 2916.580 30.160 ;
-        RECT 8.000 26.960 2916.580 27.440 ;
-        RECT 8.000 24.240 2916.580 24.720 ;
-        RECT 8.000 21.520 2916.580 22.000 ;
-        RECT 8.000 18.800 2916.580 19.280 ;
-        RECT 8.000 16.080 2916.580 16.560 ;
-        RECT 8.000 13.360 2916.580 13.840 ;
-        RECT 8.000 10.640 2916.580 11.120 ;
-      LAYER met2 ;
-        RECT 57.320 54.000 2869.110 3466.000 ;
-      LAYER met3 ;
-        RECT 54.000 82.455 2870.580 3091.105 ;
+  PIN vccd2
+    DIRECTION INPUT ;
+    PORT
       LAYER met4 ;
-        RECT 457.255 54.000 2804.505 3091.105 ;
+        RECT 20.000 20.000 22.000 3563.920 ;
+        RECT 51.600 3549.720 53.600 3567.920 ;
+        RECT 151.600 3549.720 153.600 3567.920 ;
+        RECT 251.600 3549.720 253.600 3567.920 ;
+        RECT 351.600 3549.720 353.600 3567.920 ;
+        RECT 451.600 3549.720 453.600 3567.920 ;
+        RECT 551.600 3549.720 553.600 3567.920 ;
+        RECT 651.600 3549.720 653.600 3567.920 ;
+        RECT 751.600 3549.720 753.600 3567.920 ;
+        RECT 851.600 3549.720 853.600 3567.920 ;
+        RECT 951.600 3549.720 953.600 3567.920 ;
+        RECT 1051.600 3549.720 1053.600 3567.920 ;
+        RECT 1151.600 3549.720 1153.600 3567.920 ;
+        RECT 1251.600 3549.720 1253.600 3567.920 ;
+        RECT 1351.600 3549.720 1353.600 3567.920 ;
+        RECT 1451.600 3549.720 1453.600 3567.920 ;
+        RECT 1551.600 3549.720 1553.600 3567.920 ;
+        RECT 1651.600 3549.720 1653.600 3567.920 ;
+        RECT 1751.600 3549.720 1753.600 3567.920 ;
+        RECT 1851.600 3549.720 1853.600 3567.920 ;
+        RECT 1951.600 3549.720 1953.600 3567.920 ;
+        RECT 2051.600 3549.720 2053.600 3567.920 ;
+        RECT 2151.600 3549.720 2153.600 3567.920 ;
+        RECT 2251.600 3549.720 2253.600 3567.920 ;
+        RECT 2351.600 3549.720 2353.600 3567.920 ;
+        RECT 2451.600 3549.720 2453.600 3567.920 ;
+        RECT 2551.600 3549.720 2553.600 3567.920 ;
+        RECT 2651.600 3549.720 2653.600 3567.920 ;
+        RECT 2751.600 3549.720 2753.600 3567.920 ;
+        RECT 2851.600 3549.720 2853.600 3567.920 ;
+        RECT 51.600 16.000 53.600 34.520 ;
+        RECT 151.600 16.000 153.600 34.520 ;
+        RECT 251.600 16.000 253.600 34.520 ;
+        RECT 351.600 16.000 353.600 34.520 ;
+        RECT 451.600 16.000 453.600 34.520 ;
+        RECT 551.600 16.000 553.600 34.520 ;
+        RECT 651.600 16.000 653.600 34.520 ;
+        RECT 751.600 16.000 753.600 34.520 ;
+        RECT 851.600 16.000 853.600 34.520 ;
+        RECT 951.600 16.000 953.600 34.520 ;
+        RECT 1051.600 16.000 1053.600 34.520 ;
+        RECT 1151.600 16.000 1153.600 34.520 ;
+        RECT 1251.600 16.000 1253.600 34.520 ;
+        RECT 1351.600 16.000 1353.600 34.520 ;
+        RECT 1451.600 16.000 1453.600 34.520 ;
+        RECT 1551.600 16.000 1553.600 34.520 ;
+        RECT 1651.600 16.000 1653.600 34.520 ;
+        RECT 1751.600 16.000 1753.600 34.520 ;
+        RECT 1851.600 16.000 1853.600 34.520 ;
+        RECT 1951.600 16.000 1953.600 34.520 ;
+        RECT 2051.600 16.000 2053.600 34.520 ;
+        RECT 2151.600 16.000 2153.600 34.520 ;
+        RECT 2251.600 16.000 2253.600 34.520 ;
+        RECT 2351.600 16.000 2353.600 34.520 ;
+        RECT 2451.600 16.000 2453.600 34.520 ;
+        RECT 2551.600 16.000 2553.600 34.520 ;
+        RECT 2651.600 16.000 2653.600 34.520 ;
+        RECT 2751.600 16.000 2753.600 34.520 ;
+        RECT 2851.600 16.000 2853.600 34.520 ;
+        RECT 2972.580 20.000 2974.580 3563.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 20.410 3562.330 21.590 3563.510 ;
+        RECT 52.010 3562.330 53.190 3563.510 ;
+        RECT 152.010 3562.330 153.190 3563.510 ;
+        RECT 252.010 3562.330 253.190 3563.510 ;
+        RECT 352.010 3562.330 353.190 3563.510 ;
+        RECT 452.010 3562.330 453.190 3563.510 ;
+        RECT 552.010 3562.330 553.190 3563.510 ;
+        RECT 652.010 3562.330 653.190 3563.510 ;
+        RECT 752.010 3562.330 753.190 3563.510 ;
+        RECT 852.010 3562.330 853.190 3563.510 ;
+        RECT 952.010 3562.330 953.190 3563.510 ;
+        RECT 1052.010 3562.330 1053.190 3563.510 ;
+        RECT 1152.010 3562.330 1153.190 3563.510 ;
+        RECT 1252.010 3562.330 1253.190 3563.510 ;
+        RECT 1352.010 3562.330 1353.190 3563.510 ;
+        RECT 1452.010 3562.330 1453.190 3563.510 ;
+        RECT 1552.010 3562.330 1553.190 3563.510 ;
+        RECT 1652.010 3562.330 1653.190 3563.510 ;
+        RECT 1752.010 3562.330 1753.190 3563.510 ;
+        RECT 1852.010 3562.330 1853.190 3563.510 ;
+        RECT 1952.010 3562.330 1953.190 3563.510 ;
+        RECT 2052.010 3562.330 2053.190 3563.510 ;
+        RECT 2152.010 3562.330 2153.190 3563.510 ;
+        RECT 2252.010 3562.330 2253.190 3563.510 ;
+        RECT 2352.010 3562.330 2353.190 3563.510 ;
+        RECT 2452.010 3562.330 2453.190 3563.510 ;
+        RECT 2552.010 3562.330 2553.190 3563.510 ;
+        RECT 2652.010 3562.330 2653.190 3563.510 ;
+        RECT 2752.010 3562.330 2753.190 3563.510 ;
+        RECT 2852.010 3562.330 2853.190 3563.510 ;
+        RECT 2972.990 3562.330 2974.170 3563.510 ;
+        RECT 20.410 3452.010 21.590 3453.190 ;
+        RECT 20.410 3352.010 21.590 3353.190 ;
+        RECT 20.410 3252.010 21.590 3253.190 ;
+        RECT 20.410 3152.010 21.590 3153.190 ;
+        RECT 20.410 3052.010 21.590 3053.190 ;
+        RECT 20.410 2952.010 21.590 2953.190 ;
+        RECT 20.410 2852.010 21.590 2853.190 ;
+        RECT 20.410 2752.010 21.590 2753.190 ;
+        RECT 20.410 2652.010 21.590 2653.190 ;
+        RECT 20.410 2552.010 21.590 2553.190 ;
+        RECT 20.410 2452.010 21.590 2453.190 ;
+        RECT 20.410 2352.010 21.590 2353.190 ;
+        RECT 20.410 2252.010 21.590 2253.190 ;
+        RECT 20.410 2152.010 21.590 2153.190 ;
+        RECT 20.410 2052.010 21.590 2053.190 ;
+        RECT 20.410 1952.010 21.590 1953.190 ;
+        RECT 20.410 1852.010 21.590 1853.190 ;
+        RECT 20.410 1752.010 21.590 1753.190 ;
+        RECT 20.410 1652.010 21.590 1653.190 ;
+        RECT 20.410 1552.010 21.590 1553.190 ;
+        RECT 20.410 1452.010 21.590 1453.190 ;
+        RECT 20.410 1352.010 21.590 1353.190 ;
+        RECT 20.410 1252.010 21.590 1253.190 ;
+        RECT 20.410 1152.010 21.590 1153.190 ;
+        RECT 20.410 1052.010 21.590 1053.190 ;
+        RECT 20.410 952.010 21.590 953.190 ;
+        RECT 20.410 852.010 21.590 853.190 ;
+        RECT 20.410 752.010 21.590 753.190 ;
+        RECT 20.410 652.010 21.590 653.190 ;
+        RECT 20.410 552.010 21.590 553.190 ;
+        RECT 20.410 452.010 21.590 453.190 ;
+        RECT 20.410 352.010 21.590 353.190 ;
+        RECT 20.410 252.010 21.590 253.190 ;
+        RECT 20.410 152.010 21.590 153.190 ;
+        RECT 20.410 52.010 21.590 53.190 ;
+        RECT 2972.990 3452.010 2974.170 3453.190 ;
+        RECT 2972.990 3352.010 2974.170 3353.190 ;
+        RECT 2972.990 3252.010 2974.170 3253.190 ;
+        RECT 2972.990 3152.010 2974.170 3153.190 ;
+        RECT 2972.990 3052.010 2974.170 3053.190 ;
+        RECT 2972.990 2952.010 2974.170 2953.190 ;
+        RECT 2972.990 2852.010 2974.170 2853.190 ;
+        RECT 2972.990 2752.010 2974.170 2753.190 ;
+        RECT 2972.990 2652.010 2974.170 2653.190 ;
+        RECT 2972.990 2552.010 2974.170 2553.190 ;
+        RECT 2972.990 2452.010 2974.170 2453.190 ;
+        RECT 2972.990 2352.010 2974.170 2353.190 ;
+        RECT 2972.990 2252.010 2974.170 2253.190 ;
+        RECT 2972.990 2152.010 2974.170 2153.190 ;
+        RECT 2972.990 2052.010 2974.170 2053.190 ;
+        RECT 2972.990 1952.010 2974.170 1953.190 ;
+        RECT 2972.990 1852.010 2974.170 1853.190 ;
+        RECT 2972.990 1752.010 2974.170 1753.190 ;
+        RECT 2972.990 1652.010 2974.170 1653.190 ;
+        RECT 2972.990 1552.010 2974.170 1553.190 ;
+        RECT 2972.990 1452.010 2974.170 1453.190 ;
+        RECT 2972.990 1352.010 2974.170 1353.190 ;
+        RECT 2972.990 1252.010 2974.170 1253.190 ;
+        RECT 2972.990 1152.010 2974.170 1153.190 ;
+        RECT 2972.990 1052.010 2974.170 1053.190 ;
+        RECT 2972.990 952.010 2974.170 953.190 ;
+        RECT 2972.990 852.010 2974.170 853.190 ;
+        RECT 2972.990 752.010 2974.170 753.190 ;
+        RECT 2972.990 652.010 2974.170 653.190 ;
+        RECT 2972.990 552.010 2974.170 553.190 ;
+        RECT 2972.990 452.010 2974.170 453.190 ;
+        RECT 2972.990 352.010 2974.170 353.190 ;
+        RECT 2972.990 252.010 2974.170 253.190 ;
+        RECT 2972.990 152.010 2974.170 153.190 ;
+        RECT 2972.990 52.010 2974.170 53.190 ;
+        RECT 20.410 20.410 21.590 21.590 ;
+        RECT 52.010 20.410 53.190 21.590 ;
+        RECT 152.010 20.410 153.190 21.590 ;
+        RECT 252.010 20.410 253.190 21.590 ;
+        RECT 352.010 20.410 353.190 21.590 ;
+        RECT 452.010 20.410 453.190 21.590 ;
+        RECT 552.010 20.410 553.190 21.590 ;
+        RECT 652.010 20.410 653.190 21.590 ;
+        RECT 752.010 20.410 753.190 21.590 ;
+        RECT 852.010 20.410 853.190 21.590 ;
+        RECT 952.010 20.410 953.190 21.590 ;
+        RECT 1052.010 20.410 1053.190 21.590 ;
+        RECT 1152.010 20.410 1153.190 21.590 ;
+        RECT 1252.010 20.410 1253.190 21.590 ;
+        RECT 1352.010 20.410 1353.190 21.590 ;
+        RECT 1452.010 20.410 1453.190 21.590 ;
+        RECT 1552.010 20.410 1553.190 21.590 ;
+        RECT 1652.010 20.410 1653.190 21.590 ;
+        RECT 1752.010 20.410 1753.190 21.590 ;
+        RECT 1852.010 20.410 1853.190 21.590 ;
+        RECT 1952.010 20.410 1953.190 21.590 ;
+        RECT 2052.010 20.410 2053.190 21.590 ;
+        RECT 2152.010 20.410 2153.190 21.590 ;
+        RECT 2252.010 20.410 2253.190 21.590 ;
+        RECT 2352.010 20.410 2353.190 21.590 ;
+        RECT 2452.010 20.410 2453.190 21.590 ;
+        RECT 2552.010 20.410 2553.190 21.590 ;
+        RECT 2652.010 20.410 2653.190 21.590 ;
+        RECT 2752.010 20.410 2753.190 21.590 ;
+        RECT 2852.010 20.410 2853.190 21.590 ;
+        RECT 2972.990 20.410 2974.170 21.590 ;
       LAYER met5 ;
-        RECT 54.000 103.320 2870.580 3398.290 ;
+        RECT 20.000 3561.920 2974.580 3563.920 ;
+        RECT 16.000 3451.600 39.880 3453.600 ;
+        RECT 2955.080 3451.600 2978.580 3453.600 ;
+        RECT 16.000 3351.600 39.880 3353.600 ;
+        RECT 2955.080 3351.600 2978.580 3353.600 ;
+        RECT 16.000 3251.600 39.880 3253.600 ;
+        RECT 2955.080 3251.600 2978.580 3253.600 ;
+        RECT 16.000 3151.600 39.880 3153.600 ;
+        RECT 2955.080 3151.600 2978.580 3153.600 ;
+        RECT 16.000 3051.600 39.880 3053.600 ;
+        RECT 2955.080 3051.600 2978.580 3053.600 ;
+        RECT 16.000 2951.600 39.880 2953.600 ;
+        RECT 2955.080 2951.600 2978.580 2953.600 ;
+        RECT 16.000 2851.600 39.880 2853.600 ;
+        RECT 2955.080 2851.600 2978.580 2853.600 ;
+        RECT 16.000 2751.600 39.880 2753.600 ;
+        RECT 2955.080 2751.600 2978.580 2753.600 ;
+        RECT 16.000 2651.600 39.880 2653.600 ;
+        RECT 2955.080 2651.600 2978.580 2653.600 ;
+        RECT 16.000 2551.600 39.880 2553.600 ;
+        RECT 2955.080 2551.600 2978.580 2553.600 ;
+        RECT 16.000 2451.600 39.880 2453.600 ;
+        RECT 2955.080 2451.600 2978.580 2453.600 ;
+        RECT 16.000 2351.600 39.880 2353.600 ;
+        RECT 2955.080 2351.600 2978.580 2353.600 ;
+        RECT 16.000 2251.600 39.880 2253.600 ;
+        RECT 2955.080 2251.600 2978.580 2253.600 ;
+        RECT 16.000 2151.600 39.880 2153.600 ;
+        RECT 2955.080 2151.600 2978.580 2153.600 ;
+        RECT 16.000 2051.600 39.880 2053.600 ;
+        RECT 2955.080 2051.600 2978.580 2053.600 ;
+        RECT 16.000 1951.600 39.880 1953.600 ;
+        RECT 2955.080 1951.600 2978.580 1953.600 ;
+        RECT 16.000 1851.600 39.880 1853.600 ;
+        RECT 2955.080 1851.600 2978.580 1853.600 ;
+        RECT 16.000 1751.600 39.880 1753.600 ;
+        RECT 2955.080 1751.600 2978.580 1753.600 ;
+        RECT 16.000 1651.600 39.880 1653.600 ;
+        RECT 2955.080 1651.600 2978.580 1653.600 ;
+        RECT 16.000 1551.600 39.880 1553.600 ;
+        RECT 2955.080 1551.600 2978.580 1553.600 ;
+        RECT 16.000 1451.600 39.880 1453.600 ;
+        RECT 2955.080 1451.600 2978.580 1453.600 ;
+        RECT 16.000 1351.600 39.880 1353.600 ;
+        RECT 2955.080 1351.600 2978.580 1353.600 ;
+        RECT 16.000 1251.600 39.880 1253.600 ;
+        RECT 2955.080 1251.600 2978.580 1253.600 ;
+        RECT 16.000 1151.600 39.880 1153.600 ;
+        RECT 2955.080 1151.600 2978.580 1153.600 ;
+        RECT 16.000 1051.600 39.880 1053.600 ;
+        RECT 2955.080 1051.600 2978.580 1053.600 ;
+        RECT 16.000 951.600 39.880 953.600 ;
+        RECT 2955.080 951.600 2978.580 953.600 ;
+        RECT 16.000 851.600 39.880 853.600 ;
+        RECT 2955.080 851.600 2978.580 853.600 ;
+        RECT 16.000 751.600 39.880 753.600 ;
+        RECT 2955.080 751.600 2978.580 753.600 ;
+        RECT 16.000 651.600 39.880 653.600 ;
+        RECT 2955.080 651.600 2978.580 653.600 ;
+        RECT 16.000 551.600 39.880 553.600 ;
+        RECT 2955.080 551.600 2978.580 553.600 ;
+        RECT 16.000 451.600 39.880 453.600 ;
+        RECT 2955.080 451.600 2978.580 453.600 ;
+        RECT 16.000 351.600 39.880 353.600 ;
+        RECT 2955.080 351.600 2978.580 353.600 ;
+        RECT 16.000 251.600 39.880 253.600 ;
+        RECT 2955.080 251.600 2978.580 253.600 ;
+        RECT 16.000 151.600 39.880 153.600 ;
+        RECT 2955.080 151.600 2978.580 153.600 ;
+        RECT 16.000 51.600 39.880 53.600 ;
+        RECT 2955.080 51.600 2978.580 53.600 ;
+        RECT 20.000 20.000 2974.580 22.000 ;
+    END
+  END vccd2
+  PIN vssd2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 16.000 16.000 18.000 3567.920 ;
+        RECT 101.600 3549.720 103.600 3567.920 ;
+        RECT 201.600 3549.720 203.600 3567.920 ;
+        RECT 301.600 3549.720 303.600 3567.920 ;
+        RECT 401.600 3549.720 403.600 3567.920 ;
+        RECT 501.600 3549.720 503.600 3567.920 ;
+        RECT 601.600 3549.720 603.600 3567.920 ;
+        RECT 701.600 3549.720 703.600 3567.920 ;
+        RECT 801.600 3549.720 803.600 3567.920 ;
+        RECT 901.600 3549.720 903.600 3567.920 ;
+        RECT 1001.600 3549.720 1003.600 3567.920 ;
+        RECT 1101.600 3549.720 1103.600 3567.920 ;
+        RECT 1201.600 3549.720 1203.600 3567.920 ;
+        RECT 1301.600 3549.720 1303.600 3567.920 ;
+        RECT 1401.600 3549.720 1403.600 3567.920 ;
+        RECT 1501.600 3549.720 1503.600 3567.920 ;
+        RECT 1601.600 3549.720 1603.600 3567.920 ;
+        RECT 1701.600 3549.720 1703.600 3567.920 ;
+        RECT 1801.600 3549.720 1803.600 3567.920 ;
+        RECT 1901.600 3549.720 1903.600 3567.920 ;
+        RECT 2001.600 3549.720 2003.600 3567.920 ;
+        RECT 2101.600 3549.720 2103.600 3567.920 ;
+        RECT 2201.600 3549.720 2203.600 3567.920 ;
+        RECT 2301.600 3549.720 2303.600 3567.920 ;
+        RECT 2401.600 3549.720 2403.600 3567.920 ;
+        RECT 2501.600 3549.720 2503.600 3567.920 ;
+        RECT 2601.600 3549.720 2603.600 3567.920 ;
+        RECT 2701.600 3549.720 2703.600 3567.920 ;
+        RECT 2801.600 3549.720 2803.600 3567.920 ;
+        RECT 2901.600 3549.720 2903.600 3567.920 ;
+        RECT 101.600 16.000 103.600 34.520 ;
+        RECT 201.600 16.000 203.600 34.520 ;
+        RECT 301.600 16.000 303.600 34.520 ;
+        RECT 401.600 16.000 403.600 34.520 ;
+        RECT 501.600 16.000 503.600 34.520 ;
+        RECT 601.600 16.000 603.600 34.520 ;
+        RECT 701.600 16.000 703.600 34.520 ;
+        RECT 801.600 16.000 803.600 34.520 ;
+        RECT 901.600 16.000 903.600 34.520 ;
+        RECT 1001.600 16.000 1003.600 34.520 ;
+        RECT 1101.600 16.000 1103.600 34.520 ;
+        RECT 1201.600 16.000 1203.600 34.520 ;
+        RECT 1301.600 16.000 1303.600 34.520 ;
+        RECT 1401.600 16.000 1403.600 34.520 ;
+        RECT 1501.600 16.000 1503.600 34.520 ;
+        RECT 1601.600 16.000 1603.600 34.520 ;
+        RECT 1701.600 16.000 1703.600 34.520 ;
+        RECT 1801.600 16.000 1803.600 34.520 ;
+        RECT 1901.600 16.000 1903.600 34.520 ;
+        RECT 2001.600 16.000 2003.600 34.520 ;
+        RECT 2101.600 16.000 2103.600 34.520 ;
+        RECT 2201.600 16.000 2203.600 34.520 ;
+        RECT 2301.600 16.000 2303.600 34.520 ;
+        RECT 2401.600 16.000 2403.600 34.520 ;
+        RECT 2501.600 16.000 2503.600 34.520 ;
+        RECT 2601.600 16.000 2603.600 34.520 ;
+        RECT 2701.600 16.000 2703.600 34.520 ;
+        RECT 2801.600 16.000 2803.600 34.520 ;
+        RECT 2901.600 16.000 2903.600 34.520 ;
+        RECT 2976.580 16.000 2978.580 3567.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 16.410 3566.330 17.590 3567.510 ;
+        RECT 102.010 3566.330 103.190 3567.510 ;
+        RECT 202.010 3566.330 203.190 3567.510 ;
+        RECT 302.010 3566.330 303.190 3567.510 ;
+        RECT 402.010 3566.330 403.190 3567.510 ;
+        RECT 502.010 3566.330 503.190 3567.510 ;
+        RECT 602.010 3566.330 603.190 3567.510 ;
+        RECT 702.010 3566.330 703.190 3567.510 ;
+        RECT 802.010 3566.330 803.190 3567.510 ;
+        RECT 902.010 3566.330 903.190 3567.510 ;
+        RECT 1002.010 3566.330 1003.190 3567.510 ;
+        RECT 1102.010 3566.330 1103.190 3567.510 ;
+        RECT 1202.010 3566.330 1203.190 3567.510 ;
+        RECT 1302.010 3566.330 1303.190 3567.510 ;
+        RECT 1402.010 3566.330 1403.190 3567.510 ;
+        RECT 1502.010 3566.330 1503.190 3567.510 ;
+        RECT 1602.010 3566.330 1603.190 3567.510 ;
+        RECT 1702.010 3566.330 1703.190 3567.510 ;
+        RECT 1802.010 3566.330 1803.190 3567.510 ;
+        RECT 1902.010 3566.330 1903.190 3567.510 ;
+        RECT 2002.010 3566.330 2003.190 3567.510 ;
+        RECT 2102.010 3566.330 2103.190 3567.510 ;
+        RECT 2202.010 3566.330 2203.190 3567.510 ;
+        RECT 2302.010 3566.330 2303.190 3567.510 ;
+        RECT 2402.010 3566.330 2403.190 3567.510 ;
+        RECT 2502.010 3566.330 2503.190 3567.510 ;
+        RECT 2602.010 3566.330 2603.190 3567.510 ;
+        RECT 2702.010 3566.330 2703.190 3567.510 ;
+        RECT 2802.010 3566.330 2803.190 3567.510 ;
+        RECT 2902.010 3566.330 2903.190 3567.510 ;
+        RECT 2976.990 3566.330 2978.170 3567.510 ;
+        RECT 16.410 3502.010 17.590 3503.190 ;
+        RECT 16.410 3402.010 17.590 3403.190 ;
+        RECT 16.410 3302.010 17.590 3303.190 ;
+        RECT 16.410 3202.010 17.590 3203.190 ;
+        RECT 16.410 3102.010 17.590 3103.190 ;
+        RECT 16.410 3002.010 17.590 3003.190 ;
+        RECT 16.410 2902.010 17.590 2903.190 ;
+        RECT 16.410 2802.010 17.590 2803.190 ;
+        RECT 16.410 2702.010 17.590 2703.190 ;
+        RECT 16.410 2602.010 17.590 2603.190 ;
+        RECT 16.410 2502.010 17.590 2503.190 ;
+        RECT 16.410 2402.010 17.590 2403.190 ;
+        RECT 16.410 2302.010 17.590 2303.190 ;
+        RECT 16.410 2202.010 17.590 2203.190 ;
+        RECT 16.410 2102.010 17.590 2103.190 ;
+        RECT 16.410 2002.010 17.590 2003.190 ;
+        RECT 16.410 1902.010 17.590 1903.190 ;
+        RECT 16.410 1802.010 17.590 1803.190 ;
+        RECT 16.410 1702.010 17.590 1703.190 ;
+        RECT 16.410 1602.010 17.590 1603.190 ;
+        RECT 16.410 1502.010 17.590 1503.190 ;
+        RECT 16.410 1402.010 17.590 1403.190 ;
+        RECT 16.410 1302.010 17.590 1303.190 ;
+        RECT 16.410 1202.010 17.590 1203.190 ;
+        RECT 16.410 1102.010 17.590 1103.190 ;
+        RECT 16.410 1002.010 17.590 1003.190 ;
+        RECT 16.410 902.010 17.590 903.190 ;
+        RECT 16.410 802.010 17.590 803.190 ;
+        RECT 16.410 702.010 17.590 703.190 ;
+        RECT 16.410 602.010 17.590 603.190 ;
+        RECT 16.410 502.010 17.590 503.190 ;
+        RECT 16.410 402.010 17.590 403.190 ;
+        RECT 16.410 302.010 17.590 303.190 ;
+        RECT 16.410 202.010 17.590 203.190 ;
+        RECT 16.410 102.010 17.590 103.190 ;
+        RECT 2976.990 3502.010 2978.170 3503.190 ;
+        RECT 2976.990 3402.010 2978.170 3403.190 ;
+        RECT 2976.990 3302.010 2978.170 3303.190 ;
+        RECT 2976.990 3202.010 2978.170 3203.190 ;
+        RECT 2976.990 3102.010 2978.170 3103.190 ;
+        RECT 2976.990 3002.010 2978.170 3003.190 ;
+        RECT 2976.990 2902.010 2978.170 2903.190 ;
+        RECT 2976.990 2802.010 2978.170 2803.190 ;
+        RECT 2976.990 2702.010 2978.170 2703.190 ;
+        RECT 2976.990 2602.010 2978.170 2603.190 ;
+        RECT 2976.990 2502.010 2978.170 2503.190 ;
+        RECT 2976.990 2402.010 2978.170 2403.190 ;
+        RECT 2976.990 2302.010 2978.170 2303.190 ;
+        RECT 2976.990 2202.010 2978.170 2203.190 ;
+        RECT 2976.990 2102.010 2978.170 2103.190 ;
+        RECT 2976.990 2002.010 2978.170 2003.190 ;
+        RECT 2976.990 1902.010 2978.170 1903.190 ;
+        RECT 2976.990 1802.010 2978.170 1803.190 ;
+        RECT 2976.990 1702.010 2978.170 1703.190 ;
+        RECT 2976.990 1602.010 2978.170 1603.190 ;
+        RECT 2976.990 1502.010 2978.170 1503.190 ;
+        RECT 2976.990 1402.010 2978.170 1403.190 ;
+        RECT 2976.990 1302.010 2978.170 1303.190 ;
+        RECT 2976.990 1202.010 2978.170 1203.190 ;
+        RECT 2976.990 1102.010 2978.170 1103.190 ;
+        RECT 2976.990 1002.010 2978.170 1003.190 ;
+        RECT 2976.990 902.010 2978.170 903.190 ;
+        RECT 2976.990 802.010 2978.170 803.190 ;
+        RECT 2976.990 702.010 2978.170 703.190 ;
+        RECT 2976.990 602.010 2978.170 603.190 ;
+        RECT 2976.990 502.010 2978.170 503.190 ;
+        RECT 2976.990 402.010 2978.170 403.190 ;
+        RECT 2976.990 302.010 2978.170 303.190 ;
+        RECT 2976.990 202.010 2978.170 203.190 ;
+        RECT 2976.990 102.010 2978.170 103.190 ;
+        RECT 16.410 16.410 17.590 17.590 ;
+        RECT 102.010 16.410 103.190 17.590 ;
+        RECT 202.010 16.410 203.190 17.590 ;
+        RECT 302.010 16.410 303.190 17.590 ;
+        RECT 402.010 16.410 403.190 17.590 ;
+        RECT 502.010 16.410 503.190 17.590 ;
+        RECT 602.010 16.410 603.190 17.590 ;
+        RECT 702.010 16.410 703.190 17.590 ;
+        RECT 802.010 16.410 803.190 17.590 ;
+        RECT 902.010 16.410 903.190 17.590 ;
+        RECT 1002.010 16.410 1003.190 17.590 ;
+        RECT 1102.010 16.410 1103.190 17.590 ;
+        RECT 1202.010 16.410 1203.190 17.590 ;
+        RECT 1302.010 16.410 1303.190 17.590 ;
+        RECT 1402.010 16.410 1403.190 17.590 ;
+        RECT 1502.010 16.410 1503.190 17.590 ;
+        RECT 1602.010 16.410 1603.190 17.590 ;
+        RECT 1702.010 16.410 1703.190 17.590 ;
+        RECT 1802.010 16.410 1803.190 17.590 ;
+        RECT 1902.010 16.410 1903.190 17.590 ;
+        RECT 2002.010 16.410 2003.190 17.590 ;
+        RECT 2102.010 16.410 2103.190 17.590 ;
+        RECT 2202.010 16.410 2203.190 17.590 ;
+        RECT 2302.010 16.410 2303.190 17.590 ;
+        RECT 2402.010 16.410 2403.190 17.590 ;
+        RECT 2502.010 16.410 2503.190 17.590 ;
+        RECT 2602.010 16.410 2603.190 17.590 ;
+        RECT 2702.010 16.410 2703.190 17.590 ;
+        RECT 2802.010 16.410 2803.190 17.590 ;
+        RECT 2902.010 16.410 2903.190 17.590 ;
+        RECT 2976.990 16.410 2978.170 17.590 ;
+      LAYER met5 ;
+        RECT 16.000 3565.920 2978.580 3567.920 ;
+        RECT 16.000 3501.600 39.880 3503.600 ;
+        RECT 2955.080 3501.600 2978.580 3503.600 ;
+        RECT 16.000 3401.600 39.880 3403.600 ;
+        RECT 2955.080 3401.600 2978.580 3403.600 ;
+        RECT 16.000 3301.600 39.880 3303.600 ;
+        RECT 2955.080 3301.600 2978.580 3303.600 ;
+        RECT 16.000 3201.600 39.880 3203.600 ;
+        RECT 2955.080 3201.600 2978.580 3203.600 ;
+        RECT 16.000 3101.600 39.880 3103.600 ;
+        RECT 2955.080 3101.600 2978.580 3103.600 ;
+        RECT 16.000 3001.600 39.880 3003.600 ;
+        RECT 2955.080 3001.600 2978.580 3003.600 ;
+        RECT 16.000 2901.600 39.880 2903.600 ;
+        RECT 2955.080 2901.600 2978.580 2903.600 ;
+        RECT 16.000 2801.600 39.880 2803.600 ;
+        RECT 2955.080 2801.600 2978.580 2803.600 ;
+        RECT 16.000 2701.600 39.880 2703.600 ;
+        RECT 2955.080 2701.600 2978.580 2703.600 ;
+        RECT 16.000 2601.600 39.880 2603.600 ;
+        RECT 2955.080 2601.600 2978.580 2603.600 ;
+        RECT 16.000 2501.600 39.880 2503.600 ;
+        RECT 2955.080 2501.600 2978.580 2503.600 ;
+        RECT 16.000 2401.600 39.880 2403.600 ;
+        RECT 2955.080 2401.600 2978.580 2403.600 ;
+        RECT 16.000 2301.600 39.880 2303.600 ;
+        RECT 2955.080 2301.600 2978.580 2303.600 ;
+        RECT 16.000 2201.600 39.880 2203.600 ;
+        RECT 2955.080 2201.600 2978.580 2203.600 ;
+        RECT 16.000 2101.600 39.880 2103.600 ;
+        RECT 2955.080 2101.600 2978.580 2103.600 ;
+        RECT 16.000 2001.600 39.880 2003.600 ;
+        RECT 2955.080 2001.600 2978.580 2003.600 ;
+        RECT 16.000 1901.600 39.880 1903.600 ;
+        RECT 2955.080 1901.600 2978.580 1903.600 ;
+        RECT 16.000 1801.600 39.880 1803.600 ;
+        RECT 2955.080 1801.600 2978.580 1803.600 ;
+        RECT 16.000 1701.600 39.880 1703.600 ;
+        RECT 2955.080 1701.600 2978.580 1703.600 ;
+        RECT 16.000 1601.600 39.880 1603.600 ;
+        RECT 2955.080 1601.600 2978.580 1603.600 ;
+        RECT 16.000 1501.600 39.880 1503.600 ;
+        RECT 2955.080 1501.600 2978.580 1503.600 ;
+        RECT 16.000 1401.600 39.880 1403.600 ;
+        RECT 2955.080 1401.600 2978.580 1403.600 ;
+        RECT 16.000 1301.600 39.880 1303.600 ;
+        RECT 2955.080 1301.600 2978.580 1303.600 ;
+        RECT 16.000 1201.600 39.880 1203.600 ;
+        RECT 2955.080 1201.600 2978.580 1203.600 ;
+        RECT 16.000 1101.600 39.880 1103.600 ;
+        RECT 2955.080 1101.600 2978.580 1103.600 ;
+        RECT 16.000 1001.600 39.880 1003.600 ;
+        RECT 2955.080 1001.600 2978.580 1003.600 ;
+        RECT 16.000 901.600 39.880 903.600 ;
+        RECT 2955.080 901.600 2978.580 903.600 ;
+        RECT 16.000 801.600 39.880 803.600 ;
+        RECT 2955.080 801.600 2978.580 803.600 ;
+        RECT 16.000 701.600 39.880 703.600 ;
+        RECT 2955.080 701.600 2978.580 703.600 ;
+        RECT 16.000 601.600 39.880 603.600 ;
+        RECT 2955.080 601.600 2978.580 603.600 ;
+        RECT 16.000 501.600 39.880 503.600 ;
+        RECT 2955.080 501.600 2978.580 503.600 ;
+        RECT 16.000 401.600 39.880 403.600 ;
+        RECT 2955.080 401.600 2978.580 403.600 ;
+        RECT 16.000 301.600 39.880 303.600 ;
+        RECT 2955.080 301.600 2978.580 303.600 ;
+        RECT 16.000 201.600 39.880 203.600 ;
+        RECT 2955.080 201.600 2978.580 203.600 ;
+        RECT 16.000 101.600 39.880 103.600 ;
+        RECT 2955.080 101.600 2978.580 103.600 ;
+        RECT 16.000 16.000 2978.580 18.000 ;
+    END
+  END vssd2
+  PIN vdda1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 12.000 12.000 14.000 3571.920 ;
+        RECT 61.200 3549.720 63.200 3575.920 ;
+        RECT 161.200 3549.720 163.200 3575.920 ;
+        RECT 261.200 3549.720 263.200 3575.920 ;
+        RECT 361.200 3549.720 363.200 3575.920 ;
+        RECT 461.200 3549.720 463.200 3575.920 ;
+        RECT 561.200 3549.720 563.200 3575.920 ;
+        RECT 661.200 3549.720 663.200 3575.920 ;
+        RECT 761.200 3549.720 763.200 3575.920 ;
+        RECT 861.200 3549.720 863.200 3575.920 ;
+        RECT 961.200 3549.720 963.200 3575.920 ;
+        RECT 1061.200 3549.720 1063.200 3575.920 ;
+        RECT 1161.200 3549.720 1163.200 3575.920 ;
+        RECT 1261.200 3549.720 1263.200 3575.920 ;
+        RECT 1361.200 3549.720 1363.200 3575.920 ;
+        RECT 1461.200 3549.720 1463.200 3575.920 ;
+        RECT 1561.200 3549.720 1563.200 3575.920 ;
+        RECT 1661.200 3549.720 1663.200 3575.920 ;
+        RECT 1761.200 3549.720 1763.200 3575.920 ;
+        RECT 1861.200 3549.720 1863.200 3575.920 ;
+        RECT 1961.200 3549.720 1963.200 3575.920 ;
+        RECT 2061.200 3549.720 2063.200 3575.920 ;
+        RECT 2161.200 3549.720 2163.200 3575.920 ;
+        RECT 2261.200 3549.720 2263.200 3575.920 ;
+        RECT 2361.200 3549.720 2363.200 3575.920 ;
+        RECT 2461.200 3549.720 2463.200 3575.920 ;
+        RECT 2561.200 3549.720 2563.200 3575.920 ;
+        RECT 2661.200 3549.720 2663.200 3575.920 ;
+        RECT 2761.200 3549.720 2763.200 3575.920 ;
+        RECT 2861.200 3549.720 2863.200 3575.920 ;
+        RECT 61.200 8.000 63.200 34.520 ;
+        RECT 161.200 8.000 163.200 34.520 ;
+        RECT 261.200 8.000 263.200 34.520 ;
+        RECT 361.200 8.000 363.200 34.520 ;
+        RECT 461.200 8.000 463.200 34.520 ;
+        RECT 561.200 8.000 563.200 34.520 ;
+        RECT 661.200 8.000 663.200 34.520 ;
+        RECT 761.200 8.000 763.200 34.520 ;
+        RECT 861.200 8.000 863.200 34.520 ;
+        RECT 961.200 8.000 963.200 34.520 ;
+        RECT 1061.200 8.000 1063.200 34.520 ;
+        RECT 1161.200 8.000 1163.200 34.520 ;
+        RECT 1261.200 8.000 1263.200 34.520 ;
+        RECT 1361.200 8.000 1363.200 34.520 ;
+        RECT 1461.200 8.000 1463.200 34.520 ;
+        RECT 1561.200 8.000 1563.200 34.520 ;
+        RECT 1661.200 8.000 1663.200 34.520 ;
+        RECT 1761.200 8.000 1763.200 34.520 ;
+        RECT 1861.200 8.000 1863.200 34.520 ;
+        RECT 1961.200 8.000 1963.200 34.520 ;
+        RECT 2061.200 8.000 2063.200 34.520 ;
+        RECT 2161.200 8.000 2163.200 34.520 ;
+        RECT 2261.200 8.000 2263.200 34.520 ;
+        RECT 2361.200 8.000 2363.200 34.520 ;
+        RECT 2461.200 8.000 2463.200 34.520 ;
+        RECT 2561.200 8.000 2563.200 34.520 ;
+        RECT 2661.200 8.000 2663.200 34.520 ;
+        RECT 2761.200 8.000 2763.200 34.520 ;
+        RECT 2861.200 8.000 2863.200 34.520 ;
+        RECT 2980.580 12.000 2982.580 3571.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 12.410 3570.330 13.590 3571.510 ;
+        RECT 61.610 3570.330 62.790 3571.510 ;
+        RECT 161.610 3570.330 162.790 3571.510 ;
+        RECT 261.610 3570.330 262.790 3571.510 ;
+        RECT 361.610 3570.330 362.790 3571.510 ;
+        RECT 461.610 3570.330 462.790 3571.510 ;
+        RECT 561.610 3570.330 562.790 3571.510 ;
+        RECT 661.610 3570.330 662.790 3571.510 ;
+        RECT 761.610 3570.330 762.790 3571.510 ;
+        RECT 861.610 3570.330 862.790 3571.510 ;
+        RECT 961.610 3570.330 962.790 3571.510 ;
+        RECT 1061.610 3570.330 1062.790 3571.510 ;
+        RECT 1161.610 3570.330 1162.790 3571.510 ;
+        RECT 1261.610 3570.330 1262.790 3571.510 ;
+        RECT 1361.610 3570.330 1362.790 3571.510 ;
+        RECT 1461.610 3570.330 1462.790 3571.510 ;
+        RECT 1561.610 3570.330 1562.790 3571.510 ;
+        RECT 1661.610 3570.330 1662.790 3571.510 ;
+        RECT 1761.610 3570.330 1762.790 3571.510 ;
+        RECT 1861.610 3570.330 1862.790 3571.510 ;
+        RECT 1961.610 3570.330 1962.790 3571.510 ;
+        RECT 2061.610 3570.330 2062.790 3571.510 ;
+        RECT 2161.610 3570.330 2162.790 3571.510 ;
+        RECT 2261.610 3570.330 2262.790 3571.510 ;
+        RECT 2361.610 3570.330 2362.790 3571.510 ;
+        RECT 2461.610 3570.330 2462.790 3571.510 ;
+        RECT 2561.610 3570.330 2562.790 3571.510 ;
+        RECT 2661.610 3570.330 2662.790 3571.510 ;
+        RECT 2761.610 3570.330 2762.790 3571.510 ;
+        RECT 2861.610 3570.330 2862.790 3571.510 ;
+        RECT 2980.990 3570.330 2982.170 3571.510 ;
+        RECT 12.410 3461.610 13.590 3462.790 ;
+        RECT 12.410 3361.610 13.590 3362.790 ;
+        RECT 12.410 3261.610 13.590 3262.790 ;
+        RECT 12.410 3161.610 13.590 3162.790 ;
+        RECT 12.410 3061.610 13.590 3062.790 ;
+        RECT 12.410 2961.610 13.590 2962.790 ;
+        RECT 12.410 2861.610 13.590 2862.790 ;
+        RECT 12.410 2761.610 13.590 2762.790 ;
+        RECT 12.410 2661.610 13.590 2662.790 ;
+        RECT 12.410 2561.610 13.590 2562.790 ;
+        RECT 12.410 2461.610 13.590 2462.790 ;
+        RECT 12.410 2361.610 13.590 2362.790 ;
+        RECT 12.410 2261.610 13.590 2262.790 ;
+        RECT 12.410 2161.610 13.590 2162.790 ;
+        RECT 12.410 2061.610 13.590 2062.790 ;
+        RECT 12.410 1961.610 13.590 1962.790 ;
+        RECT 12.410 1861.610 13.590 1862.790 ;
+        RECT 12.410 1761.610 13.590 1762.790 ;
+        RECT 12.410 1661.610 13.590 1662.790 ;
+        RECT 12.410 1561.610 13.590 1562.790 ;
+        RECT 12.410 1461.610 13.590 1462.790 ;
+        RECT 12.410 1361.610 13.590 1362.790 ;
+        RECT 12.410 1261.610 13.590 1262.790 ;
+        RECT 12.410 1161.610 13.590 1162.790 ;
+        RECT 12.410 1061.610 13.590 1062.790 ;
+        RECT 12.410 961.610 13.590 962.790 ;
+        RECT 12.410 861.610 13.590 862.790 ;
+        RECT 12.410 761.610 13.590 762.790 ;
+        RECT 12.410 661.610 13.590 662.790 ;
+        RECT 12.410 561.610 13.590 562.790 ;
+        RECT 12.410 461.610 13.590 462.790 ;
+        RECT 12.410 361.610 13.590 362.790 ;
+        RECT 12.410 261.610 13.590 262.790 ;
+        RECT 12.410 161.610 13.590 162.790 ;
+        RECT 12.410 61.610 13.590 62.790 ;
+        RECT 2980.990 3461.610 2982.170 3462.790 ;
+        RECT 2980.990 3361.610 2982.170 3362.790 ;
+        RECT 2980.990 3261.610 2982.170 3262.790 ;
+        RECT 2980.990 3161.610 2982.170 3162.790 ;
+        RECT 2980.990 3061.610 2982.170 3062.790 ;
+        RECT 2980.990 2961.610 2982.170 2962.790 ;
+        RECT 2980.990 2861.610 2982.170 2862.790 ;
+        RECT 2980.990 2761.610 2982.170 2762.790 ;
+        RECT 2980.990 2661.610 2982.170 2662.790 ;
+        RECT 2980.990 2561.610 2982.170 2562.790 ;
+        RECT 2980.990 2461.610 2982.170 2462.790 ;
+        RECT 2980.990 2361.610 2982.170 2362.790 ;
+        RECT 2980.990 2261.610 2982.170 2262.790 ;
+        RECT 2980.990 2161.610 2982.170 2162.790 ;
+        RECT 2980.990 2061.610 2982.170 2062.790 ;
+        RECT 2980.990 1961.610 2982.170 1962.790 ;
+        RECT 2980.990 1861.610 2982.170 1862.790 ;
+        RECT 2980.990 1761.610 2982.170 1762.790 ;
+        RECT 2980.990 1661.610 2982.170 1662.790 ;
+        RECT 2980.990 1561.610 2982.170 1562.790 ;
+        RECT 2980.990 1461.610 2982.170 1462.790 ;
+        RECT 2980.990 1361.610 2982.170 1362.790 ;
+        RECT 2980.990 1261.610 2982.170 1262.790 ;
+        RECT 2980.990 1161.610 2982.170 1162.790 ;
+        RECT 2980.990 1061.610 2982.170 1062.790 ;
+        RECT 2980.990 961.610 2982.170 962.790 ;
+        RECT 2980.990 861.610 2982.170 862.790 ;
+        RECT 2980.990 761.610 2982.170 762.790 ;
+        RECT 2980.990 661.610 2982.170 662.790 ;
+        RECT 2980.990 561.610 2982.170 562.790 ;
+        RECT 2980.990 461.610 2982.170 462.790 ;
+        RECT 2980.990 361.610 2982.170 362.790 ;
+        RECT 2980.990 261.610 2982.170 262.790 ;
+        RECT 2980.990 161.610 2982.170 162.790 ;
+        RECT 2980.990 61.610 2982.170 62.790 ;
+        RECT 12.410 12.410 13.590 13.590 ;
+        RECT 61.610 12.410 62.790 13.590 ;
+        RECT 161.610 12.410 162.790 13.590 ;
+        RECT 261.610 12.410 262.790 13.590 ;
+        RECT 361.610 12.410 362.790 13.590 ;
+        RECT 461.610 12.410 462.790 13.590 ;
+        RECT 561.610 12.410 562.790 13.590 ;
+        RECT 661.610 12.410 662.790 13.590 ;
+        RECT 761.610 12.410 762.790 13.590 ;
+        RECT 861.610 12.410 862.790 13.590 ;
+        RECT 961.610 12.410 962.790 13.590 ;
+        RECT 1061.610 12.410 1062.790 13.590 ;
+        RECT 1161.610 12.410 1162.790 13.590 ;
+        RECT 1261.610 12.410 1262.790 13.590 ;
+        RECT 1361.610 12.410 1362.790 13.590 ;
+        RECT 1461.610 12.410 1462.790 13.590 ;
+        RECT 1561.610 12.410 1562.790 13.590 ;
+        RECT 1661.610 12.410 1662.790 13.590 ;
+        RECT 1761.610 12.410 1762.790 13.590 ;
+        RECT 1861.610 12.410 1862.790 13.590 ;
+        RECT 1961.610 12.410 1962.790 13.590 ;
+        RECT 2061.610 12.410 2062.790 13.590 ;
+        RECT 2161.610 12.410 2162.790 13.590 ;
+        RECT 2261.610 12.410 2262.790 13.590 ;
+        RECT 2361.610 12.410 2362.790 13.590 ;
+        RECT 2461.610 12.410 2462.790 13.590 ;
+        RECT 2561.610 12.410 2562.790 13.590 ;
+        RECT 2661.610 12.410 2662.790 13.590 ;
+        RECT 2761.610 12.410 2762.790 13.590 ;
+        RECT 2861.610 12.410 2862.790 13.590 ;
+        RECT 2980.990 12.410 2982.170 13.590 ;
+      LAYER met5 ;
+        RECT 12.000 3569.920 2982.580 3571.920 ;
+        RECT 8.000 3461.200 39.880 3463.200 ;
+        RECT 2955.080 3461.200 2986.580 3463.200 ;
+        RECT 8.000 3361.200 39.880 3363.200 ;
+        RECT 2955.080 3361.200 2986.580 3363.200 ;
+        RECT 8.000 3261.200 39.880 3263.200 ;
+        RECT 2955.080 3261.200 2986.580 3263.200 ;
+        RECT 8.000 3161.200 39.880 3163.200 ;
+        RECT 2955.080 3161.200 2986.580 3163.200 ;
+        RECT 8.000 3061.200 39.880 3063.200 ;
+        RECT 2955.080 3061.200 2986.580 3063.200 ;
+        RECT 8.000 2961.200 39.880 2963.200 ;
+        RECT 2955.080 2961.200 2986.580 2963.200 ;
+        RECT 8.000 2861.200 39.880 2863.200 ;
+        RECT 2955.080 2861.200 2986.580 2863.200 ;
+        RECT 8.000 2761.200 39.880 2763.200 ;
+        RECT 2955.080 2761.200 2986.580 2763.200 ;
+        RECT 8.000 2661.200 39.880 2663.200 ;
+        RECT 2955.080 2661.200 2986.580 2663.200 ;
+        RECT 8.000 2561.200 39.880 2563.200 ;
+        RECT 2955.080 2561.200 2986.580 2563.200 ;
+        RECT 8.000 2461.200 39.880 2463.200 ;
+        RECT 2955.080 2461.200 2986.580 2463.200 ;
+        RECT 8.000 2361.200 39.880 2363.200 ;
+        RECT 2955.080 2361.200 2986.580 2363.200 ;
+        RECT 8.000 2261.200 39.880 2263.200 ;
+        RECT 2955.080 2261.200 2986.580 2263.200 ;
+        RECT 8.000 2161.200 39.880 2163.200 ;
+        RECT 2955.080 2161.200 2986.580 2163.200 ;
+        RECT 8.000 2061.200 39.880 2063.200 ;
+        RECT 2955.080 2061.200 2986.580 2063.200 ;
+        RECT 8.000 1961.200 39.880 1963.200 ;
+        RECT 2955.080 1961.200 2986.580 1963.200 ;
+        RECT 8.000 1861.200 39.880 1863.200 ;
+        RECT 2955.080 1861.200 2986.580 1863.200 ;
+        RECT 8.000 1761.200 39.880 1763.200 ;
+        RECT 2955.080 1761.200 2986.580 1763.200 ;
+        RECT 8.000 1661.200 39.880 1663.200 ;
+        RECT 2955.080 1661.200 2986.580 1663.200 ;
+        RECT 8.000 1561.200 39.880 1563.200 ;
+        RECT 2955.080 1561.200 2986.580 1563.200 ;
+        RECT 8.000 1461.200 39.880 1463.200 ;
+        RECT 2955.080 1461.200 2986.580 1463.200 ;
+        RECT 8.000 1361.200 39.880 1363.200 ;
+        RECT 2955.080 1361.200 2986.580 1363.200 ;
+        RECT 8.000 1261.200 39.880 1263.200 ;
+        RECT 2955.080 1261.200 2986.580 1263.200 ;
+        RECT 8.000 1161.200 39.880 1163.200 ;
+        RECT 2955.080 1161.200 2986.580 1163.200 ;
+        RECT 8.000 1061.200 39.880 1063.200 ;
+        RECT 2955.080 1061.200 2986.580 1063.200 ;
+        RECT 8.000 961.200 39.880 963.200 ;
+        RECT 2955.080 961.200 2986.580 963.200 ;
+        RECT 8.000 861.200 39.880 863.200 ;
+        RECT 2955.080 861.200 2986.580 863.200 ;
+        RECT 8.000 761.200 39.880 763.200 ;
+        RECT 2955.080 761.200 2986.580 763.200 ;
+        RECT 8.000 661.200 39.880 663.200 ;
+        RECT 2955.080 661.200 2986.580 663.200 ;
+        RECT 8.000 561.200 39.880 563.200 ;
+        RECT 2955.080 561.200 2986.580 563.200 ;
+        RECT 8.000 461.200 39.880 463.200 ;
+        RECT 2955.080 461.200 2986.580 463.200 ;
+        RECT 8.000 361.200 39.880 363.200 ;
+        RECT 2955.080 361.200 2986.580 363.200 ;
+        RECT 8.000 261.200 39.880 263.200 ;
+        RECT 2955.080 261.200 2986.580 263.200 ;
+        RECT 8.000 161.200 39.880 163.200 ;
+        RECT 2955.080 161.200 2986.580 163.200 ;
+        RECT 8.000 61.200 39.880 63.200 ;
+        RECT 2955.080 61.200 2986.580 63.200 ;
+        RECT 12.000 12.000 2982.580 14.000 ;
+    END
+  END vdda1
+  PIN vssa1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 8.000 8.000 10.000 3575.920 ;
+        RECT 111.200 3549.720 113.200 3575.920 ;
+        RECT 211.200 3549.720 213.200 3575.920 ;
+        RECT 311.200 3549.720 313.200 3575.920 ;
+        RECT 411.200 3549.720 413.200 3575.920 ;
+        RECT 511.200 3549.720 513.200 3575.920 ;
+        RECT 611.200 3549.720 613.200 3575.920 ;
+        RECT 711.200 3549.720 713.200 3575.920 ;
+        RECT 811.200 3549.720 813.200 3575.920 ;
+        RECT 911.200 3549.720 913.200 3575.920 ;
+        RECT 1011.200 3549.720 1013.200 3575.920 ;
+        RECT 1111.200 3549.720 1113.200 3575.920 ;
+        RECT 1211.200 3549.720 1213.200 3575.920 ;
+        RECT 1311.200 3549.720 1313.200 3575.920 ;
+        RECT 1411.200 3549.720 1413.200 3575.920 ;
+        RECT 1511.200 3549.720 1513.200 3575.920 ;
+        RECT 1611.200 3549.720 1613.200 3575.920 ;
+        RECT 1711.200 3549.720 1713.200 3575.920 ;
+        RECT 1811.200 3549.720 1813.200 3575.920 ;
+        RECT 1911.200 3549.720 1913.200 3575.920 ;
+        RECT 2011.200 3549.720 2013.200 3575.920 ;
+        RECT 2111.200 3549.720 2113.200 3575.920 ;
+        RECT 2211.200 3549.720 2213.200 3575.920 ;
+        RECT 2311.200 3549.720 2313.200 3575.920 ;
+        RECT 2411.200 3549.720 2413.200 3575.920 ;
+        RECT 2511.200 3549.720 2513.200 3575.920 ;
+        RECT 2611.200 3549.720 2613.200 3575.920 ;
+        RECT 2711.200 3549.720 2713.200 3575.920 ;
+        RECT 2811.200 3549.720 2813.200 3575.920 ;
+        RECT 2911.200 3549.720 2913.200 3575.920 ;
+        RECT 111.200 8.000 113.200 34.520 ;
+        RECT 211.200 8.000 213.200 34.520 ;
+        RECT 311.200 8.000 313.200 34.520 ;
+        RECT 411.200 8.000 413.200 34.520 ;
+        RECT 511.200 8.000 513.200 34.520 ;
+        RECT 611.200 8.000 613.200 34.520 ;
+        RECT 711.200 8.000 713.200 34.520 ;
+        RECT 811.200 8.000 813.200 34.520 ;
+        RECT 911.200 8.000 913.200 34.520 ;
+        RECT 1011.200 8.000 1013.200 34.520 ;
+        RECT 1111.200 8.000 1113.200 34.520 ;
+        RECT 1211.200 8.000 1213.200 34.520 ;
+        RECT 1311.200 8.000 1313.200 34.520 ;
+        RECT 1411.200 8.000 1413.200 34.520 ;
+        RECT 1511.200 8.000 1513.200 34.520 ;
+        RECT 1611.200 8.000 1613.200 34.520 ;
+        RECT 1711.200 8.000 1713.200 34.520 ;
+        RECT 1811.200 8.000 1813.200 34.520 ;
+        RECT 1911.200 8.000 1913.200 34.520 ;
+        RECT 2011.200 8.000 2013.200 34.520 ;
+        RECT 2111.200 8.000 2113.200 34.520 ;
+        RECT 2211.200 8.000 2213.200 34.520 ;
+        RECT 2311.200 8.000 2313.200 34.520 ;
+        RECT 2411.200 8.000 2413.200 34.520 ;
+        RECT 2511.200 8.000 2513.200 34.520 ;
+        RECT 2611.200 8.000 2613.200 34.520 ;
+        RECT 2711.200 8.000 2713.200 34.520 ;
+        RECT 2811.200 8.000 2813.200 34.520 ;
+        RECT 2911.200 8.000 2913.200 34.520 ;
+        RECT 2984.580 8.000 2986.580 3575.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 8.410 3574.330 9.590 3575.510 ;
+        RECT 111.610 3574.330 112.790 3575.510 ;
+        RECT 211.610 3574.330 212.790 3575.510 ;
+        RECT 311.610 3574.330 312.790 3575.510 ;
+        RECT 411.610 3574.330 412.790 3575.510 ;
+        RECT 511.610 3574.330 512.790 3575.510 ;
+        RECT 611.610 3574.330 612.790 3575.510 ;
+        RECT 711.610 3574.330 712.790 3575.510 ;
+        RECT 811.610 3574.330 812.790 3575.510 ;
+        RECT 911.610 3574.330 912.790 3575.510 ;
+        RECT 1011.610 3574.330 1012.790 3575.510 ;
+        RECT 1111.610 3574.330 1112.790 3575.510 ;
+        RECT 1211.610 3574.330 1212.790 3575.510 ;
+        RECT 1311.610 3574.330 1312.790 3575.510 ;
+        RECT 1411.610 3574.330 1412.790 3575.510 ;
+        RECT 1511.610 3574.330 1512.790 3575.510 ;
+        RECT 1611.610 3574.330 1612.790 3575.510 ;
+        RECT 1711.610 3574.330 1712.790 3575.510 ;
+        RECT 1811.610 3574.330 1812.790 3575.510 ;
+        RECT 1911.610 3574.330 1912.790 3575.510 ;
+        RECT 2011.610 3574.330 2012.790 3575.510 ;
+        RECT 2111.610 3574.330 2112.790 3575.510 ;
+        RECT 2211.610 3574.330 2212.790 3575.510 ;
+        RECT 2311.610 3574.330 2312.790 3575.510 ;
+        RECT 2411.610 3574.330 2412.790 3575.510 ;
+        RECT 2511.610 3574.330 2512.790 3575.510 ;
+        RECT 2611.610 3574.330 2612.790 3575.510 ;
+        RECT 2711.610 3574.330 2712.790 3575.510 ;
+        RECT 2811.610 3574.330 2812.790 3575.510 ;
+        RECT 2911.610 3574.330 2912.790 3575.510 ;
+        RECT 2984.990 3574.330 2986.170 3575.510 ;
+        RECT 8.410 3511.610 9.590 3512.790 ;
+        RECT 8.410 3411.610 9.590 3412.790 ;
+        RECT 8.410 3311.610 9.590 3312.790 ;
+        RECT 8.410 3211.610 9.590 3212.790 ;
+        RECT 8.410 3111.610 9.590 3112.790 ;
+        RECT 8.410 3011.610 9.590 3012.790 ;
+        RECT 8.410 2911.610 9.590 2912.790 ;
+        RECT 8.410 2811.610 9.590 2812.790 ;
+        RECT 8.410 2711.610 9.590 2712.790 ;
+        RECT 8.410 2611.610 9.590 2612.790 ;
+        RECT 8.410 2511.610 9.590 2512.790 ;
+        RECT 8.410 2411.610 9.590 2412.790 ;
+        RECT 8.410 2311.610 9.590 2312.790 ;
+        RECT 8.410 2211.610 9.590 2212.790 ;
+        RECT 8.410 2111.610 9.590 2112.790 ;
+        RECT 8.410 2011.610 9.590 2012.790 ;
+        RECT 8.410 1911.610 9.590 1912.790 ;
+        RECT 8.410 1811.610 9.590 1812.790 ;
+        RECT 8.410 1711.610 9.590 1712.790 ;
+        RECT 8.410 1611.610 9.590 1612.790 ;
+        RECT 8.410 1511.610 9.590 1512.790 ;
+        RECT 8.410 1411.610 9.590 1412.790 ;
+        RECT 8.410 1311.610 9.590 1312.790 ;
+        RECT 8.410 1211.610 9.590 1212.790 ;
+        RECT 8.410 1111.610 9.590 1112.790 ;
+        RECT 8.410 1011.610 9.590 1012.790 ;
+        RECT 8.410 911.610 9.590 912.790 ;
+        RECT 8.410 811.610 9.590 812.790 ;
+        RECT 8.410 711.610 9.590 712.790 ;
+        RECT 8.410 611.610 9.590 612.790 ;
+        RECT 8.410 511.610 9.590 512.790 ;
+        RECT 8.410 411.610 9.590 412.790 ;
+        RECT 8.410 311.610 9.590 312.790 ;
+        RECT 8.410 211.610 9.590 212.790 ;
+        RECT 8.410 111.610 9.590 112.790 ;
+        RECT 2984.990 3511.610 2986.170 3512.790 ;
+        RECT 2984.990 3411.610 2986.170 3412.790 ;
+        RECT 2984.990 3311.610 2986.170 3312.790 ;
+        RECT 2984.990 3211.610 2986.170 3212.790 ;
+        RECT 2984.990 3111.610 2986.170 3112.790 ;
+        RECT 2984.990 3011.610 2986.170 3012.790 ;
+        RECT 2984.990 2911.610 2986.170 2912.790 ;
+        RECT 2984.990 2811.610 2986.170 2812.790 ;
+        RECT 2984.990 2711.610 2986.170 2712.790 ;
+        RECT 2984.990 2611.610 2986.170 2612.790 ;
+        RECT 2984.990 2511.610 2986.170 2512.790 ;
+        RECT 2984.990 2411.610 2986.170 2412.790 ;
+        RECT 2984.990 2311.610 2986.170 2312.790 ;
+        RECT 2984.990 2211.610 2986.170 2212.790 ;
+        RECT 2984.990 2111.610 2986.170 2112.790 ;
+        RECT 2984.990 2011.610 2986.170 2012.790 ;
+        RECT 2984.990 1911.610 2986.170 1912.790 ;
+        RECT 2984.990 1811.610 2986.170 1812.790 ;
+        RECT 2984.990 1711.610 2986.170 1712.790 ;
+        RECT 2984.990 1611.610 2986.170 1612.790 ;
+        RECT 2984.990 1511.610 2986.170 1512.790 ;
+        RECT 2984.990 1411.610 2986.170 1412.790 ;
+        RECT 2984.990 1311.610 2986.170 1312.790 ;
+        RECT 2984.990 1211.610 2986.170 1212.790 ;
+        RECT 2984.990 1111.610 2986.170 1112.790 ;
+        RECT 2984.990 1011.610 2986.170 1012.790 ;
+        RECT 2984.990 911.610 2986.170 912.790 ;
+        RECT 2984.990 811.610 2986.170 812.790 ;
+        RECT 2984.990 711.610 2986.170 712.790 ;
+        RECT 2984.990 611.610 2986.170 612.790 ;
+        RECT 2984.990 511.610 2986.170 512.790 ;
+        RECT 2984.990 411.610 2986.170 412.790 ;
+        RECT 2984.990 311.610 2986.170 312.790 ;
+        RECT 2984.990 211.610 2986.170 212.790 ;
+        RECT 2984.990 111.610 2986.170 112.790 ;
+        RECT 8.410 8.410 9.590 9.590 ;
+        RECT 111.610 8.410 112.790 9.590 ;
+        RECT 211.610 8.410 212.790 9.590 ;
+        RECT 311.610 8.410 312.790 9.590 ;
+        RECT 411.610 8.410 412.790 9.590 ;
+        RECT 511.610 8.410 512.790 9.590 ;
+        RECT 611.610 8.410 612.790 9.590 ;
+        RECT 711.610 8.410 712.790 9.590 ;
+        RECT 811.610 8.410 812.790 9.590 ;
+        RECT 911.610 8.410 912.790 9.590 ;
+        RECT 1011.610 8.410 1012.790 9.590 ;
+        RECT 1111.610 8.410 1112.790 9.590 ;
+        RECT 1211.610 8.410 1212.790 9.590 ;
+        RECT 1311.610 8.410 1312.790 9.590 ;
+        RECT 1411.610 8.410 1412.790 9.590 ;
+        RECT 1511.610 8.410 1512.790 9.590 ;
+        RECT 1611.610 8.410 1612.790 9.590 ;
+        RECT 1711.610 8.410 1712.790 9.590 ;
+        RECT 1811.610 8.410 1812.790 9.590 ;
+        RECT 1911.610 8.410 1912.790 9.590 ;
+        RECT 2011.610 8.410 2012.790 9.590 ;
+        RECT 2111.610 8.410 2112.790 9.590 ;
+        RECT 2211.610 8.410 2212.790 9.590 ;
+        RECT 2311.610 8.410 2312.790 9.590 ;
+        RECT 2411.610 8.410 2412.790 9.590 ;
+        RECT 2511.610 8.410 2512.790 9.590 ;
+        RECT 2611.610 8.410 2612.790 9.590 ;
+        RECT 2711.610 8.410 2712.790 9.590 ;
+        RECT 2811.610 8.410 2812.790 9.590 ;
+        RECT 2911.610 8.410 2912.790 9.590 ;
+        RECT 2984.990 8.410 2986.170 9.590 ;
+      LAYER met5 ;
+        RECT 8.000 3573.920 2986.580 3575.920 ;
+        RECT 8.000 3511.200 39.880 3513.200 ;
+        RECT 2955.080 3511.200 2986.580 3513.200 ;
+        RECT 8.000 3411.200 39.880 3413.200 ;
+        RECT 2955.080 3411.200 2986.580 3413.200 ;
+        RECT 8.000 3311.200 39.880 3313.200 ;
+        RECT 2955.080 3311.200 2986.580 3313.200 ;
+        RECT 8.000 3211.200 39.880 3213.200 ;
+        RECT 2955.080 3211.200 2986.580 3213.200 ;
+        RECT 8.000 3111.200 39.880 3113.200 ;
+        RECT 2955.080 3111.200 2986.580 3113.200 ;
+        RECT 8.000 3011.200 39.880 3013.200 ;
+        RECT 2955.080 3011.200 2986.580 3013.200 ;
+        RECT 8.000 2911.200 39.880 2913.200 ;
+        RECT 2955.080 2911.200 2986.580 2913.200 ;
+        RECT 8.000 2811.200 39.880 2813.200 ;
+        RECT 2955.080 2811.200 2986.580 2813.200 ;
+        RECT 8.000 2711.200 39.880 2713.200 ;
+        RECT 2955.080 2711.200 2986.580 2713.200 ;
+        RECT 8.000 2611.200 39.880 2613.200 ;
+        RECT 2955.080 2611.200 2986.580 2613.200 ;
+        RECT 8.000 2511.200 39.880 2513.200 ;
+        RECT 2955.080 2511.200 2986.580 2513.200 ;
+        RECT 8.000 2411.200 39.880 2413.200 ;
+        RECT 2955.080 2411.200 2986.580 2413.200 ;
+        RECT 8.000 2311.200 39.880 2313.200 ;
+        RECT 2955.080 2311.200 2986.580 2313.200 ;
+        RECT 8.000 2211.200 39.880 2213.200 ;
+        RECT 2955.080 2211.200 2986.580 2213.200 ;
+        RECT 8.000 2111.200 39.880 2113.200 ;
+        RECT 2955.080 2111.200 2986.580 2113.200 ;
+        RECT 8.000 2011.200 39.880 2013.200 ;
+        RECT 2955.080 2011.200 2986.580 2013.200 ;
+        RECT 8.000 1911.200 39.880 1913.200 ;
+        RECT 2955.080 1911.200 2986.580 1913.200 ;
+        RECT 8.000 1811.200 39.880 1813.200 ;
+        RECT 2955.080 1811.200 2986.580 1813.200 ;
+        RECT 8.000 1711.200 39.880 1713.200 ;
+        RECT 2955.080 1711.200 2986.580 1713.200 ;
+        RECT 8.000 1611.200 39.880 1613.200 ;
+        RECT 2955.080 1611.200 2986.580 1613.200 ;
+        RECT 8.000 1511.200 39.880 1513.200 ;
+        RECT 2955.080 1511.200 2986.580 1513.200 ;
+        RECT 8.000 1411.200 39.880 1413.200 ;
+        RECT 2955.080 1411.200 2986.580 1413.200 ;
+        RECT 8.000 1311.200 39.880 1313.200 ;
+        RECT 2955.080 1311.200 2986.580 1313.200 ;
+        RECT 8.000 1211.200 39.880 1213.200 ;
+        RECT 2955.080 1211.200 2986.580 1213.200 ;
+        RECT 8.000 1111.200 39.880 1113.200 ;
+        RECT 2955.080 1111.200 2986.580 1113.200 ;
+        RECT 8.000 1011.200 39.880 1013.200 ;
+        RECT 2955.080 1011.200 2986.580 1013.200 ;
+        RECT 8.000 911.200 39.880 913.200 ;
+        RECT 2955.080 911.200 2986.580 913.200 ;
+        RECT 8.000 811.200 39.880 813.200 ;
+        RECT 2955.080 811.200 2986.580 813.200 ;
+        RECT 8.000 711.200 39.880 713.200 ;
+        RECT 2955.080 711.200 2986.580 713.200 ;
+        RECT 8.000 611.200 39.880 613.200 ;
+        RECT 2955.080 611.200 2986.580 613.200 ;
+        RECT 8.000 511.200 39.880 513.200 ;
+        RECT 2955.080 511.200 2986.580 513.200 ;
+        RECT 8.000 411.200 39.880 413.200 ;
+        RECT 2955.080 411.200 2986.580 413.200 ;
+        RECT 8.000 311.200 39.880 313.200 ;
+        RECT 2955.080 311.200 2986.580 313.200 ;
+        RECT 8.000 211.200 39.880 213.200 ;
+        RECT 2955.080 211.200 2986.580 213.200 ;
+        RECT 8.000 111.200 39.880 113.200 ;
+        RECT 2955.080 111.200 2986.580 113.200 ;
+        RECT 8.000 8.000 2986.580 10.000 ;
+    END
+  END vssa1
+  PIN vdda2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 4.000 4.000 6.000 3579.920 ;
+        RECT 70.800 3549.720 72.800 3583.920 ;
+        RECT 170.800 3549.720 172.800 3583.920 ;
+        RECT 270.800 3549.720 272.800 3583.920 ;
+        RECT 370.800 3549.720 372.800 3583.920 ;
+        RECT 470.800 3549.720 472.800 3583.920 ;
+        RECT 570.800 3549.720 572.800 3583.920 ;
+        RECT 670.800 3549.720 672.800 3583.920 ;
+        RECT 770.800 3549.720 772.800 3583.920 ;
+        RECT 870.800 3549.720 872.800 3583.920 ;
+        RECT 970.800 3549.720 972.800 3583.920 ;
+        RECT 1070.800 3549.720 1072.800 3583.920 ;
+        RECT 1170.800 3549.720 1172.800 3583.920 ;
+        RECT 1270.800 3549.720 1272.800 3583.920 ;
+        RECT 1370.800 3549.720 1372.800 3583.920 ;
+        RECT 1470.800 3549.720 1472.800 3583.920 ;
+        RECT 1570.800 3549.720 1572.800 3583.920 ;
+        RECT 1670.800 3549.720 1672.800 3583.920 ;
+        RECT 1770.800 3549.720 1772.800 3583.920 ;
+        RECT 1870.800 3549.720 1872.800 3583.920 ;
+        RECT 1970.800 3549.720 1972.800 3583.920 ;
+        RECT 2070.800 3549.720 2072.800 3583.920 ;
+        RECT 2170.800 3549.720 2172.800 3583.920 ;
+        RECT 2270.800 3549.720 2272.800 3583.920 ;
+        RECT 2370.800 3549.720 2372.800 3583.920 ;
+        RECT 2470.800 3549.720 2472.800 3583.920 ;
+        RECT 2570.800 3549.720 2572.800 3583.920 ;
+        RECT 2670.800 3549.720 2672.800 3583.920 ;
+        RECT 2770.800 3549.720 2772.800 3583.920 ;
+        RECT 2870.800 3549.720 2872.800 3583.920 ;
+        RECT 70.800 0.000 72.800 34.520 ;
+        RECT 170.800 0.000 172.800 34.520 ;
+        RECT 270.800 0.000 272.800 34.520 ;
+        RECT 370.800 0.000 372.800 34.520 ;
+        RECT 470.800 0.000 472.800 34.520 ;
+        RECT 570.800 0.000 572.800 34.520 ;
+        RECT 670.800 0.000 672.800 34.520 ;
+        RECT 770.800 0.000 772.800 34.520 ;
+        RECT 870.800 0.000 872.800 34.520 ;
+        RECT 970.800 0.000 972.800 34.520 ;
+        RECT 1070.800 0.000 1072.800 34.520 ;
+        RECT 1170.800 0.000 1172.800 34.520 ;
+        RECT 1270.800 0.000 1272.800 34.520 ;
+        RECT 1370.800 0.000 1372.800 34.520 ;
+        RECT 1470.800 0.000 1472.800 34.520 ;
+        RECT 1570.800 0.000 1572.800 34.520 ;
+        RECT 1670.800 0.000 1672.800 34.520 ;
+        RECT 1770.800 0.000 1772.800 34.520 ;
+        RECT 1870.800 0.000 1872.800 34.520 ;
+        RECT 1970.800 0.000 1972.800 34.520 ;
+        RECT 2070.800 0.000 2072.800 34.520 ;
+        RECT 2170.800 0.000 2172.800 34.520 ;
+        RECT 2270.800 0.000 2272.800 34.520 ;
+        RECT 2370.800 0.000 2372.800 34.520 ;
+        RECT 2470.800 0.000 2472.800 34.520 ;
+        RECT 2570.800 0.000 2572.800 34.520 ;
+        RECT 2670.800 0.000 2672.800 34.520 ;
+        RECT 2770.800 0.000 2772.800 34.520 ;
+        RECT 2870.800 0.000 2872.800 34.520 ;
+        RECT 2988.580 4.000 2990.580 3579.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 4.410 3578.330 5.590 3579.510 ;
+        RECT 71.210 3578.330 72.390 3579.510 ;
+        RECT 171.210 3578.330 172.390 3579.510 ;
+        RECT 271.210 3578.330 272.390 3579.510 ;
+        RECT 371.210 3578.330 372.390 3579.510 ;
+        RECT 471.210 3578.330 472.390 3579.510 ;
+        RECT 571.210 3578.330 572.390 3579.510 ;
+        RECT 671.210 3578.330 672.390 3579.510 ;
+        RECT 771.210 3578.330 772.390 3579.510 ;
+        RECT 871.210 3578.330 872.390 3579.510 ;
+        RECT 971.210 3578.330 972.390 3579.510 ;
+        RECT 1071.210 3578.330 1072.390 3579.510 ;
+        RECT 1171.210 3578.330 1172.390 3579.510 ;
+        RECT 1271.210 3578.330 1272.390 3579.510 ;
+        RECT 1371.210 3578.330 1372.390 3579.510 ;
+        RECT 1471.210 3578.330 1472.390 3579.510 ;
+        RECT 1571.210 3578.330 1572.390 3579.510 ;
+        RECT 1671.210 3578.330 1672.390 3579.510 ;
+        RECT 1771.210 3578.330 1772.390 3579.510 ;
+        RECT 1871.210 3578.330 1872.390 3579.510 ;
+        RECT 1971.210 3578.330 1972.390 3579.510 ;
+        RECT 2071.210 3578.330 2072.390 3579.510 ;
+        RECT 2171.210 3578.330 2172.390 3579.510 ;
+        RECT 2271.210 3578.330 2272.390 3579.510 ;
+        RECT 2371.210 3578.330 2372.390 3579.510 ;
+        RECT 2471.210 3578.330 2472.390 3579.510 ;
+        RECT 2571.210 3578.330 2572.390 3579.510 ;
+        RECT 2671.210 3578.330 2672.390 3579.510 ;
+        RECT 2771.210 3578.330 2772.390 3579.510 ;
+        RECT 2871.210 3578.330 2872.390 3579.510 ;
+        RECT 2988.990 3578.330 2990.170 3579.510 ;
+        RECT 4.410 3471.210 5.590 3472.390 ;
+        RECT 4.410 3371.210 5.590 3372.390 ;
+        RECT 4.410 3271.210 5.590 3272.390 ;
+        RECT 4.410 3171.210 5.590 3172.390 ;
+        RECT 4.410 3071.210 5.590 3072.390 ;
+        RECT 4.410 2971.210 5.590 2972.390 ;
+        RECT 4.410 2871.210 5.590 2872.390 ;
+        RECT 4.410 2771.210 5.590 2772.390 ;
+        RECT 4.410 2671.210 5.590 2672.390 ;
+        RECT 4.410 2571.210 5.590 2572.390 ;
+        RECT 4.410 2471.210 5.590 2472.390 ;
+        RECT 4.410 2371.210 5.590 2372.390 ;
+        RECT 4.410 2271.210 5.590 2272.390 ;
+        RECT 4.410 2171.210 5.590 2172.390 ;
+        RECT 4.410 2071.210 5.590 2072.390 ;
+        RECT 4.410 1971.210 5.590 1972.390 ;
+        RECT 4.410 1871.210 5.590 1872.390 ;
+        RECT 4.410 1771.210 5.590 1772.390 ;
+        RECT 4.410 1671.210 5.590 1672.390 ;
+        RECT 4.410 1571.210 5.590 1572.390 ;
+        RECT 4.410 1471.210 5.590 1472.390 ;
+        RECT 4.410 1371.210 5.590 1372.390 ;
+        RECT 4.410 1271.210 5.590 1272.390 ;
+        RECT 4.410 1171.210 5.590 1172.390 ;
+        RECT 4.410 1071.210 5.590 1072.390 ;
+        RECT 4.410 971.210 5.590 972.390 ;
+        RECT 4.410 871.210 5.590 872.390 ;
+        RECT 4.410 771.210 5.590 772.390 ;
+        RECT 4.410 671.210 5.590 672.390 ;
+        RECT 4.410 571.210 5.590 572.390 ;
+        RECT 4.410 471.210 5.590 472.390 ;
+        RECT 4.410 371.210 5.590 372.390 ;
+        RECT 4.410 271.210 5.590 272.390 ;
+        RECT 4.410 171.210 5.590 172.390 ;
+        RECT 4.410 71.210 5.590 72.390 ;
+        RECT 2988.990 3471.210 2990.170 3472.390 ;
+        RECT 2988.990 3371.210 2990.170 3372.390 ;
+        RECT 2988.990 3271.210 2990.170 3272.390 ;
+        RECT 2988.990 3171.210 2990.170 3172.390 ;
+        RECT 2988.990 3071.210 2990.170 3072.390 ;
+        RECT 2988.990 2971.210 2990.170 2972.390 ;
+        RECT 2988.990 2871.210 2990.170 2872.390 ;
+        RECT 2988.990 2771.210 2990.170 2772.390 ;
+        RECT 2988.990 2671.210 2990.170 2672.390 ;
+        RECT 2988.990 2571.210 2990.170 2572.390 ;
+        RECT 2988.990 2471.210 2990.170 2472.390 ;
+        RECT 2988.990 2371.210 2990.170 2372.390 ;
+        RECT 2988.990 2271.210 2990.170 2272.390 ;
+        RECT 2988.990 2171.210 2990.170 2172.390 ;
+        RECT 2988.990 2071.210 2990.170 2072.390 ;
+        RECT 2988.990 1971.210 2990.170 1972.390 ;
+        RECT 2988.990 1871.210 2990.170 1872.390 ;
+        RECT 2988.990 1771.210 2990.170 1772.390 ;
+        RECT 2988.990 1671.210 2990.170 1672.390 ;
+        RECT 2988.990 1571.210 2990.170 1572.390 ;
+        RECT 2988.990 1471.210 2990.170 1472.390 ;
+        RECT 2988.990 1371.210 2990.170 1372.390 ;
+        RECT 2988.990 1271.210 2990.170 1272.390 ;
+        RECT 2988.990 1171.210 2990.170 1172.390 ;
+        RECT 2988.990 1071.210 2990.170 1072.390 ;
+        RECT 2988.990 971.210 2990.170 972.390 ;
+        RECT 2988.990 871.210 2990.170 872.390 ;
+        RECT 2988.990 771.210 2990.170 772.390 ;
+        RECT 2988.990 671.210 2990.170 672.390 ;
+        RECT 2988.990 571.210 2990.170 572.390 ;
+        RECT 2988.990 471.210 2990.170 472.390 ;
+        RECT 2988.990 371.210 2990.170 372.390 ;
+        RECT 2988.990 271.210 2990.170 272.390 ;
+        RECT 2988.990 171.210 2990.170 172.390 ;
+        RECT 2988.990 71.210 2990.170 72.390 ;
+        RECT 4.410 4.410 5.590 5.590 ;
+        RECT 71.210 4.410 72.390 5.590 ;
+        RECT 171.210 4.410 172.390 5.590 ;
+        RECT 271.210 4.410 272.390 5.590 ;
+        RECT 371.210 4.410 372.390 5.590 ;
+        RECT 471.210 4.410 472.390 5.590 ;
+        RECT 571.210 4.410 572.390 5.590 ;
+        RECT 671.210 4.410 672.390 5.590 ;
+        RECT 771.210 4.410 772.390 5.590 ;
+        RECT 871.210 4.410 872.390 5.590 ;
+        RECT 971.210 4.410 972.390 5.590 ;
+        RECT 1071.210 4.410 1072.390 5.590 ;
+        RECT 1171.210 4.410 1172.390 5.590 ;
+        RECT 1271.210 4.410 1272.390 5.590 ;
+        RECT 1371.210 4.410 1372.390 5.590 ;
+        RECT 1471.210 4.410 1472.390 5.590 ;
+        RECT 1571.210 4.410 1572.390 5.590 ;
+        RECT 1671.210 4.410 1672.390 5.590 ;
+        RECT 1771.210 4.410 1772.390 5.590 ;
+        RECT 1871.210 4.410 1872.390 5.590 ;
+        RECT 1971.210 4.410 1972.390 5.590 ;
+        RECT 2071.210 4.410 2072.390 5.590 ;
+        RECT 2171.210 4.410 2172.390 5.590 ;
+        RECT 2271.210 4.410 2272.390 5.590 ;
+        RECT 2371.210 4.410 2372.390 5.590 ;
+        RECT 2471.210 4.410 2472.390 5.590 ;
+        RECT 2571.210 4.410 2572.390 5.590 ;
+        RECT 2671.210 4.410 2672.390 5.590 ;
+        RECT 2771.210 4.410 2772.390 5.590 ;
+        RECT 2871.210 4.410 2872.390 5.590 ;
+        RECT 2988.990 4.410 2990.170 5.590 ;
+      LAYER met5 ;
+        RECT 4.000 3577.920 2990.580 3579.920 ;
+        RECT 0.000 3470.800 39.880 3472.800 ;
+        RECT 2955.080 3470.800 2994.580 3472.800 ;
+        RECT 0.000 3370.800 39.880 3372.800 ;
+        RECT 2955.080 3370.800 2994.580 3372.800 ;
+        RECT 0.000 3270.800 39.880 3272.800 ;
+        RECT 2955.080 3270.800 2994.580 3272.800 ;
+        RECT 0.000 3170.800 39.880 3172.800 ;
+        RECT 2955.080 3170.800 2994.580 3172.800 ;
+        RECT 0.000 3070.800 39.880 3072.800 ;
+        RECT 2955.080 3070.800 2994.580 3072.800 ;
+        RECT 0.000 2970.800 39.880 2972.800 ;
+        RECT 2955.080 2970.800 2994.580 2972.800 ;
+        RECT 0.000 2870.800 39.880 2872.800 ;
+        RECT 2955.080 2870.800 2994.580 2872.800 ;
+        RECT 0.000 2770.800 39.880 2772.800 ;
+        RECT 2955.080 2770.800 2994.580 2772.800 ;
+        RECT 0.000 2670.800 39.880 2672.800 ;
+        RECT 2955.080 2670.800 2994.580 2672.800 ;
+        RECT 0.000 2570.800 39.880 2572.800 ;
+        RECT 2955.080 2570.800 2994.580 2572.800 ;
+        RECT 0.000 2470.800 39.880 2472.800 ;
+        RECT 2955.080 2470.800 2994.580 2472.800 ;
+        RECT 0.000 2370.800 39.880 2372.800 ;
+        RECT 2955.080 2370.800 2994.580 2372.800 ;
+        RECT 0.000 2270.800 39.880 2272.800 ;
+        RECT 2955.080 2270.800 2994.580 2272.800 ;
+        RECT 0.000 2170.800 39.880 2172.800 ;
+        RECT 2955.080 2170.800 2994.580 2172.800 ;
+        RECT 0.000 2070.800 39.880 2072.800 ;
+        RECT 2955.080 2070.800 2994.580 2072.800 ;
+        RECT 0.000 1970.800 39.880 1972.800 ;
+        RECT 2955.080 1970.800 2994.580 1972.800 ;
+        RECT 0.000 1870.800 39.880 1872.800 ;
+        RECT 2955.080 1870.800 2994.580 1872.800 ;
+        RECT 0.000 1770.800 39.880 1772.800 ;
+        RECT 2955.080 1770.800 2994.580 1772.800 ;
+        RECT 0.000 1670.800 39.880 1672.800 ;
+        RECT 2955.080 1670.800 2994.580 1672.800 ;
+        RECT 0.000 1570.800 39.880 1572.800 ;
+        RECT 2955.080 1570.800 2994.580 1572.800 ;
+        RECT 0.000 1470.800 39.880 1472.800 ;
+        RECT 2955.080 1470.800 2994.580 1472.800 ;
+        RECT 0.000 1370.800 39.880 1372.800 ;
+        RECT 2955.080 1370.800 2994.580 1372.800 ;
+        RECT 0.000 1270.800 39.880 1272.800 ;
+        RECT 2955.080 1270.800 2994.580 1272.800 ;
+        RECT 0.000 1170.800 39.880 1172.800 ;
+        RECT 2955.080 1170.800 2994.580 1172.800 ;
+        RECT 0.000 1070.800 39.880 1072.800 ;
+        RECT 2955.080 1070.800 2994.580 1072.800 ;
+        RECT 0.000 970.800 39.880 972.800 ;
+        RECT 2955.080 970.800 2994.580 972.800 ;
+        RECT 0.000 870.800 39.880 872.800 ;
+        RECT 2955.080 870.800 2994.580 872.800 ;
+        RECT 0.000 770.800 39.880 772.800 ;
+        RECT 2955.080 770.800 2994.580 772.800 ;
+        RECT 0.000 670.800 39.880 672.800 ;
+        RECT 2955.080 670.800 2994.580 672.800 ;
+        RECT 0.000 570.800 39.880 572.800 ;
+        RECT 2955.080 570.800 2994.580 572.800 ;
+        RECT 0.000 470.800 39.880 472.800 ;
+        RECT 2955.080 470.800 2994.580 472.800 ;
+        RECT 0.000 370.800 39.880 372.800 ;
+        RECT 2955.080 370.800 2994.580 372.800 ;
+        RECT 0.000 270.800 39.880 272.800 ;
+        RECT 2955.080 270.800 2994.580 272.800 ;
+        RECT 0.000 170.800 39.880 172.800 ;
+        RECT 2955.080 170.800 2994.580 172.800 ;
+        RECT 0.000 70.800 39.880 72.800 ;
+        RECT 2955.080 70.800 2994.580 72.800 ;
+        RECT 4.000 4.000 2990.580 6.000 ;
+    END
+  END vdda2
+  PIN vssa2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 0.000 0.000 2.000 3583.920 ;
+        RECT 120.800 3549.720 122.800 3583.920 ;
+        RECT 220.800 3549.720 222.800 3583.920 ;
+        RECT 320.800 3549.720 322.800 3583.920 ;
+        RECT 420.800 3549.720 422.800 3583.920 ;
+        RECT 520.800 3549.720 522.800 3583.920 ;
+        RECT 620.800 3549.720 622.800 3583.920 ;
+        RECT 720.800 3549.720 722.800 3583.920 ;
+        RECT 820.800 3549.720 822.800 3583.920 ;
+        RECT 920.800 3549.720 922.800 3583.920 ;
+        RECT 1020.800 3549.720 1022.800 3583.920 ;
+        RECT 1120.800 3549.720 1122.800 3583.920 ;
+        RECT 1220.800 3549.720 1222.800 3583.920 ;
+        RECT 1320.800 3549.720 1322.800 3583.920 ;
+        RECT 1420.800 3549.720 1422.800 3583.920 ;
+        RECT 1520.800 3549.720 1522.800 3583.920 ;
+        RECT 1620.800 3549.720 1622.800 3583.920 ;
+        RECT 1720.800 3549.720 1722.800 3583.920 ;
+        RECT 1820.800 3549.720 1822.800 3583.920 ;
+        RECT 1920.800 3549.720 1922.800 3583.920 ;
+        RECT 2020.800 3549.720 2022.800 3583.920 ;
+        RECT 2120.800 3549.720 2122.800 3583.920 ;
+        RECT 2220.800 3549.720 2222.800 3583.920 ;
+        RECT 2320.800 3549.720 2322.800 3583.920 ;
+        RECT 2420.800 3549.720 2422.800 3583.920 ;
+        RECT 2520.800 3549.720 2522.800 3583.920 ;
+        RECT 2620.800 3549.720 2622.800 3583.920 ;
+        RECT 2720.800 3549.720 2722.800 3583.920 ;
+        RECT 2820.800 3549.720 2822.800 3583.920 ;
+        RECT 2920.800 3549.720 2922.800 3583.920 ;
+        RECT 120.800 0.000 122.800 34.520 ;
+        RECT 220.800 0.000 222.800 34.520 ;
+        RECT 320.800 0.000 322.800 34.520 ;
+        RECT 420.800 0.000 422.800 34.520 ;
+        RECT 520.800 0.000 522.800 34.520 ;
+        RECT 620.800 0.000 622.800 34.520 ;
+        RECT 720.800 0.000 722.800 34.520 ;
+        RECT 820.800 0.000 822.800 34.520 ;
+        RECT 920.800 0.000 922.800 34.520 ;
+        RECT 1020.800 0.000 1022.800 34.520 ;
+        RECT 1120.800 0.000 1122.800 34.520 ;
+        RECT 1220.800 0.000 1222.800 34.520 ;
+        RECT 1320.800 0.000 1322.800 34.520 ;
+        RECT 1420.800 0.000 1422.800 34.520 ;
+        RECT 1520.800 0.000 1522.800 34.520 ;
+        RECT 1620.800 0.000 1622.800 34.520 ;
+        RECT 1720.800 0.000 1722.800 34.520 ;
+        RECT 1820.800 0.000 1822.800 34.520 ;
+        RECT 1920.800 0.000 1922.800 34.520 ;
+        RECT 2020.800 0.000 2022.800 34.520 ;
+        RECT 2120.800 0.000 2122.800 34.520 ;
+        RECT 2220.800 0.000 2222.800 34.520 ;
+        RECT 2320.800 0.000 2322.800 34.520 ;
+        RECT 2420.800 0.000 2422.800 34.520 ;
+        RECT 2520.800 0.000 2522.800 34.520 ;
+        RECT 2620.800 0.000 2622.800 34.520 ;
+        RECT 2720.800 0.000 2722.800 34.520 ;
+        RECT 2820.800 0.000 2822.800 34.520 ;
+        RECT 2920.800 0.000 2922.800 34.520 ;
+        RECT 2992.580 0.000 2994.580 3583.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 0.410 3582.330 1.590 3583.510 ;
+        RECT 121.210 3582.330 122.390 3583.510 ;
+        RECT 221.210 3582.330 222.390 3583.510 ;
+        RECT 321.210 3582.330 322.390 3583.510 ;
+        RECT 421.210 3582.330 422.390 3583.510 ;
+        RECT 521.210 3582.330 522.390 3583.510 ;
+        RECT 621.210 3582.330 622.390 3583.510 ;
+        RECT 721.210 3582.330 722.390 3583.510 ;
+        RECT 821.210 3582.330 822.390 3583.510 ;
+        RECT 921.210 3582.330 922.390 3583.510 ;
+        RECT 1021.210 3582.330 1022.390 3583.510 ;
+        RECT 1121.210 3582.330 1122.390 3583.510 ;
+        RECT 1221.210 3582.330 1222.390 3583.510 ;
+        RECT 1321.210 3582.330 1322.390 3583.510 ;
+        RECT 1421.210 3582.330 1422.390 3583.510 ;
+        RECT 1521.210 3582.330 1522.390 3583.510 ;
+        RECT 1621.210 3582.330 1622.390 3583.510 ;
+        RECT 1721.210 3582.330 1722.390 3583.510 ;
+        RECT 1821.210 3582.330 1822.390 3583.510 ;
+        RECT 1921.210 3582.330 1922.390 3583.510 ;
+        RECT 2021.210 3582.330 2022.390 3583.510 ;
+        RECT 2121.210 3582.330 2122.390 3583.510 ;
+        RECT 2221.210 3582.330 2222.390 3583.510 ;
+        RECT 2321.210 3582.330 2322.390 3583.510 ;
+        RECT 2421.210 3582.330 2422.390 3583.510 ;
+        RECT 2521.210 3582.330 2522.390 3583.510 ;
+        RECT 2621.210 3582.330 2622.390 3583.510 ;
+        RECT 2721.210 3582.330 2722.390 3583.510 ;
+        RECT 2821.210 3582.330 2822.390 3583.510 ;
+        RECT 2921.210 3582.330 2922.390 3583.510 ;
+        RECT 2992.990 3582.330 2994.170 3583.510 ;
+        RECT 0.410 3521.210 1.590 3522.390 ;
+        RECT 0.410 3421.210 1.590 3422.390 ;
+        RECT 0.410 3321.210 1.590 3322.390 ;
+        RECT 0.410 3221.210 1.590 3222.390 ;
+        RECT 0.410 3121.210 1.590 3122.390 ;
+        RECT 0.410 3021.210 1.590 3022.390 ;
+        RECT 0.410 2921.210 1.590 2922.390 ;
+        RECT 0.410 2821.210 1.590 2822.390 ;
+        RECT 0.410 2721.210 1.590 2722.390 ;
+        RECT 0.410 2621.210 1.590 2622.390 ;
+        RECT 0.410 2521.210 1.590 2522.390 ;
+        RECT 0.410 2421.210 1.590 2422.390 ;
+        RECT 0.410 2321.210 1.590 2322.390 ;
+        RECT 0.410 2221.210 1.590 2222.390 ;
+        RECT 0.410 2121.210 1.590 2122.390 ;
+        RECT 0.410 2021.210 1.590 2022.390 ;
+        RECT 0.410 1921.210 1.590 1922.390 ;
+        RECT 0.410 1821.210 1.590 1822.390 ;
+        RECT 0.410 1721.210 1.590 1722.390 ;
+        RECT 0.410 1621.210 1.590 1622.390 ;
+        RECT 0.410 1521.210 1.590 1522.390 ;
+        RECT 0.410 1421.210 1.590 1422.390 ;
+        RECT 0.410 1321.210 1.590 1322.390 ;
+        RECT 0.410 1221.210 1.590 1222.390 ;
+        RECT 0.410 1121.210 1.590 1122.390 ;
+        RECT 0.410 1021.210 1.590 1022.390 ;
+        RECT 0.410 921.210 1.590 922.390 ;
+        RECT 0.410 821.210 1.590 822.390 ;
+        RECT 0.410 721.210 1.590 722.390 ;
+        RECT 0.410 621.210 1.590 622.390 ;
+        RECT 0.410 521.210 1.590 522.390 ;
+        RECT 0.410 421.210 1.590 422.390 ;
+        RECT 0.410 321.210 1.590 322.390 ;
+        RECT 0.410 221.210 1.590 222.390 ;
+        RECT 0.410 121.210 1.590 122.390 ;
+        RECT 2992.990 3521.210 2994.170 3522.390 ;
+        RECT 2992.990 3421.210 2994.170 3422.390 ;
+        RECT 2992.990 3321.210 2994.170 3322.390 ;
+        RECT 2992.990 3221.210 2994.170 3222.390 ;
+        RECT 2992.990 3121.210 2994.170 3122.390 ;
+        RECT 2992.990 3021.210 2994.170 3022.390 ;
+        RECT 2992.990 2921.210 2994.170 2922.390 ;
+        RECT 2992.990 2821.210 2994.170 2822.390 ;
+        RECT 2992.990 2721.210 2994.170 2722.390 ;
+        RECT 2992.990 2621.210 2994.170 2622.390 ;
+        RECT 2992.990 2521.210 2994.170 2522.390 ;
+        RECT 2992.990 2421.210 2994.170 2422.390 ;
+        RECT 2992.990 2321.210 2994.170 2322.390 ;
+        RECT 2992.990 2221.210 2994.170 2222.390 ;
+        RECT 2992.990 2121.210 2994.170 2122.390 ;
+        RECT 2992.990 2021.210 2994.170 2022.390 ;
+        RECT 2992.990 1921.210 2994.170 1922.390 ;
+        RECT 2992.990 1821.210 2994.170 1822.390 ;
+        RECT 2992.990 1721.210 2994.170 1722.390 ;
+        RECT 2992.990 1621.210 2994.170 1622.390 ;
+        RECT 2992.990 1521.210 2994.170 1522.390 ;
+        RECT 2992.990 1421.210 2994.170 1422.390 ;
+        RECT 2992.990 1321.210 2994.170 1322.390 ;
+        RECT 2992.990 1221.210 2994.170 1222.390 ;
+        RECT 2992.990 1121.210 2994.170 1122.390 ;
+        RECT 2992.990 1021.210 2994.170 1022.390 ;
+        RECT 2992.990 921.210 2994.170 922.390 ;
+        RECT 2992.990 821.210 2994.170 822.390 ;
+        RECT 2992.990 721.210 2994.170 722.390 ;
+        RECT 2992.990 621.210 2994.170 622.390 ;
+        RECT 2992.990 521.210 2994.170 522.390 ;
+        RECT 2992.990 421.210 2994.170 422.390 ;
+        RECT 2992.990 321.210 2994.170 322.390 ;
+        RECT 2992.990 221.210 2994.170 222.390 ;
+        RECT 2992.990 121.210 2994.170 122.390 ;
+        RECT 0.410 0.410 1.590 1.590 ;
+        RECT 121.210 0.410 122.390 1.590 ;
+        RECT 221.210 0.410 222.390 1.590 ;
+        RECT 321.210 0.410 322.390 1.590 ;
+        RECT 421.210 0.410 422.390 1.590 ;
+        RECT 521.210 0.410 522.390 1.590 ;
+        RECT 621.210 0.410 622.390 1.590 ;
+        RECT 721.210 0.410 722.390 1.590 ;
+        RECT 821.210 0.410 822.390 1.590 ;
+        RECT 921.210 0.410 922.390 1.590 ;
+        RECT 1021.210 0.410 1022.390 1.590 ;
+        RECT 1121.210 0.410 1122.390 1.590 ;
+        RECT 1221.210 0.410 1222.390 1.590 ;
+        RECT 1321.210 0.410 1322.390 1.590 ;
+        RECT 1421.210 0.410 1422.390 1.590 ;
+        RECT 1521.210 0.410 1522.390 1.590 ;
+        RECT 1621.210 0.410 1622.390 1.590 ;
+        RECT 1721.210 0.410 1722.390 1.590 ;
+        RECT 1821.210 0.410 1822.390 1.590 ;
+        RECT 1921.210 0.410 1922.390 1.590 ;
+        RECT 2021.210 0.410 2022.390 1.590 ;
+        RECT 2121.210 0.410 2122.390 1.590 ;
+        RECT 2221.210 0.410 2222.390 1.590 ;
+        RECT 2321.210 0.410 2322.390 1.590 ;
+        RECT 2421.210 0.410 2422.390 1.590 ;
+        RECT 2521.210 0.410 2522.390 1.590 ;
+        RECT 2621.210 0.410 2622.390 1.590 ;
+        RECT 2721.210 0.410 2722.390 1.590 ;
+        RECT 2821.210 0.410 2822.390 1.590 ;
+        RECT 2921.210 0.410 2922.390 1.590 ;
+        RECT 2992.990 0.410 2994.170 1.590 ;
+      LAYER met5 ;
+        RECT 0.000 3581.920 2994.580 3583.920 ;
+        RECT 0.000 3520.800 39.880 3522.800 ;
+        RECT 2955.080 3520.800 2994.580 3522.800 ;
+        RECT 0.000 3420.800 39.880 3422.800 ;
+        RECT 2955.080 3420.800 2994.580 3422.800 ;
+        RECT 0.000 3320.800 39.880 3322.800 ;
+        RECT 2955.080 3320.800 2994.580 3322.800 ;
+        RECT 0.000 3220.800 39.880 3222.800 ;
+        RECT 2955.080 3220.800 2994.580 3222.800 ;
+        RECT 0.000 3120.800 39.880 3122.800 ;
+        RECT 2955.080 3120.800 2994.580 3122.800 ;
+        RECT 0.000 3020.800 39.880 3022.800 ;
+        RECT 2955.080 3020.800 2994.580 3022.800 ;
+        RECT 0.000 2920.800 39.880 2922.800 ;
+        RECT 2955.080 2920.800 2994.580 2922.800 ;
+        RECT 0.000 2820.800 39.880 2822.800 ;
+        RECT 2955.080 2820.800 2994.580 2822.800 ;
+        RECT 0.000 2720.800 39.880 2722.800 ;
+        RECT 2955.080 2720.800 2994.580 2722.800 ;
+        RECT 0.000 2620.800 39.880 2622.800 ;
+        RECT 2955.080 2620.800 2994.580 2622.800 ;
+        RECT 0.000 2520.800 39.880 2522.800 ;
+        RECT 2955.080 2520.800 2994.580 2522.800 ;
+        RECT 0.000 2420.800 39.880 2422.800 ;
+        RECT 2955.080 2420.800 2994.580 2422.800 ;
+        RECT 0.000 2320.800 39.880 2322.800 ;
+        RECT 2955.080 2320.800 2994.580 2322.800 ;
+        RECT 0.000 2220.800 39.880 2222.800 ;
+        RECT 2955.080 2220.800 2994.580 2222.800 ;
+        RECT 0.000 2120.800 39.880 2122.800 ;
+        RECT 2955.080 2120.800 2994.580 2122.800 ;
+        RECT 0.000 2020.800 39.880 2022.800 ;
+        RECT 2955.080 2020.800 2994.580 2022.800 ;
+        RECT 0.000 1920.800 39.880 1922.800 ;
+        RECT 2955.080 1920.800 2994.580 1922.800 ;
+        RECT 0.000 1820.800 39.880 1822.800 ;
+        RECT 2955.080 1820.800 2994.580 1822.800 ;
+        RECT 0.000 1720.800 39.880 1722.800 ;
+        RECT 2955.080 1720.800 2994.580 1722.800 ;
+        RECT 0.000 1620.800 39.880 1622.800 ;
+        RECT 2955.080 1620.800 2994.580 1622.800 ;
+        RECT 0.000 1520.800 39.880 1522.800 ;
+        RECT 2955.080 1520.800 2994.580 1522.800 ;
+        RECT 0.000 1420.800 39.880 1422.800 ;
+        RECT 2955.080 1420.800 2994.580 1422.800 ;
+        RECT 0.000 1320.800 39.880 1322.800 ;
+        RECT 2955.080 1320.800 2994.580 1322.800 ;
+        RECT 0.000 1220.800 39.880 1222.800 ;
+        RECT 2955.080 1220.800 2994.580 1222.800 ;
+        RECT 0.000 1120.800 39.880 1122.800 ;
+        RECT 2955.080 1120.800 2994.580 1122.800 ;
+        RECT 0.000 1020.800 39.880 1022.800 ;
+        RECT 2955.080 1020.800 2994.580 1022.800 ;
+        RECT 0.000 920.800 39.880 922.800 ;
+        RECT 2955.080 920.800 2994.580 922.800 ;
+        RECT 0.000 820.800 39.880 822.800 ;
+        RECT 2955.080 820.800 2994.580 822.800 ;
+        RECT 0.000 720.800 39.880 722.800 ;
+        RECT 2955.080 720.800 2994.580 722.800 ;
+        RECT 0.000 620.800 39.880 622.800 ;
+        RECT 2955.080 620.800 2994.580 622.800 ;
+        RECT 0.000 520.800 39.880 522.800 ;
+        RECT 2955.080 520.800 2994.580 522.800 ;
+        RECT 0.000 420.800 39.880 422.800 ;
+        RECT 2955.080 420.800 2994.580 422.800 ;
+        RECT 0.000 320.800 39.880 322.800 ;
+        RECT 2955.080 320.800 2994.580 322.800 ;
+        RECT 0.000 220.800 39.880 222.800 ;
+        RECT 2955.080 220.800 2994.580 222.800 ;
+        RECT 0.000 120.800 39.880 122.800 ;
+        RECT 2955.080 120.800 2994.580 122.800 ;
+        RECT 0.000 0.000 2994.580 2.000 ;
+    END
+  END vssa2
+  OBS
+      LAYER nwell ;
+        RECT 42.280 618.225 631.460 619.830 ;
+      LAYER pwell ;
+        RECT 42.615 616.835 42.785 617.005 ;
+        RECT 43.995 616.835 44.165 617.005 ;
+        RECT 49.515 616.835 49.685 617.005 ;
+      LAYER nwell ;
+        RECT 42.280 612.785 631.460 615.615 ;
+      LAYER pwell ;
+        RECT 42.615 611.395 42.785 611.565 ;
+        RECT 43.995 611.395 44.165 611.565 ;
+        RECT 49.515 611.395 49.685 611.565 ;
+      LAYER nwell ;
+        RECT 42.280 607.345 631.460 610.175 ;
+      LAYER pwell ;
+        RECT 42.615 605.955 42.785 606.125 ;
+        RECT 43.995 605.955 44.165 606.125 ;
+        RECT 49.515 605.955 49.685 606.125 ;
+      LAYER nwell ;
+        RECT 42.280 601.905 631.460 604.735 ;
+      LAYER pwell ;
+        RECT 42.615 600.515 42.785 600.685 ;
+        RECT 43.995 600.515 44.165 600.685 ;
+        RECT 49.515 600.515 49.685 600.685 ;
+      LAYER nwell ;
+        RECT 42.280 596.465 631.460 599.295 ;
+      LAYER pwell ;
+        RECT 42.615 595.075 42.785 595.245 ;
+        RECT 43.995 595.075 44.165 595.245 ;
+        RECT 49.515 595.075 49.685 595.245 ;
+      LAYER nwell ;
+        RECT 42.280 591.025 631.460 593.855 ;
+      LAYER pwell ;
+        RECT 42.615 589.635 42.785 589.805 ;
+        RECT 43.995 589.635 44.165 589.805 ;
+        RECT 49.515 589.635 49.685 589.805 ;
+      LAYER nwell ;
+        RECT 42.280 585.585 631.460 588.415 ;
+      LAYER pwell ;
+        RECT 42.615 584.195 42.785 584.365 ;
+        RECT 43.995 584.195 44.165 584.365 ;
+        RECT 49.515 584.195 49.685 584.365 ;
+      LAYER nwell ;
+        RECT 42.280 580.145 631.460 582.975 ;
+      LAYER pwell ;
+        RECT 42.615 578.755 42.785 578.925 ;
+        RECT 43.995 578.755 44.165 578.925 ;
+        RECT 49.515 578.755 49.685 578.925 ;
+      LAYER nwell ;
+        RECT 42.280 574.705 631.460 577.535 ;
+      LAYER pwell ;
+        RECT 42.615 573.315 42.785 573.485 ;
+        RECT 43.995 573.315 44.165 573.485 ;
+        RECT 49.515 573.315 49.685 573.485 ;
+      LAYER nwell ;
+        RECT 42.280 569.265 631.460 572.095 ;
+      LAYER pwell ;
+        RECT 42.615 567.875 42.785 568.045 ;
+        RECT 43.995 567.875 44.165 568.045 ;
+        RECT 49.515 567.875 49.685 568.045 ;
+      LAYER nwell ;
+        RECT 42.280 563.825 631.460 566.655 ;
+      LAYER pwell ;
+        RECT 42.615 562.435 42.785 562.605 ;
+        RECT 43.995 562.435 44.165 562.605 ;
+        RECT 49.515 562.435 49.685 562.605 ;
+      LAYER nwell ;
+        RECT 42.280 558.385 631.460 561.215 ;
+      LAYER pwell ;
+        RECT 42.615 556.995 42.785 557.165 ;
+        RECT 43.995 556.995 44.165 557.165 ;
+        RECT 49.515 556.995 49.685 557.165 ;
+      LAYER nwell ;
+        RECT 42.280 552.945 631.460 555.775 ;
+      LAYER pwell ;
+        RECT 42.615 551.555 42.785 551.725 ;
+        RECT 43.995 551.555 44.165 551.725 ;
+        RECT 49.515 551.555 49.685 551.725 ;
+      LAYER nwell ;
+        RECT 42.280 547.505 631.460 550.335 ;
+      LAYER pwell ;
+        RECT 42.615 546.115 42.785 546.285 ;
+        RECT 43.995 546.115 44.165 546.285 ;
+        RECT 49.515 546.115 49.685 546.285 ;
+      LAYER nwell ;
+        RECT 42.280 542.065 631.460 544.895 ;
+      LAYER pwell ;
+        RECT 42.615 540.675 42.785 540.845 ;
+        RECT 43.995 540.675 44.165 540.845 ;
+        RECT 49.515 540.675 49.685 540.845 ;
+      LAYER nwell ;
+        RECT 42.280 536.625 631.460 539.455 ;
+      LAYER pwell ;
+        RECT 42.615 535.235 42.785 535.405 ;
+        RECT 43.995 535.235 44.165 535.405 ;
+        RECT 49.515 535.235 49.685 535.405 ;
+      LAYER nwell ;
+        RECT 42.280 531.185 631.460 534.015 ;
+      LAYER pwell ;
+        RECT 42.615 529.795 42.785 529.965 ;
+        RECT 43.995 529.795 44.165 529.965 ;
+        RECT 49.515 529.795 49.685 529.965 ;
+      LAYER nwell ;
+        RECT 42.280 525.745 631.460 528.575 ;
+      LAYER pwell ;
+        RECT 42.615 524.355 42.785 524.525 ;
+        RECT 43.995 524.355 44.165 524.525 ;
+        RECT 49.515 524.355 49.685 524.525 ;
+      LAYER nwell ;
+        RECT 42.280 520.305 631.460 523.135 ;
+      LAYER pwell ;
+        RECT 42.615 518.915 42.785 519.085 ;
+        RECT 43.995 518.915 44.165 519.085 ;
+        RECT 49.515 518.915 49.685 519.085 ;
+      LAYER nwell ;
+        RECT 42.280 514.865 631.460 517.695 ;
+      LAYER pwell ;
+        RECT 42.615 513.475 42.785 513.645 ;
+        RECT 43.995 513.475 44.165 513.645 ;
+        RECT 49.515 513.475 49.685 513.645 ;
+      LAYER nwell ;
+        RECT 42.280 509.425 631.460 512.255 ;
+      LAYER pwell ;
+        RECT 42.615 508.035 42.785 508.205 ;
+        RECT 43.995 508.035 44.165 508.205 ;
+        RECT 49.515 508.035 49.685 508.205 ;
+      LAYER nwell ;
+        RECT 42.280 503.985 631.460 506.815 ;
+      LAYER pwell ;
+        RECT 42.615 502.595 42.785 502.765 ;
+        RECT 43.995 502.595 44.165 502.765 ;
+        RECT 49.515 502.595 49.685 502.765 ;
+      LAYER nwell ;
+        RECT 42.280 498.545 631.460 501.375 ;
+      LAYER pwell ;
+        RECT 42.615 497.155 42.785 497.325 ;
+        RECT 43.995 497.155 44.165 497.325 ;
+        RECT 49.515 497.155 49.685 497.325 ;
+      LAYER nwell ;
+        RECT 42.280 493.105 631.460 495.935 ;
+      LAYER pwell ;
+        RECT 42.615 491.715 42.785 491.885 ;
+        RECT 43.995 491.715 44.165 491.885 ;
+        RECT 49.515 491.715 49.685 491.885 ;
+      LAYER nwell ;
+        RECT 42.280 487.665 631.460 490.495 ;
+      LAYER pwell ;
+        RECT 42.615 486.275 42.785 486.445 ;
+        RECT 43.995 486.275 44.165 486.445 ;
+        RECT 49.515 486.275 49.685 486.445 ;
+      LAYER nwell ;
+        RECT 42.280 482.225 631.460 485.055 ;
+      LAYER pwell ;
+        RECT 42.615 480.835 42.785 481.005 ;
+        RECT 43.995 480.835 44.165 481.005 ;
+        RECT 49.515 480.835 49.685 481.005 ;
+      LAYER nwell ;
+        RECT 42.280 476.785 631.460 479.615 ;
+      LAYER pwell ;
+        RECT 42.615 475.395 42.785 475.565 ;
+        RECT 43.995 475.395 44.165 475.565 ;
+        RECT 49.515 475.395 49.685 475.565 ;
+      LAYER nwell ;
+        RECT 42.280 471.345 631.460 474.175 ;
+      LAYER pwell ;
+        RECT 42.615 469.955 42.785 470.125 ;
+        RECT 43.995 469.955 44.165 470.125 ;
+        RECT 49.515 469.955 49.685 470.125 ;
+      LAYER nwell ;
+        RECT 42.280 465.905 631.460 468.735 ;
+      LAYER pwell ;
+        RECT 42.615 464.515 42.785 464.685 ;
+        RECT 43.995 464.515 44.165 464.685 ;
+        RECT 49.515 464.515 49.685 464.685 ;
+      LAYER nwell ;
+        RECT 42.280 460.465 631.460 463.295 ;
+      LAYER pwell ;
+        RECT 42.615 459.075 42.785 459.245 ;
+        RECT 43.995 459.075 44.165 459.245 ;
+        RECT 49.515 459.075 49.685 459.245 ;
+      LAYER nwell ;
+        RECT 42.280 455.025 631.460 457.855 ;
+      LAYER pwell ;
+        RECT 42.615 453.635 42.785 453.805 ;
+        RECT 43.995 453.635 44.165 453.805 ;
+        RECT 49.515 453.635 49.685 453.805 ;
+      LAYER nwell ;
+        RECT 42.280 449.585 631.460 452.415 ;
+      LAYER pwell ;
+        RECT 42.615 448.195 42.785 448.365 ;
+        RECT 43.995 448.195 44.165 448.365 ;
+        RECT 49.515 448.195 49.685 448.365 ;
+      LAYER nwell ;
+        RECT 42.280 444.145 631.460 446.975 ;
+      LAYER pwell ;
+        RECT 42.615 442.755 42.785 442.925 ;
+        RECT 43.995 442.755 44.165 442.925 ;
+        RECT 49.515 442.755 49.685 442.925 ;
+      LAYER nwell ;
+        RECT 42.280 438.705 631.460 441.535 ;
+      LAYER pwell ;
+        RECT 42.615 437.315 42.785 437.485 ;
+        RECT 43.995 437.315 44.165 437.485 ;
+        RECT 49.515 437.315 49.685 437.485 ;
+      LAYER nwell ;
+        RECT 42.280 433.265 631.460 436.095 ;
+      LAYER pwell ;
+        RECT 42.615 431.875 42.785 432.045 ;
+        RECT 43.995 431.875 44.165 432.045 ;
+        RECT 49.515 431.875 49.685 432.045 ;
+      LAYER nwell ;
+        RECT 42.280 427.825 631.460 430.655 ;
+      LAYER pwell ;
+        RECT 42.615 426.435 42.785 426.605 ;
+        RECT 43.995 426.435 44.165 426.605 ;
+        RECT 49.515 426.435 49.685 426.605 ;
+      LAYER nwell ;
+        RECT 42.280 422.385 631.460 425.215 ;
+      LAYER pwell ;
+        RECT 42.615 420.995 42.785 421.165 ;
+        RECT 43.995 420.995 44.165 421.165 ;
+        RECT 49.515 420.995 49.685 421.165 ;
+      LAYER nwell ;
+        RECT 42.280 416.945 631.460 419.775 ;
+      LAYER pwell ;
+        RECT 42.615 415.555 42.785 415.725 ;
+        RECT 43.995 415.555 44.165 415.725 ;
+        RECT 49.515 415.555 49.685 415.725 ;
+      LAYER nwell ;
+        RECT 42.280 411.505 631.460 414.335 ;
+      LAYER pwell ;
+        RECT 42.615 410.115 42.785 410.285 ;
+        RECT 43.995 410.115 44.165 410.285 ;
+        RECT 49.515 410.115 49.685 410.285 ;
+      LAYER nwell ;
+        RECT 42.280 406.065 631.460 408.895 ;
+      LAYER pwell ;
+        RECT 42.615 404.675 42.785 404.845 ;
+        RECT 43.995 404.675 44.165 404.845 ;
+        RECT 49.515 404.675 49.685 404.845 ;
+      LAYER nwell ;
+        RECT 42.280 400.625 631.460 403.455 ;
+      LAYER pwell ;
+        RECT 42.615 399.235 42.785 399.405 ;
+        RECT 43.995 399.235 44.165 399.405 ;
+        RECT 49.515 399.235 49.685 399.405 ;
+      LAYER nwell ;
+        RECT 42.280 395.185 631.460 398.015 ;
+      LAYER pwell ;
+        RECT 42.615 393.795 42.785 393.965 ;
+        RECT 43.995 393.795 44.165 393.965 ;
+        RECT 49.515 393.795 49.685 393.965 ;
+      LAYER nwell ;
+        RECT 42.280 389.745 631.460 392.575 ;
+      LAYER pwell ;
+        RECT 42.615 388.355 42.785 388.525 ;
+        RECT 43.995 388.355 44.165 388.525 ;
+        RECT 49.515 388.355 49.685 388.525 ;
+      LAYER nwell ;
+        RECT 42.280 384.305 631.460 387.135 ;
+      LAYER pwell ;
+        RECT 42.615 382.915 42.785 383.085 ;
+        RECT 43.995 382.915 44.165 383.085 ;
+        RECT 49.515 382.915 49.685 383.085 ;
+      LAYER nwell ;
+        RECT 42.280 378.865 631.460 381.695 ;
+      LAYER pwell ;
+        RECT 42.615 377.475 42.785 377.645 ;
+        RECT 43.995 377.475 44.165 377.645 ;
+        RECT 49.515 377.475 49.685 377.645 ;
+      LAYER nwell ;
+        RECT 42.280 373.425 631.460 376.255 ;
+      LAYER pwell ;
+        RECT 42.615 372.035 42.785 372.205 ;
+        RECT 43.995 372.035 44.165 372.205 ;
+        RECT 49.515 372.035 49.685 372.205 ;
+      LAYER nwell ;
+        RECT 42.280 367.985 631.460 370.815 ;
+      LAYER pwell ;
+        RECT 42.615 366.595 42.785 366.765 ;
+        RECT 43.995 366.595 44.165 366.765 ;
+        RECT 49.515 366.595 49.685 366.765 ;
+      LAYER nwell ;
+        RECT 42.280 362.545 631.460 365.375 ;
+      LAYER pwell ;
+        RECT 42.615 361.155 42.785 361.325 ;
+        RECT 43.995 361.155 44.165 361.325 ;
+        RECT 49.515 361.155 49.685 361.325 ;
+      LAYER nwell ;
+        RECT 42.280 357.105 631.460 359.935 ;
+      LAYER pwell ;
+        RECT 42.615 355.715 42.785 355.885 ;
+        RECT 43.995 355.715 44.165 355.885 ;
+        RECT 49.515 355.715 49.685 355.885 ;
+      LAYER nwell ;
+        RECT 42.280 351.665 631.460 354.495 ;
+      LAYER pwell ;
+        RECT 42.615 350.275 42.785 350.445 ;
+        RECT 43.995 350.275 44.165 350.445 ;
+        RECT 49.515 350.275 49.685 350.445 ;
+      LAYER nwell ;
+        RECT 42.280 346.225 631.460 349.055 ;
+      LAYER pwell ;
+        RECT 42.615 344.835 42.785 345.005 ;
+        RECT 43.995 344.835 44.165 345.005 ;
+        RECT 49.515 344.835 49.685 345.005 ;
+      LAYER nwell ;
+        RECT 42.280 340.785 631.460 343.615 ;
+      LAYER pwell ;
+        RECT 42.615 339.395 42.785 339.565 ;
+        RECT 43.995 339.395 44.165 339.565 ;
+        RECT 49.515 339.395 49.685 339.565 ;
+      LAYER nwell ;
+        RECT 42.280 335.345 631.460 338.175 ;
+      LAYER pwell ;
+        RECT 42.615 333.955 42.785 334.125 ;
+        RECT 43.995 333.955 44.165 334.125 ;
+        RECT 49.515 333.955 49.685 334.125 ;
+      LAYER nwell ;
+        RECT 42.280 329.905 631.460 332.735 ;
+      LAYER pwell ;
+        RECT 42.615 328.515 42.785 328.685 ;
+        RECT 43.995 328.515 44.165 328.685 ;
+        RECT 49.515 328.515 49.685 328.685 ;
+      LAYER nwell ;
+        RECT 42.280 324.465 631.460 327.295 ;
+      LAYER pwell ;
+        RECT 42.615 323.075 42.785 323.245 ;
+        RECT 43.995 323.075 44.165 323.245 ;
+        RECT 49.515 323.075 49.685 323.245 ;
+      LAYER nwell ;
+        RECT 42.280 319.025 631.460 321.855 ;
+      LAYER pwell ;
+        RECT 42.615 317.635 42.785 317.805 ;
+        RECT 43.995 317.635 44.165 317.805 ;
+        RECT 49.515 317.635 49.685 317.805 ;
+      LAYER nwell ;
+        RECT 42.280 313.585 631.460 316.415 ;
+      LAYER pwell ;
+        RECT 42.615 312.195 42.785 312.365 ;
+        RECT 43.995 312.195 44.165 312.365 ;
+        RECT 49.515 312.195 49.685 312.365 ;
+      LAYER nwell ;
+        RECT 42.280 308.145 631.460 310.975 ;
+      LAYER pwell ;
+        RECT 42.615 306.755 42.785 306.925 ;
+        RECT 43.995 306.755 44.165 306.925 ;
+        RECT 49.515 306.755 49.685 306.925 ;
+      LAYER nwell ;
+        RECT 42.280 302.705 631.460 305.535 ;
+      LAYER pwell ;
+        RECT 42.615 301.315 42.785 301.485 ;
+        RECT 43.995 301.315 44.165 301.485 ;
+        RECT 49.515 301.315 49.685 301.485 ;
+      LAYER nwell ;
+        RECT 42.280 297.265 631.460 300.095 ;
+      LAYER pwell ;
+        RECT 42.615 295.875 42.785 296.045 ;
+        RECT 43.995 295.875 44.165 296.045 ;
+        RECT 49.515 295.875 49.685 296.045 ;
+      LAYER nwell ;
+        RECT 42.280 291.825 631.460 294.655 ;
+      LAYER pwell ;
+        RECT 42.615 290.435 42.785 290.605 ;
+        RECT 43.995 290.435 44.165 290.605 ;
+        RECT 49.515 290.435 49.685 290.605 ;
+      LAYER nwell ;
+        RECT 42.280 286.385 631.460 289.215 ;
+      LAYER pwell ;
+        RECT 42.615 284.995 42.785 285.165 ;
+        RECT 43.995 284.995 44.165 285.165 ;
+        RECT 49.515 284.995 49.685 285.165 ;
+      LAYER nwell ;
+        RECT 42.280 280.945 631.460 283.775 ;
+      LAYER pwell ;
+        RECT 42.615 279.555 42.785 279.725 ;
+        RECT 43.995 279.555 44.165 279.725 ;
+        RECT 49.515 279.555 49.685 279.725 ;
+      LAYER nwell ;
+        RECT 42.280 275.505 631.460 278.335 ;
+      LAYER pwell ;
+        RECT 42.615 274.115 42.785 274.285 ;
+        RECT 43.995 274.115 44.165 274.285 ;
+        RECT 49.515 274.115 49.685 274.285 ;
+      LAYER nwell ;
+        RECT 42.280 270.065 631.460 272.895 ;
+      LAYER pwell ;
+        RECT 42.615 268.675 42.785 268.845 ;
+        RECT 43.995 268.675 44.165 268.845 ;
+        RECT 49.515 268.675 49.685 268.845 ;
+      LAYER nwell ;
+        RECT 42.280 264.625 631.460 267.455 ;
+      LAYER pwell ;
+        RECT 42.615 263.235 42.785 263.405 ;
+        RECT 43.995 263.235 44.165 263.405 ;
+        RECT 49.515 263.235 49.685 263.405 ;
+      LAYER nwell ;
+        RECT 42.280 259.185 631.460 262.015 ;
+      LAYER pwell ;
+        RECT 42.615 257.795 42.785 257.965 ;
+        RECT 43.995 257.795 44.165 257.965 ;
+        RECT 49.515 257.795 49.685 257.965 ;
+      LAYER nwell ;
+        RECT 42.280 253.745 631.460 256.575 ;
+      LAYER pwell ;
+        RECT 42.615 252.355 42.785 252.525 ;
+        RECT 43.995 252.355 44.165 252.525 ;
+        RECT 49.515 252.355 49.685 252.525 ;
+      LAYER nwell ;
+        RECT 42.280 248.305 631.460 251.135 ;
+      LAYER pwell ;
+        RECT 42.615 246.915 42.785 247.085 ;
+        RECT 43.995 246.915 44.165 247.085 ;
+        RECT 49.515 246.915 49.685 247.085 ;
+      LAYER nwell ;
+        RECT 42.280 242.865 631.460 245.695 ;
+      LAYER pwell ;
+        RECT 42.615 241.475 42.785 241.645 ;
+        RECT 43.995 241.475 44.165 241.645 ;
+        RECT 49.515 241.475 49.685 241.645 ;
+      LAYER nwell ;
+        RECT 42.280 237.425 631.460 240.255 ;
+      LAYER pwell ;
+        RECT 42.615 236.035 42.785 236.205 ;
+        RECT 43.995 236.035 44.165 236.205 ;
+        RECT 49.515 236.035 49.685 236.205 ;
+      LAYER nwell ;
+        RECT 42.280 231.985 631.460 234.815 ;
+      LAYER pwell ;
+        RECT 42.615 230.595 42.785 230.765 ;
+        RECT 43.995 230.595 44.165 230.765 ;
+        RECT 49.515 230.595 49.685 230.765 ;
+      LAYER nwell ;
+        RECT 42.280 226.545 631.460 229.375 ;
+      LAYER pwell ;
+        RECT 42.615 225.155 42.785 225.325 ;
+        RECT 43.995 225.155 44.165 225.325 ;
+        RECT 49.515 225.155 49.685 225.325 ;
+      LAYER nwell ;
+        RECT 42.280 221.105 631.460 223.935 ;
+      LAYER pwell ;
+        RECT 42.615 219.715 42.785 219.885 ;
+        RECT 43.995 219.715 44.165 219.885 ;
+        RECT 49.515 219.715 49.685 219.885 ;
+      LAYER nwell ;
+        RECT 42.280 215.665 631.460 218.495 ;
+      LAYER pwell ;
+        RECT 42.615 214.275 42.785 214.445 ;
+        RECT 43.995 214.275 44.165 214.445 ;
+        RECT 49.515 214.275 49.685 214.445 ;
+      LAYER nwell ;
+        RECT 42.280 210.225 631.460 213.055 ;
+      LAYER pwell ;
+        RECT 42.615 208.835 42.785 209.005 ;
+        RECT 43.995 208.835 44.165 209.005 ;
+        RECT 49.515 208.835 49.685 209.005 ;
+      LAYER nwell ;
+        RECT 42.280 204.785 631.460 207.615 ;
+      LAYER pwell ;
+        RECT 42.615 203.395 42.785 203.565 ;
+        RECT 43.995 203.395 44.165 203.565 ;
+        RECT 49.515 203.395 49.685 203.565 ;
+      LAYER nwell ;
+        RECT 42.280 199.345 631.460 202.175 ;
+      LAYER pwell ;
+        RECT 42.615 197.955 42.785 198.125 ;
+        RECT 43.995 197.955 44.165 198.125 ;
+        RECT 49.515 197.955 49.685 198.125 ;
+      LAYER nwell ;
+        RECT 42.280 193.905 631.460 196.735 ;
+      LAYER pwell ;
+        RECT 42.615 192.515 42.785 192.685 ;
+        RECT 43.995 192.515 44.165 192.685 ;
+        RECT 49.515 192.515 49.685 192.685 ;
+      LAYER nwell ;
+        RECT 42.280 188.465 631.460 191.295 ;
+      LAYER pwell ;
+        RECT 42.615 187.075 42.785 187.245 ;
+        RECT 43.995 187.075 44.165 187.245 ;
+        RECT 49.515 187.075 49.685 187.245 ;
+      LAYER nwell ;
+        RECT 42.280 183.025 631.460 185.855 ;
+      LAYER pwell ;
+        RECT 42.615 181.635 42.785 181.805 ;
+        RECT 43.995 181.635 44.165 181.805 ;
+        RECT 49.515 181.635 49.685 181.805 ;
+      LAYER nwell ;
+        RECT 42.280 177.585 631.460 180.415 ;
+      LAYER pwell ;
+        RECT 42.615 176.195 42.785 176.365 ;
+        RECT 43.995 176.195 44.165 176.365 ;
+        RECT 49.515 176.195 49.685 176.365 ;
+      LAYER nwell ;
+        RECT 42.280 172.145 631.460 174.975 ;
+      LAYER pwell ;
+        RECT 42.615 170.755 42.785 170.925 ;
+        RECT 43.995 170.755 44.165 170.925 ;
+        RECT 49.515 170.755 49.685 170.925 ;
+      LAYER nwell ;
+        RECT 42.280 166.705 631.460 169.535 ;
+      LAYER pwell ;
+        RECT 42.615 165.315 42.785 165.485 ;
+        RECT 43.995 165.315 44.165 165.485 ;
+        RECT 49.515 165.315 49.685 165.485 ;
+      LAYER nwell ;
+        RECT 42.280 161.265 631.460 164.095 ;
+      LAYER pwell ;
+        RECT 42.615 159.875 42.785 160.045 ;
+        RECT 43.995 159.875 44.165 160.045 ;
+        RECT 49.515 159.875 49.685 160.045 ;
+      LAYER nwell ;
+        RECT 42.280 155.825 631.460 158.655 ;
+      LAYER pwell ;
+        RECT 42.615 154.435 42.785 154.605 ;
+        RECT 43.995 154.435 44.165 154.605 ;
+        RECT 49.515 154.435 49.685 154.605 ;
+      LAYER nwell ;
+        RECT 42.280 150.385 631.460 153.215 ;
+      LAYER pwell ;
+        RECT 42.615 148.995 42.785 149.165 ;
+        RECT 43.995 148.995 44.165 149.165 ;
+        RECT 49.515 148.995 49.685 149.165 ;
+      LAYER nwell ;
+        RECT 42.280 144.945 631.460 147.775 ;
+      LAYER pwell ;
+        RECT 42.615 143.555 42.785 143.725 ;
+        RECT 43.995 143.555 44.165 143.725 ;
+        RECT 49.515 143.555 49.685 143.725 ;
+      LAYER nwell ;
+        RECT 42.280 139.505 631.460 142.335 ;
+      LAYER pwell ;
+        RECT 42.615 138.115 42.785 138.285 ;
+        RECT 43.995 138.115 44.165 138.285 ;
+        RECT 49.515 138.115 49.685 138.285 ;
+      LAYER nwell ;
+        RECT 42.280 134.065 631.460 136.895 ;
+      LAYER pwell ;
+        RECT 42.615 132.675 42.785 132.845 ;
+        RECT 43.995 132.675 44.165 132.845 ;
+        RECT 49.515 132.675 49.685 132.845 ;
+      LAYER nwell ;
+        RECT 42.280 128.625 631.460 131.455 ;
+      LAYER pwell ;
+        RECT 42.615 127.235 42.785 127.405 ;
+        RECT 43.995 127.235 44.165 127.405 ;
+        RECT 49.515 127.235 49.685 127.405 ;
+      LAYER nwell ;
+        RECT 42.280 123.185 631.460 126.015 ;
+      LAYER pwell ;
+        RECT 42.615 121.795 42.785 121.965 ;
+        RECT 43.995 121.795 44.165 121.965 ;
+        RECT 49.515 121.795 49.685 121.965 ;
+      LAYER nwell ;
+        RECT 42.280 117.745 631.460 120.575 ;
+      LAYER pwell ;
+        RECT 42.615 116.355 42.785 116.525 ;
+        RECT 43.995 116.355 44.165 116.525 ;
+        RECT 49.515 116.355 49.685 116.525 ;
+      LAYER nwell ;
+        RECT 42.280 112.305 631.460 115.135 ;
+      LAYER pwell ;
+        RECT 42.615 110.915 42.785 111.085 ;
+        RECT 43.995 110.915 44.165 111.085 ;
+        RECT 49.515 110.915 49.685 111.085 ;
+      LAYER nwell ;
+        RECT 42.280 106.865 631.460 109.695 ;
+      LAYER pwell ;
+        RECT 42.615 105.475 42.785 105.645 ;
+        RECT 43.995 105.475 44.165 105.645 ;
+        RECT 49.515 105.475 49.685 105.645 ;
+      LAYER nwell ;
+        RECT 42.280 101.425 631.460 104.255 ;
+      LAYER pwell ;
+        RECT 42.615 100.035 42.785 100.205 ;
+        RECT 43.995 100.035 44.165 100.205 ;
+        RECT 49.515 100.035 49.685 100.205 ;
+      LAYER nwell ;
+        RECT 42.280 95.985 631.460 98.815 ;
+      LAYER pwell ;
+        RECT 42.615 94.595 42.785 94.765 ;
+        RECT 43.995 94.595 44.165 94.765 ;
+        RECT 49.515 94.595 49.685 94.765 ;
+      LAYER nwell ;
+        RECT 42.280 90.545 631.460 93.375 ;
+      LAYER pwell ;
+        RECT 42.615 89.155 42.785 89.325 ;
+        RECT 43.995 89.155 44.165 89.325 ;
+        RECT 49.515 89.155 49.685 89.325 ;
+      LAYER nwell ;
+        RECT 42.280 85.105 631.460 87.935 ;
+      LAYER pwell ;
+        RECT 42.615 83.715 42.785 83.885 ;
+        RECT 43.995 83.715 44.165 83.885 ;
+        RECT 49.515 83.715 49.685 83.885 ;
+      LAYER nwell ;
+        RECT 42.280 79.665 631.460 82.495 ;
+      LAYER pwell ;
+        RECT 42.615 78.275 42.785 78.445 ;
+        RECT 43.995 78.275 44.165 78.445 ;
+        RECT 49.515 78.275 49.685 78.445 ;
+      LAYER nwell ;
+        RECT 42.280 74.225 631.460 77.055 ;
+      LAYER pwell ;
+        RECT 42.615 72.835 42.785 73.005 ;
+        RECT 43.995 72.835 44.165 73.005 ;
+        RECT 49.515 72.835 49.685 73.005 ;
+      LAYER nwell ;
+        RECT 42.280 68.785 631.460 71.615 ;
+      LAYER pwell ;
+        RECT 42.615 67.395 42.785 67.565 ;
+        RECT 43.995 67.395 44.165 67.565 ;
+        RECT 49.515 67.395 49.685 67.565 ;
+      LAYER nwell ;
+        RECT 42.280 63.345 631.460 66.175 ;
+      LAYER pwell ;
+        RECT 42.615 61.955 42.785 62.125 ;
+        RECT 43.995 61.955 44.165 62.125 ;
+        RECT 49.515 61.955 49.685 62.125 ;
+      LAYER nwell ;
+        RECT 42.280 57.905 631.460 60.735 ;
+      LAYER pwell ;
+        RECT 42.615 56.515 42.785 56.685 ;
+        RECT 43.995 56.515 44.165 56.685 ;
+        RECT 49.515 56.515 49.685 56.685 ;
+      LAYER nwell ;
+        RECT 42.280 52.465 631.460 55.295 ;
+      LAYER pwell ;
+        RECT 70.675 51.480 70.845 52.005 ;
+        RECT 98.735 51.480 98.905 52.005 ;
+        RECT 126.795 51.480 126.965 52.005 ;
+        RECT 154.855 51.480 155.025 52.005 ;
+        RECT 182.915 51.480 183.085 52.005 ;
+        RECT 210.975 51.480 211.145 52.005 ;
+        RECT 239.035 51.480 239.205 52.005 ;
+        RECT 267.095 51.480 267.265 52.005 ;
+        RECT 295.155 51.480 295.325 52.005 ;
+        RECT 323.215 51.480 323.385 52.005 ;
+        RECT 351.275 51.480 351.445 52.005 ;
+        RECT 379.335 51.480 379.505 52.005 ;
+        RECT 407.395 51.480 407.565 52.005 ;
+        RECT 435.455 51.480 435.625 52.005 ;
+        RECT 463.515 51.480 463.685 52.005 ;
+        RECT 491.575 51.480 491.745 52.005 ;
+        RECT 519.635 51.480 519.805 52.005 ;
+        RECT 547.695 51.480 547.865 52.005 ;
+        RECT 575.755 51.480 575.925 52.005 ;
+        RECT 603.815 51.480 603.985 52.005 ;
+        RECT 42.615 51.075 42.785 51.245 ;
+        RECT 43.995 51.075 44.165 51.245 ;
+        RECT 49.515 51.075 49.685 51.245 ;
+        RECT 55.035 51.075 55.205 51.245 ;
+        RECT 57.335 51.075 57.505 51.245 ;
+        RECT 60.555 51.075 60.725 51.245 ;
+        RECT 62.855 51.075 63.025 51.245 ;
+        RECT 66.075 51.075 66.245 51.245 ;
+        RECT 68.375 51.075 68.545 51.245 ;
+        RECT 69.765 51.110 69.925 51.220 ;
+        RECT 71.135 51.075 71.305 51.245 ;
+        RECT 72.065 51.100 72.225 51.210 ;
+        RECT 72.975 51.075 73.145 51.245 ;
+        RECT 74.355 51.075 74.525 51.245 ;
+        RECT 76.665 51.110 76.825 51.220 ;
+        RECT 77.575 51.075 77.745 51.245 ;
+        RECT 78.040 51.075 78.210 51.245 ;
+        RECT 78.955 51.075 79.125 51.245 ;
+        RECT 81.255 51.075 81.425 51.245 ;
+        RECT 82.635 51.075 82.805 51.245 ;
+        RECT 85.390 51.105 85.510 51.215 ;
+        RECT 85.855 51.075 86.025 51.245 ;
+        RECT 87.695 51.075 87.865 51.245 ;
+        RECT 91.375 51.075 91.545 51.245 ;
+        RECT 96.895 51.075 97.065 51.245 ;
+        RECT 99.195 51.075 99.365 51.245 ;
+        RECT 100.115 51.075 100.285 51.245 ;
+        RECT 103.795 51.075 103.965 51.245 ;
+        RECT 105.180 51.075 105.350 51.245 ;
+        RECT 107.935 51.075 108.105 51.245 ;
+        RECT 109.315 51.075 109.485 51.245 ;
+        RECT 111.615 51.075 111.785 51.245 ;
+        RECT 113.455 51.075 113.625 51.245 ;
+        RECT 120.355 51.075 120.525 51.245 ;
+        RECT 122.195 51.075 122.365 51.245 ;
+        RECT 125.875 51.075 126.045 51.245 ;
+        RECT 127.255 51.075 127.425 51.245 ;
+        RECT 134.615 51.075 134.785 51.245 ;
+        RECT 135.995 51.075 136.165 51.245 ;
+        RECT 139.675 51.075 139.845 51.245 ;
+        RECT 140.145 51.100 140.305 51.210 ;
+        RECT 141.515 51.075 141.685 51.245 ;
+        RECT 148.415 51.075 148.585 51.245 ;
+        RECT 150.255 51.075 150.425 51.245 ;
+        RECT 153.935 51.075 154.105 51.245 ;
+        RECT 155.315 51.075 155.485 51.245 ;
+        RECT 162.675 51.075 162.845 51.245 ;
+        RECT 164.055 51.075 164.225 51.245 ;
+        RECT 168.205 51.100 168.365 51.210 ;
+        RECT 169.575 51.075 169.745 51.245 ;
+        RECT 170.495 51.075 170.665 51.245 ;
+        RECT 178.315 51.075 178.485 51.245 ;
+        RECT 179.235 51.075 179.405 51.245 ;
+        RECT 181.995 51.075 182.165 51.245 ;
+        RECT 183.375 51.075 183.545 51.245 ;
+        RECT 185.215 51.075 185.385 51.245 ;
+        RECT 190.735 51.075 190.905 51.245 ;
+        RECT 193.955 51.075 194.125 51.245 ;
+        RECT 195.335 51.075 195.505 51.245 ;
+        RECT 195.795 51.075 195.965 51.245 ;
+        RECT 197.635 51.215 197.805 51.245 ;
+        RECT 197.630 51.105 197.805 51.215 ;
+        RECT 197.635 51.075 197.805 51.105 ;
+        RECT 198.095 51.075 198.265 51.245 ;
+        RECT 206.375 51.075 206.545 51.245 ;
+        RECT 206.835 51.075 207.005 51.245 ;
+        RECT 210.060 51.075 210.230 51.245 ;
+        RECT 210.510 51.105 210.630 51.215 ;
+        RECT 211.445 51.110 211.605 51.220 ;
+        RECT 212.360 51.075 212.530 51.245 ;
+        RECT 217.415 51.075 217.585 51.245 ;
+        RECT 219.715 51.075 219.885 51.245 ;
+        RECT 222.935 51.075 223.105 51.245 ;
+        RECT 223.400 51.075 223.570 51.245 ;
+        RECT 224.770 51.105 224.890 51.215 ;
+        RECT 225.695 51.075 225.865 51.245 ;
+        RECT 227.535 51.075 227.705 51.245 ;
+        RECT 229.370 51.105 229.490 51.215 ;
+        RECT 229.835 51.075 230.005 51.245 ;
+        RECT 231.215 51.075 231.385 51.245 ;
+        RECT 233.975 51.075 234.145 51.245 ;
+        RECT 235.355 51.075 235.525 51.245 ;
+        RECT 237.660 51.075 237.830 51.245 ;
+        RECT 239.495 51.075 239.665 51.245 ;
+        RECT 241.335 51.075 241.505 51.245 ;
+        RECT 245.015 51.075 245.190 51.245 ;
+        RECT 250.535 51.075 250.705 51.245 ;
+        RECT 252.375 51.075 252.545 51.245 ;
+        RECT 253.760 51.075 253.930 51.245 ;
+        RECT 256.060 51.075 256.230 51.245 ;
+        RECT 261.115 51.075 261.285 51.245 ;
+        RECT 263.415 51.075 263.585 51.245 ;
+        RECT 264.795 51.075 264.965 51.245 ;
+        RECT 266.635 51.075 266.805 51.245 ;
+        RECT 267.555 51.075 267.725 51.245 ;
+        RECT 270.320 51.075 270.490 51.245 ;
+        RECT 271.240 51.075 271.410 51.245 ;
+        RECT 277.675 51.075 277.845 51.245 ;
+        RECT 278.595 51.075 278.765 51.245 ;
+        RECT 281.820 51.075 281.990 51.245 ;
+        RECT 282.280 51.075 282.450 51.245 ;
+        RECT 285.955 51.075 286.125 51.245 ;
+        RECT 289.635 51.075 289.810 51.245 ;
+        RECT 295.620 51.075 295.790 51.245 ;
+        RECT 296.995 51.075 297.165 51.245 ;
+        RECT 299.755 51.075 299.925 51.245 ;
+        RECT 300.680 51.075 300.850 51.245 ;
+        RECT 304.815 51.075 304.985 51.245 ;
+        RECT 305.285 51.110 305.445 51.220 ;
+        RECT 306.200 51.075 306.370 51.245 ;
+        RECT 308.505 51.100 308.665 51.210 ;
+        RECT 309.875 51.075 310.045 51.245 ;
+        RECT 313.555 51.075 313.725 51.245 ;
+        RECT 314.480 51.075 314.650 51.245 ;
+        RECT 317.235 51.075 317.405 51.245 ;
+        RECT 318.615 51.075 318.785 51.245 ;
+        RECT 319.075 51.075 319.245 51.245 ;
+        RECT 322.305 51.100 322.465 51.210 ;
+        RECT 322.750 51.105 322.870 51.215 ;
+        RECT 323.220 51.075 323.390 51.245 ;
+        RECT 323.675 51.075 323.845 51.245 ;
+        RECT 325.515 51.075 325.685 51.245 ;
+        RECT 329.200 51.075 329.370 51.245 ;
+        RECT 330.575 51.075 330.745 51.245 ;
+        RECT 336.095 51.075 336.265 51.245 ;
+        RECT 336.555 51.075 336.725 51.245 ;
+        RECT 337.935 51.075 338.105 51.245 ;
+        RECT 339.775 51.075 339.945 51.245 ;
+        RECT 340.240 51.075 340.410 51.245 ;
+        RECT 343.460 51.075 343.630 51.245 ;
+        RECT 347.595 51.075 347.765 51.245 ;
+        RECT 350.815 51.075 350.985 51.245 ;
+        RECT 351.740 51.075 351.910 51.245 ;
+        RECT 354.500 51.075 354.670 51.245 ;
+        RECT 358.635 51.075 358.805 51.245 ;
+        RECT 359.095 51.075 359.265 51.245 ;
+        RECT 362.780 51.075 362.950 51.245 ;
+        RECT 364.155 51.075 364.325 51.245 ;
+        RECT 366.000 51.075 366.170 51.245 ;
+        RECT 366.915 51.075 367.085 51.245 ;
+        RECT 370.135 51.075 370.305 51.245 ;
+        RECT 370.600 51.075 370.770 51.245 ;
+        RECT 373.820 51.075 373.990 51.245 ;
+        RECT 374.735 51.075 374.905 51.245 ;
+        RECT 377.955 51.075 378.125 51.245 ;
+        RECT 378.425 51.110 378.585 51.220 ;
+        RECT 379.795 51.075 379.965 51.245 ;
+        RECT 381.175 51.075 381.345 51.245 ;
+        RECT 381.635 51.075 381.805 51.245 ;
+        RECT 383.015 51.075 383.185 51.245 ;
+        RECT 384.855 51.075 385.025 51.245 ;
+        RECT 386.235 51.075 386.405 51.245 ;
+        RECT 386.695 51.075 386.865 51.245 ;
+        RECT 388.075 51.075 388.245 51.245 ;
+        RECT 389.915 51.075 390.085 51.245 ;
+        RECT 391.295 51.075 391.465 51.245 ;
+        RECT 394.055 51.075 394.225 51.245 ;
+        RECT 395.435 51.075 395.605 51.245 ;
+        RECT 396.815 51.075 396.985 51.245 ;
+        RECT 399.115 51.075 399.285 51.245 ;
+        RECT 400.495 51.075 400.665 51.245 ;
+        RECT 402.335 51.075 402.505 51.245 ;
+        RECT 404.175 51.075 404.345 51.245 ;
+        RECT 405.555 51.075 405.725 51.245 ;
+        RECT 406.015 51.075 406.185 51.245 ;
+        RECT 407.855 51.075 408.025 51.245 ;
+        RECT 411.075 51.075 411.245 51.245 ;
+        RECT 412.910 51.105 413.030 51.215 ;
+        RECT 413.375 51.075 413.545 51.245 ;
+        RECT 414.755 51.075 414.925 51.245 ;
+        RECT 418.895 51.075 419.065 51.245 ;
+        RECT 420.275 51.075 420.445 51.245 ;
+        RECT 422.115 51.075 422.285 51.245 ;
+        RECT 424.415 51.075 424.585 51.245 ;
+        RECT 427.630 51.105 427.750 51.215 ;
+        RECT 428.095 51.075 428.265 51.245 ;
+        RECT 429.475 51.075 429.645 51.245 ;
+        RECT 429.935 51.075 430.105 51.245 ;
+        RECT 434.990 51.105 435.110 51.215 ;
+        RECT 435.455 51.075 435.625 51.245 ;
+        RECT 435.915 51.075 436.085 51.245 ;
+        RECT 436.835 51.075 437.005 51.245 ;
+        RECT 441.435 51.075 441.605 51.245 ;
+        RECT 442.355 51.075 442.525 51.245 ;
+        RECT 446.955 51.075 447.125 51.245 ;
+        RECT 447.875 51.075 448.045 51.245 ;
+        RECT 450.175 51.075 450.345 51.245 ;
+        RECT 451.555 51.075 451.725 51.245 ;
+        RECT 452.475 51.075 452.645 51.245 ;
+        RECT 457.070 51.105 457.190 51.215 ;
+        RECT 457.535 51.075 457.705 51.245 ;
+        RECT 457.995 51.075 458.165 51.245 ;
+        RECT 458.915 51.075 459.085 51.245 ;
+        RECT 463.975 51.075 464.145 51.245 ;
+        RECT 464.435 51.075 464.605 51.245 ;
+        RECT 468.110 51.105 468.230 51.215 ;
+        RECT 468.575 51.075 468.745 51.245 ;
+        RECT 469.495 51.075 469.665 51.245 ;
+        RECT 469.955 51.075 470.125 51.245 ;
+        RECT 475.015 51.075 475.185 51.245 ;
+        RECT 475.475 51.075 475.645 51.245 ;
+        RECT 477.310 51.105 477.430 51.215 ;
+        RECT 478.235 51.075 478.405 51.245 ;
+        RECT 479.615 51.075 479.785 51.245 ;
+        RECT 480.535 51.075 480.705 51.245 ;
+        RECT 480.995 51.075 481.165 51.245 ;
+        RECT 486.055 51.075 486.225 51.245 ;
+        RECT 486.510 51.105 486.630 51.215 ;
+        RECT 486.975 51.075 487.145 51.245 ;
+        RECT 488.355 51.075 488.525 51.245 ;
+        RECT 492.035 51.075 492.205 51.245 ;
+        RECT 493.875 51.075 494.045 51.245 ;
+        RECT 497.555 51.075 497.725 51.245 ;
+        RECT 499.395 51.075 499.565 51.245 ;
+        RECT 503.075 51.075 503.245 51.245 ;
+        RECT 504.925 51.100 505.085 51.210 ;
+        RECT 506.295 51.075 506.465 51.245 ;
+        RECT 508.595 51.075 508.765 51.245 ;
+        RECT 511.825 51.100 511.985 51.210 ;
+        RECT 512.735 51.075 512.905 51.245 ;
+        RECT 514.115 51.075 514.285 51.245 ;
+        RECT 519.630 51.105 519.750 51.215 ;
+        RECT 520.095 51.075 520.265 51.245 ;
+        RECT 521.475 51.075 521.645 51.245 ;
+        RECT 525.615 51.075 525.785 51.245 ;
+        RECT 526.995 51.075 527.165 51.245 ;
+        RECT 531.135 51.075 531.305 51.245 ;
+        RECT 532.515 51.075 532.685 51.245 ;
+        RECT 534.350 51.105 534.470 51.215 ;
+        RECT 534.815 51.075 534.985 51.245 ;
+        RECT 536.195 51.075 536.365 51.245 ;
+        RECT 536.655 51.075 536.825 51.245 ;
+        RECT 541.710 51.105 541.830 51.215 ;
+        RECT 542.175 51.075 542.345 51.245 ;
+        RECT 543.555 51.075 543.725 51.245 ;
+        RECT 548.155 51.075 548.325 51.245 ;
+        RECT 549.075 51.075 549.245 51.245 ;
+        RECT 552.750 51.105 552.870 51.215 ;
+        RECT 553.215 51.075 553.385 51.245 ;
+        RECT 553.675 51.075 553.845 51.245 ;
+        RECT 554.595 51.075 554.765 51.245 ;
+        RECT 559.195 51.075 559.365 51.245 ;
+        RECT 560.115 51.075 560.285 51.245 ;
+        RECT 562.415 51.075 562.585 51.245 ;
+        RECT 563.795 51.075 563.965 51.245 ;
+        RECT 564.715 51.075 564.885 51.245 ;
+        RECT 565.175 51.075 565.345 51.245 ;
+        RECT 570.235 51.075 570.405 51.245 ;
+        RECT 570.690 51.105 570.810 51.215 ;
+        RECT 571.155 51.075 571.325 51.245 ;
+        RECT 572.535 51.075 572.705 51.245 ;
+        RECT 576.215 51.075 576.385 51.245 ;
+        RECT 578.055 51.075 578.225 51.245 ;
+        RECT 581.735 51.075 581.905 51.245 ;
+        RECT 583.575 51.075 583.745 51.245 ;
+        RECT 587.255 51.075 587.425 51.245 ;
+        RECT 589.105 51.100 589.265 51.210 ;
+        RECT 590.475 51.075 590.645 51.245 ;
+        RECT 592.775 51.075 592.945 51.245 ;
+        RECT 596.005 51.100 596.165 51.210 ;
+        RECT 596.915 51.075 597.085 51.245 ;
+        RECT 598.295 51.075 598.465 51.245 ;
+        RECT 603.810 51.105 603.930 51.215 ;
+        RECT 604.275 51.075 604.445 51.245 ;
+        RECT 605.655 51.075 605.825 51.245 ;
+        RECT 609.795 51.075 609.965 51.245 ;
+        RECT 611.175 51.075 611.345 51.245 ;
+        RECT 615.315 51.075 615.485 51.245 ;
+        RECT 616.695 51.075 616.865 51.245 ;
+        RECT 618.530 51.105 618.650 51.215 ;
+        RECT 618.995 51.075 619.165 51.245 ;
+        RECT 620.375 51.075 620.545 51.245 ;
+        RECT 620.835 51.075 621.005 51.245 ;
+        RECT 623.590 51.105 623.710 51.215 ;
+        RECT 624.055 51.075 624.225 51.245 ;
+        RECT 625.435 51.075 625.605 51.245 ;
+        RECT 629.125 51.100 629.285 51.220 ;
+        RECT 630.955 51.075 631.125 51.245 ;
+        RECT 56.875 50.315 57.045 50.840 ;
+        RECT 84.935 50.315 85.105 50.840 ;
+        RECT 112.995 50.315 113.165 50.840 ;
+        RECT 141.055 50.315 141.225 50.840 ;
+        RECT 169.115 50.315 169.285 50.840 ;
+        RECT 197.175 50.315 197.345 50.840 ;
+        RECT 225.235 50.315 225.405 50.840 ;
+        RECT 253.295 50.315 253.465 50.840 ;
+        RECT 281.355 50.315 281.525 50.840 ;
+        RECT 309.415 50.315 309.585 50.840 ;
+        RECT 337.475 50.315 337.645 50.840 ;
+        RECT 365.535 50.315 365.705 50.840 ;
+        RECT 393.595 50.315 393.765 50.840 ;
+        RECT 421.655 50.315 421.825 50.840 ;
+        RECT 449.715 50.315 449.885 50.840 ;
+        RECT 477.775 50.315 477.945 50.840 ;
+        RECT 505.835 50.315 506.005 50.840 ;
+        RECT 533.895 50.315 534.065 50.840 ;
+        RECT 561.955 50.315 562.125 50.840 ;
+        RECT 590.015 50.315 590.185 50.840 ;
+        RECT 618.075 50.315 618.245 50.840 ;
+      LAYER nwell ;
+        RECT 42.280 47.025 631.460 49.855 ;
+      LAYER pwell ;
+        RECT 70.675 46.040 70.845 46.565 ;
+        RECT 98.735 46.040 98.905 46.565 ;
+        RECT 126.795 46.040 126.965 46.565 ;
+        RECT 154.855 46.040 155.025 46.565 ;
+        RECT 182.915 46.040 183.085 46.565 ;
+        RECT 210.975 46.040 211.145 46.565 ;
+        RECT 239.035 46.040 239.205 46.565 ;
+        RECT 267.095 46.040 267.265 46.565 ;
+        RECT 295.155 46.040 295.325 46.565 ;
+        RECT 323.215 46.040 323.385 46.565 ;
+        RECT 351.275 46.040 351.445 46.565 ;
+        RECT 379.335 46.040 379.505 46.565 ;
+        RECT 407.395 46.040 407.565 46.565 ;
+        RECT 435.455 46.040 435.625 46.565 ;
+        RECT 463.515 46.040 463.685 46.565 ;
+        RECT 491.575 46.040 491.745 46.565 ;
+        RECT 519.635 46.040 519.805 46.565 ;
+        RECT 547.695 46.040 547.865 46.565 ;
+        RECT 575.755 46.040 575.925 46.565 ;
+        RECT 603.815 46.040 603.985 46.565 ;
+        RECT 42.615 45.635 42.785 45.805 ;
+        RECT 43.995 45.635 44.165 45.805 ;
+        RECT 49.515 45.635 49.685 45.805 ;
+        RECT 55.035 45.635 55.205 45.805 ;
+        RECT 57.335 45.635 57.505 45.805 ;
+        RECT 60.555 45.635 60.725 45.805 ;
+        RECT 62.855 45.635 63.025 45.805 ;
+        RECT 66.075 45.635 66.245 45.805 ;
+        RECT 68.375 45.635 68.545 45.805 ;
+        RECT 69.765 45.670 69.925 45.780 ;
+        RECT 71.135 45.635 71.305 45.805 ;
+        RECT 71.595 45.635 71.765 45.805 ;
+        RECT 72.515 45.635 72.685 45.805 ;
+        RECT 73.895 45.635 74.065 45.805 ;
+        RECT 77.115 45.635 77.285 45.805 ;
+        RECT 77.575 45.635 77.745 45.805 ;
+        RECT 78.500 45.635 78.670 45.805 ;
+        RECT 80.795 45.635 80.965 45.805 ;
+        RECT 81.715 45.635 81.885 45.805 ;
+        RECT 84.485 45.670 84.645 45.780 ;
+        RECT 85.395 45.635 85.565 45.805 ;
+        RECT 85.865 45.660 86.025 45.770 ;
+        RECT 86.775 45.635 86.945 45.805 ;
+        RECT 87.235 45.635 87.405 45.805 ;
+        RECT 88.155 45.635 88.325 45.805 ;
+        RECT 90.920 45.635 91.090 45.805 ;
+        RECT 91.840 45.635 92.010 45.805 ;
+        RECT 95.055 45.635 95.225 45.805 ;
+        RECT 95.975 45.635 96.145 45.805 ;
+        RECT 99.195 45.635 99.365 45.805 ;
+        RECT 100.110 45.665 100.230 45.775 ;
+        RECT 100.575 45.635 100.745 45.805 ;
+        RECT 102.415 45.635 102.585 45.805 ;
+        RECT 102.870 45.665 102.990 45.775 ;
+        RECT 103.340 45.635 103.510 45.805 ;
+        RECT 106.090 45.635 106.260 45.805 ;
+        RECT 107.475 45.635 107.645 45.805 ;
+        RECT 110.235 45.635 110.405 45.805 ;
+        RECT 111.160 45.635 111.330 45.805 ;
+        RECT 114.385 45.660 114.545 45.770 ;
+        RECT 115.290 45.635 115.465 45.805 ;
+        RECT 118.970 45.635 119.140 45.805 ;
+        RECT 119.435 45.635 119.605 45.805 ;
+        RECT 123.115 45.635 123.285 45.805 ;
+        RECT 124.495 45.635 124.665 45.805 ;
+        RECT 127.250 45.665 127.370 45.775 ;
+        RECT 127.715 45.635 127.885 45.805 ;
+        RECT 128.630 45.635 128.800 45.805 ;
+        RECT 132.775 45.635 132.945 45.805 ;
+        RECT 136.455 45.775 136.625 45.805 ;
+        RECT 136.450 45.665 136.625 45.775 ;
+        RECT 136.455 45.635 136.625 45.665 ;
+        RECT 136.915 45.635 137.085 45.805 ;
+        RECT 138.755 45.635 138.925 45.805 ;
+        RECT 141.975 45.635 142.145 45.805 ;
+        RECT 142.890 45.665 143.010 45.775 ;
+        RECT 143.355 45.635 143.525 45.805 ;
+        RECT 143.820 45.635 143.990 45.805 ;
+        RECT 145.195 45.635 145.365 45.805 ;
+        RECT 148.880 45.635 149.050 45.805 ;
+        RECT 151.175 45.635 151.345 45.805 ;
+        RECT 153.015 45.635 153.185 45.805 ;
+        RECT 155.315 45.635 155.485 45.805 ;
+        RECT 157.155 45.635 157.325 45.805 ;
+        RECT 164.055 45.635 164.225 45.805 ;
+        RECT 165.895 45.635 166.065 45.805 ;
+        RECT 167.735 45.635 167.905 45.805 ;
+        RECT 169.115 45.635 169.285 45.805 ;
+        RECT 169.575 45.635 169.745 45.805 ;
+        RECT 171.415 45.635 171.585 45.805 ;
+        RECT 173.250 45.665 173.370 45.775 ;
+        RECT 173.720 45.635 173.890 45.805 ;
+        RECT 177.855 45.635 178.025 45.805 ;
+        RECT 181.075 45.635 181.245 45.805 ;
+        RECT 181.535 45.635 181.705 45.805 ;
+        RECT 183.375 45.635 183.545 45.805 ;
+        RECT 184.755 45.775 184.925 45.805 ;
+        RECT 184.750 45.665 184.925 45.775 ;
+        RECT 185.670 45.665 185.790 45.775 ;
+        RECT 184.755 45.635 184.925 45.665 ;
+        RECT 186.135 45.635 186.305 45.805 ;
+        RECT 193.495 45.635 193.665 45.805 ;
+        RECT 194.875 45.635 195.045 45.805 ;
+        RECT 197.175 45.635 197.345 45.805 ;
+        RECT 198.565 45.660 198.725 45.770 ;
+        RECT 199.935 45.635 200.105 45.805 ;
+        RECT 203.610 45.665 203.730 45.775 ;
+        RECT 204.075 45.635 204.245 45.805 ;
+        RECT 205.915 45.635 206.085 45.805 ;
+        RECT 209.595 45.635 209.765 45.805 ;
+        RECT 211.430 45.635 211.600 45.805 ;
+        RECT 213.270 45.665 213.390 45.775 ;
+        RECT 214.195 45.635 214.365 45.805 ;
+        RECT 215.575 45.635 215.745 45.805 ;
+        RECT 218.335 45.635 218.505 45.805 ;
+        RECT 219.255 45.635 219.425 45.805 ;
+        RECT 222.015 45.635 222.185 45.805 ;
+        RECT 223.395 45.635 223.565 45.805 ;
+        RECT 223.855 45.635 224.025 45.805 ;
+        RECT 227.530 45.665 227.650 45.775 ;
+        RECT 228.460 45.635 228.630 45.805 ;
+        RECT 228.915 45.635 229.085 45.805 ;
+        RECT 230.750 45.635 230.920 45.805 ;
+        RECT 232.595 45.635 232.765 45.805 ;
+        RECT 234.895 45.635 235.065 45.805 ;
+        RECT 236.275 45.635 236.445 45.805 ;
+        RECT 238.115 45.635 238.285 45.805 ;
+        RECT 238.570 45.665 238.690 45.775 ;
+        RECT 239.500 45.635 239.670 45.805 ;
+        RECT 241.790 45.665 241.910 45.775 ;
+        RECT 242.720 45.635 242.890 45.805 ;
+        RECT 245.935 45.635 246.105 45.805 ;
+        RECT 246.855 45.635 247.025 45.805 ;
+        RECT 249.620 45.635 249.790 45.805 ;
+        RECT 250.530 45.635 250.700 45.805 ;
+        RECT 252.835 45.635 253.005 45.805 ;
+        RECT 254.675 45.635 254.845 45.805 ;
+        RECT 256.980 45.635 257.150 45.805 ;
+        RECT 258.360 45.635 258.530 45.805 ;
+        RECT 260.195 45.635 260.365 45.805 ;
+        RECT 261.575 45.635 261.745 45.805 ;
+        RECT 263.875 45.635 264.045 45.805 ;
+        RECT 265.715 45.635 265.885 45.805 ;
+        RECT 267.555 45.635 267.725 45.805 ;
+        RECT 269.395 45.635 269.565 45.805 ;
+        RECT 271.235 45.635 271.405 45.805 ;
+        RECT 273.075 45.635 273.250 45.805 ;
+        RECT 276.760 45.635 276.930 45.805 ;
+        RECT 277.215 45.635 277.385 45.805 ;
+        RECT 280.895 45.635 281.065 45.805 ;
+        RECT 282.735 45.635 282.905 45.805 ;
+        RECT 284.115 45.635 284.285 45.805 ;
+        RECT 284.570 45.665 284.690 45.775 ;
+        RECT 285.035 45.635 285.205 45.805 ;
+        RECT 285.500 45.635 285.670 45.805 ;
+        RECT 289.635 45.635 289.805 45.805 ;
+        RECT 291.475 45.635 291.645 45.805 ;
+        RECT 293.315 45.635 293.485 45.805 ;
+        RECT 295.155 45.635 295.325 45.805 ;
+        RECT 295.620 45.635 295.790 45.805 ;
+        RECT 298.830 45.665 298.950 45.775 ;
+        RECT 299.755 45.635 299.930 45.805 ;
+        RECT 303.440 45.635 303.610 45.805 ;
+        RECT 303.895 45.635 304.065 45.805 ;
+        RECT 307.575 45.635 307.745 45.805 ;
+        RECT 309.415 45.635 309.585 45.805 ;
+        RECT 311.260 45.635 311.430 45.805 ;
+        RECT 313.090 45.665 313.210 45.775 ;
+        RECT 314.020 45.635 314.190 45.805 ;
+        RECT 315.395 45.635 315.565 45.805 ;
+        RECT 318.155 45.635 318.325 45.805 ;
+        RECT 320.915 45.635 321.085 45.805 ;
+        RECT 321.835 45.635 322.005 45.805 ;
+        RECT 322.750 45.665 322.870 45.775 ;
+        RECT 323.675 45.635 323.850 45.805 ;
+        RECT 327.350 45.665 327.470 45.775 ;
+        RECT 327.815 45.635 327.985 45.805 ;
+        RECT 328.280 45.635 328.450 45.805 ;
+        RECT 331.500 45.635 331.670 45.805 ;
+        RECT 332.415 45.635 332.585 45.805 ;
+        RECT 335.635 45.635 335.805 45.805 ;
+        RECT 336.095 45.635 336.265 45.805 ;
+        RECT 337.935 45.635 338.105 45.805 ;
+        RECT 339.320 45.635 339.490 45.805 ;
+        RECT 341.610 45.665 341.730 45.775 ;
+        RECT 342.535 45.635 342.705 45.805 ;
+        RECT 343.455 45.635 343.625 45.805 ;
+        RECT 344.370 45.665 344.490 45.775 ;
+        RECT 344.835 45.635 345.005 45.805 ;
+        RECT 345.755 45.635 345.925 45.805 ;
+        RECT 348.975 45.635 349.145 45.805 ;
+        RECT 350.810 45.665 350.930 45.775 ;
+        RECT 351.740 45.635 351.910 45.805 ;
+        RECT 352.195 45.635 352.365 45.805 ;
+        RECT 355.875 45.775 356.045 45.805 ;
+        RECT 355.870 45.665 356.045 45.775 ;
+        RECT 355.875 45.635 356.045 45.665 ;
+        RECT 356.800 45.635 356.970 45.805 ;
+        RECT 359.560 45.635 359.730 45.805 ;
+        RECT 360.935 45.635 361.105 45.805 ;
+        RECT 363.695 45.635 363.865 45.805 ;
+        RECT 364.615 45.635 364.785 45.805 ;
+        RECT 365.995 45.635 366.165 45.805 ;
+        RECT 367.380 45.635 367.550 45.805 ;
+        RECT 369.685 45.660 369.845 45.770 ;
+        RECT 371.060 45.635 371.230 45.805 ;
+        RECT 371.515 45.635 371.685 45.805 ;
+        RECT 375.195 45.635 375.365 45.805 ;
+        RECT 377.035 45.635 377.205 45.805 ;
+        RECT 378.875 45.775 379.045 45.805 ;
+        RECT 378.870 45.665 379.045 45.775 ;
+        RECT 378.875 45.635 379.045 45.665 ;
+        RECT 379.795 45.635 379.965 45.805 ;
+        RECT 380.255 45.635 380.425 45.805 ;
+        RECT 381.175 45.635 381.345 45.805 ;
+        RECT 383.945 45.660 384.105 45.770 ;
+        RECT 384.855 45.635 385.025 45.805 ;
+        RECT 385.315 45.635 385.485 45.805 ;
+        RECT 386.235 45.635 386.405 45.805 ;
+        RECT 386.695 45.635 386.865 45.805 ;
+        RECT 389.915 45.635 390.085 45.805 ;
+        RECT 390.375 45.635 390.545 45.805 ;
+        RECT 391.295 45.635 391.465 45.805 ;
+        RECT 391.755 45.635 391.925 45.805 ;
+        RECT 394.975 45.635 395.145 45.805 ;
+        RECT 396.355 45.635 396.525 45.805 ;
+        RECT 397.275 45.635 397.445 45.805 ;
+        RECT 399.575 45.635 399.745 45.805 ;
+        RECT 400.035 45.635 400.205 45.805 ;
+        RECT 401.415 45.635 401.585 45.805 ;
+        RECT 405.095 45.635 405.265 45.805 ;
+        RECT 406.930 45.665 407.050 45.775 ;
+        RECT 407.855 45.635 408.025 45.805 ;
+        RECT 409.235 45.635 409.405 45.805 ;
+        RECT 410.615 45.635 410.785 45.805 ;
+        RECT 412.915 45.635 413.085 45.805 ;
+        RECT 413.835 45.635 414.005 45.805 ;
+        RECT 414.295 45.635 414.465 45.805 ;
+        RECT 417.975 45.635 418.145 45.805 ;
+        RECT 419.355 45.635 419.525 45.805 ;
+        RECT 423.035 45.635 423.205 45.805 ;
+        RECT 424.415 45.635 424.585 45.805 ;
+        RECT 424.875 45.635 425.045 45.805 ;
+        RECT 428.095 45.635 428.265 45.805 ;
+        RECT 429.475 45.635 429.645 45.805 ;
+        RECT 433.615 45.635 433.785 45.805 ;
+        RECT 434.990 45.665 435.110 45.775 ;
+        RECT 435.915 45.635 436.085 45.805 ;
+        RECT 437.295 45.635 437.465 45.805 ;
+        RECT 439.135 45.635 439.305 45.805 ;
+        RECT 440.975 45.635 441.145 45.805 ;
+        RECT 442.355 45.635 442.525 45.805 ;
+        RECT 446.035 45.635 446.205 45.805 ;
+        RECT 447.415 45.635 447.585 45.805 ;
+        RECT 447.875 45.635 448.045 45.805 ;
+        RECT 451.095 45.635 451.265 45.805 ;
+        RECT 452.475 45.635 452.645 45.805 ;
+        RECT 453.395 45.635 453.565 45.805 ;
+        RECT 456.155 45.635 456.325 45.805 ;
+        RECT 456.615 45.635 456.785 45.805 ;
+        RECT 457.535 45.635 457.705 45.805 ;
+        RECT 462.135 45.635 462.305 45.805 ;
+        RECT 463.050 45.665 463.170 45.775 ;
+        RECT 463.975 45.635 464.145 45.805 ;
+        RECT 465.355 45.635 465.525 45.805 ;
+        RECT 467.655 45.635 467.825 45.805 ;
+        RECT 469.035 45.635 469.205 45.805 ;
+        RECT 470.415 45.635 470.585 45.805 ;
+        RECT 470.875 45.635 471.045 45.805 ;
+        RECT 474.095 45.635 474.265 45.805 ;
+        RECT 475.475 45.635 475.645 45.805 ;
+        RECT 476.395 45.635 476.565 45.805 ;
+        RECT 479.155 45.635 479.325 45.805 ;
+        RECT 480.535 45.635 480.705 45.805 ;
+        RECT 481.915 45.635 482.085 45.805 ;
+        RECT 484.215 45.635 484.385 45.805 ;
+        RECT 485.135 45.635 485.305 45.805 ;
+        RECT 485.595 45.635 485.765 45.805 ;
+        RECT 490.655 45.635 490.825 45.805 ;
+        RECT 491.110 45.665 491.230 45.775 ;
+        RECT 492.035 45.635 492.205 45.805 ;
+        RECT 493.415 45.635 493.585 45.805 ;
+        RECT 496.175 45.635 496.345 45.805 ;
+        RECT 497.095 45.635 497.265 45.805 ;
+        RECT 498.475 45.635 498.645 45.805 ;
+        RECT 499.395 45.635 499.565 45.805 ;
+        RECT 501.230 45.665 501.350 45.775 ;
+        RECT 501.695 45.635 501.865 45.805 ;
+        RECT 502.155 45.635 502.325 45.805 ;
+        RECT 503.075 45.635 503.245 45.805 ;
+        RECT 503.535 45.635 503.705 45.805 ;
+        RECT 507.215 45.635 507.385 45.805 ;
+        RECT 508.595 45.635 508.765 45.805 ;
+        RECT 512.275 45.635 512.445 45.805 ;
+        RECT 513.655 45.635 513.825 45.805 ;
+        RECT 519.175 45.775 519.345 45.805 ;
+        RECT 519.170 45.665 519.345 45.775 ;
+        RECT 519.175 45.635 519.345 45.665 ;
+        RECT 520.095 45.635 520.265 45.805 ;
+        RECT 521.475 45.635 521.645 45.805 ;
+        RECT 524.695 45.635 524.865 45.805 ;
+        RECT 525.155 45.635 525.325 45.805 ;
+        RECT 526.535 45.635 526.705 45.805 ;
+        RECT 527.915 45.635 528.085 45.805 ;
+        RECT 530.215 45.635 530.385 45.805 ;
+        RECT 531.595 45.635 531.765 45.805 ;
+        RECT 533.435 45.635 533.605 45.805 ;
+        RECT 535.275 45.635 535.445 45.805 ;
+        RECT 536.655 45.635 536.825 45.805 ;
+        RECT 538.955 45.635 539.125 45.805 ;
+        RECT 540.335 45.635 540.505 45.805 ;
+        RECT 541.715 45.635 541.885 45.805 ;
+        RECT 542.175 45.635 542.345 45.805 ;
+        RECT 547.230 45.665 547.350 45.775 ;
+        RECT 547.695 45.635 547.865 45.805 ;
+        RECT 548.155 45.635 548.325 45.805 ;
+        RECT 549.535 45.635 549.705 45.805 ;
+        RECT 553.215 45.635 553.385 45.805 ;
+        RECT 554.595 45.635 554.765 45.805 ;
+        RECT 556.435 45.635 556.605 45.805 ;
+        RECT 558.275 45.635 558.445 45.805 ;
+        RECT 559.655 45.635 559.825 45.805 ;
+        RECT 561.955 45.635 562.125 45.805 ;
+        RECT 563.335 45.635 563.505 45.805 ;
+        RECT 564.715 45.635 564.885 45.805 ;
+        RECT 567.475 45.635 567.645 45.805 ;
+        RECT 568.395 45.635 568.565 45.805 ;
+        RECT 569.775 45.635 569.945 45.805 ;
+        RECT 570.695 45.635 570.865 45.805 ;
+        RECT 575.290 45.665 575.410 45.775 ;
+        RECT 576.215 45.635 576.385 45.805 ;
+        RECT 577.595 45.635 577.765 45.805 ;
+        RECT 581.275 45.635 581.445 45.805 ;
+        RECT 581.735 45.635 581.905 45.805 ;
+        RECT 582.655 45.635 582.825 45.805 ;
+        RECT 584.965 45.660 585.125 45.770 ;
+        RECT 585.875 45.635 586.045 45.805 ;
+        RECT 586.335 45.635 586.505 45.805 ;
+        RECT 587.255 45.635 587.425 45.805 ;
+        RECT 587.715 45.635 587.885 45.805 ;
+        RECT 591.395 45.635 591.565 45.805 ;
+        RECT 592.775 45.635 592.945 45.805 ;
+        RECT 596.455 45.635 596.625 45.805 ;
+        RECT 597.835 45.635 598.005 45.805 ;
+        RECT 598.290 45.665 598.410 45.775 ;
+        RECT 599.215 45.635 599.385 45.805 ;
+        RECT 603.350 45.665 603.470 45.775 ;
+        RECT 604.275 45.635 604.445 45.805 ;
+        RECT 604.735 45.635 604.905 45.805 ;
+        RECT 605.655 45.635 605.825 45.805 ;
+        RECT 609.335 45.635 609.505 45.805 ;
+        RECT 610.255 45.635 610.425 45.805 ;
+        RECT 610.715 45.635 610.885 45.805 ;
+        RECT 613.475 45.635 613.645 45.805 ;
+        RECT 614.395 45.635 614.565 45.805 ;
+        RECT 615.775 45.635 615.945 45.805 ;
+        RECT 618.995 45.635 619.165 45.805 ;
+        RECT 619.455 45.635 619.625 45.805 ;
+        RECT 620.835 45.635 621.005 45.805 ;
+        RECT 621.750 45.665 621.870 45.775 ;
+        RECT 622.215 45.635 622.385 45.805 ;
+        RECT 623.595 45.635 623.765 45.805 ;
+        RECT 624.515 45.635 624.685 45.805 ;
+        RECT 625.895 45.635 626.065 45.805 ;
+        RECT 627.735 45.635 627.905 45.805 ;
+        RECT 629.570 45.665 629.690 45.775 ;
+        RECT 630.955 45.635 631.125 45.805 ;
+        RECT 56.875 44.875 57.045 45.400 ;
+        RECT 71.135 44.875 71.305 45.400 ;
+        RECT 85.395 44.875 85.565 45.400 ;
+        RECT 99.655 44.875 99.825 45.400 ;
+        RECT 113.915 44.875 114.085 45.400 ;
+        RECT 128.175 44.875 128.345 45.400 ;
+        RECT 142.435 44.875 142.605 45.400 ;
+        RECT 156.695 44.875 156.865 45.400 ;
+        RECT 170.955 44.875 171.125 45.400 ;
+        RECT 185.215 44.875 185.385 45.400 ;
+        RECT 199.475 44.875 199.645 45.400 ;
+        RECT 213.735 44.875 213.905 45.400 ;
+        RECT 227.995 44.875 228.165 45.400 ;
+        RECT 242.255 44.875 242.425 45.400 ;
+        RECT 256.515 44.875 256.685 45.400 ;
+        RECT 270.775 44.875 270.945 45.400 ;
+        RECT 285.035 44.875 285.205 45.400 ;
+        RECT 299.295 44.875 299.465 45.400 ;
+        RECT 313.555 44.875 313.725 45.400 ;
+        RECT 327.815 44.875 327.985 45.400 ;
+        RECT 342.075 44.875 342.245 45.400 ;
+        RECT 356.335 44.875 356.505 45.400 ;
+        RECT 370.595 44.875 370.765 45.400 ;
+        RECT 384.855 44.875 385.025 45.400 ;
+        RECT 399.115 44.875 399.285 45.400 ;
+        RECT 413.375 44.875 413.545 45.400 ;
+        RECT 427.635 44.875 427.805 45.400 ;
+        RECT 441.895 44.875 442.065 45.400 ;
+        RECT 456.155 44.875 456.325 45.400 ;
+        RECT 470.415 44.875 470.585 45.400 ;
+        RECT 484.675 44.875 484.845 45.400 ;
+        RECT 498.935 44.875 499.105 45.400 ;
+        RECT 513.195 44.875 513.365 45.400 ;
+        RECT 527.455 44.875 527.625 45.400 ;
+        RECT 541.715 44.875 541.885 45.400 ;
+        RECT 555.975 44.875 556.145 45.400 ;
+        RECT 570.235 44.875 570.405 45.400 ;
+        RECT 584.495 44.875 584.665 45.400 ;
+        RECT 598.755 44.875 598.925 45.400 ;
+        RECT 613.015 44.875 613.185 45.400 ;
+        RECT 627.275 44.875 627.445 45.400 ;
+      LAYER nwell ;
+        RECT 42.280 42.810 631.460 44.415 ;
+      LAYER li1 ;
+        RECT 42.470 619.555 631.270 619.725 ;
+        RECT 42.555 618.465 43.765 619.555 ;
+        RECT 43.935 618.465 49.280 619.555 ;
+        RECT 49.455 618.465 631.270 619.555 ;
+        RECT 42.555 617.755 43.075 618.295 ;
+        RECT 43.245 617.925 43.765 618.465 ;
+        RECT 43.935 617.775 46.515 618.295 ;
+        RECT 46.685 617.945 49.280 618.465 ;
+        RECT 49.455 617.775 52.035 618.295 ;
+        RECT 52.205 617.945 631.270 618.465 ;
+        RECT 54.000 617.775 631.270 617.945 ;
+        RECT 42.555 617.005 43.765 617.755 ;
+        RECT 43.935 617.005 49.280 617.775 ;
+        RECT 49.455 617.005 631.270 617.775 ;
+        RECT 42.470 616.835 631.270 617.005 ;
+        RECT 42.555 616.085 43.765 616.835 ;
+        RECT 42.555 615.545 43.075 616.085 ;
+        RECT 43.935 616.065 49.280 616.835 ;
+        RECT 49.455 616.065 631.270 616.835 ;
+        RECT 43.245 615.375 43.765 615.915 ;
+        RECT 43.935 615.545 46.515 616.065 ;
+        RECT 46.685 615.375 49.280 615.895 ;
+        RECT 49.455 615.545 52.035 616.065 ;
+        RECT 54.000 615.895 631.270 616.065 ;
+        RECT 52.205 615.375 631.270 615.895 ;
+        RECT 42.555 614.285 43.765 615.375 ;
+        RECT 43.935 614.285 49.280 615.375 ;
+        RECT 49.455 614.285 631.270 615.375 ;
+        RECT 42.470 614.115 631.270 614.285 ;
+        RECT 42.555 613.025 43.765 614.115 ;
+        RECT 43.935 613.025 49.280 614.115 ;
+        RECT 49.455 613.025 631.270 614.115 ;
+        RECT 42.555 612.315 43.075 612.855 ;
+        RECT 43.245 612.485 43.765 613.025 ;
+        RECT 43.935 612.335 46.515 612.855 ;
+        RECT 46.685 612.505 49.280 613.025 ;
+        RECT 49.455 612.335 52.035 612.855 ;
+        RECT 52.205 612.505 631.270 613.025 ;
+        RECT 54.000 612.335 631.270 612.505 ;
+        RECT 42.555 611.565 43.765 612.315 ;
+        RECT 43.935 611.565 49.280 612.335 ;
+        RECT 49.455 611.565 631.270 612.335 ;
+        RECT 42.470 611.395 631.270 611.565 ;
+        RECT 42.555 610.645 43.765 611.395 ;
+        RECT 42.555 610.105 43.075 610.645 ;
+        RECT 43.935 610.625 49.280 611.395 ;
+        RECT 49.455 610.625 631.270 611.395 ;
+        RECT 43.245 609.935 43.765 610.475 ;
+        RECT 43.935 610.105 46.515 610.625 ;
+        RECT 46.685 609.935 49.280 610.455 ;
+        RECT 49.455 610.105 52.035 610.625 ;
+        RECT 54.000 610.455 631.270 610.625 ;
+        RECT 52.205 609.935 631.270 610.455 ;
+        RECT 42.555 608.845 43.765 609.935 ;
+        RECT 43.935 608.845 49.280 609.935 ;
+        RECT 49.455 608.845 631.270 609.935 ;
+        RECT 42.470 608.675 631.270 608.845 ;
+        RECT 42.555 607.585 43.765 608.675 ;
+        RECT 43.935 607.585 49.280 608.675 ;
+        RECT 49.455 607.585 631.270 608.675 ;
+        RECT 42.555 606.875 43.075 607.415 ;
+        RECT 43.245 607.045 43.765 607.585 ;
+        RECT 43.935 606.895 46.515 607.415 ;
+        RECT 46.685 607.065 49.280 607.585 ;
+        RECT 49.455 606.895 52.035 607.415 ;
+        RECT 52.205 607.065 631.270 607.585 ;
+        RECT 54.000 606.895 631.270 607.065 ;
+        RECT 42.555 606.125 43.765 606.875 ;
+        RECT 43.935 606.125 49.280 606.895 ;
+        RECT 49.455 606.125 631.270 606.895 ;
+        RECT 42.470 605.955 631.270 606.125 ;
+        RECT 42.555 605.205 43.765 605.955 ;
+        RECT 42.555 604.665 43.075 605.205 ;
+        RECT 43.935 605.185 49.280 605.955 ;
+        RECT 49.455 605.185 631.270 605.955 ;
+        RECT 43.245 604.495 43.765 605.035 ;
+        RECT 43.935 604.665 46.515 605.185 ;
+        RECT 46.685 604.495 49.280 605.015 ;
+        RECT 49.455 604.665 52.035 605.185 ;
+        RECT 54.000 605.015 631.270 605.185 ;
+        RECT 52.205 604.495 631.270 605.015 ;
+        RECT 42.555 603.405 43.765 604.495 ;
+        RECT 43.935 603.405 49.280 604.495 ;
+        RECT 49.455 603.405 631.270 604.495 ;
+        RECT 42.470 603.235 631.270 603.405 ;
+        RECT 42.555 602.145 43.765 603.235 ;
+        RECT 43.935 602.145 49.280 603.235 ;
+        RECT 49.455 602.145 631.270 603.235 ;
+        RECT 42.555 601.435 43.075 601.975 ;
+        RECT 43.245 601.605 43.765 602.145 ;
+        RECT 43.935 601.455 46.515 601.975 ;
+        RECT 46.685 601.625 49.280 602.145 ;
+        RECT 49.455 601.455 52.035 601.975 ;
+        RECT 52.205 601.625 631.270 602.145 ;
+        RECT 54.000 601.455 631.270 601.625 ;
+        RECT 42.555 600.685 43.765 601.435 ;
+        RECT 43.935 600.685 49.280 601.455 ;
+        RECT 49.455 600.685 631.270 601.455 ;
+        RECT 42.470 600.515 631.270 600.685 ;
+        RECT 42.555 599.765 43.765 600.515 ;
+        RECT 42.555 599.225 43.075 599.765 ;
+        RECT 43.935 599.745 49.280 600.515 ;
+        RECT 49.455 599.745 631.270 600.515 ;
+        RECT 43.245 599.055 43.765 599.595 ;
+        RECT 43.935 599.225 46.515 599.745 ;
+        RECT 46.685 599.055 49.280 599.575 ;
+        RECT 49.455 599.225 52.035 599.745 ;
+        RECT 54.000 599.575 631.270 599.745 ;
+        RECT 52.205 599.055 631.270 599.575 ;
+        RECT 42.555 597.965 43.765 599.055 ;
+        RECT 43.935 597.965 49.280 599.055 ;
+        RECT 49.455 597.965 631.270 599.055 ;
+        RECT 42.470 597.795 631.270 597.965 ;
+        RECT 42.555 596.705 43.765 597.795 ;
+        RECT 43.935 596.705 49.280 597.795 ;
+        RECT 49.455 596.705 631.270 597.795 ;
+        RECT 42.555 595.995 43.075 596.535 ;
+        RECT 43.245 596.165 43.765 596.705 ;
+        RECT 43.935 596.015 46.515 596.535 ;
+        RECT 46.685 596.185 49.280 596.705 ;
+        RECT 49.455 596.015 52.035 596.535 ;
+        RECT 52.205 596.185 631.270 596.705 ;
+        RECT 54.000 596.015 631.270 596.185 ;
+        RECT 42.555 595.245 43.765 595.995 ;
+        RECT 43.935 595.245 49.280 596.015 ;
+        RECT 49.455 595.245 631.270 596.015 ;
+        RECT 42.470 595.075 631.270 595.245 ;
+        RECT 42.555 594.325 43.765 595.075 ;
+        RECT 42.555 593.785 43.075 594.325 ;
+        RECT 43.935 594.305 49.280 595.075 ;
+        RECT 49.455 594.305 631.270 595.075 ;
+        RECT 43.245 593.615 43.765 594.155 ;
+        RECT 43.935 593.785 46.515 594.305 ;
+        RECT 46.685 593.615 49.280 594.135 ;
+        RECT 49.455 593.785 52.035 594.305 ;
+        RECT 54.000 594.135 631.270 594.305 ;
+        RECT 52.205 593.615 631.270 594.135 ;
+        RECT 42.555 592.525 43.765 593.615 ;
+        RECT 43.935 592.525 49.280 593.615 ;
+        RECT 49.455 592.525 631.270 593.615 ;
+        RECT 42.470 592.355 631.270 592.525 ;
+        RECT 42.555 591.265 43.765 592.355 ;
+        RECT 43.935 591.265 49.280 592.355 ;
+        RECT 49.455 591.265 631.270 592.355 ;
+        RECT 42.555 590.555 43.075 591.095 ;
+        RECT 43.245 590.725 43.765 591.265 ;
+        RECT 43.935 590.575 46.515 591.095 ;
+        RECT 46.685 590.745 49.280 591.265 ;
+        RECT 49.455 590.575 52.035 591.095 ;
+        RECT 52.205 590.745 631.270 591.265 ;
+        RECT 54.000 590.575 631.270 590.745 ;
+        RECT 42.555 589.805 43.765 590.555 ;
+        RECT 43.935 589.805 49.280 590.575 ;
+        RECT 49.455 589.805 631.270 590.575 ;
+        RECT 42.470 589.635 631.270 589.805 ;
+        RECT 42.555 588.885 43.765 589.635 ;
+        RECT 42.555 588.345 43.075 588.885 ;
+        RECT 43.935 588.865 49.280 589.635 ;
+        RECT 49.455 588.865 631.270 589.635 ;
+        RECT 43.245 588.175 43.765 588.715 ;
+        RECT 43.935 588.345 46.515 588.865 ;
+        RECT 46.685 588.175 49.280 588.695 ;
+        RECT 49.455 588.345 52.035 588.865 ;
+        RECT 54.000 588.695 631.270 588.865 ;
+        RECT 52.205 588.175 631.270 588.695 ;
+        RECT 42.555 587.085 43.765 588.175 ;
+        RECT 43.935 587.085 49.280 588.175 ;
+        RECT 49.455 587.085 631.270 588.175 ;
+        RECT 42.470 586.915 631.270 587.085 ;
+        RECT 42.555 585.825 43.765 586.915 ;
+        RECT 43.935 585.825 49.280 586.915 ;
+        RECT 49.455 585.825 631.270 586.915 ;
+        RECT 42.555 585.115 43.075 585.655 ;
+        RECT 43.245 585.285 43.765 585.825 ;
+        RECT 43.935 585.135 46.515 585.655 ;
+        RECT 46.685 585.305 49.280 585.825 ;
+        RECT 49.455 585.135 52.035 585.655 ;
+        RECT 52.205 585.305 631.270 585.825 ;
+        RECT 54.000 585.135 631.270 585.305 ;
+        RECT 42.555 584.365 43.765 585.115 ;
+        RECT 43.935 584.365 49.280 585.135 ;
+        RECT 49.455 584.365 631.270 585.135 ;
+        RECT 42.470 584.195 631.270 584.365 ;
+        RECT 42.555 583.445 43.765 584.195 ;
+        RECT 42.555 582.905 43.075 583.445 ;
+        RECT 43.935 583.425 49.280 584.195 ;
+        RECT 49.455 583.425 631.270 584.195 ;
+        RECT 43.245 582.735 43.765 583.275 ;
+        RECT 43.935 582.905 46.515 583.425 ;
+        RECT 46.685 582.735 49.280 583.255 ;
+        RECT 49.455 582.905 52.035 583.425 ;
+        RECT 54.000 583.255 631.270 583.425 ;
+        RECT 52.205 582.735 631.270 583.255 ;
+        RECT 42.555 581.645 43.765 582.735 ;
+        RECT 43.935 581.645 49.280 582.735 ;
+        RECT 49.455 581.645 631.270 582.735 ;
+        RECT 42.470 581.475 631.270 581.645 ;
+        RECT 42.555 580.385 43.765 581.475 ;
+        RECT 43.935 580.385 49.280 581.475 ;
+        RECT 49.455 580.385 631.270 581.475 ;
+        RECT 42.555 579.675 43.075 580.215 ;
+        RECT 43.245 579.845 43.765 580.385 ;
+        RECT 43.935 579.695 46.515 580.215 ;
+        RECT 46.685 579.865 49.280 580.385 ;
+        RECT 49.455 579.695 52.035 580.215 ;
+        RECT 52.205 579.865 631.270 580.385 ;
+        RECT 54.000 579.695 631.270 579.865 ;
+        RECT 42.555 578.925 43.765 579.675 ;
+        RECT 43.935 578.925 49.280 579.695 ;
+        RECT 49.455 578.925 631.270 579.695 ;
+        RECT 42.470 578.755 631.270 578.925 ;
+        RECT 42.555 578.005 43.765 578.755 ;
+        RECT 42.555 577.465 43.075 578.005 ;
+        RECT 43.935 577.985 49.280 578.755 ;
+        RECT 49.455 577.985 631.270 578.755 ;
+        RECT 43.245 577.295 43.765 577.835 ;
+        RECT 43.935 577.465 46.515 577.985 ;
+        RECT 46.685 577.295 49.280 577.815 ;
+        RECT 49.455 577.465 52.035 577.985 ;
+        RECT 54.000 577.815 631.270 577.985 ;
+        RECT 52.205 577.295 631.270 577.815 ;
+        RECT 42.555 576.205 43.765 577.295 ;
+        RECT 43.935 576.205 49.280 577.295 ;
+        RECT 49.455 576.205 631.270 577.295 ;
+        RECT 42.470 576.035 631.270 576.205 ;
+        RECT 42.555 574.945 43.765 576.035 ;
+        RECT 43.935 574.945 49.280 576.035 ;
+        RECT 49.455 574.945 631.270 576.035 ;
+        RECT 42.555 574.235 43.075 574.775 ;
+        RECT 43.245 574.405 43.765 574.945 ;
+        RECT 43.935 574.255 46.515 574.775 ;
+        RECT 46.685 574.425 49.280 574.945 ;
+        RECT 49.455 574.255 52.035 574.775 ;
+        RECT 52.205 574.425 631.270 574.945 ;
+        RECT 54.000 574.255 631.270 574.425 ;
+        RECT 42.555 573.485 43.765 574.235 ;
+        RECT 43.935 573.485 49.280 574.255 ;
+        RECT 49.455 573.485 631.270 574.255 ;
+        RECT 42.470 573.315 631.270 573.485 ;
+        RECT 42.555 572.565 43.765 573.315 ;
+        RECT 42.555 572.025 43.075 572.565 ;
+        RECT 43.935 572.545 49.280 573.315 ;
+        RECT 49.455 572.545 631.270 573.315 ;
+        RECT 43.245 571.855 43.765 572.395 ;
+        RECT 43.935 572.025 46.515 572.545 ;
+        RECT 46.685 571.855 49.280 572.375 ;
+        RECT 49.455 572.025 52.035 572.545 ;
+        RECT 54.000 572.375 631.270 572.545 ;
+        RECT 52.205 571.855 631.270 572.375 ;
+        RECT 42.555 570.765 43.765 571.855 ;
+        RECT 43.935 570.765 49.280 571.855 ;
+        RECT 49.455 570.765 631.270 571.855 ;
+        RECT 42.470 570.595 631.270 570.765 ;
+        RECT 42.555 569.505 43.765 570.595 ;
+        RECT 43.935 569.505 49.280 570.595 ;
+        RECT 49.455 569.505 631.270 570.595 ;
+        RECT 42.555 568.795 43.075 569.335 ;
+        RECT 43.245 568.965 43.765 569.505 ;
+        RECT 43.935 568.815 46.515 569.335 ;
+        RECT 46.685 568.985 49.280 569.505 ;
+        RECT 49.455 568.815 52.035 569.335 ;
+        RECT 52.205 568.985 631.270 569.505 ;
+        RECT 54.000 568.815 631.270 568.985 ;
+        RECT 42.555 568.045 43.765 568.795 ;
+        RECT 43.935 568.045 49.280 568.815 ;
+        RECT 49.455 568.045 631.270 568.815 ;
+        RECT 42.470 567.875 631.270 568.045 ;
+        RECT 42.555 567.125 43.765 567.875 ;
+        RECT 42.555 566.585 43.075 567.125 ;
+        RECT 43.935 567.105 49.280 567.875 ;
+        RECT 49.455 567.105 631.270 567.875 ;
+        RECT 43.245 566.415 43.765 566.955 ;
+        RECT 43.935 566.585 46.515 567.105 ;
+        RECT 46.685 566.415 49.280 566.935 ;
+        RECT 49.455 566.585 52.035 567.105 ;
+        RECT 54.000 566.935 631.270 567.105 ;
+        RECT 52.205 566.415 631.270 566.935 ;
+        RECT 42.555 565.325 43.765 566.415 ;
+        RECT 43.935 565.325 49.280 566.415 ;
+        RECT 49.455 565.325 631.270 566.415 ;
+        RECT 42.470 565.155 631.270 565.325 ;
+        RECT 42.555 564.065 43.765 565.155 ;
+        RECT 43.935 564.065 49.280 565.155 ;
+        RECT 49.455 564.065 631.270 565.155 ;
+        RECT 42.555 563.355 43.075 563.895 ;
+        RECT 43.245 563.525 43.765 564.065 ;
+        RECT 43.935 563.375 46.515 563.895 ;
+        RECT 46.685 563.545 49.280 564.065 ;
+        RECT 49.455 563.375 52.035 563.895 ;
+        RECT 52.205 563.545 631.270 564.065 ;
+        RECT 54.000 563.375 631.270 563.545 ;
+        RECT 42.555 562.605 43.765 563.355 ;
+        RECT 43.935 562.605 49.280 563.375 ;
+        RECT 49.455 562.605 631.270 563.375 ;
+        RECT 42.470 562.435 631.270 562.605 ;
+        RECT 42.555 561.685 43.765 562.435 ;
+        RECT 42.555 561.145 43.075 561.685 ;
+        RECT 43.935 561.665 49.280 562.435 ;
+        RECT 49.455 561.665 631.270 562.435 ;
+        RECT 43.245 560.975 43.765 561.515 ;
+        RECT 43.935 561.145 46.515 561.665 ;
+        RECT 46.685 560.975 49.280 561.495 ;
+        RECT 49.455 561.145 52.035 561.665 ;
+        RECT 54.000 561.495 631.270 561.665 ;
+        RECT 52.205 560.975 631.270 561.495 ;
+        RECT 42.555 559.885 43.765 560.975 ;
+        RECT 43.935 559.885 49.280 560.975 ;
+        RECT 49.455 559.885 631.270 560.975 ;
+        RECT 42.470 559.715 631.270 559.885 ;
+        RECT 42.555 558.625 43.765 559.715 ;
+        RECT 43.935 558.625 49.280 559.715 ;
+        RECT 49.455 558.625 631.270 559.715 ;
+        RECT 42.555 557.915 43.075 558.455 ;
+        RECT 43.245 558.085 43.765 558.625 ;
+        RECT 43.935 557.935 46.515 558.455 ;
+        RECT 46.685 558.105 49.280 558.625 ;
+        RECT 49.455 557.935 52.035 558.455 ;
+        RECT 52.205 558.105 631.270 558.625 ;
+        RECT 54.000 557.935 631.270 558.105 ;
+        RECT 42.555 557.165 43.765 557.915 ;
+        RECT 43.935 557.165 49.280 557.935 ;
+        RECT 49.455 557.165 631.270 557.935 ;
+        RECT 42.470 556.995 631.270 557.165 ;
+        RECT 42.555 556.245 43.765 556.995 ;
+        RECT 42.555 555.705 43.075 556.245 ;
+        RECT 43.935 556.225 49.280 556.995 ;
+        RECT 49.455 556.225 631.270 556.995 ;
+        RECT 43.245 555.535 43.765 556.075 ;
+        RECT 43.935 555.705 46.515 556.225 ;
+        RECT 46.685 555.535 49.280 556.055 ;
+        RECT 49.455 555.705 52.035 556.225 ;
+        RECT 54.000 556.055 631.270 556.225 ;
+        RECT 52.205 555.535 631.270 556.055 ;
+        RECT 42.555 554.445 43.765 555.535 ;
+        RECT 43.935 554.445 49.280 555.535 ;
+        RECT 49.455 554.445 631.270 555.535 ;
+        RECT 42.470 554.275 631.270 554.445 ;
+        RECT 42.555 553.185 43.765 554.275 ;
+        RECT 43.935 553.185 49.280 554.275 ;
+        RECT 49.455 553.185 631.270 554.275 ;
+        RECT 42.555 552.475 43.075 553.015 ;
+        RECT 43.245 552.645 43.765 553.185 ;
+        RECT 43.935 552.495 46.515 553.015 ;
+        RECT 46.685 552.665 49.280 553.185 ;
+        RECT 49.455 552.495 52.035 553.015 ;
+        RECT 52.205 552.665 631.270 553.185 ;
+        RECT 54.000 552.495 631.270 552.665 ;
+        RECT 42.555 551.725 43.765 552.475 ;
+        RECT 43.935 551.725 49.280 552.495 ;
+        RECT 49.455 551.725 631.270 552.495 ;
+        RECT 42.470 551.555 631.270 551.725 ;
+        RECT 42.555 550.805 43.765 551.555 ;
+        RECT 42.555 550.265 43.075 550.805 ;
+        RECT 43.935 550.785 49.280 551.555 ;
+        RECT 49.455 550.785 631.270 551.555 ;
+        RECT 43.245 550.095 43.765 550.635 ;
+        RECT 43.935 550.265 46.515 550.785 ;
+        RECT 46.685 550.095 49.280 550.615 ;
+        RECT 49.455 550.265 52.035 550.785 ;
+        RECT 54.000 550.615 631.270 550.785 ;
+        RECT 52.205 550.095 631.270 550.615 ;
+        RECT 42.555 549.005 43.765 550.095 ;
+        RECT 43.935 549.005 49.280 550.095 ;
+        RECT 49.455 549.005 631.270 550.095 ;
+        RECT 42.470 548.835 631.270 549.005 ;
+        RECT 42.555 547.745 43.765 548.835 ;
+        RECT 43.935 547.745 49.280 548.835 ;
+        RECT 49.455 547.745 631.270 548.835 ;
+        RECT 42.555 547.035 43.075 547.575 ;
+        RECT 43.245 547.205 43.765 547.745 ;
+        RECT 43.935 547.055 46.515 547.575 ;
+        RECT 46.685 547.225 49.280 547.745 ;
+        RECT 49.455 547.055 52.035 547.575 ;
+        RECT 52.205 547.225 631.270 547.745 ;
+        RECT 54.000 547.055 631.270 547.225 ;
+        RECT 42.555 546.285 43.765 547.035 ;
+        RECT 43.935 546.285 49.280 547.055 ;
+        RECT 49.455 546.285 631.270 547.055 ;
+        RECT 42.470 546.115 631.270 546.285 ;
+        RECT 42.555 545.365 43.765 546.115 ;
+        RECT 42.555 544.825 43.075 545.365 ;
+        RECT 43.935 545.345 49.280 546.115 ;
+        RECT 49.455 545.345 631.270 546.115 ;
+        RECT 43.245 544.655 43.765 545.195 ;
+        RECT 43.935 544.825 46.515 545.345 ;
+        RECT 46.685 544.655 49.280 545.175 ;
+        RECT 49.455 544.825 52.035 545.345 ;
+        RECT 54.000 545.175 631.270 545.345 ;
+        RECT 52.205 544.655 631.270 545.175 ;
+        RECT 42.555 543.565 43.765 544.655 ;
+        RECT 43.935 543.565 49.280 544.655 ;
+        RECT 49.455 543.565 631.270 544.655 ;
+        RECT 42.470 543.395 631.270 543.565 ;
+        RECT 42.555 542.305 43.765 543.395 ;
+        RECT 43.935 542.305 49.280 543.395 ;
+        RECT 49.455 542.305 631.270 543.395 ;
+        RECT 42.555 541.595 43.075 542.135 ;
+        RECT 43.245 541.765 43.765 542.305 ;
+        RECT 43.935 541.615 46.515 542.135 ;
+        RECT 46.685 541.785 49.280 542.305 ;
+        RECT 49.455 541.615 52.035 542.135 ;
+        RECT 52.205 541.785 631.270 542.305 ;
+        RECT 54.000 541.615 631.270 541.785 ;
+        RECT 42.555 540.845 43.765 541.595 ;
+        RECT 43.935 540.845 49.280 541.615 ;
+        RECT 49.455 540.845 631.270 541.615 ;
+        RECT 42.470 540.675 631.270 540.845 ;
+        RECT 42.555 539.925 43.765 540.675 ;
+        RECT 42.555 539.385 43.075 539.925 ;
+        RECT 43.935 539.905 49.280 540.675 ;
+        RECT 49.455 539.905 631.270 540.675 ;
+        RECT 43.245 539.215 43.765 539.755 ;
+        RECT 43.935 539.385 46.515 539.905 ;
+        RECT 46.685 539.215 49.280 539.735 ;
+        RECT 49.455 539.385 52.035 539.905 ;
+        RECT 54.000 539.735 631.270 539.905 ;
+        RECT 52.205 539.215 631.270 539.735 ;
+        RECT 42.555 538.125 43.765 539.215 ;
+        RECT 43.935 538.125 49.280 539.215 ;
+        RECT 49.455 538.125 631.270 539.215 ;
+        RECT 42.470 537.955 631.270 538.125 ;
+        RECT 42.555 536.865 43.765 537.955 ;
+        RECT 43.935 536.865 49.280 537.955 ;
+        RECT 49.455 536.865 631.270 537.955 ;
+        RECT 42.555 536.155 43.075 536.695 ;
+        RECT 43.245 536.325 43.765 536.865 ;
+        RECT 43.935 536.175 46.515 536.695 ;
+        RECT 46.685 536.345 49.280 536.865 ;
+        RECT 49.455 536.175 52.035 536.695 ;
+        RECT 52.205 536.345 631.270 536.865 ;
+        RECT 54.000 536.175 631.270 536.345 ;
+        RECT 42.555 535.405 43.765 536.155 ;
+        RECT 43.935 535.405 49.280 536.175 ;
+        RECT 49.455 535.405 631.270 536.175 ;
+        RECT 42.470 535.235 631.270 535.405 ;
+        RECT 42.555 534.485 43.765 535.235 ;
+        RECT 42.555 533.945 43.075 534.485 ;
+        RECT 43.935 534.465 49.280 535.235 ;
+        RECT 49.455 534.465 631.270 535.235 ;
+        RECT 43.245 533.775 43.765 534.315 ;
+        RECT 43.935 533.945 46.515 534.465 ;
+        RECT 46.685 533.775 49.280 534.295 ;
+        RECT 49.455 533.945 52.035 534.465 ;
+        RECT 54.000 534.295 631.270 534.465 ;
+        RECT 52.205 533.775 631.270 534.295 ;
+        RECT 42.555 532.685 43.765 533.775 ;
+        RECT 43.935 532.685 49.280 533.775 ;
+        RECT 49.455 532.685 631.270 533.775 ;
+        RECT 42.470 532.515 631.270 532.685 ;
+        RECT 42.555 531.425 43.765 532.515 ;
+        RECT 43.935 531.425 49.280 532.515 ;
+        RECT 49.455 531.425 631.270 532.515 ;
+        RECT 42.555 530.715 43.075 531.255 ;
+        RECT 43.245 530.885 43.765 531.425 ;
+        RECT 43.935 530.735 46.515 531.255 ;
+        RECT 46.685 530.905 49.280 531.425 ;
+        RECT 49.455 530.735 52.035 531.255 ;
+        RECT 52.205 530.905 631.270 531.425 ;
+        RECT 54.000 530.735 631.270 530.905 ;
+        RECT 42.555 529.965 43.765 530.715 ;
+        RECT 43.935 529.965 49.280 530.735 ;
+        RECT 49.455 529.965 631.270 530.735 ;
+        RECT 42.470 529.795 631.270 529.965 ;
+        RECT 42.555 529.045 43.765 529.795 ;
+        RECT 42.555 528.505 43.075 529.045 ;
+        RECT 43.935 529.025 49.280 529.795 ;
+        RECT 49.455 529.025 631.270 529.795 ;
+        RECT 43.245 528.335 43.765 528.875 ;
+        RECT 43.935 528.505 46.515 529.025 ;
+        RECT 46.685 528.335 49.280 528.855 ;
+        RECT 49.455 528.505 52.035 529.025 ;
+        RECT 54.000 528.855 631.270 529.025 ;
+        RECT 52.205 528.335 631.270 528.855 ;
+        RECT 42.555 527.245 43.765 528.335 ;
+        RECT 43.935 527.245 49.280 528.335 ;
+        RECT 49.455 527.245 631.270 528.335 ;
+        RECT 42.470 527.075 631.270 527.245 ;
+        RECT 42.555 525.985 43.765 527.075 ;
+        RECT 43.935 525.985 49.280 527.075 ;
+        RECT 49.455 525.985 631.270 527.075 ;
+        RECT 42.555 525.275 43.075 525.815 ;
+        RECT 43.245 525.445 43.765 525.985 ;
+        RECT 43.935 525.295 46.515 525.815 ;
+        RECT 46.685 525.465 49.280 525.985 ;
+        RECT 49.455 525.295 52.035 525.815 ;
+        RECT 52.205 525.465 631.270 525.985 ;
+        RECT 54.000 525.295 631.270 525.465 ;
+        RECT 42.555 524.525 43.765 525.275 ;
+        RECT 43.935 524.525 49.280 525.295 ;
+        RECT 49.455 524.525 631.270 525.295 ;
+        RECT 42.470 524.355 631.270 524.525 ;
+        RECT 42.555 523.605 43.765 524.355 ;
+        RECT 42.555 523.065 43.075 523.605 ;
+        RECT 43.935 523.585 49.280 524.355 ;
+        RECT 49.455 523.585 631.270 524.355 ;
+        RECT 43.245 522.895 43.765 523.435 ;
+        RECT 43.935 523.065 46.515 523.585 ;
+        RECT 46.685 522.895 49.280 523.415 ;
+        RECT 49.455 523.065 52.035 523.585 ;
+        RECT 54.000 523.415 631.270 523.585 ;
+        RECT 52.205 522.895 631.270 523.415 ;
+        RECT 42.555 521.805 43.765 522.895 ;
+        RECT 43.935 521.805 49.280 522.895 ;
+        RECT 49.455 521.805 631.270 522.895 ;
+        RECT 42.470 521.635 631.270 521.805 ;
+        RECT 42.555 520.545 43.765 521.635 ;
+        RECT 43.935 520.545 49.280 521.635 ;
+        RECT 49.455 520.545 631.270 521.635 ;
+        RECT 42.555 519.835 43.075 520.375 ;
+        RECT 43.245 520.005 43.765 520.545 ;
+        RECT 43.935 519.855 46.515 520.375 ;
+        RECT 46.685 520.025 49.280 520.545 ;
+        RECT 49.455 519.855 52.035 520.375 ;
+        RECT 52.205 520.025 631.270 520.545 ;
+        RECT 54.000 519.855 631.270 520.025 ;
+        RECT 42.555 519.085 43.765 519.835 ;
+        RECT 43.935 519.085 49.280 519.855 ;
+        RECT 49.455 519.085 631.270 519.855 ;
+        RECT 42.470 518.915 631.270 519.085 ;
+        RECT 42.555 518.165 43.765 518.915 ;
+        RECT 42.555 517.625 43.075 518.165 ;
+        RECT 43.935 518.145 49.280 518.915 ;
+        RECT 49.455 518.145 631.270 518.915 ;
+        RECT 43.245 517.455 43.765 517.995 ;
+        RECT 43.935 517.625 46.515 518.145 ;
+        RECT 46.685 517.455 49.280 517.975 ;
+        RECT 49.455 517.625 52.035 518.145 ;
+        RECT 54.000 517.975 631.270 518.145 ;
+        RECT 52.205 517.455 631.270 517.975 ;
+        RECT 42.555 516.365 43.765 517.455 ;
+        RECT 43.935 516.365 49.280 517.455 ;
+        RECT 49.455 516.365 631.270 517.455 ;
+        RECT 42.470 516.195 631.270 516.365 ;
+        RECT 42.555 515.105 43.765 516.195 ;
+        RECT 43.935 515.105 49.280 516.195 ;
+        RECT 49.455 515.105 631.270 516.195 ;
+        RECT 42.555 514.395 43.075 514.935 ;
+        RECT 43.245 514.565 43.765 515.105 ;
+        RECT 43.935 514.415 46.515 514.935 ;
+        RECT 46.685 514.585 49.280 515.105 ;
+        RECT 49.455 514.415 52.035 514.935 ;
+        RECT 52.205 514.585 631.270 515.105 ;
+        RECT 54.000 514.415 631.270 514.585 ;
+        RECT 42.555 513.645 43.765 514.395 ;
+        RECT 43.935 513.645 49.280 514.415 ;
+        RECT 49.455 513.645 631.270 514.415 ;
+        RECT 42.470 513.475 631.270 513.645 ;
+        RECT 42.555 512.725 43.765 513.475 ;
+        RECT 42.555 512.185 43.075 512.725 ;
+        RECT 43.935 512.705 49.280 513.475 ;
+        RECT 49.455 512.705 631.270 513.475 ;
+        RECT 43.245 512.015 43.765 512.555 ;
+        RECT 43.935 512.185 46.515 512.705 ;
+        RECT 46.685 512.015 49.280 512.535 ;
+        RECT 49.455 512.185 52.035 512.705 ;
+        RECT 54.000 512.535 631.270 512.705 ;
+        RECT 52.205 512.015 631.270 512.535 ;
+        RECT 42.555 510.925 43.765 512.015 ;
+        RECT 43.935 510.925 49.280 512.015 ;
+        RECT 49.455 510.925 631.270 512.015 ;
+        RECT 42.470 510.755 631.270 510.925 ;
+        RECT 42.555 509.665 43.765 510.755 ;
+        RECT 43.935 509.665 49.280 510.755 ;
+        RECT 49.455 509.665 631.270 510.755 ;
+        RECT 42.555 508.955 43.075 509.495 ;
+        RECT 43.245 509.125 43.765 509.665 ;
+        RECT 43.935 508.975 46.515 509.495 ;
+        RECT 46.685 509.145 49.280 509.665 ;
+        RECT 49.455 508.975 52.035 509.495 ;
+        RECT 52.205 509.145 631.270 509.665 ;
+        RECT 54.000 508.975 631.270 509.145 ;
+        RECT 42.555 508.205 43.765 508.955 ;
+        RECT 43.935 508.205 49.280 508.975 ;
+        RECT 49.455 508.205 631.270 508.975 ;
+        RECT 42.470 508.035 631.270 508.205 ;
+        RECT 42.555 507.285 43.765 508.035 ;
+        RECT 42.555 506.745 43.075 507.285 ;
+        RECT 43.935 507.265 49.280 508.035 ;
+        RECT 49.455 507.265 631.270 508.035 ;
+        RECT 43.245 506.575 43.765 507.115 ;
+        RECT 43.935 506.745 46.515 507.265 ;
+        RECT 46.685 506.575 49.280 507.095 ;
+        RECT 49.455 506.745 52.035 507.265 ;
+        RECT 54.000 507.095 631.270 507.265 ;
+        RECT 52.205 506.575 631.270 507.095 ;
+        RECT 42.555 505.485 43.765 506.575 ;
+        RECT 43.935 505.485 49.280 506.575 ;
+        RECT 49.455 505.485 631.270 506.575 ;
+        RECT 42.470 505.315 631.270 505.485 ;
+        RECT 42.555 504.225 43.765 505.315 ;
+        RECT 43.935 504.225 49.280 505.315 ;
+        RECT 49.455 504.225 631.270 505.315 ;
+        RECT 42.555 503.515 43.075 504.055 ;
+        RECT 43.245 503.685 43.765 504.225 ;
+        RECT 43.935 503.535 46.515 504.055 ;
+        RECT 46.685 503.705 49.280 504.225 ;
+        RECT 49.455 503.535 52.035 504.055 ;
+        RECT 52.205 503.705 631.270 504.225 ;
+        RECT 54.000 503.535 631.270 503.705 ;
+        RECT 42.555 502.765 43.765 503.515 ;
+        RECT 43.935 502.765 49.280 503.535 ;
+        RECT 49.455 502.765 631.270 503.535 ;
+        RECT 42.470 502.595 631.270 502.765 ;
+        RECT 42.555 501.845 43.765 502.595 ;
+        RECT 42.555 501.305 43.075 501.845 ;
+        RECT 43.935 501.825 49.280 502.595 ;
+        RECT 49.455 501.825 631.270 502.595 ;
+        RECT 43.245 501.135 43.765 501.675 ;
+        RECT 43.935 501.305 46.515 501.825 ;
+        RECT 46.685 501.135 49.280 501.655 ;
+        RECT 49.455 501.305 52.035 501.825 ;
+        RECT 54.000 501.655 631.270 501.825 ;
+        RECT 52.205 501.135 631.270 501.655 ;
+        RECT 42.555 500.045 43.765 501.135 ;
+        RECT 43.935 500.045 49.280 501.135 ;
+        RECT 49.455 500.045 631.270 501.135 ;
+        RECT 42.470 499.875 631.270 500.045 ;
+        RECT 42.555 498.785 43.765 499.875 ;
+        RECT 43.935 498.785 49.280 499.875 ;
+        RECT 49.455 498.785 631.270 499.875 ;
+        RECT 42.555 498.075 43.075 498.615 ;
+        RECT 43.245 498.245 43.765 498.785 ;
+        RECT 43.935 498.095 46.515 498.615 ;
+        RECT 46.685 498.265 49.280 498.785 ;
+        RECT 49.455 498.095 52.035 498.615 ;
+        RECT 52.205 498.265 631.270 498.785 ;
+        RECT 54.000 498.095 631.270 498.265 ;
+        RECT 42.555 497.325 43.765 498.075 ;
+        RECT 43.935 497.325 49.280 498.095 ;
+        RECT 49.455 497.325 631.270 498.095 ;
+        RECT 42.470 497.155 631.270 497.325 ;
+        RECT 42.555 496.405 43.765 497.155 ;
+        RECT 42.555 495.865 43.075 496.405 ;
+        RECT 43.935 496.385 49.280 497.155 ;
+        RECT 49.455 496.385 631.270 497.155 ;
+        RECT 43.245 495.695 43.765 496.235 ;
+        RECT 43.935 495.865 46.515 496.385 ;
+        RECT 46.685 495.695 49.280 496.215 ;
+        RECT 49.455 495.865 52.035 496.385 ;
+        RECT 54.000 496.215 631.270 496.385 ;
+        RECT 52.205 495.695 631.270 496.215 ;
+        RECT 42.555 494.605 43.765 495.695 ;
+        RECT 43.935 494.605 49.280 495.695 ;
+        RECT 49.455 494.605 631.270 495.695 ;
+        RECT 42.470 494.435 631.270 494.605 ;
+        RECT 42.555 493.345 43.765 494.435 ;
+        RECT 43.935 493.345 49.280 494.435 ;
+        RECT 49.455 493.345 631.270 494.435 ;
+        RECT 42.555 492.635 43.075 493.175 ;
+        RECT 43.245 492.805 43.765 493.345 ;
+        RECT 43.935 492.655 46.515 493.175 ;
+        RECT 46.685 492.825 49.280 493.345 ;
+        RECT 49.455 492.655 52.035 493.175 ;
+        RECT 52.205 492.825 631.270 493.345 ;
+        RECT 54.000 492.655 631.270 492.825 ;
+        RECT 42.555 491.885 43.765 492.635 ;
+        RECT 43.935 491.885 49.280 492.655 ;
+        RECT 49.455 491.885 631.270 492.655 ;
+        RECT 42.470 491.715 631.270 491.885 ;
+        RECT 42.555 490.965 43.765 491.715 ;
+        RECT 42.555 490.425 43.075 490.965 ;
+        RECT 43.935 490.945 49.280 491.715 ;
+        RECT 49.455 490.945 631.270 491.715 ;
+        RECT 43.245 490.255 43.765 490.795 ;
+        RECT 43.935 490.425 46.515 490.945 ;
+        RECT 46.685 490.255 49.280 490.775 ;
+        RECT 49.455 490.425 52.035 490.945 ;
+        RECT 54.000 490.775 631.270 490.945 ;
+        RECT 52.205 490.255 631.270 490.775 ;
+        RECT 42.555 489.165 43.765 490.255 ;
+        RECT 43.935 489.165 49.280 490.255 ;
+        RECT 49.455 489.165 631.270 490.255 ;
+        RECT 42.470 488.995 631.270 489.165 ;
+        RECT 42.555 487.905 43.765 488.995 ;
+        RECT 43.935 487.905 49.280 488.995 ;
+        RECT 49.455 487.905 631.270 488.995 ;
+        RECT 42.555 487.195 43.075 487.735 ;
+        RECT 43.245 487.365 43.765 487.905 ;
+        RECT 43.935 487.215 46.515 487.735 ;
+        RECT 46.685 487.385 49.280 487.905 ;
+        RECT 49.455 487.215 52.035 487.735 ;
+        RECT 52.205 487.385 631.270 487.905 ;
+        RECT 54.000 487.215 631.270 487.385 ;
+        RECT 42.555 486.445 43.765 487.195 ;
+        RECT 43.935 486.445 49.280 487.215 ;
+        RECT 49.455 486.445 631.270 487.215 ;
+        RECT 42.470 486.275 631.270 486.445 ;
+        RECT 42.555 485.525 43.765 486.275 ;
+        RECT 42.555 484.985 43.075 485.525 ;
+        RECT 43.935 485.505 49.280 486.275 ;
+        RECT 49.455 485.505 631.270 486.275 ;
+        RECT 43.245 484.815 43.765 485.355 ;
+        RECT 43.935 484.985 46.515 485.505 ;
+        RECT 46.685 484.815 49.280 485.335 ;
+        RECT 49.455 484.985 52.035 485.505 ;
+        RECT 54.000 485.335 631.270 485.505 ;
+        RECT 52.205 484.815 631.270 485.335 ;
+        RECT 42.555 483.725 43.765 484.815 ;
+        RECT 43.935 483.725 49.280 484.815 ;
+        RECT 49.455 483.725 631.270 484.815 ;
+        RECT 42.470 483.555 631.270 483.725 ;
+        RECT 42.555 482.465 43.765 483.555 ;
+        RECT 43.935 482.465 49.280 483.555 ;
+        RECT 49.455 482.465 631.270 483.555 ;
+        RECT 42.555 481.755 43.075 482.295 ;
+        RECT 43.245 481.925 43.765 482.465 ;
+        RECT 43.935 481.775 46.515 482.295 ;
+        RECT 46.685 481.945 49.280 482.465 ;
+        RECT 49.455 481.775 52.035 482.295 ;
+        RECT 52.205 481.945 631.270 482.465 ;
+        RECT 54.000 481.775 631.270 481.945 ;
+        RECT 42.555 481.005 43.765 481.755 ;
+        RECT 43.935 481.005 49.280 481.775 ;
+        RECT 49.455 481.005 631.270 481.775 ;
+        RECT 42.470 480.835 631.270 481.005 ;
+        RECT 42.555 480.085 43.765 480.835 ;
+        RECT 42.555 479.545 43.075 480.085 ;
+        RECT 43.935 480.065 49.280 480.835 ;
+        RECT 49.455 480.065 631.270 480.835 ;
+        RECT 43.245 479.375 43.765 479.915 ;
+        RECT 43.935 479.545 46.515 480.065 ;
+        RECT 46.685 479.375 49.280 479.895 ;
+        RECT 49.455 479.545 52.035 480.065 ;
+        RECT 54.000 479.895 631.270 480.065 ;
+        RECT 52.205 479.375 631.270 479.895 ;
+        RECT 42.555 478.285 43.765 479.375 ;
+        RECT 43.935 478.285 49.280 479.375 ;
+        RECT 49.455 478.285 631.270 479.375 ;
+        RECT 42.470 478.115 631.270 478.285 ;
+        RECT 42.555 477.025 43.765 478.115 ;
+        RECT 43.935 477.025 49.280 478.115 ;
+        RECT 49.455 477.025 631.270 478.115 ;
+        RECT 42.555 476.315 43.075 476.855 ;
+        RECT 43.245 476.485 43.765 477.025 ;
+        RECT 43.935 476.335 46.515 476.855 ;
+        RECT 46.685 476.505 49.280 477.025 ;
+        RECT 49.455 476.335 52.035 476.855 ;
+        RECT 52.205 476.505 631.270 477.025 ;
+        RECT 54.000 476.335 631.270 476.505 ;
+        RECT 42.555 475.565 43.765 476.315 ;
+        RECT 43.935 475.565 49.280 476.335 ;
+        RECT 49.455 475.565 631.270 476.335 ;
+        RECT 42.470 475.395 631.270 475.565 ;
+        RECT 42.555 474.645 43.765 475.395 ;
+        RECT 42.555 474.105 43.075 474.645 ;
+        RECT 43.935 474.625 49.280 475.395 ;
+        RECT 49.455 474.625 631.270 475.395 ;
+        RECT 43.245 473.935 43.765 474.475 ;
+        RECT 43.935 474.105 46.515 474.625 ;
+        RECT 46.685 473.935 49.280 474.455 ;
+        RECT 49.455 474.105 52.035 474.625 ;
+        RECT 54.000 474.455 631.270 474.625 ;
+        RECT 52.205 473.935 631.270 474.455 ;
+        RECT 42.555 472.845 43.765 473.935 ;
+        RECT 43.935 472.845 49.280 473.935 ;
+        RECT 49.455 472.845 631.270 473.935 ;
+        RECT 42.470 472.675 631.270 472.845 ;
+        RECT 42.555 471.585 43.765 472.675 ;
+        RECT 43.935 471.585 49.280 472.675 ;
+        RECT 49.455 471.585 631.270 472.675 ;
+        RECT 42.555 470.875 43.075 471.415 ;
+        RECT 43.245 471.045 43.765 471.585 ;
+        RECT 43.935 470.895 46.515 471.415 ;
+        RECT 46.685 471.065 49.280 471.585 ;
+        RECT 49.455 470.895 52.035 471.415 ;
+        RECT 52.205 471.065 631.270 471.585 ;
+        RECT 54.000 470.895 631.270 471.065 ;
+        RECT 42.555 470.125 43.765 470.875 ;
+        RECT 43.935 470.125 49.280 470.895 ;
+        RECT 49.455 470.125 631.270 470.895 ;
+        RECT 42.470 469.955 631.270 470.125 ;
+        RECT 42.555 469.205 43.765 469.955 ;
+        RECT 42.555 468.665 43.075 469.205 ;
+        RECT 43.935 469.185 49.280 469.955 ;
+        RECT 49.455 469.185 631.270 469.955 ;
+        RECT 43.245 468.495 43.765 469.035 ;
+        RECT 43.935 468.665 46.515 469.185 ;
+        RECT 46.685 468.495 49.280 469.015 ;
+        RECT 49.455 468.665 52.035 469.185 ;
+        RECT 54.000 469.015 631.270 469.185 ;
+        RECT 52.205 468.495 631.270 469.015 ;
+        RECT 42.555 467.405 43.765 468.495 ;
+        RECT 43.935 467.405 49.280 468.495 ;
+        RECT 49.455 467.405 631.270 468.495 ;
+        RECT 42.470 467.235 631.270 467.405 ;
+        RECT 42.555 466.145 43.765 467.235 ;
+        RECT 43.935 466.145 49.280 467.235 ;
+        RECT 49.455 466.145 631.270 467.235 ;
+        RECT 42.555 465.435 43.075 465.975 ;
+        RECT 43.245 465.605 43.765 466.145 ;
+        RECT 43.935 465.455 46.515 465.975 ;
+        RECT 46.685 465.625 49.280 466.145 ;
+        RECT 49.455 465.455 52.035 465.975 ;
+        RECT 52.205 465.625 631.270 466.145 ;
+        RECT 54.000 465.455 631.270 465.625 ;
+        RECT 42.555 464.685 43.765 465.435 ;
+        RECT 43.935 464.685 49.280 465.455 ;
+        RECT 49.455 464.685 631.270 465.455 ;
+        RECT 42.470 464.515 631.270 464.685 ;
+        RECT 42.555 463.765 43.765 464.515 ;
+        RECT 42.555 463.225 43.075 463.765 ;
+        RECT 43.935 463.745 49.280 464.515 ;
+        RECT 49.455 463.745 631.270 464.515 ;
+        RECT 43.245 463.055 43.765 463.595 ;
+        RECT 43.935 463.225 46.515 463.745 ;
+        RECT 46.685 463.055 49.280 463.575 ;
+        RECT 49.455 463.225 52.035 463.745 ;
+        RECT 54.000 463.575 631.270 463.745 ;
+        RECT 52.205 463.055 631.270 463.575 ;
+        RECT 42.555 461.965 43.765 463.055 ;
+        RECT 43.935 461.965 49.280 463.055 ;
+        RECT 49.455 461.965 631.270 463.055 ;
+        RECT 42.470 461.795 631.270 461.965 ;
+        RECT 42.555 460.705 43.765 461.795 ;
+        RECT 43.935 460.705 49.280 461.795 ;
+        RECT 49.455 460.705 631.270 461.795 ;
+        RECT 42.555 459.995 43.075 460.535 ;
+        RECT 43.245 460.165 43.765 460.705 ;
+        RECT 43.935 460.015 46.515 460.535 ;
+        RECT 46.685 460.185 49.280 460.705 ;
+        RECT 49.455 460.015 52.035 460.535 ;
+        RECT 52.205 460.185 631.270 460.705 ;
+        RECT 54.000 460.015 631.270 460.185 ;
+        RECT 42.555 459.245 43.765 459.995 ;
+        RECT 43.935 459.245 49.280 460.015 ;
+        RECT 49.455 459.245 631.270 460.015 ;
+        RECT 42.470 459.075 631.270 459.245 ;
+        RECT 42.555 458.325 43.765 459.075 ;
+        RECT 42.555 457.785 43.075 458.325 ;
+        RECT 43.935 458.305 49.280 459.075 ;
+        RECT 49.455 458.305 631.270 459.075 ;
+        RECT 43.245 457.615 43.765 458.155 ;
+        RECT 43.935 457.785 46.515 458.305 ;
+        RECT 46.685 457.615 49.280 458.135 ;
+        RECT 49.455 457.785 52.035 458.305 ;
+        RECT 54.000 458.135 631.270 458.305 ;
+        RECT 52.205 457.615 631.270 458.135 ;
+        RECT 42.555 456.525 43.765 457.615 ;
+        RECT 43.935 456.525 49.280 457.615 ;
+        RECT 49.455 456.525 631.270 457.615 ;
+        RECT 42.470 456.355 631.270 456.525 ;
+        RECT 42.555 455.265 43.765 456.355 ;
+        RECT 43.935 455.265 49.280 456.355 ;
+        RECT 49.455 455.265 631.270 456.355 ;
+        RECT 42.555 454.555 43.075 455.095 ;
+        RECT 43.245 454.725 43.765 455.265 ;
+        RECT 43.935 454.575 46.515 455.095 ;
+        RECT 46.685 454.745 49.280 455.265 ;
+        RECT 49.455 454.575 52.035 455.095 ;
+        RECT 52.205 454.745 631.270 455.265 ;
+        RECT 54.000 454.575 631.270 454.745 ;
+        RECT 42.555 453.805 43.765 454.555 ;
+        RECT 43.935 453.805 49.280 454.575 ;
+        RECT 49.455 453.805 631.270 454.575 ;
+        RECT 42.470 453.635 631.270 453.805 ;
+        RECT 42.555 452.885 43.765 453.635 ;
+        RECT 42.555 452.345 43.075 452.885 ;
+        RECT 43.935 452.865 49.280 453.635 ;
+        RECT 49.455 452.865 631.270 453.635 ;
+        RECT 43.245 452.175 43.765 452.715 ;
+        RECT 43.935 452.345 46.515 452.865 ;
+        RECT 46.685 452.175 49.280 452.695 ;
+        RECT 49.455 452.345 52.035 452.865 ;
+        RECT 54.000 452.695 631.270 452.865 ;
+        RECT 52.205 452.175 631.270 452.695 ;
+        RECT 42.555 451.085 43.765 452.175 ;
+        RECT 43.935 451.085 49.280 452.175 ;
+        RECT 49.455 451.085 631.270 452.175 ;
+        RECT 42.470 450.915 631.270 451.085 ;
+        RECT 42.555 449.825 43.765 450.915 ;
+        RECT 43.935 449.825 49.280 450.915 ;
+        RECT 49.455 449.825 631.270 450.915 ;
+        RECT 42.555 449.115 43.075 449.655 ;
+        RECT 43.245 449.285 43.765 449.825 ;
+        RECT 43.935 449.135 46.515 449.655 ;
+        RECT 46.685 449.305 49.280 449.825 ;
+        RECT 49.455 449.135 52.035 449.655 ;
+        RECT 52.205 449.305 631.270 449.825 ;
+        RECT 54.000 449.135 631.270 449.305 ;
+        RECT 42.555 448.365 43.765 449.115 ;
+        RECT 43.935 448.365 49.280 449.135 ;
+        RECT 49.455 448.365 631.270 449.135 ;
+        RECT 42.470 448.195 631.270 448.365 ;
+        RECT 42.555 447.445 43.765 448.195 ;
+        RECT 42.555 446.905 43.075 447.445 ;
+        RECT 43.935 447.425 49.280 448.195 ;
+        RECT 49.455 447.425 631.270 448.195 ;
+        RECT 43.245 446.735 43.765 447.275 ;
+        RECT 43.935 446.905 46.515 447.425 ;
+        RECT 46.685 446.735 49.280 447.255 ;
+        RECT 49.455 446.905 52.035 447.425 ;
+        RECT 54.000 447.255 631.270 447.425 ;
+        RECT 52.205 446.735 631.270 447.255 ;
+        RECT 42.555 445.645 43.765 446.735 ;
+        RECT 43.935 445.645 49.280 446.735 ;
+        RECT 49.455 445.645 631.270 446.735 ;
+        RECT 42.470 445.475 631.270 445.645 ;
+        RECT 42.555 444.385 43.765 445.475 ;
+        RECT 43.935 444.385 49.280 445.475 ;
+        RECT 49.455 444.385 631.270 445.475 ;
+        RECT 42.555 443.675 43.075 444.215 ;
+        RECT 43.245 443.845 43.765 444.385 ;
+        RECT 43.935 443.695 46.515 444.215 ;
+        RECT 46.685 443.865 49.280 444.385 ;
+        RECT 49.455 443.695 52.035 444.215 ;
+        RECT 52.205 443.865 631.270 444.385 ;
+        RECT 54.000 443.695 631.270 443.865 ;
+        RECT 42.555 442.925 43.765 443.675 ;
+        RECT 43.935 442.925 49.280 443.695 ;
+        RECT 49.455 442.925 631.270 443.695 ;
+        RECT 42.470 442.755 631.270 442.925 ;
+        RECT 42.555 442.005 43.765 442.755 ;
+        RECT 42.555 441.465 43.075 442.005 ;
+        RECT 43.935 441.985 49.280 442.755 ;
+        RECT 49.455 441.985 631.270 442.755 ;
+        RECT 43.245 441.295 43.765 441.835 ;
+        RECT 43.935 441.465 46.515 441.985 ;
+        RECT 46.685 441.295 49.280 441.815 ;
+        RECT 49.455 441.465 52.035 441.985 ;
+        RECT 54.000 441.815 631.270 441.985 ;
+        RECT 52.205 441.295 631.270 441.815 ;
+        RECT 42.555 440.205 43.765 441.295 ;
+        RECT 43.935 440.205 49.280 441.295 ;
+        RECT 49.455 440.205 631.270 441.295 ;
+        RECT 42.470 440.035 631.270 440.205 ;
+        RECT 42.555 438.945 43.765 440.035 ;
+        RECT 43.935 438.945 49.280 440.035 ;
+        RECT 49.455 438.945 631.270 440.035 ;
+        RECT 42.555 438.235 43.075 438.775 ;
+        RECT 43.245 438.405 43.765 438.945 ;
+        RECT 43.935 438.255 46.515 438.775 ;
+        RECT 46.685 438.425 49.280 438.945 ;
+        RECT 49.455 438.255 52.035 438.775 ;
+        RECT 52.205 438.425 631.270 438.945 ;
+        RECT 54.000 438.255 631.270 438.425 ;
+        RECT 42.555 437.485 43.765 438.235 ;
+        RECT 43.935 437.485 49.280 438.255 ;
+        RECT 49.455 437.485 631.270 438.255 ;
+        RECT 42.470 437.315 631.270 437.485 ;
+        RECT 42.555 436.565 43.765 437.315 ;
+        RECT 42.555 436.025 43.075 436.565 ;
+        RECT 43.935 436.545 49.280 437.315 ;
+        RECT 49.455 436.545 631.270 437.315 ;
+        RECT 43.245 435.855 43.765 436.395 ;
+        RECT 43.935 436.025 46.515 436.545 ;
+        RECT 46.685 435.855 49.280 436.375 ;
+        RECT 49.455 436.025 52.035 436.545 ;
+        RECT 54.000 436.375 631.270 436.545 ;
+        RECT 52.205 435.855 631.270 436.375 ;
+        RECT 42.555 434.765 43.765 435.855 ;
+        RECT 43.935 434.765 49.280 435.855 ;
+        RECT 49.455 434.765 631.270 435.855 ;
+        RECT 42.470 434.595 631.270 434.765 ;
+        RECT 42.555 433.505 43.765 434.595 ;
+        RECT 43.935 433.505 49.280 434.595 ;
+        RECT 49.455 433.505 631.270 434.595 ;
+        RECT 42.555 432.795 43.075 433.335 ;
+        RECT 43.245 432.965 43.765 433.505 ;
+        RECT 43.935 432.815 46.515 433.335 ;
+        RECT 46.685 432.985 49.280 433.505 ;
+        RECT 49.455 432.815 52.035 433.335 ;
+        RECT 52.205 432.985 631.270 433.505 ;
+        RECT 54.000 432.815 631.270 432.985 ;
+        RECT 42.555 432.045 43.765 432.795 ;
+        RECT 43.935 432.045 49.280 432.815 ;
+        RECT 49.455 432.045 631.270 432.815 ;
+        RECT 42.470 431.875 631.270 432.045 ;
+        RECT 42.555 431.125 43.765 431.875 ;
+        RECT 42.555 430.585 43.075 431.125 ;
+        RECT 43.935 431.105 49.280 431.875 ;
+        RECT 49.455 431.105 631.270 431.875 ;
+        RECT 43.245 430.415 43.765 430.955 ;
+        RECT 43.935 430.585 46.515 431.105 ;
+        RECT 46.685 430.415 49.280 430.935 ;
+        RECT 49.455 430.585 52.035 431.105 ;
+        RECT 54.000 430.935 631.270 431.105 ;
+        RECT 52.205 430.415 631.270 430.935 ;
+        RECT 42.555 429.325 43.765 430.415 ;
+        RECT 43.935 429.325 49.280 430.415 ;
+        RECT 49.455 429.325 631.270 430.415 ;
+        RECT 42.470 429.155 631.270 429.325 ;
+        RECT 42.555 428.065 43.765 429.155 ;
+        RECT 43.935 428.065 49.280 429.155 ;
+        RECT 49.455 428.065 631.270 429.155 ;
+        RECT 42.555 427.355 43.075 427.895 ;
+        RECT 43.245 427.525 43.765 428.065 ;
+        RECT 43.935 427.375 46.515 427.895 ;
+        RECT 46.685 427.545 49.280 428.065 ;
+        RECT 49.455 427.375 52.035 427.895 ;
+        RECT 52.205 427.545 631.270 428.065 ;
+        RECT 54.000 427.375 631.270 427.545 ;
+        RECT 42.555 426.605 43.765 427.355 ;
+        RECT 43.935 426.605 49.280 427.375 ;
+        RECT 49.455 426.605 631.270 427.375 ;
+        RECT 42.470 426.435 631.270 426.605 ;
+        RECT 42.555 425.685 43.765 426.435 ;
+        RECT 42.555 425.145 43.075 425.685 ;
+        RECT 43.935 425.665 49.280 426.435 ;
+        RECT 49.455 425.665 631.270 426.435 ;
+        RECT 43.245 424.975 43.765 425.515 ;
+        RECT 43.935 425.145 46.515 425.665 ;
+        RECT 46.685 424.975 49.280 425.495 ;
+        RECT 49.455 425.145 52.035 425.665 ;
+        RECT 54.000 425.495 631.270 425.665 ;
+        RECT 52.205 424.975 631.270 425.495 ;
+        RECT 42.555 423.885 43.765 424.975 ;
+        RECT 43.935 423.885 49.280 424.975 ;
+        RECT 49.455 423.885 631.270 424.975 ;
+        RECT 42.470 423.715 631.270 423.885 ;
+        RECT 42.555 422.625 43.765 423.715 ;
+        RECT 43.935 422.625 49.280 423.715 ;
+        RECT 49.455 422.625 631.270 423.715 ;
+        RECT 42.555 421.915 43.075 422.455 ;
+        RECT 43.245 422.085 43.765 422.625 ;
+        RECT 43.935 421.935 46.515 422.455 ;
+        RECT 46.685 422.105 49.280 422.625 ;
+        RECT 49.455 421.935 52.035 422.455 ;
+        RECT 52.205 422.105 631.270 422.625 ;
+        RECT 54.000 421.935 631.270 422.105 ;
+        RECT 42.555 421.165 43.765 421.915 ;
+        RECT 43.935 421.165 49.280 421.935 ;
+        RECT 49.455 421.165 631.270 421.935 ;
+        RECT 42.470 420.995 631.270 421.165 ;
+        RECT 42.555 420.245 43.765 420.995 ;
+        RECT 42.555 419.705 43.075 420.245 ;
+        RECT 43.935 420.225 49.280 420.995 ;
+        RECT 49.455 420.225 631.270 420.995 ;
+        RECT 43.245 419.535 43.765 420.075 ;
+        RECT 43.935 419.705 46.515 420.225 ;
+        RECT 46.685 419.535 49.280 420.055 ;
+        RECT 49.455 419.705 52.035 420.225 ;
+        RECT 54.000 420.055 631.270 420.225 ;
+        RECT 52.205 419.535 631.270 420.055 ;
+        RECT 42.555 418.445 43.765 419.535 ;
+        RECT 43.935 418.445 49.280 419.535 ;
+        RECT 49.455 418.445 631.270 419.535 ;
+        RECT 42.470 418.275 631.270 418.445 ;
+        RECT 42.555 417.185 43.765 418.275 ;
+        RECT 43.935 417.185 49.280 418.275 ;
+        RECT 49.455 417.185 631.270 418.275 ;
+        RECT 42.555 416.475 43.075 417.015 ;
+        RECT 43.245 416.645 43.765 417.185 ;
+        RECT 43.935 416.495 46.515 417.015 ;
+        RECT 46.685 416.665 49.280 417.185 ;
+        RECT 49.455 416.495 52.035 417.015 ;
+        RECT 52.205 416.665 631.270 417.185 ;
+        RECT 54.000 416.495 631.270 416.665 ;
+        RECT 42.555 415.725 43.765 416.475 ;
+        RECT 43.935 415.725 49.280 416.495 ;
+        RECT 49.455 415.725 631.270 416.495 ;
+        RECT 42.470 415.555 631.270 415.725 ;
+        RECT 42.555 414.805 43.765 415.555 ;
+        RECT 42.555 414.265 43.075 414.805 ;
+        RECT 43.935 414.785 49.280 415.555 ;
+        RECT 49.455 414.785 631.270 415.555 ;
+        RECT 43.245 414.095 43.765 414.635 ;
+        RECT 43.935 414.265 46.515 414.785 ;
+        RECT 46.685 414.095 49.280 414.615 ;
+        RECT 49.455 414.265 52.035 414.785 ;
+        RECT 54.000 414.615 631.270 414.785 ;
+        RECT 52.205 414.095 631.270 414.615 ;
+        RECT 42.555 413.005 43.765 414.095 ;
+        RECT 43.935 413.005 49.280 414.095 ;
+        RECT 49.455 413.005 631.270 414.095 ;
+        RECT 42.470 412.835 631.270 413.005 ;
+        RECT 42.555 411.745 43.765 412.835 ;
+        RECT 43.935 411.745 49.280 412.835 ;
+        RECT 49.455 411.745 631.270 412.835 ;
+        RECT 42.555 411.035 43.075 411.575 ;
+        RECT 43.245 411.205 43.765 411.745 ;
+        RECT 43.935 411.055 46.515 411.575 ;
+        RECT 46.685 411.225 49.280 411.745 ;
+        RECT 49.455 411.055 52.035 411.575 ;
+        RECT 52.205 411.225 631.270 411.745 ;
+        RECT 54.000 411.055 631.270 411.225 ;
+        RECT 42.555 410.285 43.765 411.035 ;
+        RECT 43.935 410.285 49.280 411.055 ;
+        RECT 49.455 410.285 631.270 411.055 ;
+        RECT 42.470 410.115 631.270 410.285 ;
+        RECT 42.555 409.365 43.765 410.115 ;
+        RECT 42.555 408.825 43.075 409.365 ;
+        RECT 43.935 409.345 49.280 410.115 ;
+        RECT 49.455 409.345 631.270 410.115 ;
+        RECT 43.245 408.655 43.765 409.195 ;
+        RECT 43.935 408.825 46.515 409.345 ;
+        RECT 46.685 408.655 49.280 409.175 ;
+        RECT 49.455 408.825 52.035 409.345 ;
+        RECT 54.000 409.175 631.270 409.345 ;
+        RECT 52.205 408.655 631.270 409.175 ;
+        RECT 42.555 407.565 43.765 408.655 ;
+        RECT 43.935 407.565 49.280 408.655 ;
+        RECT 49.455 407.565 631.270 408.655 ;
+        RECT 42.470 407.395 631.270 407.565 ;
+        RECT 42.555 406.305 43.765 407.395 ;
+        RECT 43.935 406.305 49.280 407.395 ;
+        RECT 49.455 406.305 631.270 407.395 ;
+        RECT 42.555 405.595 43.075 406.135 ;
+        RECT 43.245 405.765 43.765 406.305 ;
+        RECT 43.935 405.615 46.515 406.135 ;
+        RECT 46.685 405.785 49.280 406.305 ;
+        RECT 49.455 405.615 52.035 406.135 ;
+        RECT 52.205 405.785 631.270 406.305 ;
+        RECT 54.000 405.615 631.270 405.785 ;
+        RECT 42.555 404.845 43.765 405.595 ;
+        RECT 43.935 404.845 49.280 405.615 ;
+        RECT 49.455 404.845 631.270 405.615 ;
+        RECT 42.470 404.675 631.270 404.845 ;
+        RECT 42.555 403.925 43.765 404.675 ;
+        RECT 42.555 403.385 43.075 403.925 ;
+        RECT 43.935 403.905 49.280 404.675 ;
+        RECT 49.455 403.905 631.270 404.675 ;
+        RECT 43.245 403.215 43.765 403.755 ;
+        RECT 43.935 403.385 46.515 403.905 ;
+        RECT 46.685 403.215 49.280 403.735 ;
+        RECT 49.455 403.385 52.035 403.905 ;
+        RECT 54.000 403.735 631.270 403.905 ;
+        RECT 52.205 403.215 631.270 403.735 ;
+        RECT 42.555 402.125 43.765 403.215 ;
+        RECT 43.935 402.125 49.280 403.215 ;
+        RECT 49.455 402.125 631.270 403.215 ;
+        RECT 42.470 401.955 631.270 402.125 ;
+        RECT 42.555 400.865 43.765 401.955 ;
+        RECT 43.935 400.865 49.280 401.955 ;
+        RECT 49.455 400.865 631.270 401.955 ;
+        RECT 42.555 400.155 43.075 400.695 ;
+        RECT 43.245 400.325 43.765 400.865 ;
+        RECT 43.935 400.175 46.515 400.695 ;
+        RECT 46.685 400.345 49.280 400.865 ;
+        RECT 49.455 400.175 52.035 400.695 ;
+        RECT 52.205 400.345 631.270 400.865 ;
+        RECT 54.000 400.175 631.270 400.345 ;
+        RECT 42.555 399.405 43.765 400.155 ;
+        RECT 43.935 399.405 49.280 400.175 ;
+        RECT 49.455 399.405 631.270 400.175 ;
+        RECT 42.470 399.235 631.270 399.405 ;
+        RECT 42.555 398.485 43.765 399.235 ;
+        RECT 42.555 397.945 43.075 398.485 ;
+        RECT 43.935 398.465 49.280 399.235 ;
+        RECT 49.455 398.465 631.270 399.235 ;
+        RECT 43.245 397.775 43.765 398.315 ;
+        RECT 43.935 397.945 46.515 398.465 ;
+        RECT 46.685 397.775 49.280 398.295 ;
+        RECT 49.455 397.945 52.035 398.465 ;
+        RECT 54.000 398.295 631.270 398.465 ;
+        RECT 52.205 397.775 631.270 398.295 ;
+        RECT 42.555 396.685 43.765 397.775 ;
+        RECT 43.935 396.685 49.280 397.775 ;
+        RECT 49.455 396.685 631.270 397.775 ;
+        RECT 42.470 396.515 631.270 396.685 ;
+        RECT 42.555 395.425 43.765 396.515 ;
+        RECT 43.935 395.425 49.280 396.515 ;
+        RECT 49.455 395.425 631.270 396.515 ;
+        RECT 42.555 394.715 43.075 395.255 ;
+        RECT 43.245 394.885 43.765 395.425 ;
+        RECT 43.935 394.735 46.515 395.255 ;
+        RECT 46.685 394.905 49.280 395.425 ;
+        RECT 49.455 394.735 52.035 395.255 ;
+        RECT 52.205 394.905 631.270 395.425 ;
+        RECT 54.000 394.735 631.270 394.905 ;
+        RECT 42.555 393.965 43.765 394.715 ;
+        RECT 43.935 393.965 49.280 394.735 ;
+        RECT 49.455 393.965 631.270 394.735 ;
+        RECT 42.470 393.795 631.270 393.965 ;
+        RECT 42.555 393.045 43.765 393.795 ;
+        RECT 42.555 392.505 43.075 393.045 ;
+        RECT 43.935 393.025 49.280 393.795 ;
+        RECT 49.455 393.025 631.270 393.795 ;
+        RECT 43.245 392.335 43.765 392.875 ;
+        RECT 43.935 392.505 46.515 393.025 ;
+        RECT 46.685 392.335 49.280 392.855 ;
+        RECT 49.455 392.505 52.035 393.025 ;
+        RECT 54.000 392.855 631.270 393.025 ;
+        RECT 52.205 392.335 631.270 392.855 ;
+        RECT 42.555 391.245 43.765 392.335 ;
+        RECT 43.935 391.245 49.280 392.335 ;
+        RECT 49.455 391.245 631.270 392.335 ;
+        RECT 42.470 391.075 631.270 391.245 ;
+        RECT 42.555 389.985 43.765 391.075 ;
+        RECT 43.935 389.985 49.280 391.075 ;
+        RECT 49.455 389.985 631.270 391.075 ;
+        RECT 42.555 389.275 43.075 389.815 ;
+        RECT 43.245 389.445 43.765 389.985 ;
+        RECT 43.935 389.295 46.515 389.815 ;
+        RECT 46.685 389.465 49.280 389.985 ;
+        RECT 49.455 389.295 52.035 389.815 ;
+        RECT 52.205 389.465 631.270 389.985 ;
+        RECT 54.000 389.295 631.270 389.465 ;
+        RECT 42.555 388.525 43.765 389.275 ;
+        RECT 43.935 388.525 49.280 389.295 ;
+        RECT 49.455 388.525 631.270 389.295 ;
+        RECT 42.470 388.355 631.270 388.525 ;
+        RECT 42.555 387.605 43.765 388.355 ;
+        RECT 42.555 387.065 43.075 387.605 ;
+        RECT 43.935 387.585 49.280 388.355 ;
+        RECT 49.455 387.585 631.270 388.355 ;
+        RECT 43.245 386.895 43.765 387.435 ;
+        RECT 43.935 387.065 46.515 387.585 ;
+        RECT 46.685 386.895 49.280 387.415 ;
+        RECT 49.455 387.065 52.035 387.585 ;
+        RECT 54.000 387.415 631.270 387.585 ;
+        RECT 52.205 386.895 631.270 387.415 ;
+        RECT 42.555 385.805 43.765 386.895 ;
+        RECT 43.935 385.805 49.280 386.895 ;
+        RECT 49.455 385.805 631.270 386.895 ;
+        RECT 42.470 385.635 631.270 385.805 ;
+        RECT 42.555 384.545 43.765 385.635 ;
+        RECT 43.935 384.545 49.280 385.635 ;
+        RECT 49.455 384.545 631.270 385.635 ;
+        RECT 42.555 383.835 43.075 384.375 ;
+        RECT 43.245 384.005 43.765 384.545 ;
+        RECT 43.935 383.855 46.515 384.375 ;
+        RECT 46.685 384.025 49.280 384.545 ;
+        RECT 49.455 383.855 52.035 384.375 ;
+        RECT 52.205 384.025 631.270 384.545 ;
+        RECT 54.000 383.855 631.270 384.025 ;
+        RECT 42.555 383.085 43.765 383.835 ;
+        RECT 43.935 383.085 49.280 383.855 ;
+        RECT 49.455 383.085 631.270 383.855 ;
+        RECT 42.470 382.915 631.270 383.085 ;
+        RECT 42.555 382.165 43.765 382.915 ;
+        RECT 42.555 381.625 43.075 382.165 ;
+        RECT 43.935 382.145 49.280 382.915 ;
+        RECT 49.455 382.145 631.270 382.915 ;
+        RECT 43.245 381.455 43.765 381.995 ;
+        RECT 43.935 381.625 46.515 382.145 ;
+        RECT 46.685 381.455 49.280 381.975 ;
+        RECT 49.455 381.625 52.035 382.145 ;
+        RECT 54.000 381.975 631.270 382.145 ;
+        RECT 52.205 381.455 631.270 381.975 ;
+        RECT 42.555 380.365 43.765 381.455 ;
+        RECT 43.935 380.365 49.280 381.455 ;
+        RECT 49.455 380.365 631.270 381.455 ;
+        RECT 42.470 380.195 631.270 380.365 ;
+        RECT 42.555 379.105 43.765 380.195 ;
+        RECT 43.935 379.105 49.280 380.195 ;
+        RECT 49.455 379.105 631.270 380.195 ;
+        RECT 42.555 378.395 43.075 378.935 ;
+        RECT 43.245 378.565 43.765 379.105 ;
+        RECT 43.935 378.415 46.515 378.935 ;
+        RECT 46.685 378.585 49.280 379.105 ;
+        RECT 49.455 378.415 52.035 378.935 ;
+        RECT 52.205 378.585 631.270 379.105 ;
+        RECT 54.000 378.415 631.270 378.585 ;
+        RECT 42.555 377.645 43.765 378.395 ;
+        RECT 43.935 377.645 49.280 378.415 ;
+        RECT 49.455 377.645 631.270 378.415 ;
+        RECT 42.470 377.475 631.270 377.645 ;
+        RECT 42.555 376.725 43.765 377.475 ;
+        RECT 42.555 376.185 43.075 376.725 ;
+        RECT 43.935 376.705 49.280 377.475 ;
+        RECT 49.455 376.705 631.270 377.475 ;
+        RECT 43.245 376.015 43.765 376.555 ;
+        RECT 43.935 376.185 46.515 376.705 ;
+        RECT 46.685 376.015 49.280 376.535 ;
+        RECT 49.455 376.185 52.035 376.705 ;
+        RECT 54.000 376.535 631.270 376.705 ;
+        RECT 52.205 376.015 631.270 376.535 ;
+        RECT 42.555 374.925 43.765 376.015 ;
+        RECT 43.935 374.925 49.280 376.015 ;
+        RECT 49.455 374.925 631.270 376.015 ;
+        RECT 42.470 374.755 631.270 374.925 ;
+        RECT 42.555 373.665 43.765 374.755 ;
+        RECT 43.935 373.665 49.280 374.755 ;
+        RECT 49.455 373.665 631.270 374.755 ;
+        RECT 42.555 372.955 43.075 373.495 ;
+        RECT 43.245 373.125 43.765 373.665 ;
+        RECT 43.935 372.975 46.515 373.495 ;
+        RECT 46.685 373.145 49.280 373.665 ;
+        RECT 49.455 372.975 52.035 373.495 ;
+        RECT 52.205 373.145 631.270 373.665 ;
+        RECT 54.000 372.975 631.270 373.145 ;
+        RECT 42.555 372.205 43.765 372.955 ;
+        RECT 43.935 372.205 49.280 372.975 ;
+        RECT 49.455 372.205 631.270 372.975 ;
+        RECT 42.470 372.035 631.270 372.205 ;
+        RECT 42.555 371.285 43.765 372.035 ;
+        RECT 42.555 370.745 43.075 371.285 ;
+        RECT 43.935 371.265 49.280 372.035 ;
+        RECT 49.455 371.265 631.270 372.035 ;
+        RECT 43.245 370.575 43.765 371.115 ;
+        RECT 43.935 370.745 46.515 371.265 ;
+        RECT 46.685 370.575 49.280 371.095 ;
+        RECT 49.455 370.745 52.035 371.265 ;
+        RECT 54.000 371.095 631.270 371.265 ;
+        RECT 52.205 370.575 631.270 371.095 ;
+        RECT 42.555 369.485 43.765 370.575 ;
+        RECT 43.935 369.485 49.280 370.575 ;
+        RECT 49.455 369.485 631.270 370.575 ;
+        RECT 42.470 369.315 631.270 369.485 ;
+        RECT 42.555 368.225 43.765 369.315 ;
+        RECT 43.935 368.225 49.280 369.315 ;
+        RECT 49.455 368.225 631.270 369.315 ;
+        RECT 42.555 367.515 43.075 368.055 ;
+        RECT 43.245 367.685 43.765 368.225 ;
+        RECT 43.935 367.535 46.515 368.055 ;
+        RECT 46.685 367.705 49.280 368.225 ;
+        RECT 49.455 367.535 52.035 368.055 ;
+        RECT 52.205 367.705 631.270 368.225 ;
+        RECT 54.000 367.535 631.270 367.705 ;
+        RECT 42.555 366.765 43.765 367.515 ;
+        RECT 43.935 366.765 49.280 367.535 ;
+        RECT 49.455 366.765 631.270 367.535 ;
+        RECT 42.470 366.595 631.270 366.765 ;
+        RECT 42.555 365.845 43.765 366.595 ;
+        RECT 42.555 365.305 43.075 365.845 ;
+        RECT 43.935 365.825 49.280 366.595 ;
+        RECT 49.455 365.825 631.270 366.595 ;
+        RECT 43.245 365.135 43.765 365.675 ;
+        RECT 43.935 365.305 46.515 365.825 ;
+        RECT 46.685 365.135 49.280 365.655 ;
+        RECT 49.455 365.305 52.035 365.825 ;
+        RECT 54.000 365.655 631.270 365.825 ;
+        RECT 52.205 365.135 631.270 365.655 ;
+        RECT 42.555 364.045 43.765 365.135 ;
+        RECT 43.935 364.045 49.280 365.135 ;
+        RECT 49.455 364.045 631.270 365.135 ;
+        RECT 42.470 363.875 631.270 364.045 ;
+        RECT 42.555 362.785 43.765 363.875 ;
+        RECT 43.935 362.785 49.280 363.875 ;
+        RECT 49.455 362.785 631.270 363.875 ;
+        RECT 42.555 362.075 43.075 362.615 ;
+        RECT 43.245 362.245 43.765 362.785 ;
+        RECT 43.935 362.095 46.515 362.615 ;
+        RECT 46.685 362.265 49.280 362.785 ;
+        RECT 49.455 362.095 52.035 362.615 ;
+        RECT 52.205 362.265 631.270 362.785 ;
+        RECT 54.000 362.095 631.270 362.265 ;
+        RECT 42.555 361.325 43.765 362.075 ;
+        RECT 43.935 361.325 49.280 362.095 ;
+        RECT 49.455 361.325 631.270 362.095 ;
+        RECT 42.470 361.155 631.270 361.325 ;
+        RECT 42.555 360.405 43.765 361.155 ;
+        RECT 42.555 359.865 43.075 360.405 ;
+        RECT 43.935 360.385 49.280 361.155 ;
+        RECT 49.455 360.385 631.270 361.155 ;
+        RECT 43.245 359.695 43.765 360.235 ;
+        RECT 43.935 359.865 46.515 360.385 ;
+        RECT 46.685 359.695 49.280 360.215 ;
+        RECT 49.455 359.865 52.035 360.385 ;
+        RECT 54.000 360.215 631.270 360.385 ;
+        RECT 52.205 359.695 631.270 360.215 ;
+        RECT 42.555 358.605 43.765 359.695 ;
+        RECT 43.935 358.605 49.280 359.695 ;
+        RECT 49.455 358.605 631.270 359.695 ;
+        RECT 42.470 358.435 631.270 358.605 ;
+        RECT 42.555 357.345 43.765 358.435 ;
+        RECT 43.935 357.345 49.280 358.435 ;
+        RECT 49.455 357.345 631.270 358.435 ;
+        RECT 42.555 356.635 43.075 357.175 ;
+        RECT 43.245 356.805 43.765 357.345 ;
+        RECT 43.935 356.655 46.515 357.175 ;
+        RECT 46.685 356.825 49.280 357.345 ;
+        RECT 49.455 356.655 52.035 357.175 ;
+        RECT 52.205 356.825 631.270 357.345 ;
+        RECT 54.000 356.655 631.270 356.825 ;
+        RECT 42.555 355.885 43.765 356.635 ;
+        RECT 43.935 355.885 49.280 356.655 ;
+        RECT 49.455 355.885 631.270 356.655 ;
+        RECT 42.470 355.715 631.270 355.885 ;
+        RECT 42.555 354.965 43.765 355.715 ;
+        RECT 42.555 354.425 43.075 354.965 ;
+        RECT 43.935 354.945 49.280 355.715 ;
+        RECT 49.455 354.945 631.270 355.715 ;
+        RECT 43.245 354.255 43.765 354.795 ;
+        RECT 43.935 354.425 46.515 354.945 ;
+        RECT 46.685 354.255 49.280 354.775 ;
+        RECT 49.455 354.425 52.035 354.945 ;
+        RECT 54.000 354.775 631.270 354.945 ;
+        RECT 52.205 354.255 631.270 354.775 ;
+        RECT 42.555 353.165 43.765 354.255 ;
+        RECT 43.935 353.165 49.280 354.255 ;
+        RECT 49.455 353.165 631.270 354.255 ;
+        RECT 42.470 352.995 631.270 353.165 ;
+        RECT 42.555 351.905 43.765 352.995 ;
+        RECT 43.935 351.905 49.280 352.995 ;
+        RECT 49.455 351.905 631.270 352.995 ;
+        RECT 42.555 351.195 43.075 351.735 ;
+        RECT 43.245 351.365 43.765 351.905 ;
+        RECT 43.935 351.215 46.515 351.735 ;
+        RECT 46.685 351.385 49.280 351.905 ;
+        RECT 49.455 351.215 52.035 351.735 ;
+        RECT 52.205 351.385 631.270 351.905 ;
+        RECT 54.000 351.215 631.270 351.385 ;
+        RECT 42.555 350.445 43.765 351.195 ;
+        RECT 43.935 350.445 49.280 351.215 ;
+        RECT 49.455 350.445 631.270 351.215 ;
+        RECT 42.470 350.275 631.270 350.445 ;
+        RECT 42.555 349.525 43.765 350.275 ;
+        RECT 42.555 348.985 43.075 349.525 ;
+        RECT 43.935 349.505 49.280 350.275 ;
+        RECT 49.455 349.505 631.270 350.275 ;
+        RECT 43.245 348.815 43.765 349.355 ;
+        RECT 43.935 348.985 46.515 349.505 ;
+        RECT 46.685 348.815 49.280 349.335 ;
+        RECT 49.455 348.985 52.035 349.505 ;
+        RECT 54.000 349.335 631.270 349.505 ;
+        RECT 52.205 348.815 631.270 349.335 ;
+        RECT 42.555 347.725 43.765 348.815 ;
+        RECT 43.935 347.725 49.280 348.815 ;
+        RECT 49.455 347.725 631.270 348.815 ;
+        RECT 42.470 347.555 631.270 347.725 ;
+        RECT 42.555 346.465 43.765 347.555 ;
+        RECT 43.935 346.465 49.280 347.555 ;
+        RECT 49.455 346.465 631.270 347.555 ;
+        RECT 42.555 345.755 43.075 346.295 ;
+        RECT 43.245 345.925 43.765 346.465 ;
+        RECT 43.935 345.775 46.515 346.295 ;
+        RECT 46.685 345.945 49.280 346.465 ;
+        RECT 49.455 345.775 52.035 346.295 ;
+        RECT 52.205 345.945 631.270 346.465 ;
+        RECT 54.000 345.775 631.270 345.945 ;
+        RECT 42.555 345.005 43.765 345.755 ;
+        RECT 43.935 345.005 49.280 345.775 ;
+        RECT 49.455 345.005 631.270 345.775 ;
+        RECT 42.470 344.835 631.270 345.005 ;
+        RECT 42.555 344.085 43.765 344.835 ;
+        RECT 42.555 343.545 43.075 344.085 ;
+        RECT 43.935 344.065 49.280 344.835 ;
+        RECT 49.455 344.065 631.270 344.835 ;
+        RECT 43.245 343.375 43.765 343.915 ;
+        RECT 43.935 343.545 46.515 344.065 ;
+        RECT 46.685 343.375 49.280 343.895 ;
+        RECT 49.455 343.545 52.035 344.065 ;
+        RECT 54.000 343.895 631.270 344.065 ;
+        RECT 52.205 343.375 631.270 343.895 ;
+        RECT 42.555 342.285 43.765 343.375 ;
+        RECT 43.935 342.285 49.280 343.375 ;
+        RECT 49.455 342.285 631.270 343.375 ;
+        RECT 42.470 342.115 631.270 342.285 ;
+        RECT 42.555 341.025 43.765 342.115 ;
+        RECT 43.935 341.025 49.280 342.115 ;
+        RECT 49.455 341.025 631.270 342.115 ;
+        RECT 42.555 340.315 43.075 340.855 ;
+        RECT 43.245 340.485 43.765 341.025 ;
+        RECT 43.935 340.335 46.515 340.855 ;
+        RECT 46.685 340.505 49.280 341.025 ;
+        RECT 49.455 340.335 52.035 340.855 ;
+        RECT 52.205 340.505 631.270 341.025 ;
+        RECT 54.000 340.335 631.270 340.505 ;
+        RECT 42.555 339.565 43.765 340.315 ;
+        RECT 43.935 339.565 49.280 340.335 ;
+        RECT 49.455 339.565 631.270 340.335 ;
+        RECT 42.470 339.395 631.270 339.565 ;
+        RECT 42.555 338.645 43.765 339.395 ;
+        RECT 42.555 338.105 43.075 338.645 ;
+        RECT 43.935 338.625 49.280 339.395 ;
+        RECT 49.455 338.625 631.270 339.395 ;
+        RECT 43.245 337.935 43.765 338.475 ;
+        RECT 43.935 338.105 46.515 338.625 ;
+        RECT 46.685 337.935 49.280 338.455 ;
+        RECT 49.455 338.105 52.035 338.625 ;
+        RECT 54.000 338.455 631.270 338.625 ;
+        RECT 52.205 337.935 631.270 338.455 ;
+        RECT 42.555 336.845 43.765 337.935 ;
+        RECT 43.935 336.845 49.280 337.935 ;
+        RECT 49.455 336.845 631.270 337.935 ;
+        RECT 42.470 336.675 631.270 336.845 ;
+        RECT 42.555 335.585 43.765 336.675 ;
+        RECT 43.935 335.585 49.280 336.675 ;
+        RECT 49.455 335.585 631.270 336.675 ;
+        RECT 42.555 334.875 43.075 335.415 ;
+        RECT 43.245 335.045 43.765 335.585 ;
+        RECT 43.935 334.895 46.515 335.415 ;
+        RECT 46.685 335.065 49.280 335.585 ;
+        RECT 49.455 334.895 52.035 335.415 ;
+        RECT 52.205 335.065 631.270 335.585 ;
+        RECT 54.000 334.895 631.270 335.065 ;
+        RECT 42.555 334.125 43.765 334.875 ;
+        RECT 43.935 334.125 49.280 334.895 ;
+        RECT 49.455 334.125 631.270 334.895 ;
+        RECT 42.470 333.955 631.270 334.125 ;
+        RECT 42.555 333.205 43.765 333.955 ;
+        RECT 42.555 332.665 43.075 333.205 ;
+        RECT 43.935 333.185 49.280 333.955 ;
+        RECT 49.455 333.185 631.270 333.955 ;
+        RECT 43.245 332.495 43.765 333.035 ;
+        RECT 43.935 332.665 46.515 333.185 ;
+        RECT 46.685 332.495 49.280 333.015 ;
+        RECT 49.455 332.665 52.035 333.185 ;
+        RECT 54.000 333.015 631.270 333.185 ;
+        RECT 52.205 332.495 631.270 333.015 ;
+        RECT 42.555 331.405 43.765 332.495 ;
+        RECT 43.935 331.405 49.280 332.495 ;
+        RECT 49.455 331.405 631.270 332.495 ;
+        RECT 42.470 331.235 631.270 331.405 ;
+        RECT 42.555 330.145 43.765 331.235 ;
+        RECT 43.935 330.145 49.280 331.235 ;
+        RECT 49.455 330.145 631.270 331.235 ;
+        RECT 42.555 329.435 43.075 329.975 ;
+        RECT 43.245 329.605 43.765 330.145 ;
+        RECT 43.935 329.455 46.515 329.975 ;
+        RECT 46.685 329.625 49.280 330.145 ;
+        RECT 49.455 329.455 52.035 329.975 ;
+        RECT 52.205 329.625 631.270 330.145 ;
+        RECT 54.000 329.455 631.270 329.625 ;
+        RECT 42.555 328.685 43.765 329.435 ;
+        RECT 43.935 328.685 49.280 329.455 ;
+        RECT 49.455 328.685 631.270 329.455 ;
+        RECT 42.470 328.515 631.270 328.685 ;
+        RECT 42.555 327.765 43.765 328.515 ;
+        RECT 42.555 327.225 43.075 327.765 ;
+        RECT 43.935 327.745 49.280 328.515 ;
+        RECT 49.455 327.745 631.270 328.515 ;
+        RECT 43.245 327.055 43.765 327.595 ;
+        RECT 43.935 327.225 46.515 327.745 ;
+        RECT 46.685 327.055 49.280 327.575 ;
+        RECT 49.455 327.225 52.035 327.745 ;
+        RECT 54.000 327.575 631.270 327.745 ;
+        RECT 52.205 327.055 631.270 327.575 ;
+        RECT 42.555 325.965 43.765 327.055 ;
+        RECT 43.935 325.965 49.280 327.055 ;
+        RECT 49.455 325.965 631.270 327.055 ;
+        RECT 42.470 325.795 631.270 325.965 ;
+        RECT 42.555 324.705 43.765 325.795 ;
+        RECT 43.935 324.705 49.280 325.795 ;
+        RECT 49.455 324.705 631.270 325.795 ;
+        RECT 42.555 323.995 43.075 324.535 ;
+        RECT 43.245 324.165 43.765 324.705 ;
+        RECT 43.935 324.015 46.515 324.535 ;
+        RECT 46.685 324.185 49.280 324.705 ;
+        RECT 49.455 324.015 52.035 324.535 ;
+        RECT 52.205 324.185 631.270 324.705 ;
+        RECT 54.000 324.015 631.270 324.185 ;
+        RECT 42.555 323.245 43.765 323.995 ;
+        RECT 43.935 323.245 49.280 324.015 ;
+        RECT 49.455 323.245 631.270 324.015 ;
+        RECT 42.470 323.075 631.270 323.245 ;
+        RECT 42.555 322.325 43.765 323.075 ;
+        RECT 42.555 321.785 43.075 322.325 ;
+        RECT 43.935 322.305 49.280 323.075 ;
+        RECT 49.455 322.305 631.270 323.075 ;
+        RECT 43.245 321.615 43.765 322.155 ;
+        RECT 43.935 321.785 46.515 322.305 ;
+        RECT 46.685 321.615 49.280 322.135 ;
+        RECT 49.455 321.785 52.035 322.305 ;
+        RECT 54.000 322.135 631.270 322.305 ;
+        RECT 52.205 321.615 631.270 322.135 ;
+        RECT 42.555 320.525 43.765 321.615 ;
+        RECT 43.935 320.525 49.280 321.615 ;
+        RECT 49.455 320.525 631.270 321.615 ;
+        RECT 42.470 320.355 631.270 320.525 ;
+        RECT 42.555 319.265 43.765 320.355 ;
+        RECT 43.935 319.265 49.280 320.355 ;
+        RECT 49.455 319.265 631.270 320.355 ;
+        RECT 42.555 318.555 43.075 319.095 ;
+        RECT 43.245 318.725 43.765 319.265 ;
+        RECT 43.935 318.575 46.515 319.095 ;
+        RECT 46.685 318.745 49.280 319.265 ;
+        RECT 49.455 318.575 52.035 319.095 ;
+        RECT 52.205 318.745 631.270 319.265 ;
+        RECT 54.000 318.575 631.270 318.745 ;
+        RECT 42.555 317.805 43.765 318.555 ;
+        RECT 43.935 317.805 49.280 318.575 ;
+        RECT 49.455 317.805 631.270 318.575 ;
+        RECT 42.470 317.635 631.270 317.805 ;
+        RECT 42.555 316.885 43.765 317.635 ;
+        RECT 42.555 316.345 43.075 316.885 ;
+        RECT 43.935 316.865 49.280 317.635 ;
+        RECT 49.455 316.865 631.270 317.635 ;
+        RECT 43.245 316.175 43.765 316.715 ;
+        RECT 43.935 316.345 46.515 316.865 ;
+        RECT 46.685 316.175 49.280 316.695 ;
+        RECT 49.455 316.345 52.035 316.865 ;
+        RECT 54.000 316.695 631.270 316.865 ;
+        RECT 52.205 316.175 631.270 316.695 ;
+        RECT 42.555 315.085 43.765 316.175 ;
+        RECT 43.935 315.085 49.280 316.175 ;
+        RECT 49.455 315.085 631.270 316.175 ;
+        RECT 42.470 314.915 631.270 315.085 ;
+        RECT 42.555 313.825 43.765 314.915 ;
+        RECT 43.935 313.825 49.280 314.915 ;
+        RECT 49.455 313.825 631.270 314.915 ;
+        RECT 42.555 313.115 43.075 313.655 ;
+        RECT 43.245 313.285 43.765 313.825 ;
+        RECT 43.935 313.135 46.515 313.655 ;
+        RECT 46.685 313.305 49.280 313.825 ;
+        RECT 49.455 313.135 52.035 313.655 ;
+        RECT 52.205 313.305 631.270 313.825 ;
+        RECT 54.000 313.135 631.270 313.305 ;
+        RECT 42.555 312.365 43.765 313.115 ;
+        RECT 43.935 312.365 49.280 313.135 ;
+        RECT 49.455 312.365 631.270 313.135 ;
+        RECT 42.470 312.195 631.270 312.365 ;
+        RECT 42.555 311.445 43.765 312.195 ;
+        RECT 42.555 310.905 43.075 311.445 ;
+        RECT 43.935 311.425 49.280 312.195 ;
+        RECT 49.455 311.425 631.270 312.195 ;
+        RECT 43.245 310.735 43.765 311.275 ;
+        RECT 43.935 310.905 46.515 311.425 ;
+        RECT 46.685 310.735 49.280 311.255 ;
+        RECT 49.455 310.905 52.035 311.425 ;
+        RECT 54.000 311.255 631.270 311.425 ;
+        RECT 52.205 310.735 631.270 311.255 ;
+        RECT 42.555 309.645 43.765 310.735 ;
+        RECT 43.935 309.645 49.280 310.735 ;
+        RECT 49.455 309.645 631.270 310.735 ;
+        RECT 42.470 309.475 631.270 309.645 ;
+        RECT 42.555 308.385 43.765 309.475 ;
+        RECT 43.935 308.385 49.280 309.475 ;
+        RECT 49.455 308.385 631.270 309.475 ;
+        RECT 42.555 307.675 43.075 308.215 ;
+        RECT 43.245 307.845 43.765 308.385 ;
+        RECT 43.935 307.695 46.515 308.215 ;
+        RECT 46.685 307.865 49.280 308.385 ;
+        RECT 49.455 307.695 52.035 308.215 ;
+        RECT 52.205 307.865 631.270 308.385 ;
+        RECT 54.000 307.695 631.270 307.865 ;
+        RECT 42.555 306.925 43.765 307.675 ;
+        RECT 43.935 306.925 49.280 307.695 ;
+        RECT 49.455 306.925 631.270 307.695 ;
+        RECT 42.470 306.755 631.270 306.925 ;
+        RECT 42.555 306.005 43.765 306.755 ;
+        RECT 42.555 305.465 43.075 306.005 ;
+        RECT 43.935 305.985 49.280 306.755 ;
+        RECT 49.455 305.985 631.270 306.755 ;
+        RECT 43.245 305.295 43.765 305.835 ;
+        RECT 43.935 305.465 46.515 305.985 ;
+        RECT 46.685 305.295 49.280 305.815 ;
+        RECT 49.455 305.465 52.035 305.985 ;
+        RECT 54.000 305.815 631.270 305.985 ;
+        RECT 52.205 305.295 631.270 305.815 ;
+        RECT 42.555 304.205 43.765 305.295 ;
+        RECT 43.935 304.205 49.280 305.295 ;
+        RECT 49.455 304.205 631.270 305.295 ;
+        RECT 42.470 304.035 631.270 304.205 ;
+        RECT 42.555 302.945 43.765 304.035 ;
+        RECT 43.935 302.945 49.280 304.035 ;
+        RECT 49.455 302.945 631.270 304.035 ;
+        RECT 42.555 302.235 43.075 302.775 ;
+        RECT 43.245 302.405 43.765 302.945 ;
+        RECT 43.935 302.255 46.515 302.775 ;
+        RECT 46.685 302.425 49.280 302.945 ;
+        RECT 49.455 302.255 52.035 302.775 ;
+        RECT 52.205 302.425 631.270 302.945 ;
+        RECT 54.000 302.255 631.270 302.425 ;
+        RECT 42.555 301.485 43.765 302.235 ;
+        RECT 43.935 301.485 49.280 302.255 ;
+        RECT 49.455 301.485 631.270 302.255 ;
+        RECT 42.470 301.315 631.270 301.485 ;
+        RECT 42.555 300.565 43.765 301.315 ;
+        RECT 42.555 300.025 43.075 300.565 ;
+        RECT 43.935 300.545 49.280 301.315 ;
+        RECT 49.455 300.545 631.270 301.315 ;
+        RECT 43.245 299.855 43.765 300.395 ;
+        RECT 43.935 300.025 46.515 300.545 ;
+        RECT 46.685 299.855 49.280 300.375 ;
+        RECT 49.455 300.025 52.035 300.545 ;
+        RECT 54.000 300.375 631.270 300.545 ;
+        RECT 52.205 299.855 631.270 300.375 ;
+        RECT 42.555 298.765 43.765 299.855 ;
+        RECT 43.935 298.765 49.280 299.855 ;
+        RECT 49.455 298.765 631.270 299.855 ;
+        RECT 42.470 298.595 631.270 298.765 ;
+        RECT 42.555 297.505 43.765 298.595 ;
+        RECT 43.935 297.505 49.280 298.595 ;
+        RECT 49.455 297.505 631.270 298.595 ;
+        RECT 42.555 296.795 43.075 297.335 ;
+        RECT 43.245 296.965 43.765 297.505 ;
+        RECT 43.935 296.815 46.515 297.335 ;
+        RECT 46.685 296.985 49.280 297.505 ;
+        RECT 49.455 296.815 52.035 297.335 ;
+        RECT 52.205 296.985 631.270 297.505 ;
+        RECT 54.000 296.815 631.270 296.985 ;
+        RECT 42.555 296.045 43.765 296.795 ;
+        RECT 43.935 296.045 49.280 296.815 ;
+        RECT 49.455 296.045 631.270 296.815 ;
+        RECT 42.470 295.875 631.270 296.045 ;
+        RECT 42.555 295.125 43.765 295.875 ;
+        RECT 42.555 294.585 43.075 295.125 ;
+        RECT 43.935 295.105 49.280 295.875 ;
+        RECT 49.455 295.105 631.270 295.875 ;
+        RECT 43.245 294.415 43.765 294.955 ;
+        RECT 43.935 294.585 46.515 295.105 ;
+        RECT 46.685 294.415 49.280 294.935 ;
+        RECT 49.455 294.585 52.035 295.105 ;
+        RECT 54.000 294.935 631.270 295.105 ;
+        RECT 52.205 294.415 631.270 294.935 ;
+        RECT 42.555 293.325 43.765 294.415 ;
+        RECT 43.935 293.325 49.280 294.415 ;
+        RECT 49.455 293.325 631.270 294.415 ;
+        RECT 42.470 293.155 631.270 293.325 ;
+        RECT 42.555 292.065 43.765 293.155 ;
+        RECT 43.935 292.065 49.280 293.155 ;
+        RECT 49.455 292.065 631.270 293.155 ;
+        RECT 42.555 291.355 43.075 291.895 ;
+        RECT 43.245 291.525 43.765 292.065 ;
+        RECT 43.935 291.375 46.515 291.895 ;
+        RECT 46.685 291.545 49.280 292.065 ;
+        RECT 49.455 291.375 52.035 291.895 ;
+        RECT 52.205 291.545 631.270 292.065 ;
+        RECT 54.000 291.375 631.270 291.545 ;
+        RECT 42.555 290.605 43.765 291.355 ;
+        RECT 43.935 290.605 49.280 291.375 ;
+        RECT 49.455 290.605 631.270 291.375 ;
+        RECT 42.470 290.435 631.270 290.605 ;
+        RECT 42.555 289.685 43.765 290.435 ;
+        RECT 42.555 289.145 43.075 289.685 ;
+        RECT 43.935 289.665 49.280 290.435 ;
+        RECT 49.455 289.665 631.270 290.435 ;
+        RECT 43.245 288.975 43.765 289.515 ;
+        RECT 43.935 289.145 46.515 289.665 ;
+        RECT 46.685 288.975 49.280 289.495 ;
+        RECT 49.455 289.145 52.035 289.665 ;
+        RECT 54.000 289.495 631.270 289.665 ;
+        RECT 52.205 288.975 631.270 289.495 ;
+        RECT 42.555 287.885 43.765 288.975 ;
+        RECT 43.935 287.885 49.280 288.975 ;
+        RECT 49.455 287.885 631.270 288.975 ;
+        RECT 42.470 287.715 631.270 287.885 ;
+        RECT 42.555 286.625 43.765 287.715 ;
+        RECT 43.935 286.625 49.280 287.715 ;
+        RECT 49.455 286.625 631.270 287.715 ;
+        RECT 42.555 285.915 43.075 286.455 ;
+        RECT 43.245 286.085 43.765 286.625 ;
+        RECT 43.935 285.935 46.515 286.455 ;
+        RECT 46.685 286.105 49.280 286.625 ;
+        RECT 49.455 285.935 52.035 286.455 ;
+        RECT 52.205 286.105 631.270 286.625 ;
+        RECT 54.000 285.935 631.270 286.105 ;
+        RECT 42.555 285.165 43.765 285.915 ;
+        RECT 43.935 285.165 49.280 285.935 ;
+        RECT 49.455 285.165 631.270 285.935 ;
+        RECT 42.470 284.995 631.270 285.165 ;
+        RECT 42.555 284.245 43.765 284.995 ;
+        RECT 42.555 283.705 43.075 284.245 ;
+        RECT 43.935 284.225 49.280 284.995 ;
+        RECT 49.455 284.225 631.270 284.995 ;
+        RECT 43.245 283.535 43.765 284.075 ;
+        RECT 43.935 283.705 46.515 284.225 ;
+        RECT 46.685 283.535 49.280 284.055 ;
+        RECT 49.455 283.705 52.035 284.225 ;
+        RECT 54.000 284.055 631.270 284.225 ;
+        RECT 52.205 283.535 631.270 284.055 ;
+        RECT 42.555 282.445 43.765 283.535 ;
+        RECT 43.935 282.445 49.280 283.535 ;
+        RECT 49.455 282.445 631.270 283.535 ;
+        RECT 42.470 282.275 631.270 282.445 ;
+        RECT 42.555 281.185 43.765 282.275 ;
+        RECT 43.935 281.185 49.280 282.275 ;
+        RECT 49.455 281.185 631.270 282.275 ;
+        RECT 42.555 280.475 43.075 281.015 ;
+        RECT 43.245 280.645 43.765 281.185 ;
+        RECT 43.935 280.495 46.515 281.015 ;
+        RECT 46.685 280.665 49.280 281.185 ;
+        RECT 49.455 280.495 52.035 281.015 ;
+        RECT 52.205 280.665 631.270 281.185 ;
+        RECT 54.000 280.495 631.270 280.665 ;
+        RECT 42.555 279.725 43.765 280.475 ;
+        RECT 43.935 279.725 49.280 280.495 ;
+        RECT 49.455 279.725 631.270 280.495 ;
+        RECT 42.470 279.555 631.270 279.725 ;
+        RECT 42.555 278.805 43.765 279.555 ;
+        RECT 42.555 278.265 43.075 278.805 ;
+        RECT 43.935 278.785 49.280 279.555 ;
+        RECT 49.455 278.785 631.270 279.555 ;
+        RECT 43.245 278.095 43.765 278.635 ;
+        RECT 43.935 278.265 46.515 278.785 ;
+        RECT 46.685 278.095 49.280 278.615 ;
+        RECT 49.455 278.265 52.035 278.785 ;
+        RECT 54.000 278.615 631.270 278.785 ;
+        RECT 52.205 278.095 631.270 278.615 ;
+        RECT 42.555 277.005 43.765 278.095 ;
+        RECT 43.935 277.005 49.280 278.095 ;
+        RECT 49.455 277.005 631.270 278.095 ;
+        RECT 42.470 276.835 631.270 277.005 ;
+        RECT 42.555 275.745 43.765 276.835 ;
+        RECT 43.935 275.745 49.280 276.835 ;
+        RECT 49.455 275.745 631.270 276.835 ;
+        RECT 42.555 275.035 43.075 275.575 ;
+        RECT 43.245 275.205 43.765 275.745 ;
+        RECT 43.935 275.055 46.515 275.575 ;
+        RECT 46.685 275.225 49.280 275.745 ;
+        RECT 49.455 275.055 52.035 275.575 ;
+        RECT 52.205 275.225 631.270 275.745 ;
+        RECT 54.000 275.055 631.270 275.225 ;
+        RECT 42.555 274.285 43.765 275.035 ;
+        RECT 43.935 274.285 49.280 275.055 ;
+        RECT 49.455 274.285 631.270 275.055 ;
+        RECT 42.470 274.115 631.270 274.285 ;
+        RECT 42.555 273.365 43.765 274.115 ;
+        RECT 42.555 272.825 43.075 273.365 ;
+        RECT 43.935 273.345 49.280 274.115 ;
+        RECT 49.455 273.345 631.270 274.115 ;
+        RECT 43.245 272.655 43.765 273.195 ;
+        RECT 43.935 272.825 46.515 273.345 ;
+        RECT 46.685 272.655 49.280 273.175 ;
+        RECT 49.455 272.825 52.035 273.345 ;
+        RECT 54.000 273.175 631.270 273.345 ;
+        RECT 52.205 272.655 631.270 273.175 ;
+        RECT 42.555 271.565 43.765 272.655 ;
+        RECT 43.935 271.565 49.280 272.655 ;
+        RECT 49.455 271.565 631.270 272.655 ;
+        RECT 42.470 271.395 631.270 271.565 ;
+        RECT 42.555 270.305 43.765 271.395 ;
+        RECT 43.935 270.305 49.280 271.395 ;
+        RECT 49.455 270.305 631.270 271.395 ;
+        RECT 42.555 269.595 43.075 270.135 ;
+        RECT 43.245 269.765 43.765 270.305 ;
+        RECT 43.935 269.615 46.515 270.135 ;
+        RECT 46.685 269.785 49.280 270.305 ;
+        RECT 49.455 269.615 52.035 270.135 ;
+        RECT 52.205 269.785 631.270 270.305 ;
+        RECT 54.000 269.615 631.270 269.785 ;
+        RECT 42.555 268.845 43.765 269.595 ;
+        RECT 43.935 268.845 49.280 269.615 ;
+        RECT 49.455 268.845 631.270 269.615 ;
+        RECT 42.470 268.675 631.270 268.845 ;
+        RECT 42.555 267.925 43.765 268.675 ;
+        RECT 42.555 267.385 43.075 267.925 ;
+        RECT 43.935 267.905 49.280 268.675 ;
+        RECT 49.455 267.905 631.270 268.675 ;
+        RECT 43.245 267.215 43.765 267.755 ;
+        RECT 43.935 267.385 46.515 267.905 ;
+        RECT 46.685 267.215 49.280 267.735 ;
+        RECT 49.455 267.385 52.035 267.905 ;
+        RECT 54.000 267.735 631.270 267.905 ;
+        RECT 52.205 267.215 631.270 267.735 ;
+        RECT 42.555 266.125 43.765 267.215 ;
+        RECT 43.935 266.125 49.280 267.215 ;
+        RECT 49.455 266.125 631.270 267.215 ;
+        RECT 42.470 265.955 631.270 266.125 ;
+        RECT 42.555 264.865 43.765 265.955 ;
+        RECT 43.935 264.865 49.280 265.955 ;
+        RECT 49.455 264.865 631.270 265.955 ;
+        RECT 42.555 264.155 43.075 264.695 ;
+        RECT 43.245 264.325 43.765 264.865 ;
+        RECT 43.935 264.175 46.515 264.695 ;
+        RECT 46.685 264.345 49.280 264.865 ;
+        RECT 49.455 264.175 52.035 264.695 ;
+        RECT 52.205 264.345 631.270 264.865 ;
+        RECT 54.000 264.175 631.270 264.345 ;
+        RECT 42.555 263.405 43.765 264.155 ;
+        RECT 43.935 263.405 49.280 264.175 ;
+        RECT 49.455 263.405 631.270 264.175 ;
+        RECT 42.470 263.235 631.270 263.405 ;
+        RECT 42.555 262.485 43.765 263.235 ;
+        RECT 42.555 261.945 43.075 262.485 ;
+        RECT 43.935 262.465 49.280 263.235 ;
+        RECT 49.455 262.465 631.270 263.235 ;
+        RECT 43.245 261.775 43.765 262.315 ;
+        RECT 43.935 261.945 46.515 262.465 ;
+        RECT 46.685 261.775 49.280 262.295 ;
+        RECT 49.455 261.945 52.035 262.465 ;
+        RECT 54.000 262.295 631.270 262.465 ;
+        RECT 52.205 261.775 631.270 262.295 ;
+        RECT 42.555 260.685 43.765 261.775 ;
+        RECT 43.935 260.685 49.280 261.775 ;
+        RECT 49.455 260.685 631.270 261.775 ;
+        RECT 42.470 260.515 631.270 260.685 ;
+        RECT 42.555 259.425 43.765 260.515 ;
+        RECT 43.935 259.425 49.280 260.515 ;
+        RECT 49.455 259.425 631.270 260.515 ;
+        RECT 42.555 258.715 43.075 259.255 ;
+        RECT 43.245 258.885 43.765 259.425 ;
+        RECT 43.935 258.735 46.515 259.255 ;
+        RECT 46.685 258.905 49.280 259.425 ;
+        RECT 49.455 258.735 52.035 259.255 ;
+        RECT 52.205 258.905 631.270 259.425 ;
+        RECT 54.000 258.735 631.270 258.905 ;
+        RECT 42.555 257.965 43.765 258.715 ;
+        RECT 43.935 257.965 49.280 258.735 ;
+        RECT 49.455 257.965 631.270 258.735 ;
+        RECT 42.470 257.795 631.270 257.965 ;
+        RECT 42.555 257.045 43.765 257.795 ;
+        RECT 42.555 256.505 43.075 257.045 ;
+        RECT 43.935 257.025 49.280 257.795 ;
+        RECT 49.455 257.025 631.270 257.795 ;
+        RECT 43.245 256.335 43.765 256.875 ;
+        RECT 43.935 256.505 46.515 257.025 ;
+        RECT 46.685 256.335 49.280 256.855 ;
+        RECT 49.455 256.505 52.035 257.025 ;
+        RECT 54.000 256.855 631.270 257.025 ;
+        RECT 52.205 256.335 631.270 256.855 ;
+        RECT 42.555 255.245 43.765 256.335 ;
+        RECT 43.935 255.245 49.280 256.335 ;
+        RECT 49.455 255.245 631.270 256.335 ;
+        RECT 42.470 255.075 631.270 255.245 ;
+        RECT 42.555 253.985 43.765 255.075 ;
+        RECT 43.935 253.985 49.280 255.075 ;
+        RECT 49.455 253.985 631.270 255.075 ;
+        RECT 42.555 253.275 43.075 253.815 ;
+        RECT 43.245 253.445 43.765 253.985 ;
+        RECT 43.935 253.295 46.515 253.815 ;
+        RECT 46.685 253.465 49.280 253.985 ;
+        RECT 49.455 253.295 52.035 253.815 ;
+        RECT 52.205 253.465 631.270 253.985 ;
+        RECT 54.000 253.295 631.270 253.465 ;
+        RECT 42.555 252.525 43.765 253.275 ;
+        RECT 43.935 252.525 49.280 253.295 ;
+        RECT 49.455 252.525 631.270 253.295 ;
+        RECT 42.470 252.355 631.270 252.525 ;
+        RECT 42.555 251.605 43.765 252.355 ;
+        RECT 42.555 251.065 43.075 251.605 ;
+        RECT 43.935 251.585 49.280 252.355 ;
+        RECT 49.455 251.585 631.270 252.355 ;
+        RECT 43.245 250.895 43.765 251.435 ;
+        RECT 43.935 251.065 46.515 251.585 ;
+        RECT 46.685 250.895 49.280 251.415 ;
+        RECT 49.455 251.065 52.035 251.585 ;
+        RECT 54.000 251.415 631.270 251.585 ;
+        RECT 52.205 250.895 631.270 251.415 ;
+        RECT 42.555 249.805 43.765 250.895 ;
+        RECT 43.935 249.805 49.280 250.895 ;
+        RECT 49.455 249.805 631.270 250.895 ;
+        RECT 42.470 249.635 631.270 249.805 ;
+        RECT 42.555 248.545 43.765 249.635 ;
+        RECT 43.935 248.545 49.280 249.635 ;
+        RECT 49.455 248.545 631.270 249.635 ;
+        RECT 42.555 247.835 43.075 248.375 ;
+        RECT 43.245 248.005 43.765 248.545 ;
+        RECT 43.935 247.855 46.515 248.375 ;
+        RECT 46.685 248.025 49.280 248.545 ;
+        RECT 49.455 247.855 52.035 248.375 ;
+        RECT 52.205 248.025 631.270 248.545 ;
+        RECT 54.000 247.855 631.270 248.025 ;
+        RECT 42.555 247.085 43.765 247.835 ;
+        RECT 43.935 247.085 49.280 247.855 ;
+        RECT 49.455 247.085 631.270 247.855 ;
+        RECT 42.470 246.915 631.270 247.085 ;
+        RECT 42.555 246.165 43.765 246.915 ;
+        RECT 42.555 245.625 43.075 246.165 ;
+        RECT 43.935 246.145 49.280 246.915 ;
+        RECT 49.455 246.145 631.270 246.915 ;
+        RECT 43.245 245.455 43.765 245.995 ;
+        RECT 43.935 245.625 46.515 246.145 ;
+        RECT 46.685 245.455 49.280 245.975 ;
+        RECT 49.455 245.625 52.035 246.145 ;
+        RECT 54.000 245.975 631.270 246.145 ;
+        RECT 52.205 245.455 631.270 245.975 ;
+        RECT 42.555 244.365 43.765 245.455 ;
+        RECT 43.935 244.365 49.280 245.455 ;
+        RECT 49.455 244.365 631.270 245.455 ;
+        RECT 42.470 244.195 631.270 244.365 ;
+        RECT 42.555 243.105 43.765 244.195 ;
+        RECT 43.935 243.105 49.280 244.195 ;
+        RECT 49.455 243.105 631.270 244.195 ;
+        RECT 42.555 242.395 43.075 242.935 ;
+        RECT 43.245 242.565 43.765 243.105 ;
+        RECT 43.935 242.415 46.515 242.935 ;
+        RECT 46.685 242.585 49.280 243.105 ;
+        RECT 49.455 242.415 52.035 242.935 ;
+        RECT 52.205 242.585 631.270 243.105 ;
+        RECT 54.000 242.415 631.270 242.585 ;
+        RECT 42.555 241.645 43.765 242.395 ;
+        RECT 43.935 241.645 49.280 242.415 ;
+        RECT 49.455 241.645 631.270 242.415 ;
+        RECT 42.470 241.475 631.270 241.645 ;
+        RECT 42.555 240.725 43.765 241.475 ;
+        RECT 42.555 240.185 43.075 240.725 ;
+        RECT 43.935 240.705 49.280 241.475 ;
+        RECT 49.455 240.705 631.270 241.475 ;
+        RECT 43.245 240.015 43.765 240.555 ;
+        RECT 43.935 240.185 46.515 240.705 ;
+        RECT 46.685 240.015 49.280 240.535 ;
+        RECT 49.455 240.185 52.035 240.705 ;
+        RECT 54.000 240.535 631.270 240.705 ;
+        RECT 52.205 240.015 631.270 240.535 ;
+        RECT 42.555 238.925 43.765 240.015 ;
+        RECT 43.935 238.925 49.280 240.015 ;
+        RECT 49.455 238.925 631.270 240.015 ;
+        RECT 42.470 238.755 631.270 238.925 ;
+        RECT 42.555 237.665 43.765 238.755 ;
+        RECT 43.935 237.665 49.280 238.755 ;
+        RECT 49.455 237.665 631.270 238.755 ;
+        RECT 42.555 236.955 43.075 237.495 ;
+        RECT 43.245 237.125 43.765 237.665 ;
+        RECT 43.935 236.975 46.515 237.495 ;
+        RECT 46.685 237.145 49.280 237.665 ;
+        RECT 49.455 236.975 52.035 237.495 ;
+        RECT 52.205 237.145 631.270 237.665 ;
+        RECT 54.000 236.975 631.270 237.145 ;
+        RECT 42.555 236.205 43.765 236.955 ;
+        RECT 43.935 236.205 49.280 236.975 ;
+        RECT 49.455 236.205 631.270 236.975 ;
+        RECT 42.470 236.035 631.270 236.205 ;
+        RECT 42.555 235.285 43.765 236.035 ;
+        RECT 42.555 234.745 43.075 235.285 ;
+        RECT 43.935 235.265 49.280 236.035 ;
+        RECT 49.455 235.265 631.270 236.035 ;
+        RECT 43.245 234.575 43.765 235.115 ;
+        RECT 43.935 234.745 46.515 235.265 ;
+        RECT 46.685 234.575 49.280 235.095 ;
+        RECT 49.455 234.745 52.035 235.265 ;
+        RECT 54.000 235.095 631.270 235.265 ;
+        RECT 52.205 234.575 631.270 235.095 ;
+        RECT 42.555 233.485 43.765 234.575 ;
+        RECT 43.935 233.485 49.280 234.575 ;
+        RECT 49.455 233.485 631.270 234.575 ;
+        RECT 42.470 233.315 631.270 233.485 ;
+        RECT 42.555 232.225 43.765 233.315 ;
+        RECT 43.935 232.225 49.280 233.315 ;
+        RECT 49.455 232.225 631.270 233.315 ;
+        RECT 42.555 231.515 43.075 232.055 ;
+        RECT 43.245 231.685 43.765 232.225 ;
+        RECT 43.935 231.535 46.515 232.055 ;
+        RECT 46.685 231.705 49.280 232.225 ;
+        RECT 49.455 231.535 52.035 232.055 ;
+        RECT 52.205 231.705 631.270 232.225 ;
+        RECT 54.000 231.535 631.270 231.705 ;
+        RECT 42.555 230.765 43.765 231.515 ;
+        RECT 43.935 230.765 49.280 231.535 ;
+        RECT 49.455 230.765 631.270 231.535 ;
+        RECT 42.470 230.595 631.270 230.765 ;
+        RECT 42.555 229.845 43.765 230.595 ;
+        RECT 42.555 229.305 43.075 229.845 ;
+        RECT 43.935 229.825 49.280 230.595 ;
+        RECT 49.455 229.825 631.270 230.595 ;
+        RECT 43.245 229.135 43.765 229.675 ;
+        RECT 43.935 229.305 46.515 229.825 ;
+        RECT 46.685 229.135 49.280 229.655 ;
+        RECT 49.455 229.305 52.035 229.825 ;
+        RECT 54.000 229.655 631.270 229.825 ;
+        RECT 52.205 229.135 631.270 229.655 ;
+        RECT 42.555 228.045 43.765 229.135 ;
+        RECT 43.935 228.045 49.280 229.135 ;
+        RECT 49.455 228.045 631.270 229.135 ;
+        RECT 42.470 227.875 631.270 228.045 ;
+        RECT 42.555 226.785 43.765 227.875 ;
+        RECT 43.935 226.785 49.280 227.875 ;
+        RECT 49.455 226.785 631.270 227.875 ;
+        RECT 42.555 226.075 43.075 226.615 ;
+        RECT 43.245 226.245 43.765 226.785 ;
+        RECT 43.935 226.095 46.515 226.615 ;
+        RECT 46.685 226.265 49.280 226.785 ;
+        RECT 49.455 226.095 52.035 226.615 ;
+        RECT 52.205 226.265 631.270 226.785 ;
+        RECT 54.000 226.095 631.270 226.265 ;
+        RECT 42.555 225.325 43.765 226.075 ;
+        RECT 43.935 225.325 49.280 226.095 ;
+        RECT 49.455 225.325 631.270 226.095 ;
+        RECT 42.470 225.155 631.270 225.325 ;
+        RECT 42.555 224.405 43.765 225.155 ;
+        RECT 42.555 223.865 43.075 224.405 ;
+        RECT 43.935 224.385 49.280 225.155 ;
+        RECT 49.455 224.385 631.270 225.155 ;
+        RECT 43.245 223.695 43.765 224.235 ;
+        RECT 43.935 223.865 46.515 224.385 ;
+        RECT 46.685 223.695 49.280 224.215 ;
+        RECT 49.455 223.865 52.035 224.385 ;
+        RECT 54.000 224.215 631.270 224.385 ;
+        RECT 52.205 223.695 631.270 224.215 ;
+        RECT 42.555 222.605 43.765 223.695 ;
+        RECT 43.935 222.605 49.280 223.695 ;
+        RECT 49.455 222.605 631.270 223.695 ;
+        RECT 42.470 222.435 631.270 222.605 ;
+        RECT 42.555 221.345 43.765 222.435 ;
+        RECT 43.935 221.345 49.280 222.435 ;
+        RECT 49.455 221.345 631.270 222.435 ;
+        RECT 42.555 220.635 43.075 221.175 ;
+        RECT 43.245 220.805 43.765 221.345 ;
+        RECT 43.935 220.655 46.515 221.175 ;
+        RECT 46.685 220.825 49.280 221.345 ;
+        RECT 49.455 220.655 52.035 221.175 ;
+        RECT 52.205 220.825 631.270 221.345 ;
+        RECT 54.000 220.655 631.270 220.825 ;
+        RECT 42.555 219.885 43.765 220.635 ;
+        RECT 43.935 219.885 49.280 220.655 ;
+        RECT 49.455 219.885 631.270 220.655 ;
+        RECT 42.470 219.715 631.270 219.885 ;
+        RECT 42.555 218.965 43.765 219.715 ;
+        RECT 42.555 218.425 43.075 218.965 ;
+        RECT 43.935 218.945 49.280 219.715 ;
+        RECT 49.455 218.945 631.270 219.715 ;
+        RECT 43.245 218.255 43.765 218.795 ;
+        RECT 43.935 218.425 46.515 218.945 ;
+        RECT 46.685 218.255 49.280 218.775 ;
+        RECT 49.455 218.425 52.035 218.945 ;
+        RECT 54.000 218.775 631.270 218.945 ;
+        RECT 52.205 218.255 631.270 218.775 ;
+        RECT 42.555 217.165 43.765 218.255 ;
+        RECT 43.935 217.165 49.280 218.255 ;
+        RECT 49.455 217.165 631.270 218.255 ;
+        RECT 42.470 216.995 631.270 217.165 ;
+        RECT 42.555 215.905 43.765 216.995 ;
+        RECT 43.935 215.905 49.280 216.995 ;
+        RECT 49.455 215.905 631.270 216.995 ;
+        RECT 42.555 215.195 43.075 215.735 ;
+        RECT 43.245 215.365 43.765 215.905 ;
+        RECT 43.935 215.215 46.515 215.735 ;
+        RECT 46.685 215.385 49.280 215.905 ;
+        RECT 49.455 215.215 52.035 215.735 ;
+        RECT 52.205 215.385 631.270 215.905 ;
+        RECT 54.000 215.215 631.270 215.385 ;
+        RECT 42.555 214.445 43.765 215.195 ;
+        RECT 43.935 214.445 49.280 215.215 ;
+        RECT 49.455 214.445 631.270 215.215 ;
+        RECT 42.470 214.275 631.270 214.445 ;
+        RECT 42.555 213.525 43.765 214.275 ;
+        RECT 42.555 212.985 43.075 213.525 ;
+        RECT 43.935 213.505 49.280 214.275 ;
+        RECT 49.455 213.505 631.270 214.275 ;
+        RECT 43.245 212.815 43.765 213.355 ;
+        RECT 43.935 212.985 46.515 213.505 ;
+        RECT 46.685 212.815 49.280 213.335 ;
+        RECT 49.455 212.985 52.035 213.505 ;
+        RECT 54.000 213.335 631.270 213.505 ;
+        RECT 52.205 212.815 631.270 213.335 ;
+        RECT 42.555 211.725 43.765 212.815 ;
+        RECT 43.935 211.725 49.280 212.815 ;
+        RECT 49.455 211.725 631.270 212.815 ;
+        RECT 42.470 211.555 631.270 211.725 ;
+        RECT 42.555 210.465 43.765 211.555 ;
+        RECT 43.935 210.465 49.280 211.555 ;
+        RECT 49.455 210.465 631.270 211.555 ;
+        RECT 42.555 209.755 43.075 210.295 ;
+        RECT 43.245 209.925 43.765 210.465 ;
+        RECT 43.935 209.775 46.515 210.295 ;
+        RECT 46.685 209.945 49.280 210.465 ;
+        RECT 49.455 209.775 52.035 210.295 ;
+        RECT 52.205 209.945 631.270 210.465 ;
+        RECT 54.000 209.775 631.270 209.945 ;
+        RECT 42.555 209.005 43.765 209.755 ;
+        RECT 43.935 209.005 49.280 209.775 ;
+        RECT 49.455 209.005 631.270 209.775 ;
+        RECT 42.470 208.835 631.270 209.005 ;
+        RECT 42.555 208.085 43.765 208.835 ;
+        RECT 42.555 207.545 43.075 208.085 ;
+        RECT 43.935 208.065 49.280 208.835 ;
+        RECT 49.455 208.065 631.270 208.835 ;
+        RECT 43.245 207.375 43.765 207.915 ;
+        RECT 43.935 207.545 46.515 208.065 ;
+        RECT 46.685 207.375 49.280 207.895 ;
+        RECT 49.455 207.545 52.035 208.065 ;
+        RECT 54.000 207.895 631.270 208.065 ;
+        RECT 52.205 207.375 631.270 207.895 ;
+        RECT 42.555 206.285 43.765 207.375 ;
+        RECT 43.935 206.285 49.280 207.375 ;
+        RECT 49.455 206.285 631.270 207.375 ;
+        RECT 42.470 206.115 631.270 206.285 ;
+        RECT 42.555 205.025 43.765 206.115 ;
+        RECT 43.935 205.025 49.280 206.115 ;
+        RECT 49.455 205.025 631.270 206.115 ;
+        RECT 42.555 204.315 43.075 204.855 ;
+        RECT 43.245 204.485 43.765 205.025 ;
+        RECT 43.935 204.335 46.515 204.855 ;
+        RECT 46.685 204.505 49.280 205.025 ;
+        RECT 49.455 204.335 52.035 204.855 ;
+        RECT 52.205 204.505 631.270 205.025 ;
+        RECT 54.000 204.335 631.270 204.505 ;
+        RECT 42.555 203.565 43.765 204.315 ;
+        RECT 43.935 203.565 49.280 204.335 ;
+        RECT 49.455 203.565 631.270 204.335 ;
+        RECT 42.470 203.395 631.270 203.565 ;
+        RECT 42.555 202.645 43.765 203.395 ;
+        RECT 42.555 202.105 43.075 202.645 ;
+        RECT 43.935 202.625 49.280 203.395 ;
+        RECT 49.455 202.625 631.270 203.395 ;
+        RECT 43.245 201.935 43.765 202.475 ;
+        RECT 43.935 202.105 46.515 202.625 ;
+        RECT 46.685 201.935 49.280 202.455 ;
+        RECT 49.455 202.105 52.035 202.625 ;
+        RECT 54.000 202.455 631.270 202.625 ;
+        RECT 52.205 201.935 631.270 202.455 ;
+        RECT 42.555 200.845 43.765 201.935 ;
+        RECT 43.935 200.845 49.280 201.935 ;
+        RECT 49.455 200.845 631.270 201.935 ;
+        RECT 42.470 200.675 631.270 200.845 ;
+        RECT 42.555 199.585 43.765 200.675 ;
+        RECT 43.935 199.585 49.280 200.675 ;
+        RECT 49.455 199.585 631.270 200.675 ;
+        RECT 42.555 198.875 43.075 199.415 ;
+        RECT 43.245 199.045 43.765 199.585 ;
+        RECT 43.935 198.895 46.515 199.415 ;
+        RECT 46.685 199.065 49.280 199.585 ;
+        RECT 49.455 198.895 52.035 199.415 ;
+        RECT 52.205 199.065 631.270 199.585 ;
+        RECT 54.000 198.895 631.270 199.065 ;
+        RECT 42.555 198.125 43.765 198.875 ;
+        RECT 43.935 198.125 49.280 198.895 ;
+        RECT 49.455 198.125 631.270 198.895 ;
+        RECT 42.470 197.955 631.270 198.125 ;
+        RECT 42.555 197.205 43.765 197.955 ;
+        RECT 42.555 196.665 43.075 197.205 ;
+        RECT 43.935 197.185 49.280 197.955 ;
+        RECT 49.455 197.185 631.270 197.955 ;
+        RECT 43.245 196.495 43.765 197.035 ;
+        RECT 43.935 196.665 46.515 197.185 ;
+        RECT 46.685 196.495 49.280 197.015 ;
+        RECT 49.455 196.665 52.035 197.185 ;
+        RECT 54.000 197.015 631.270 197.185 ;
+        RECT 52.205 196.495 631.270 197.015 ;
+        RECT 42.555 195.405 43.765 196.495 ;
+        RECT 43.935 195.405 49.280 196.495 ;
+        RECT 49.455 195.405 631.270 196.495 ;
+        RECT 42.470 195.235 631.270 195.405 ;
+        RECT 42.555 194.145 43.765 195.235 ;
+        RECT 43.935 194.145 49.280 195.235 ;
+        RECT 49.455 194.145 631.270 195.235 ;
+        RECT 42.555 193.435 43.075 193.975 ;
+        RECT 43.245 193.605 43.765 194.145 ;
+        RECT 43.935 193.455 46.515 193.975 ;
+        RECT 46.685 193.625 49.280 194.145 ;
+        RECT 49.455 193.455 52.035 193.975 ;
+        RECT 52.205 193.625 631.270 194.145 ;
+        RECT 54.000 193.455 631.270 193.625 ;
+        RECT 42.555 192.685 43.765 193.435 ;
+        RECT 43.935 192.685 49.280 193.455 ;
+        RECT 49.455 192.685 631.270 193.455 ;
+        RECT 42.470 192.515 631.270 192.685 ;
+        RECT 42.555 191.765 43.765 192.515 ;
+        RECT 42.555 191.225 43.075 191.765 ;
+        RECT 43.935 191.745 49.280 192.515 ;
+        RECT 49.455 191.745 631.270 192.515 ;
+        RECT 43.245 191.055 43.765 191.595 ;
+        RECT 43.935 191.225 46.515 191.745 ;
+        RECT 46.685 191.055 49.280 191.575 ;
+        RECT 49.455 191.225 52.035 191.745 ;
+        RECT 54.000 191.575 631.270 191.745 ;
+        RECT 52.205 191.055 631.270 191.575 ;
+        RECT 42.555 189.965 43.765 191.055 ;
+        RECT 43.935 189.965 49.280 191.055 ;
+        RECT 49.455 189.965 631.270 191.055 ;
+        RECT 42.470 189.795 631.270 189.965 ;
+        RECT 42.555 188.705 43.765 189.795 ;
+        RECT 43.935 188.705 49.280 189.795 ;
+        RECT 49.455 188.705 631.270 189.795 ;
+        RECT 42.555 187.995 43.075 188.535 ;
+        RECT 43.245 188.165 43.765 188.705 ;
+        RECT 43.935 188.015 46.515 188.535 ;
+        RECT 46.685 188.185 49.280 188.705 ;
+        RECT 49.455 188.015 52.035 188.535 ;
+        RECT 52.205 188.185 631.270 188.705 ;
+        RECT 54.000 188.015 631.270 188.185 ;
+        RECT 42.555 187.245 43.765 187.995 ;
+        RECT 43.935 187.245 49.280 188.015 ;
+        RECT 49.455 187.245 631.270 188.015 ;
+        RECT 42.470 187.075 631.270 187.245 ;
+        RECT 42.555 186.325 43.765 187.075 ;
+        RECT 42.555 185.785 43.075 186.325 ;
+        RECT 43.935 186.305 49.280 187.075 ;
+        RECT 49.455 186.305 631.270 187.075 ;
+        RECT 43.245 185.615 43.765 186.155 ;
+        RECT 43.935 185.785 46.515 186.305 ;
+        RECT 46.685 185.615 49.280 186.135 ;
+        RECT 49.455 185.785 52.035 186.305 ;
+        RECT 54.000 186.135 631.270 186.305 ;
+        RECT 52.205 185.615 631.270 186.135 ;
+        RECT 42.555 184.525 43.765 185.615 ;
+        RECT 43.935 184.525 49.280 185.615 ;
+        RECT 49.455 184.525 631.270 185.615 ;
+        RECT 42.470 184.355 631.270 184.525 ;
+        RECT 42.555 183.265 43.765 184.355 ;
+        RECT 43.935 183.265 49.280 184.355 ;
+        RECT 49.455 183.265 631.270 184.355 ;
+        RECT 42.555 182.555 43.075 183.095 ;
+        RECT 43.245 182.725 43.765 183.265 ;
+        RECT 43.935 182.575 46.515 183.095 ;
+        RECT 46.685 182.745 49.280 183.265 ;
+        RECT 49.455 182.575 52.035 183.095 ;
+        RECT 52.205 182.745 631.270 183.265 ;
+        RECT 54.000 182.575 631.270 182.745 ;
+        RECT 42.555 181.805 43.765 182.555 ;
+        RECT 43.935 181.805 49.280 182.575 ;
+        RECT 49.455 181.805 631.270 182.575 ;
+        RECT 42.470 181.635 631.270 181.805 ;
+        RECT 42.555 180.885 43.765 181.635 ;
+        RECT 42.555 180.345 43.075 180.885 ;
+        RECT 43.935 180.865 49.280 181.635 ;
+        RECT 49.455 180.865 631.270 181.635 ;
+        RECT 43.245 180.175 43.765 180.715 ;
+        RECT 43.935 180.345 46.515 180.865 ;
+        RECT 46.685 180.175 49.280 180.695 ;
+        RECT 49.455 180.345 52.035 180.865 ;
+        RECT 54.000 180.695 631.270 180.865 ;
+        RECT 52.205 180.175 631.270 180.695 ;
+        RECT 42.555 179.085 43.765 180.175 ;
+        RECT 43.935 179.085 49.280 180.175 ;
+        RECT 49.455 179.085 631.270 180.175 ;
+        RECT 42.470 178.915 631.270 179.085 ;
+        RECT 42.555 177.825 43.765 178.915 ;
+        RECT 43.935 177.825 49.280 178.915 ;
+        RECT 49.455 177.825 631.270 178.915 ;
+        RECT 42.555 177.115 43.075 177.655 ;
+        RECT 43.245 177.285 43.765 177.825 ;
+        RECT 43.935 177.135 46.515 177.655 ;
+        RECT 46.685 177.305 49.280 177.825 ;
+        RECT 49.455 177.135 52.035 177.655 ;
+        RECT 52.205 177.305 631.270 177.825 ;
+        RECT 54.000 177.135 631.270 177.305 ;
+        RECT 42.555 176.365 43.765 177.115 ;
+        RECT 43.935 176.365 49.280 177.135 ;
+        RECT 49.455 176.365 631.270 177.135 ;
+        RECT 42.470 176.195 631.270 176.365 ;
+        RECT 42.555 175.445 43.765 176.195 ;
+        RECT 42.555 174.905 43.075 175.445 ;
+        RECT 43.935 175.425 49.280 176.195 ;
+        RECT 49.455 175.425 631.270 176.195 ;
+        RECT 43.245 174.735 43.765 175.275 ;
+        RECT 43.935 174.905 46.515 175.425 ;
+        RECT 46.685 174.735 49.280 175.255 ;
+        RECT 49.455 174.905 52.035 175.425 ;
+        RECT 54.000 175.255 631.270 175.425 ;
+        RECT 52.205 174.735 631.270 175.255 ;
+        RECT 42.555 173.645 43.765 174.735 ;
+        RECT 43.935 173.645 49.280 174.735 ;
+        RECT 49.455 173.645 631.270 174.735 ;
+        RECT 42.470 173.475 631.270 173.645 ;
+        RECT 42.555 172.385 43.765 173.475 ;
+        RECT 43.935 172.385 49.280 173.475 ;
+        RECT 49.455 172.385 631.270 173.475 ;
+        RECT 42.555 171.675 43.075 172.215 ;
+        RECT 43.245 171.845 43.765 172.385 ;
+        RECT 43.935 171.695 46.515 172.215 ;
+        RECT 46.685 171.865 49.280 172.385 ;
+        RECT 49.455 171.695 52.035 172.215 ;
+        RECT 52.205 171.865 631.270 172.385 ;
+        RECT 54.000 171.695 631.270 171.865 ;
+        RECT 42.555 170.925 43.765 171.675 ;
+        RECT 43.935 170.925 49.280 171.695 ;
+        RECT 49.455 170.925 631.270 171.695 ;
+        RECT 42.470 170.755 631.270 170.925 ;
+        RECT 42.555 170.005 43.765 170.755 ;
+        RECT 42.555 169.465 43.075 170.005 ;
+        RECT 43.935 169.985 49.280 170.755 ;
+        RECT 49.455 169.985 631.270 170.755 ;
+        RECT 43.245 169.295 43.765 169.835 ;
+        RECT 43.935 169.465 46.515 169.985 ;
+        RECT 46.685 169.295 49.280 169.815 ;
+        RECT 49.455 169.465 52.035 169.985 ;
+        RECT 54.000 169.815 631.270 169.985 ;
+        RECT 52.205 169.295 631.270 169.815 ;
+        RECT 42.555 168.205 43.765 169.295 ;
+        RECT 43.935 168.205 49.280 169.295 ;
+        RECT 49.455 168.205 631.270 169.295 ;
+        RECT 42.470 168.035 631.270 168.205 ;
+        RECT 42.555 166.945 43.765 168.035 ;
+        RECT 43.935 166.945 49.280 168.035 ;
+        RECT 49.455 166.945 631.270 168.035 ;
+        RECT 42.555 166.235 43.075 166.775 ;
+        RECT 43.245 166.405 43.765 166.945 ;
+        RECT 43.935 166.255 46.515 166.775 ;
+        RECT 46.685 166.425 49.280 166.945 ;
+        RECT 49.455 166.255 52.035 166.775 ;
+        RECT 52.205 166.425 631.270 166.945 ;
+        RECT 54.000 166.255 631.270 166.425 ;
+        RECT 42.555 165.485 43.765 166.235 ;
+        RECT 43.935 165.485 49.280 166.255 ;
+        RECT 49.455 165.485 631.270 166.255 ;
+        RECT 42.470 165.315 631.270 165.485 ;
+        RECT 42.555 164.565 43.765 165.315 ;
+        RECT 42.555 164.025 43.075 164.565 ;
+        RECT 43.935 164.545 49.280 165.315 ;
+        RECT 49.455 164.545 631.270 165.315 ;
+        RECT 43.245 163.855 43.765 164.395 ;
+        RECT 43.935 164.025 46.515 164.545 ;
+        RECT 46.685 163.855 49.280 164.375 ;
+        RECT 49.455 164.025 52.035 164.545 ;
+        RECT 54.000 164.375 631.270 164.545 ;
+        RECT 52.205 163.855 631.270 164.375 ;
+        RECT 42.555 162.765 43.765 163.855 ;
+        RECT 43.935 162.765 49.280 163.855 ;
+        RECT 49.455 162.765 631.270 163.855 ;
+        RECT 42.470 162.595 631.270 162.765 ;
+        RECT 42.555 161.505 43.765 162.595 ;
+        RECT 43.935 161.505 49.280 162.595 ;
+        RECT 49.455 161.505 631.270 162.595 ;
+        RECT 42.555 160.795 43.075 161.335 ;
+        RECT 43.245 160.965 43.765 161.505 ;
+        RECT 43.935 160.815 46.515 161.335 ;
+        RECT 46.685 160.985 49.280 161.505 ;
+        RECT 49.455 160.815 52.035 161.335 ;
+        RECT 52.205 160.985 631.270 161.505 ;
+        RECT 54.000 160.815 631.270 160.985 ;
+        RECT 42.555 160.045 43.765 160.795 ;
+        RECT 43.935 160.045 49.280 160.815 ;
+        RECT 49.455 160.045 631.270 160.815 ;
+        RECT 42.470 159.875 631.270 160.045 ;
+        RECT 42.555 159.125 43.765 159.875 ;
+        RECT 42.555 158.585 43.075 159.125 ;
+        RECT 43.935 159.105 49.280 159.875 ;
+        RECT 49.455 159.105 631.270 159.875 ;
+        RECT 43.245 158.415 43.765 158.955 ;
+        RECT 43.935 158.585 46.515 159.105 ;
+        RECT 46.685 158.415 49.280 158.935 ;
+        RECT 49.455 158.585 52.035 159.105 ;
+        RECT 54.000 158.935 631.270 159.105 ;
+        RECT 52.205 158.415 631.270 158.935 ;
+        RECT 42.555 157.325 43.765 158.415 ;
+        RECT 43.935 157.325 49.280 158.415 ;
+        RECT 49.455 157.325 631.270 158.415 ;
+        RECT 42.470 157.155 631.270 157.325 ;
+        RECT 42.555 156.065 43.765 157.155 ;
+        RECT 43.935 156.065 49.280 157.155 ;
+        RECT 49.455 156.065 631.270 157.155 ;
+        RECT 42.555 155.355 43.075 155.895 ;
+        RECT 43.245 155.525 43.765 156.065 ;
+        RECT 43.935 155.375 46.515 155.895 ;
+        RECT 46.685 155.545 49.280 156.065 ;
+        RECT 49.455 155.375 52.035 155.895 ;
+        RECT 52.205 155.545 631.270 156.065 ;
+        RECT 54.000 155.375 631.270 155.545 ;
+        RECT 42.555 154.605 43.765 155.355 ;
+        RECT 43.935 154.605 49.280 155.375 ;
+        RECT 49.455 154.605 631.270 155.375 ;
+        RECT 42.470 154.435 631.270 154.605 ;
+        RECT 42.555 153.685 43.765 154.435 ;
+        RECT 42.555 153.145 43.075 153.685 ;
+        RECT 43.935 153.665 49.280 154.435 ;
+        RECT 49.455 153.665 631.270 154.435 ;
+        RECT 43.245 152.975 43.765 153.515 ;
+        RECT 43.935 153.145 46.515 153.665 ;
+        RECT 46.685 152.975 49.280 153.495 ;
+        RECT 49.455 153.145 52.035 153.665 ;
+        RECT 54.000 153.495 631.270 153.665 ;
+        RECT 52.205 152.975 631.270 153.495 ;
+        RECT 42.555 151.885 43.765 152.975 ;
+        RECT 43.935 151.885 49.280 152.975 ;
+        RECT 49.455 151.885 631.270 152.975 ;
+        RECT 42.470 151.715 631.270 151.885 ;
+        RECT 42.555 150.625 43.765 151.715 ;
+        RECT 43.935 150.625 49.280 151.715 ;
+        RECT 49.455 150.625 631.270 151.715 ;
+        RECT 42.555 149.915 43.075 150.455 ;
+        RECT 43.245 150.085 43.765 150.625 ;
+        RECT 43.935 149.935 46.515 150.455 ;
+        RECT 46.685 150.105 49.280 150.625 ;
+        RECT 49.455 149.935 52.035 150.455 ;
+        RECT 52.205 150.105 631.270 150.625 ;
+        RECT 54.000 149.935 631.270 150.105 ;
+        RECT 42.555 149.165 43.765 149.915 ;
+        RECT 43.935 149.165 49.280 149.935 ;
+        RECT 49.455 149.165 631.270 149.935 ;
+        RECT 42.470 148.995 631.270 149.165 ;
+        RECT 42.555 148.245 43.765 148.995 ;
+        RECT 42.555 147.705 43.075 148.245 ;
+        RECT 43.935 148.225 49.280 148.995 ;
+        RECT 49.455 148.225 631.270 148.995 ;
+        RECT 43.245 147.535 43.765 148.075 ;
+        RECT 43.935 147.705 46.515 148.225 ;
+        RECT 46.685 147.535 49.280 148.055 ;
+        RECT 49.455 147.705 52.035 148.225 ;
+        RECT 54.000 148.055 631.270 148.225 ;
+        RECT 52.205 147.535 631.270 148.055 ;
+        RECT 42.555 146.445 43.765 147.535 ;
+        RECT 43.935 146.445 49.280 147.535 ;
+        RECT 49.455 146.445 631.270 147.535 ;
+        RECT 42.470 146.275 631.270 146.445 ;
+        RECT 42.555 145.185 43.765 146.275 ;
+        RECT 43.935 145.185 49.280 146.275 ;
+        RECT 49.455 145.185 631.270 146.275 ;
+        RECT 42.555 144.475 43.075 145.015 ;
+        RECT 43.245 144.645 43.765 145.185 ;
+        RECT 43.935 144.495 46.515 145.015 ;
+        RECT 46.685 144.665 49.280 145.185 ;
+        RECT 49.455 144.495 52.035 145.015 ;
+        RECT 52.205 144.665 631.270 145.185 ;
+        RECT 54.000 144.495 631.270 144.665 ;
+        RECT 42.555 143.725 43.765 144.475 ;
+        RECT 43.935 143.725 49.280 144.495 ;
+        RECT 49.455 143.725 631.270 144.495 ;
+        RECT 42.470 143.555 631.270 143.725 ;
+        RECT 42.555 142.805 43.765 143.555 ;
+        RECT 42.555 142.265 43.075 142.805 ;
+        RECT 43.935 142.785 49.280 143.555 ;
+        RECT 49.455 142.785 631.270 143.555 ;
+        RECT 43.245 142.095 43.765 142.635 ;
+        RECT 43.935 142.265 46.515 142.785 ;
+        RECT 46.685 142.095 49.280 142.615 ;
+        RECT 49.455 142.265 52.035 142.785 ;
+        RECT 54.000 142.615 631.270 142.785 ;
+        RECT 52.205 142.095 631.270 142.615 ;
+        RECT 42.555 141.005 43.765 142.095 ;
+        RECT 43.935 141.005 49.280 142.095 ;
+        RECT 49.455 141.005 631.270 142.095 ;
+        RECT 42.470 140.835 631.270 141.005 ;
+        RECT 42.555 139.745 43.765 140.835 ;
+        RECT 43.935 139.745 49.280 140.835 ;
+        RECT 49.455 139.745 631.270 140.835 ;
+        RECT 42.555 139.035 43.075 139.575 ;
+        RECT 43.245 139.205 43.765 139.745 ;
+        RECT 43.935 139.055 46.515 139.575 ;
+        RECT 46.685 139.225 49.280 139.745 ;
+        RECT 49.455 139.055 52.035 139.575 ;
+        RECT 52.205 139.225 631.270 139.745 ;
+        RECT 54.000 139.055 631.270 139.225 ;
+        RECT 42.555 138.285 43.765 139.035 ;
+        RECT 43.935 138.285 49.280 139.055 ;
+        RECT 49.455 138.285 631.270 139.055 ;
+        RECT 42.470 138.115 631.270 138.285 ;
+        RECT 42.555 137.365 43.765 138.115 ;
+        RECT 42.555 136.825 43.075 137.365 ;
+        RECT 43.935 137.345 49.280 138.115 ;
+        RECT 49.455 137.345 631.270 138.115 ;
+        RECT 43.245 136.655 43.765 137.195 ;
+        RECT 43.935 136.825 46.515 137.345 ;
+        RECT 46.685 136.655 49.280 137.175 ;
+        RECT 49.455 136.825 52.035 137.345 ;
+        RECT 54.000 137.175 631.270 137.345 ;
+        RECT 52.205 136.655 631.270 137.175 ;
+        RECT 42.555 135.565 43.765 136.655 ;
+        RECT 43.935 135.565 49.280 136.655 ;
+        RECT 49.455 135.565 631.270 136.655 ;
+        RECT 42.470 135.395 631.270 135.565 ;
+        RECT 42.555 134.305 43.765 135.395 ;
+        RECT 43.935 134.305 49.280 135.395 ;
+        RECT 49.455 134.305 631.270 135.395 ;
+        RECT 42.555 133.595 43.075 134.135 ;
+        RECT 43.245 133.765 43.765 134.305 ;
+        RECT 43.935 133.615 46.515 134.135 ;
+        RECT 46.685 133.785 49.280 134.305 ;
+        RECT 49.455 133.615 52.035 134.135 ;
+        RECT 52.205 133.785 631.270 134.305 ;
+        RECT 54.000 133.615 631.270 133.785 ;
+        RECT 42.555 132.845 43.765 133.595 ;
+        RECT 43.935 132.845 49.280 133.615 ;
+        RECT 49.455 132.845 631.270 133.615 ;
+        RECT 42.470 132.675 631.270 132.845 ;
+        RECT 42.555 131.925 43.765 132.675 ;
+        RECT 42.555 131.385 43.075 131.925 ;
+        RECT 43.935 131.905 49.280 132.675 ;
+        RECT 49.455 131.905 631.270 132.675 ;
+        RECT 43.245 131.215 43.765 131.755 ;
+        RECT 43.935 131.385 46.515 131.905 ;
+        RECT 46.685 131.215 49.280 131.735 ;
+        RECT 49.455 131.385 52.035 131.905 ;
+        RECT 54.000 131.735 631.270 131.905 ;
+        RECT 52.205 131.215 631.270 131.735 ;
+        RECT 42.555 130.125 43.765 131.215 ;
+        RECT 43.935 130.125 49.280 131.215 ;
+        RECT 49.455 130.125 631.270 131.215 ;
+        RECT 42.470 129.955 631.270 130.125 ;
+        RECT 42.555 128.865 43.765 129.955 ;
+        RECT 43.935 128.865 49.280 129.955 ;
+        RECT 49.455 128.865 631.270 129.955 ;
+        RECT 42.555 128.155 43.075 128.695 ;
+        RECT 43.245 128.325 43.765 128.865 ;
+        RECT 43.935 128.175 46.515 128.695 ;
+        RECT 46.685 128.345 49.280 128.865 ;
+        RECT 49.455 128.175 52.035 128.695 ;
+        RECT 52.205 128.345 631.270 128.865 ;
+        RECT 54.000 128.175 631.270 128.345 ;
+        RECT 42.555 127.405 43.765 128.155 ;
+        RECT 43.935 127.405 49.280 128.175 ;
+        RECT 49.455 127.405 631.270 128.175 ;
+        RECT 42.470 127.235 631.270 127.405 ;
+        RECT 42.555 126.485 43.765 127.235 ;
+        RECT 42.555 125.945 43.075 126.485 ;
+        RECT 43.935 126.465 49.280 127.235 ;
+        RECT 49.455 126.465 631.270 127.235 ;
+        RECT 43.245 125.775 43.765 126.315 ;
+        RECT 43.935 125.945 46.515 126.465 ;
+        RECT 46.685 125.775 49.280 126.295 ;
+        RECT 49.455 125.945 52.035 126.465 ;
+        RECT 54.000 126.295 631.270 126.465 ;
+        RECT 52.205 125.775 631.270 126.295 ;
+        RECT 42.555 124.685 43.765 125.775 ;
+        RECT 43.935 124.685 49.280 125.775 ;
+        RECT 49.455 124.685 631.270 125.775 ;
+        RECT 42.470 124.515 631.270 124.685 ;
+        RECT 42.555 123.425 43.765 124.515 ;
+        RECT 43.935 123.425 49.280 124.515 ;
+        RECT 49.455 123.425 631.270 124.515 ;
+        RECT 42.555 122.715 43.075 123.255 ;
+        RECT 43.245 122.885 43.765 123.425 ;
+        RECT 43.935 122.735 46.515 123.255 ;
+        RECT 46.685 122.905 49.280 123.425 ;
+        RECT 49.455 122.735 52.035 123.255 ;
+        RECT 52.205 122.905 631.270 123.425 ;
+        RECT 54.000 122.735 631.270 122.905 ;
+        RECT 42.555 121.965 43.765 122.715 ;
+        RECT 43.935 121.965 49.280 122.735 ;
+        RECT 49.455 121.965 631.270 122.735 ;
+        RECT 42.470 121.795 631.270 121.965 ;
+        RECT 42.555 121.045 43.765 121.795 ;
+        RECT 42.555 120.505 43.075 121.045 ;
+        RECT 43.935 121.025 49.280 121.795 ;
+        RECT 49.455 121.025 631.270 121.795 ;
+        RECT 43.245 120.335 43.765 120.875 ;
+        RECT 43.935 120.505 46.515 121.025 ;
+        RECT 46.685 120.335 49.280 120.855 ;
+        RECT 49.455 120.505 52.035 121.025 ;
+        RECT 54.000 120.855 631.270 121.025 ;
+        RECT 52.205 120.335 631.270 120.855 ;
+        RECT 42.555 119.245 43.765 120.335 ;
+        RECT 43.935 119.245 49.280 120.335 ;
+        RECT 49.455 119.245 631.270 120.335 ;
+        RECT 42.470 119.075 631.270 119.245 ;
+        RECT 42.555 117.985 43.765 119.075 ;
+        RECT 43.935 117.985 49.280 119.075 ;
+        RECT 49.455 117.985 631.270 119.075 ;
+        RECT 42.555 117.275 43.075 117.815 ;
+        RECT 43.245 117.445 43.765 117.985 ;
+        RECT 43.935 117.295 46.515 117.815 ;
+        RECT 46.685 117.465 49.280 117.985 ;
+        RECT 49.455 117.295 52.035 117.815 ;
+        RECT 52.205 117.465 631.270 117.985 ;
+        RECT 54.000 117.295 631.270 117.465 ;
+        RECT 42.555 116.525 43.765 117.275 ;
+        RECT 43.935 116.525 49.280 117.295 ;
+        RECT 49.455 116.525 631.270 117.295 ;
+        RECT 42.470 116.355 631.270 116.525 ;
+        RECT 42.555 115.605 43.765 116.355 ;
+        RECT 42.555 115.065 43.075 115.605 ;
+        RECT 43.935 115.585 49.280 116.355 ;
+        RECT 49.455 115.585 631.270 116.355 ;
+        RECT 43.245 114.895 43.765 115.435 ;
+        RECT 43.935 115.065 46.515 115.585 ;
+        RECT 46.685 114.895 49.280 115.415 ;
+        RECT 49.455 115.065 52.035 115.585 ;
+        RECT 54.000 115.415 631.270 115.585 ;
+        RECT 52.205 114.895 631.270 115.415 ;
+        RECT 42.555 113.805 43.765 114.895 ;
+        RECT 43.935 113.805 49.280 114.895 ;
+        RECT 49.455 113.805 631.270 114.895 ;
+        RECT 42.470 113.635 631.270 113.805 ;
+        RECT 42.555 112.545 43.765 113.635 ;
+        RECT 43.935 112.545 49.280 113.635 ;
+        RECT 49.455 112.545 631.270 113.635 ;
+        RECT 42.555 111.835 43.075 112.375 ;
+        RECT 43.245 112.005 43.765 112.545 ;
+        RECT 43.935 111.855 46.515 112.375 ;
+        RECT 46.685 112.025 49.280 112.545 ;
+        RECT 49.455 111.855 52.035 112.375 ;
+        RECT 52.205 112.025 631.270 112.545 ;
+        RECT 54.000 111.855 631.270 112.025 ;
+        RECT 42.555 111.085 43.765 111.835 ;
+        RECT 43.935 111.085 49.280 111.855 ;
+        RECT 49.455 111.085 631.270 111.855 ;
+        RECT 42.470 110.915 631.270 111.085 ;
+        RECT 42.555 110.165 43.765 110.915 ;
+        RECT 42.555 109.625 43.075 110.165 ;
+        RECT 43.935 110.145 49.280 110.915 ;
+        RECT 49.455 110.145 631.270 110.915 ;
+        RECT 43.245 109.455 43.765 109.995 ;
+        RECT 43.935 109.625 46.515 110.145 ;
+        RECT 46.685 109.455 49.280 109.975 ;
+        RECT 49.455 109.625 52.035 110.145 ;
+        RECT 54.000 109.975 631.270 110.145 ;
+        RECT 52.205 109.455 631.270 109.975 ;
+        RECT 42.555 108.365 43.765 109.455 ;
+        RECT 43.935 108.365 49.280 109.455 ;
+        RECT 49.455 108.365 631.270 109.455 ;
+        RECT 42.470 108.195 631.270 108.365 ;
+        RECT 42.555 107.105 43.765 108.195 ;
+        RECT 43.935 107.105 49.280 108.195 ;
+        RECT 49.455 107.105 631.270 108.195 ;
+        RECT 42.555 106.395 43.075 106.935 ;
+        RECT 43.245 106.565 43.765 107.105 ;
+        RECT 43.935 106.415 46.515 106.935 ;
+        RECT 46.685 106.585 49.280 107.105 ;
+        RECT 49.455 106.415 52.035 106.935 ;
+        RECT 52.205 106.585 631.270 107.105 ;
+        RECT 54.000 106.415 631.270 106.585 ;
+        RECT 42.555 105.645 43.765 106.395 ;
+        RECT 43.935 105.645 49.280 106.415 ;
+        RECT 49.455 105.645 631.270 106.415 ;
+        RECT 42.470 105.475 631.270 105.645 ;
+        RECT 42.555 104.725 43.765 105.475 ;
+        RECT 42.555 104.185 43.075 104.725 ;
+        RECT 43.935 104.705 49.280 105.475 ;
+        RECT 49.455 104.705 631.270 105.475 ;
+        RECT 43.245 104.015 43.765 104.555 ;
+        RECT 43.935 104.185 46.515 104.705 ;
+        RECT 46.685 104.015 49.280 104.535 ;
+        RECT 49.455 104.185 52.035 104.705 ;
+        RECT 54.000 104.535 631.270 104.705 ;
+        RECT 52.205 104.015 631.270 104.535 ;
+        RECT 42.555 102.925 43.765 104.015 ;
+        RECT 43.935 102.925 49.280 104.015 ;
+        RECT 49.455 102.925 631.270 104.015 ;
+        RECT 42.470 102.755 631.270 102.925 ;
+        RECT 42.555 101.665 43.765 102.755 ;
+        RECT 43.935 101.665 49.280 102.755 ;
+        RECT 49.455 101.665 631.270 102.755 ;
+        RECT 42.555 100.955 43.075 101.495 ;
+        RECT 43.245 101.125 43.765 101.665 ;
+        RECT 43.935 100.975 46.515 101.495 ;
+        RECT 46.685 101.145 49.280 101.665 ;
+        RECT 49.455 100.975 52.035 101.495 ;
+        RECT 52.205 101.145 631.270 101.665 ;
+        RECT 54.000 100.975 631.270 101.145 ;
+        RECT 42.555 100.205 43.765 100.955 ;
+        RECT 43.935 100.205 49.280 100.975 ;
+        RECT 49.455 100.205 631.270 100.975 ;
+        RECT 42.470 100.035 631.270 100.205 ;
+        RECT 42.555 99.285 43.765 100.035 ;
+        RECT 42.555 98.745 43.075 99.285 ;
+        RECT 43.935 99.265 49.280 100.035 ;
+        RECT 49.455 99.265 631.270 100.035 ;
+        RECT 43.245 98.575 43.765 99.115 ;
+        RECT 43.935 98.745 46.515 99.265 ;
+        RECT 46.685 98.575 49.280 99.095 ;
+        RECT 49.455 98.745 52.035 99.265 ;
+        RECT 54.000 99.095 631.270 99.265 ;
+        RECT 52.205 98.575 631.270 99.095 ;
+        RECT 42.555 97.485 43.765 98.575 ;
+        RECT 43.935 97.485 49.280 98.575 ;
+        RECT 49.455 97.485 631.270 98.575 ;
+        RECT 42.470 97.315 631.270 97.485 ;
+        RECT 42.555 96.225 43.765 97.315 ;
+        RECT 43.935 96.225 49.280 97.315 ;
+        RECT 49.455 96.225 631.270 97.315 ;
+        RECT 42.555 95.515 43.075 96.055 ;
+        RECT 43.245 95.685 43.765 96.225 ;
+        RECT 43.935 95.535 46.515 96.055 ;
+        RECT 46.685 95.705 49.280 96.225 ;
+        RECT 49.455 95.535 52.035 96.055 ;
+        RECT 52.205 95.705 631.270 96.225 ;
+        RECT 54.000 95.535 631.270 95.705 ;
+        RECT 42.555 94.765 43.765 95.515 ;
+        RECT 43.935 94.765 49.280 95.535 ;
+        RECT 49.455 94.765 631.270 95.535 ;
+        RECT 42.470 94.595 631.270 94.765 ;
+        RECT 42.555 93.845 43.765 94.595 ;
+        RECT 42.555 93.305 43.075 93.845 ;
+        RECT 43.935 93.825 49.280 94.595 ;
+        RECT 49.455 93.825 631.270 94.595 ;
+        RECT 43.245 93.135 43.765 93.675 ;
+        RECT 43.935 93.305 46.515 93.825 ;
+        RECT 46.685 93.135 49.280 93.655 ;
+        RECT 49.455 93.305 52.035 93.825 ;
+        RECT 54.000 93.655 631.270 93.825 ;
+        RECT 52.205 93.135 631.270 93.655 ;
+        RECT 42.555 92.045 43.765 93.135 ;
+        RECT 43.935 92.045 49.280 93.135 ;
+        RECT 49.455 92.045 631.270 93.135 ;
+        RECT 42.470 91.875 631.270 92.045 ;
+        RECT 42.555 90.785 43.765 91.875 ;
+        RECT 43.935 90.785 49.280 91.875 ;
+        RECT 49.455 90.785 631.270 91.875 ;
+        RECT 42.555 90.075 43.075 90.615 ;
+        RECT 43.245 90.245 43.765 90.785 ;
+        RECT 43.935 90.095 46.515 90.615 ;
+        RECT 46.685 90.265 49.280 90.785 ;
+        RECT 49.455 90.095 52.035 90.615 ;
+        RECT 52.205 90.265 631.270 90.785 ;
+        RECT 54.000 90.095 631.270 90.265 ;
+        RECT 42.555 89.325 43.765 90.075 ;
+        RECT 43.935 89.325 49.280 90.095 ;
+        RECT 49.455 89.325 631.270 90.095 ;
+        RECT 42.470 89.155 631.270 89.325 ;
+        RECT 42.555 88.405 43.765 89.155 ;
+        RECT 42.555 87.865 43.075 88.405 ;
+        RECT 43.935 88.385 49.280 89.155 ;
+        RECT 49.455 88.385 631.270 89.155 ;
+        RECT 43.245 87.695 43.765 88.235 ;
+        RECT 43.935 87.865 46.515 88.385 ;
+        RECT 46.685 87.695 49.280 88.215 ;
+        RECT 49.455 87.865 52.035 88.385 ;
+        RECT 54.000 88.215 631.270 88.385 ;
+        RECT 52.205 87.695 631.270 88.215 ;
+        RECT 42.555 86.605 43.765 87.695 ;
+        RECT 43.935 86.605 49.280 87.695 ;
+        RECT 49.455 86.605 631.270 87.695 ;
+        RECT 42.470 86.435 631.270 86.605 ;
+        RECT 42.555 85.345 43.765 86.435 ;
+        RECT 43.935 85.345 49.280 86.435 ;
+        RECT 49.455 85.345 631.270 86.435 ;
+        RECT 42.555 84.635 43.075 85.175 ;
+        RECT 43.245 84.805 43.765 85.345 ;
+        RECT 43.935 84.655 46.515 85.175 ;
+        RECT 46.685 84.825 49.280 85.345 ;
+        RECT 49.455 84.655 52.035 85.175 ;
+        RECT 52.205 84.825 631.270 85.345 ;
+        RECT 54.000 84.655 631.270 84.825 ;
+        RECT 42.555 83.885 43.765 84.635 ;
+        RECT 43.935 83.885 49.280 84.655 ;
+        RECT 49.455 83.885 631.270 84.655 ;
+        RECT 42.470 83.715 631.270 83.885 ;
+        RECT 42.555 82.965 43.765 83.715 ;
+        RECT 42.555 82.425 43.075 82.965 ;
+        RECT 43.935 82.945 49.280 83.715 ;
+        RECT 49.455 82.945 631.270 83.715 ;
+        RECT 43.245 82.255 43.765 82.795 ;
+        RECT 43.935 82.425 46.515 82.945 ;
+        RECT 46.685 82.255 49.280 82.775 ;
+        RECT 49.455 82.425 52.035 82.945 ;
+        RECT 54.000 82.775 631.270 82.945 ;
+        RECT 52.205 82.255 631.270 82.775 ;
+        RECT 42.555 81.165 43.765 82.255 ;
+        RECT 43.935 81.165 49.280 82.255 ;
+        RECT 49.455 81.165 631.270 82.255 ;
+        RECT 42.470 80.995 631.270 81.165 ;
+        RECT 42.555 79.905 43.765 80.995 ;
+        RECT 43.935 79.905 49.280 80.995 ;
+        RECT 49.455 79.905 631.270 80.995 ;
+        RECT 42.555 79.195 43.075 79.735 ;
+        RECT 43.245 79.365 43.765 79.905 ;
+        RECT 43.935 79.215 46.515 79.735 ;
+        RECT 46.685 79.385 49.280 79.905 ;
+        RECT 49.455 79.215 52.035 79.735 ;
+        RECT 52.205 79.385 631.270 79.905 ;
+        RECT 54.000 79.215 631.270 79.385 ;
+        RECT 42.555 78.445 43.765 79.195 ;
+        RECT 43.935 78.445 49.280 79.215 ;
+        RECT 49.455 78.445 631.270 79.215 ;
+        RECT 42.470 78.275 631.270 78.445 ;
+        RECT 42.555 77.525 43.765 78.275 ;
+        RECT 42.555 76.985 43.075 77.525 ;
+        RECT 43.935 77.505 49.280 78.275 ;
+        RECT 49.455 77.505 631.270 78.275 ;
+        RECT 43.245 76.815 43.765 77.355 ;
+        RECT 43.935 76.985 46.515 77.505 ;
+        RECT 46.685 76.815 49.280 77.335 ;
+        RECT 49.455 76.985 52.035 77.505 ;
+        RECT 54.000 77.335 631.270 77.505 ;
+        RECT 52.205 76.815 631.270 77.335 ;
+        RECT 42.555 75.725 43.765 76.815 ;
+        RECT 43.935 75.725 49.280 76.815 ;
+        RECT 49.455 75.725 631.270 76.815 ;
+        RECT 42.470 75.555 631.270 75.725 ;
+        RECT 42.555 74.465 43.765 75.555 ;
+        RECT 43.935 74.465 49.280 75.555 ;
+        RECT 49.455 74.465 631.270 75.555 ;
+        RECT 42.555 73.755 43.075 74.295 ;
+        RECT 43.245 73.925 43.765 74.465 ;
+        RECT 43.935 73.775 46.515 74.295 ;
+        RECT 46.685 73.945 49.280 74.465 ;
+        RECT 49.455 73.775 52.035 74.295 ;
+        RECT 52.205 73.945 631.270 74.465 ;
+        RECT 54.000 73.775 631.270 73.945 ;
+        RECT 42.555 73.005 43.765 73.755 ;
+        RECT 43.935 73.005 49.280 73.775 ;
+        RECT 49.455 73.005 631.270 73.775 ;
+        RECT 42.470 72.835 631.270 73.005 ;
+        RECT 42.555 72.085 43.765 72.835 ;
+        RECT 42.555 71.545 43.075 72.085 ;
+        RECT 43.935 72.065 49.280 72.835 ;
+        RECT 49.455 72.065 631.270 72.835 ;
+        RECT 43.245 71.375 43.765 71.915 ;
+        RECT 43.935 71.545 46.515 72.065 ;
+        RECT 46.685 71.375 49.280 71.895 ;
+        RECT 49.455 71.545 52.035 72.065 ;
+        RECT 54.000 71.895 631.270 72.065 ;
+        RECT 52.205 71.375 631.270 71.895 ;
+        RECT 42.555 70.285 43.765 71.375 ;
+        RECT 43.935 70.285 49.280 71.375 ;
+        RECT 49.455 70.285 631.270 71.375 ;
+        RECT 42.470 70.115 631.270 70.285 ;
+        RECT 42.555 69.025 43.765 70.115 ;
+        RECT 43.935 69.025 49.280 70.115 ;
+        RECT 49.455 69.025 631.270 70.115 ;
+        RECT 42.555 68.315 43.075 68.855 ;
+        RECT 43.245 68.485 43.765 69.025 ;
+        RECT 43.935 68.335 46.515 68.855 ;
+        RECT 46.685 68.505 49.280 69.025 ;
+        RECT 49.455 68.335 52.035 68.855 ;
+        RECT 52.205 68.505 631.270 69.025 ;
+        RECT 54.000 68.335 631.270 68.505 ;
+        RECT 42.555 67.565 43.765 68.315 ;
+        RECT 43.935 67.565 49.280 68.335 ;
+        RECT 49.455 67.565 631.270 68.335 ;
+        RECT 42.470 67.395 631.270 67.565 ;
+        RECT 42.555 66.645 43.765 67.395 ;
+        RECT 42.555 66.105 43.075 66.645 ;
+        RECT 43.935 66.625 49.280 67.395 ;
+        RECT 49.455 66.625 631.270 67.395 ;
+        RECT 43.245 65.935 43.765 66.475 ;
+        RECT 43.935 66.105 46.515 66.625 ;
+        RECT 46.685 65.935 49.280 66.455 ;
+        RECT 49.455 66.105 52.035 66.625 ;
+        RECT 54.000 66.455 631.270 66.625 ;
+        RECT 52.205 65.935 631.270 66.455 ;
+        RECT 42.555 64.845 43.765 65.935 ;
+        RECT 43.935 64.845 49.280 65.935 ;
+        RECT 49.455 64.845 631.270 65.935 ;
+        RECT 42.470 64.675 631.270 64.845 ;
+        RECT 42.555 63.585 43.765 64.675 ;
+        RECT 43.935 63.585 49.280 64.675 ;
+        RECT 49.455 63.585 631.270 64.675 ;
+        RECT 42.555 62.875 43.075 63.415 ;
+        RECT 43.245 63.045 43.765 63.585 ;
+        RECT 43.935 62.895 46.515 63.415 ;
+        RECT 46.685 63.065 49.280 63.585 ;
+        RECT 49.455 62.895 52.035 63.415 ;
+        RECT 52.205 63.065 631.270 63.585 ;
+        RECT 54.000 62.895 631.270 63.065 ;
+        RECT 42.555 62.125 43.765 62.875 ;
+        RECT 43.935 62.125 49.280 62.895 ;
+        RECT 49.455 62.125 631.270 62.895 ;
+        RECT 42.470 61.955 631.270 62.125 ;
+        RECT 42.555 61.205 43.765 61.955 ;
+        RECT 42.555 60.665 43.075 61.205 ;
+        RECT 43.935 61.185 49.280 61.955 ;
+        RECT 49.455 61.185 631.270 61.955 ;
+        RECT 43.245 60.495 43.765 61.035 ;
+        RECT 43.935 60.665 46.515 61.185 ;
+        RECT 46.685 60.495 49.280 61.015 ;
+        RECT 49.455 60.665 52.035 61.185 ;
+        RECT 54.000 61.015 631.270 61.185 ;
+        RECT 52.205 60.495 631.270 61.015 ;
+        RECT 42.555 59.405 43.765 60.495 ;
+        RECT 43.935 59.405 49.280 60.495 ;
+        RECT 49.455 59.405 631.270 60.495 ;
+        RECT 42.470 59.235 631.270 59.405 ;
+        RECT 42.555 58.145 43.765 59.235 ;
+        RECT 43.935 58.145 49.280 59.235 ;
+        RECT 49.455 58.145 631.270 59.235 ;
+        RECT 42.555 57.435 43.075 57.975 ;
+        RECT 43.245 57.605 43.765 58.145 ;
+        RECT 43.935 57.455 46.515 57.975 ;
+        RECT 46.685 57.625 49.280 58.145 ;
+        RECT 49.455 57.455 52.035 57.975 ;
+        RECT 52.205 57.625 631.270 58.145 ;
+        RECT 54.000 57.455 631.270 57.625 ;
+        RECT 42.555 56.685 43.765 57.435 ;
+        RECT 43.935 56.685 49.280 57.455 ;
+        RECT 49.455 56.685 631.270 57.455 ;
+        RECT 42.470 56.515 631.270 56.685 ;
+        RECT 42.555 55.765 43.765 56.515 ;
+        RECT 42.555 55.225 43.075 55.765 ;
+        RECT 43.935 55.745 49.280 56.515 ;
+        RECT 49.455 55.745 631.270 56.515 ;
+        RECT 43.245 55.055 43.765 55.595 ;
+        RECT 43.935 55.225 46.515 55.745 ;
+        RECT 46.685 55.055 49.280 55.575 ;
+        RECT 49.455 55.225 52.035 55.745 ;
+        RECT 54.000 55.575 631.270 55.745 ;
+        RECT 52.205 55.055 631.270 55.575 ;
+        RECT 42.555 53.965 43.765 55.055 ;
+        RECT 43.935 53.965 49.280 55.055 ;
+        RECT 49.455 54.000 631.270 55.055 ;
+        RECT 49.455 53.965 54.800 54.000 ;
+        RECT 54.975 53.965 56.645 54.000 ;
+        RECT 56.815 53.965 57.105 54.000 ;
+        RECT 57.275 53.965 62.620 54.000 ;
+        RECT 62.795 53.965 68.140 54.000 ;
+        RECT 68.315 53.965 73.660 54.000 ;
+        RECT 73.835 53.965 79.180 54.000 ;
+        RECT 79.855 53.965 80.085 54.000 ;
+        RECT 80.755 53.965 80.965 54.000 ;
+        RECT 81.195 53.965 84.705 54.000 ;
+        RECT 84.875 53.965 85.165 54.000 ;
+        RECT 86.225 53.965 86.555 54.000 ;
+        RECT 87.150 53.965 87.415 54.000 ;
+        RECT 89.320 53.965 89.490 54.000 ;
+        RECT 91.200 53.965 91.415 54.000 ;
+        RECT 92.335 53.965 92.515 54.000 ;
+        RECT 93.210 53.965 93.380 54.000 ;
+        RECT 94.050 53.965 94.220 54.000 ;
+        RECT 94.535 53.965 99.880 54.000 ;
+        RECT 100.945 53.965 101.275 54.000 ;
+        RECT 101.870 53.965 102.135 54.000 ;
+        RECT 104.040 53.965 104.210 54.000 ;
+        RECT 105.920 53.965 106.135 54.000 ;
+        RECT 107.055 53.965 107.235 54.000 ;
+        RECT 107.930 53.965 108.100 54.000 ;
+        RECT 108.770 53.965 108.940 54.000 ;
+        RECT 109.255 53.965 112.765 54.000 ;
+        RECT 112.935 53.965 113.225 54.000 ;
+        RECT 113.825 53.965 114.155 54.000 ;
+        RECT 114.750 53.965 115.015 54.000 ;
+        RECT 116.920 53.965 117.090 54.000 ;
+        RECT 118.800 53.965 119.015 54.000 ;
+        RECT 119.935 53.965 120.115 54.000 ;
+        RECT 120.810 53.965 120.980 54.000 ;
+        RECT 121.650 53.965 121.820 54.000 ;
+        RECT 122.135 53.965 127.480 54.000 ;
+        RECT 127.655 53.965 129.325 54.000 ;
+        RECT 130.425 53.965 130.675 54.000 ;
+        RECT 131.265 53.965 131.515 54.000 ;
+        RECT 133.045 53.965 133.295 54.000 ;
+        RECT 134.725 53.965 134.975 54.000 ;
+        RECT 135.565 53.965 135.815 54.000 ;
+        RECT 136.405 53.965 136.655 54.000 ;
+        RECT 137.315 53.965 140.825 54.000 ;
+        RECT 140.995 53.965 141.285 54.000 ;
+        RECT 141.885 53.965 142.215 54.000 ;
+        RECT 142.810 53.965 143.075 54.000 ;
+        RECT 144.980 53.965 145.150 54.000 ;
+        RECT 146.860 53.965 147.075 54.000 ;
+        RECT 147.995 53.965 148.175 54.000 ;
+        RECT 148.870 53.965 149.040 54.000 ;
+        RECT 149.710 53.965 149.880 54.000 ;
+        RECT 150.195 53.965 153.705 54.000 ;
+        RECT 154.305 53.965 154.635 54.000 ;
+        RECT 155.230 53.965 155.495 54.000 ;
+        RECT 157.400 53.965 157.570 54.000 ;
+        RECT 159.280 53.965 159.495 54.000 ;
+        RECT 160.415 53.965 160.595 54.000 ;
+        RECT 161.290 53.965 161.460 54.000 ;
+        RECT 162.130 53.965 162.300 54.000 ;
+        RECT 162.615 53.965 167.960 54.000 ;
+        RECT 169.055 53.965 169.345 54.000 ;
+        RECT 170.425 53.965 170.755 54.000 ;
+        RECT 171.265 53.965 171.515 54.000 ;
+        RECT 174.115 53.965 177.625 54.000 ;
+        RECT 178.225 53.965 178.555 54.000 ;
+        RECT 179.150 53.965 179.415 54.000 ;
+        RECT 181.320 53.965 181.490 54.000 ;
+        RECT 183.200 53.965 183.415 54.000 ;
+        RECT 184.335 53.965 184.515 54.000 ;
+        RECT 185.210 53.965 185.380 54.000 ;
+        RECT 186.050 53.965 186.220 54.000 ;
+        RECT 186.535 53.965 190.045 54.000 ;
+        RECT 191.190 53.965 191.360 54.000 ;
+        RECT 192.070 53.965 192.240 54.000 ;
+        RECT 192.910 53.965 193.080 54.000 ;
+        RECT 193.435 53.965 196.945 54.000 ;
+        RECT 197.115 53.965 197.405 54.000 ;
+        RECT 197.575 53.965 199.245 54.000 ;
+        RECT 200.305 53.965 200.635 54.000 ;
+        RECT 201.230 53.965 201.495 54.000 ;
+        RECT 203.400 53.965 203.570 54.000 ;
+        RECT 205.280 53.965 205.495 54.000 ;
+        RECT 206.415 53.965 206.595 54.000 ;
+        RECT 207.290 53.965 207.460 54.000 ;
+        RECT 208.130 53.965 208.300 54.000 ;
+        RECT 208.615 53.965 212.125 54.000 ;
+        RECT 212.765 53.965 213.015 54.000 ;
+        RECT 213.605 53.965 213.855 54.000 ;
+        RECT 215.385 53.965 215.635 54.000 ;
+        RECT 217.065 53.965 217.315 54.000 ;
+        RECT 217.905 53.965 218.155 54.000 ;
+        RECT 218.745 53.965 218.995 54.000 ;
+        RECT 219.655 53.965 225.000 54.000 ;
+        RECT 225.175 53.965 225.465 54.000 ;
+        RECT 225.670 53.965 225.955 54.000 ;
+        RECT 226.530 53.965 226.860 54.000 ;
+        RECT 229.180 53.965 229.460 54.000 ;
+        RECT 230.010 53.965 230.340 54.000 ;
+        RECT 230.870 53.965 231.200 54.000 ;
+        RECT 231.615 53.965 235.125 54.000 ;
+        RECT 235.335 53.965 235.565 54.000 ;
+        RECT 236.235 53.965 236.445 54.000 ;
+        RECT 236.675 53.965 240.185 54.000 ;
+        RECT 240.825 53.965 241.075 54.000 ;
+        RECT 241.665 53.965 241.915 54.000 ;
+        RECT 243.445 53.965 243.695 54.000 ;
+        RECT 245.125 53.965 245.375 54.000 ;
+        RECT 245.965 53.965 246.215 54.000 ;
+        RECT 246.805 53.965 247.055 54.000 ;
+        RECT 247.715 53.965 253.060 54.000 ;
+        RECT 253.235 53.965 253.525 54.000 ;
+        RECT 254.165 53.965 254.415 54.000 ;
+        RECT 255.005 53.965 255.255 54.000 ;
+        RECT 256.785 53.965 257.035 54.000 ;
+        RECT 258.465 53.965 258.715 54.000 ;
+        RECT 259.305 53.965 259.555 54.000 ;
+        RECT 260.145 53.965 260.395 54.000 ;
+        RECT 261.055 53.965 264.565 54.000 ;
+        RECT 265.210 53.965 265.540 54.000 ;
+        RECT 266.140 53.965 266.400 54.000 ;
+        RECT 266.575 53.965 270.085 54.000 ;
+        RECT 270.725 53.965 270.975 54.000 ;
+        RECT 271.565 53.965 271.815 54.000 ;
+        RECT 273.345 53.965 273.595 54.000 ;
+        RECT 275.025 53.965 275.275 54.000 ;
+        RECT 275.865 53.965 276.115 54.000 ;
+        RECT 276.705 53.965 276.955 54.000 ;
+        RECT 277.615 53.965 281.125 54.000 ;
+        RECT 281.295 53.965 281.585 54.000 ;
+        RECT 281.755 53.965 284.345 54.000 ;
+        RECT 284.985 53.965 285.235 54.000 ;
+        RECT 285.825 53.965 286.075 54.000 ;
+        RECT 287.605 53.965 287.855 54.000 ;
+        RECT 289.285 53.965 289.535 54.000 ;
+        RECT 290.125 53.965 290.375 54.000 ;
+        RECT 290.965 53.965 291.215 54.000 ;
+        RECT 291.875 53.965 297.220 54.000 ;
+        RECT 298.785 53.965 299.035 54.000 ;
+        RECT 299.625 53.965 299.875 54.000 ;
+        RECT 301.405 53.965 301.655 54.000 ;
+        RECT 303.085 53.965 303.335 54.000 ;
+        RECT 303.925 53.965 304.175 54.000 ;
+        RECT 304.765 53.965 305.015 54.000 ;
+        RECT 305.675 53.965 309.185 54.000 ;
+        RECT 309.355 53.965 309.645 54.000 ;
+        RECT 310.285 53.965 310.535 54.000 ;
+        RECT 311.125 53.965 311.375 54.000 ;
+        RECT 312.905 53.965 313.155 54.000 ;
+        RECT 314.585 53.965 314.835 54.000 ;
+        RECT 315.425 53.965 315.675 54.000 ;
+        RECT 316.265 53.965 316.515 54.000 ;
+        RECT 317.175 53.965 322.520 54.000 ;
+        RECT 322.695 53.965 324.365 54.000 ;
+        RECT 325.465 53.965 325.715 54.000 ;
+        RECT 326.305 53.965 326.555 54.000 ;
+        RECT 328.085 53.965 328.335 54.000 ;
+        RECT 329.765 53.965 330.015 54.000 ;
+        RECT 330.605 53.965 330.855 54.000 ;
+        RECT 331.445 53.965 331.695 54.000 ;
+        RECT 332.355 53.965 335.865 54.000 ;
+        RECT 336.035 53.965 337.245 54.000 ;
+        RECT 337.415 53.965 337.705 54.000 ;
+        RECT 337.915 53.965 338.145 54.000 ;
+        RECT 338.815 53.965 339.025 54.000 ;
+        RECT 339.255 53.965 342.765 54.000 ;
+        RECT 343.865 53.965 344.115 54.000 ;
+        RECT 344.705 53.965 344.955 54.000 ;
+        RECT 346.485 53.965 346.735 54.000 ;
+        RECT 348.165 53.965 348.415 54.000 ;
+        RECT 349.005 53.965 349.255 54.000 ;
+        RECT 349.845 53.965 350.095 54.000 ;
+        RECT 350.755 53.965 354.265 54.000 ;
+        RECT 354.905 53.965 355.155 54.000 ;
+        RECT 355.745 53.965 355.995 54.000 ;
+        RECT 357.525 53.965 357.775 54.000 ;
+        RECT 359.205 53.965 359.455 54.000 ;
+        RECT 360.045 53.965 360.295 54.000 ;
+        RECT 360.885 53.965 361.135 54.000 ;
+        RECT 361.795 53.965 365.305 54.000 ;
+        RECT 365.475 53.965 365.765 54.000 ;
+        RECT 366.385 53.965 366.715 54.000 ;
+        RECT 367.225 53.965 367.475 54.000 ;
+        RECT 370.075 53.965 373.585 54.000 ;
+        RECT 373.755 53.965 374.965 54.000 ;
+        RECT 375.605 53.965 375.855 54.000 ;
+        RECT 376.445 53.965 376.695 54.000 ;
+        RECT 378.225 53.965 378.475 54.000 ;
+        RECT 379.905 53.965 380.155 54.000 ;
+        RECT 380.745 53.965 380.995 54.000 ;
+        RECT 381.585 53.965 381.835 54.000 ;
+        RECT 382.495 53.965 387.840 54.000 ;
+        RECT 388.015 53.965 393.360 54.000 ;
+        RECT 393.535 53.965 393.825 54.000 ;
+        RECT 393.995 53.965 399.340 54.000 ;
+        RECT 399.515 53.965 404.860 54.000 ;
+        RECT 405.035 53.965 410.380 54.000 ;
+        RECT 410.555 53.965 415.900 54.000 ;
+        RECT 416.075 53.965 421.420 54.000 ;
+        RECT 421.595 53.965 421.885 54.000 ;
+        RECT 422.055 53.965 427.400 54.000 ;
+        RECT 427.575 53.965 432.920 54.000 ;
+        RECT 433.095 53.965 438.440 54.000 ;
+        RECT 438.615 53.965 443.960 54.000 ;
+        RECT 444.135 53.965 449.480 54.000 ;
+        RECT 449.655 53.965 449.945 54.000 ;
+        RECT 450.115 53.965 455.460 54.000 ;
+        RECT 455.635 53.965 460.980 54.000 ;
+        RECT 461.155 53.965 466.500 54.000 ;
+        RECT 466.675 53.965 472.020 54.000 ;
+        RECT 472.195 53.965 477.540 54.000 ;
+        RECT 477.715 53.965 478.005 54.000 ;
+        RECT 478.175 53.965 483.520 54.000 ;
+        RECT 483.695 53.965 489.040 54.000 ;
+        RECT 489.215 53.965 494.560 54.000 ;
+        RECT 494.735 53.965 500.080 54.000 ;
+        RECT 500.255 53.965 505.600 54.000 ;
+        RECT 505.775 53.965 506.065 54.000 ;
+        RECT 506.235 53.965 511.580 54.000 ;
+        RECT 511.755 53.965 517.100 54.000 ;
+        RECT 517.275 53.965 522.620 54.000 ;
+        RECT 522.795 53.965 528.140 54.000 ;
+        RECT 528.315 53.965 533.660 54.000 ;
+        RECT 533.835 53.965 534.125 54.000 ;
+        RECT 534.295 53.965 539.640 54.000 ;
+        RECT 539.815 53.965 545.160 54.000 ;
+        RECT 545.335 53.965 550.680 54.000 ;
+        RECT 550.855 53.965 556.200 54.000 ;
+        RECT 556.375 53.965 561.720 54.000 ;
+        RECT 561.895 53.965 562.185 54.000 ;
+        RECT 562.355 53.965 567.700 54.000 ;
+        RECT 567.875 53.965 573.220 54.000 ;
+        RECT 573.395 53.965 578.740 54.000 ;
+        RECT 578.915 53.965 584.260 54.000 ;
+        RECT 584.435 53.965 589.780 54.000 ;
+        RECT 589.955 53.965 590.245 54.000 ;
+        RECT 590.415 53.965 595.760 54.000 ;
+        RECT 595.935 53.965 601.280 54.000 ;
+        RECT 601.455 53.965 606.800 54.000 ;
+        RECT 606.975 53.965 612.320 54.000 ;
+        RECT 612.495 53.965 617.840 54.000 ;
+        RECT 618.015 53.965 618.305 54.000 ;
+        RECT 618.475 53.965 623.820 54.000 ;
+        RECT 623.995 53.965 629.340 54.000 ;
+        RECT 629.975 53.965 631.185 54.000 ;
+        RECT 42.470 53.795 631.270 53.965 ;
+        RECT 42.555 52.705 43.765 53.795 ;
+        RECT 43.935 52.705 49.280 53.795 ;
+        RECT 49.455 52.705 54.800 53.795 ;
+        RECT 54.975 52.705 60.320 53.795 ;
+        RECT 60.495 52.705 65.840 53.795 ;
+        RECT 66.015 52.705 69.525 53.795 ;
+        RECT 42.555 51.995 43.075 52.535 ;
+        RECT 43.245 52.165 43.765 52.705 ;
+        RECT 43.935 52.015 46.515 52.535 ;
+        RECT 46.685 52.185 49.280 52.705 ;
+        RECT 49.455 52.015 52.035 52.535 ;
+        RECT 52.205 52.185 54.800 52.705 ;
+        RECT 54.975 52.015 57.555 52.535 ;
+        RECT 57.725 52.185 60.320 52.705 ;
+        RECT 60.495 52.015 63.075 52.535 ;
+        RECT 63.245 52.185 65.840 52.705 ;
+        RECT 66.015 52.015 67.665 52.535 ;
+        RECT 67.835 52.185 69.525 52.705 ;
+        RECT 70.615 52.630 70.905 53.795 ;
+        RECT 71.075 52.705 76.420 53.795 ;
+        RECT 71.075 52.015 73.655 52.535 ;
+        RECT 73.825 52.185 76.420 52.705 ;
+        RECT 77.555 52.655 77.785 53.795 ;
+        RECT 77.955 52.645 78.285 53.625 ;
+        RECT 78.455 52.655 78.665 53.795 ;
+        RECT 78.895 52.705 82.405 53.795 ;
+        RECT 82.665 53.125 82.835 53.625 ;
+        RECT 83.005 53.295 83.335 53.795 ;
+        RECT 82.665 52.955 83.330 53.125 ;
+        RECT 77.535 52.235 77.865 52.485 ;
+        RECT 42.555 51.245 43.765 51.995 ;
+        RECT 43.935 51.245 49.280 52.015 ;
+        RECT 49.455 51.245 54.800 52.015 ;
+        RECT 54.975 51.245 60.320 52.015 ;
+        RECT 60.495 51.245 65.840 52.015 ;
+        RECT 66.015 51.245 69.525 52.015 ;
+        RECT 70.615 51.245 70.905 51.970 ;
+        RECT 71.075 51.245 76.420 52.015 ;
+        RECT 77.555 51.245 77.785 52.065 ;
+        RECT 78.035 52.045 78.285 52.645 ;
+        RECT 77.955 51.415 78.285 52.045 ;
+        RECT 78.455 51.245 78.665 52.065 ;
+        RECT 78.895 52.015 80.545 52.535 ;
+        RECT 80.715 52.185 82.405 52.705 ;
+        RECT 82.580 52.135 82.930 52.785 ;
+        RECT 78.895 51.245 82.405 52.015 ;
+        RECT 83.100 51.965 83.330 52.955 ;
+        RECT 82.665 51.795 83.330 51.965 ;
+        RECT 82.665 51.505 82.835 51.795 ;
+        RECT 83.005 51.245 83.335 51.625 ;
+        RECT 83.505 51.505 83.730 53.625 ;
+        RECT 83.930 53.335 84.195 53.795 ;
+        RECT 84.380 53.225 84.615 53.600 ;
+        RECT 84.860 53.350 85.930 53.520 ;
+        RECT 83.930 52.225 84.210 52.825 ;
+        RECT 83.945 51.245 84.195 51.705 ;
+        RECT 84.380 51.695 84.550 53.225 ;
+        RECT 84.720 52.195 84.960 53.065 ;
+        RECT 85.150 52.815 85.590 53.170 ;
+        RECT 85.760 52.735 85.930 53.350 ;
+        RECT 86.100 52.995 86.270 53.795 ;
+        RECT 86.440 53.295 86.690 53.625 ;
+        RECT 86.915 53.325 87.800 53.495 ;
+        RECT 85.760 52.645 86.270 52.735 ;
+        RECT 85.470 52.475 86.270 52.645 ;
+        RECT 84.720 51.865 85.300 52.195 ;
+        RECT 85.470 51.695 85.640 52.475 ;
+        RECT 86.100 52.405 86.270 52.475 ;
+        RECT 85.810 52.225 85.980 52.255 ;
+        RECT 86.440 52.225 86.610 53.295 ;
+        RECT 86.780 52.405 86.970 53.125 ;
+        RECT 87.140 52.735 87.460 53.065 ;
+        RECT 85.810 51.925 86.610 52.225 ;
+        RECT 87.140 52.195 87.330 52.735 ;
+        RECT 84.380 51.525 84.710 51.695 ;
+        RECT 84.890 51.525 85.640 51.695 ;
+        RECT 85.890 51.245 86.260 51.745 ;
+        RECT 86.440 51.695 86.610 51.925 ;
+        RECT 86.780 51.865 87.330 52.195 ;
+        RECT 87.630 52.405 87.800 53.325 ;
+        RECT 87.980 53.295 88.195 53.795 ;
+        RECT 88.660 52.990 88.830 53.615 ;
+        RECT 89.115 53.015 89.295 53.795 ;
+        RECT 87.970 52.830 88.830 52.990 ;
+        RECT 87.970 52.660 89.080 52.830 ;
+        RECT 88.910 52.405 89.080 52.660 ;
+        RECT 89.475 52.795 89.810 53.555 ;
+        RECT 89.990 52.965 90.160 53.795 ;
+        RECT 90.330 52.795 90.660 53.555 ;
+        RECT 90.830 52.965 91.000 53.795 ;
+        RECT 89.475 52.625 91.145 52.795 ;
+        RECT 91.315 52.705 96.660 53.795 ;
+        RECT 96.835 52.705 98.505 53.795 ;
+        RECT 87.630 52.235 88.720 52.405 ;
+        RECT 88.910 52.235 90.730 52.405 ;
+        RECT 87.630 51.695 87.800 52.235 ;
+        RECT 88.910 52.065 89.080 52.235 ;
+        RECT 88.580 51.895 89.080 52.065 ;
+        RECT 90.900 52.060 91.145 52.625 ;
+        RECT 86.440 51.525 86.900 51.695 ;
+        RECT 87.130 51.525 87.800 51.695 ;
+        RECT 88.115 51.245 88.285 51.775 ;
+        RECT 88.580 51.455 88.940 51.895 ;
+        RECT 89.475 51.890 91.145 52.060 ;
+        RECT 91.315 52.015 93.895 52.535 ;
+        RECT 94.065 52.185 96.660 52.705 ;
+        RECT 96.835 52.015 97.585 52.535 ;
+        RECT 97.755 52.185 98.505 52.705 ;
+        RECT 98.675 52.630 98.965 53.795 ;
+        RECT 99.225 53.125 99.395 53.625 ;
+        RECT 99.565 53.295 99.895 53.795 ;
+        RECT 99.225 52.955 99.890 53.125 ;
+        RECT 99.140 52.135 99.490 52.785 ;
+        RECT 89.115 51.245 89.285 51.725 ;
+        RECT 89.475 51.465 89.810 51.890 ;
+        RECT 89.985 51.245 90.155 51.720 ;
+        RECT 90.330 51.465 90.665 51.890 ;
+        RECT 90.835 51.245 91.005 51.720 ;
+        RECT 91.315 51.245 96.660 52.015 ;
+        RECT 96.835 51.245 98.505 52.015 ;
+        RECT 98.675 51.245 98.965 51.970 ;
+        RECT 99.660 51.965 99.890 52.955 ;
+        RECT 99.225 51.795 99.890 51.965 ;
+        RECT 99.225 51.505 99.395 51.795 ;
+        RECT 99.565 51.245 99.895 51.625 ;
+        RECT 100.065 51.505 100.290 53.625 ;
+        RECT 100.490 53.335 100.755 53.795 ;
+        RECT 100.940 53.225 101.175 53.600 ;
+        RECT 101.420 53.350 102.490 53.520 ;
+        RECT 100.490 52.225 100.770 52.825 ;
+        RECT 100.505 51.245 100.755 51.705 ;
+        RECT 100.940 51.695 101.110 53.225 ;
+        RECT 101.280 52.195 101.520 53.065 ;
+        RECT 101.710 52.815 102.150 53.170 ;
+        RECT 102.320 52.735 102.490 53.350 ;
+        RECT 102.660 52.995 102.830 53.795 ;
+        RECT 103.000 53.295 103.250 53.625 ;
+        RECT 103.475 53.325 104.360 53.495 ;
+        RECT 102.320 52.645 102.830 52.735 ;
+        RECT 102.030 52.475 102.830 52.645 ;
+        RECT 101.280 51.865 101.860 52.195 ;
+        RECT 102.030 51.695 102.200 52.475 ;
+        RECT 102.660 52.405 102.830 52.475 ;
+        RECT 102.370 52.225 102.540 52.255 ;
+        RECT 103.000 52.225 103.170 53.295 ;
+        RECT 103.340 52.405 103.530 53.125 ;
+        RECT 103.700 52.735 104.020 53.065 ;
+        RECT 102.370 51.925 103.170 52.225 ;
+        RECT 103.700 52.195 103.890 52.735 ;
+        RECT 100.940 51.525 101.270 51.695 ;
+        RECT 101.450 51.525 102.200 51.695 ;
+        RECT 102.450 51.245 102.820 51.745 ;
+        RECT 103.000 51.695 103.170 51.925 ;
+        RECT 103.340 51.865 103.890 52.195 ;
+        RECT 104.190 52.405 104.360 53.325 ;
+        RECT 104.540 53.295 104.755 53.795 ;
+        RECT 105.220 52.990 105.390 53.615 ;
+        RECT 105.675 53.015 105.855 53.795 ;
+        RECT 104.530 52.830 105.390 52.990 ;
+        RECT 104.530 52.660 105.640 52.830 ;
+        RECT 105.470 52.405 105.640 52.660 ;
+        RECT 106.035 52.795 106.370 53.555 ;
+        RECT 106.550 52.965 106.720 53.795 ;
+        RECT 106.890 52.795 107.220 53.555 ;
+        RECT 107.390 52.965 107.560 53.795 ;
+        RECT 106.035 52.625 107.705 52.795 ;
+        RECT 107.875 52.705 111.385 53.795 ;
+        RECT 111.645 53.125 111.815 53.625 ;
+        RECT 111.985 53.295 112.315 53.795 ;
+        RECT 111.645 52.955 112.310 53.125 ;
+        RECT 104.190 52.235 105.280 52.405 ;
+        RECT 105.470 52.235 107.290 52.405 ;
+        RECT 104.190 51.695 104.360 52.235 ;
+        RECT 105.470 52.065 105.640 52.235 ;
+        RECT 105.140 51.895 105.640 52.065 ;
+        RECT 107.460 52.060 107.705 52.625 ;
+        RECT 103.000 51.525 103.460 51.695 ;
+        RECT 103.690 51.525 104.360 51.695 ;
+        RECT 104.675 51.245 104.845 51.775 ;
+        RECT 105.140 51.455 105.500 51.895 ;
+        RECT 106.035 51.890 107.705 52.060 ;
+        RECT 107.875 52.015 109.525 52.535 ;
+        RECT 109.695 52.185 111.385 52.705 ;
+        RECT 111.560 52.135 111.910 52.785 ;
+        RECT 105.675 51.245 105.845 51.725 ;
+        RECT 106.035 51.465 106.370 51.890 ;
+        RECT 106.545 51.245 106.715 51.720 ;
+        RECT 106.890 51.465 107.225 51.890 ;
+        RECT 107.395 51.245 107.565 51.720 ;
+        RECT 107.875 51.245 111.385 52.015 ;
+        RECT 112.080 51.965 112.310 52.955 ;
+        RECT 111.645 51.795 112.310 51.965 ;
+        RECT 111.645 51.505 111.815 51.795 ;
+        RECT 111.985 51.245 112.315 51.625 ;
+        RECT 112.485 51.505 112.710 53.625 ;
+        RECT 112.910 53.335 113.175 53.795 ;
+        RECT 113.360 53.225 113.595 53.600 ;
+        RECT 113.840 53.350 114.910 53.520 ;
+        RECT 112.910 52.225 113.190 52.825 ;
+        RECT 112.925 51.245 113.175 51.705 ;
+        RECT 113.360 51.695 113.530 53.225 ;
+        RECT 113.700 52.195 113.940 53.065 ;
+        RECT 114.130 52.815 114.570 53.170 ;
+        RECT 114.740 52.735 114.910 53.350 ;
+        RECT 115.080 52.995 115.250 53.795 ;
+        RECT 115.420 53.295 115.670 53.625 ;
+        RECT 115.895 53.325 116.780 53.495 ;
+        RECT 114.740 52.645 115.250 52.735 ;
+        RECT 114.450 52.475 115.250 52.645 ;
+        RECT 113.700 51.865 114.280 52.195 ;
+        RECT 114.450 51.695 114.620 52.475 ;
+        RECT 115.080 52.405 115.250 52.475 ;
+        RECT 114.790 52.225 114.960 52.255 ;
+        RECT 115.420 52.225 115.590 53.295 ;
+        RECT 115.760 52.405 115.950 53.125 ;
+        RECT 116.120 52.735 116.440 53.065 ;
+        RECT 114.790 51.925 115.590 52.225 ;
+        RECT 116.120 52.195 116.310 52.735 ;
+        RECT 113.360 51.525 113.690 51.695 ;
+        RECT 113.870 51.525 114.620 51.695 ;
+        RECT 114.870 51.245 115.240 51.745 ;
+        RECT 115.420 51.695 115.590 51.925 ;
+        RECT 115.760 51.865 116.310 52.195 ;
+        RECT 116.610 52.405 116.780 53.325 ;
+        RECT 116.960 53.295 117.175 53.795 ;
+        RECT 117.640 52.990 117.810 53.615 ;
+        RECT 118.095 53.015 118.275 53.795 ;
+        RECT 116.950 52.830 117.810 52.990 ;
+        RECT 116.950 52.660 118.060 52.830 ;
+        RECT 117.890 52.405 118.060 52.660 ;
+        RECT 118.455 52.795 118.790 53.555 ;
+        RECT 118.970 52.965 119.140 53.795 ;
+        RECT 119.310 52.795 119.640 53.555 ;
+        RECT 119.810 52.965 119.980 53.795 ;
+        RECT 118.455 52.625 120.125 52.795 ;
+        RECT 120.295 52.705 125.640 53.795 ;
+        RECT 116.610 52.235 117.700 52.405 ;
+        RECT 117.890 52.235 119.710 52.405 ;
+        RECT 116.610 51.695 116.780 52.235 ;
+        RECT 117.890 52.065 118.060 52.235 ;
+        RECT 117.560 51.895 118.060 52.065 ;
+        RECT 119.880 52.060 120.125 52.625 ;
+        RECT 115.420 51.525 115.880 51.695 ;
+        RECT 116.110 51.525 116.780 51.695 ;
+        RECT 117.095 51.245 117.265 51.775 ;
+        RECT 117.560 51.455 117.920 51.895 ;
+        RECT 118.455 51.890 120.125 52.060 ;
+        RECT 120.295 52.015 122.875 52.535 ;
+        RECT 123.045 52.185 125.640 52.705 ;
+        RECT 126.735 52.630 127.025 53.795 ;
+        RECT 127.285 53.125 127.455 53.625 ;
+        RECT 127.625 53.295 127.955 53.795 ;
+        RECT 127.285 52.955 127.950 53.125 ;
+        RECT 127.200 52.135 127.550 52.785 ;
+        RECT 118.095 51.245 118.265 51.725 ;
+        RECT 118.455 51.465 118.790 51.890 ;
+        RECT 118.965 51.245 119.135 51.720 ;
+        RECT 119.310 51.465 119.645 51.890 ;
+        RECT 119.815 51.245 119.985 51.720 ;
+        RECT 120.295 51.245 125.640 52.015 ;
+        RECT 126.735 51.245 127.025 51.970 ;
+        RECT 127.720 51.965 127.950 52.955 ;
+        RECT 127.285 51.795 127.950 51.965 ;
+        RECT 127.285 51.505 127.455 51.795 ;
+        RECT 127.625 51.245 127.955 51.625 ;
+        RECT 128.125 51.505 128.350 53.625 ;
+        RECT 128.550 53.335 128.815 53.795 ;
+        RECT 129.000 53.225 129.235 53.600 ;
+        RECT 129.480 53.350 130.550 53.520 ;
+        RECT 128.550 52.225 128.830 52.825 ;
+        RECT 128.565 51.245 128.815 51.705 ;
+        RECT 129.000 51.695 129.170 53.225 ;
+        RECT 129.340 52.195 129.580 53.065 ;
+        RECT 129.770 52.815 130.210 53.170 ;
+        RECT 130.380 52.735 130.550 53.350 ;
+        RECT 130.720 52.995 130.890 53.795 ;
+        RECT 131.060 53.295 131.310 53.625 ;
+        RECT 131.535 53.325 132.420 53.495 ;
+        RECT 130.380 52.645 130.890 52.735 ;
+        RECT 130.090 52.475 130.890 52.645 ;
+        RECT 129.340 51.865 129.920 52.195 ;
+        RECT 130.090 51.695 130.260 52.475 ;
+        RECT 130.720 52.405 130.890 52.475 ;
+        RECT 130.430 52.225 130.600 52.255 ;
+        RECT 131.060 52.225 131.230 53.295 ;
+        RECT 131.400 52.405 131.590 53.125 ;
+        RECT 131.760 52.735 132.080 53.065 ;
+        RECT 130.430 51.925 131.230 52.225 ;
+        RECT 131.760 52.195 131.950 52.735 ;
+        RECT 129.000 51.525 129.330 51.695 ;
+        RECT 129.510 51.525 130.260 51.695 ;
+        RECT 130.510 51.245 130.880 51.745 ;
+        RECT 131.060 51.695 131.230 51.925 ;
+        RECT 131.400 51.865 131.950 52.195 ;
+        RECT 132.250 52.405 132.420 53.325 ;
+        RECT 132.600 53.295 132.815 53.795 ;
+        RECT 133.280 52.990 133.450 53.615 ;
+        RECT 133.735 53.015 133.915 53.795 ;
+        RECT 132.590 52.830 133.450 52.990 ;
+        RECT 132.590 52.660 133.700 52.830 ;
+        RECT 133.530 52.405 133.700 52.660 ;
+        RECT 134.095 52.795 134.430 53.555 ;
+        RECT 134.610 52.965 134.780 53.795 ;
+        RECT 134.950 52.795 135.280 53.555 ;
+        RECT 135.450 52.965 135.620 53.795 ;
+        RECT 134.095 52.625 135.765 52.795 ;
+        RECT 135.935 52.705 139.445 53.795 ;
+        RECT 139.705 53.125 139.875 53.625 ;
+        RECT 140.045 53.295 140.375 53.795 ;
+        RECT 139.705 52.955 140.370 53.125 ;
+        RECT 134.155 52.605 134.325 52.625 ;
+        RECT 132.250 52.235 133.340 52.405 ;
+        RECT 133.530 52.235 135.350 52.405 ;
+        RECT 132.250 51.695 132.420 52.235 ;
+        RECT 133.530 52.065 133.700 52.235 ;
+        RECT 133.200 51.895 133.700 52.065 ;
+        RECT 135.520 52.060 135.765 52.625 ;
+        RECT 131.060 51.525 131.520 51.695 ;
+        RECT 131.750 51.525 132.420 51.695 ;
+        RECT 132.735 51.245 132.905 51.775 ;
+        RECT 133.200 51.455 133.560 51.895 ;
+        RECT 134.095 51.890 135.765 52.060 ;
+        RECT 135.935 52.015 137.585 52.535 ;
+        RECT 137.755 52.185 139.445 52.705 ;
+        RECT 139.620 52.135 139.970 52.785 ;
+        RECT 133.735 51.245 133.905 51.725 ;
+        RECT 134.095 51.465 134.430 51.890 ;
+        RECT 134.605 51.245 134.775 51.720 ;
+        RECT 134.950 51.465 135.285 51.890 ;
+        RECT 135.455 51.245 135.625 51.720 ;
+        RECT 135.935 51.245 139.445 52.015 ;
+        RECT 140.140 51.965 140.370 52.955 ;
+        RECT 139.705 51.795 140.370 51.965 ;
+        RECT 139.705 51.505 139.875 51.795 ;
+        RECT 140.045 51.245 140.375 51.625 ;
+        RECT 140.545 51.505 140.770 53.625 ;
+        RECT 140.970 53.335 141.235 53.795 ;
+        RECT 141.420 53.225 141.655 53.600 ;
+        RECT 141.900 53.350 142.970 53.520 ;
+        RECT 140.970 52.225 141.250 52.825 ;
+        RECT 140.985 51.245 141.235 51.705 ;
+        RECT 141.420 51.695 141.590 53.225 ;
+        RECT 141.760 52.195 142.000 53.065 ;
+        RECT 142.190 52.815 142.630 53.170 ;
+        RECT 142.800 52.735 142.970 53.350 ;
+        RECT 143.140 52.995 143.310 53.795 ;
+        RECT 143.480 53.295 143.730 53.625 ;
+        RECT 143.955 53.325 144.840 53.495 ;
+        RECT 142.800 52.645 143.310 52.735 ;
+        RECT 142.510 52.475 143.310 52.645 ;
+        RECT 141.760 51.865 142.340 52.195 ;
+        RECT 142.510 51.695 142.680 52.475 ;
+        RECT 143.140 52.405 143.310 52.475 ;
+        RECT 142.850 52.225 143.020 52.255 ;
+        RECT 143.480 52.225 143.650 53.295 ;
+        RECT 143.820 52.405 144.010 53.125 ;
+        RECT 144.180 52.735 144.500 53.065 ;
+        RECT 142.850 51.925 143.650 52.225 ;
+        RECT 144.180 52.195 144.370 52.735 ;
+        RECT 141.420 51.525 141.750 51.695 ;
+        RECT 141.930 51.525 142.680 51.695 ;
+        RECT 142.930 51.245 143.300 51.745 ;
+        RECT 143.480 51.695 143.650 51.925 ;
+        RECT 143.820 51.865 144.370 52.195 ;
+        RECT 144.670 52.405 144.840 53.325 ;
+        RECT 145.020 53.295 145.235 53.795 ;
+        RECT 145.700 52.990 145.870 53.615 ;
+        RECT 146.155 53.015 146.335 53.795 ;
+        RECT 145.010 52.830 145.870 52.990 ;
+        RECT 145.010 52.660 146.120 52.830 ;
+        RECT 145.950 52.405 146.120 52.660 ;
+        RECT 146.515 52.795 146.850 53.555 ;
+        RECT 147.030 52.965 147.200 53.795 ;
+        RECT 147.370 52.795 147.700 53.555 ;
+        RECT 147.870 52.965 148.040 53.795 ;
+        RECT 146.515 52.625 148.185 52.795 ;
+        RECT 148.355 52.705 153.700 53.795 ;
+        RECT 146.575 52.605 146.745 52.625 ;
+        RECT 144.670 52.235 145.760 52.405 ;
+        RECT 145.950 52.235 147.770 52.405 ;
+        RECT 144.670 51.695 144.840 52.235 ;
+        RECT 145.950 52.065 146.120 52.235 ;
+        RECT 145.620 51.895 146.120 52.065 ;
+        RECT 147.940 52.060 148.185 52.625 ;
+        RECT 143.480 51.525 143.940 51.695 ;
+        RECT 144.170 51.525 144.840 51.695 ;
+        RECT 145.155 51.245 145.325 51.775 ;
+        RECT 145.620 51.455 145.980 51.895 ;
+        RECT 146.515 51.890 148.185 52.060 ;
+        RECT 148.355 52.015 150.935 52.535 ;
+        RECT 151.105 52.185 153.700 52.705 ;
+        RECT 154.795 52.630 155.085 53.795 ;
+        RECT 155.345 53.125 155.515 53.625 ;
+        RECT 155.685 53.295 156.015 53.795 ;
+        RECT 155.345 52.955 156.010 53.125 ;
+        RECT 155.260 52.135 155.610 52.785 ;
+        RECT 146.155 51.245 146.325 51.725 ;
+        RECT 146.515 51.465 146.850 51.890 ;
+        RECT 147.025 51.245 147.195 51.720 ;
+        RECT 147.370 51.465 147.705 51.890 ;
+        RECT 147.875 51.245 148.045 51.720 ;
+        RECT 148.355 51.245 153.700 52.015 ;
+        RECT 154.795 51.245 155.085 51.970 ;
+        RECT 155.780 51.965 156.010 52.955 ;
+        RECT 155.345 51.795 156.010 51.965 ;
+        RECT 155.345 51.505 155.515 51.795 ;
+        RECT 155.685 51.245 156.015 51.625 ;
+        RECT 156.185 51.505 156.410 53.625 ;
+        RECT 156.610 53.335 156.875 53.795 ;
+        RECT 157.060 53.225 157.295 53.600 ;
+        RECT 157.540 53.350 158.610 53.520 ;
+        RECT 156.610 52.225 156.890 52.825 ;
+        RECT 156.625 51.245 156.875 51.705 ;
+        RECT 157.060 51.695 157.230 53.225 ;
+        RECT 157.400 52.195 157.640 53.065 ;
+        RECT 157.830 52.815 158.270 53.170 ;
+        RECT 158.440 52.735 158.610 53.350 ;
+        RECT 158.780 52.995 158.950 53.795 ;
+        RECT 159.120 53.295 159.370 53.625 ;
+        RECT 159.595 53.325 160.480 53.495 ;
+        RECT 158.440 52.645 158.950 52.735 ;
+        RECT 158.150 52.475 158.950 52.645 ;
+        RECT 157.400 51.865 157.980 52.195 ;
+        RECT 158.150 51.695 158.320 52.475 ;
+        RECT 158.780 52.405 158.950 52.475 ;
+        RECT 158.490 52.225 158.660 52.255 ;
+        RECT 159.120 52.225 159.290 53.295 ;
+        RECT 159.460 52.405 159.650 53.125 ;
+        RECT 159.820 52.735 160.140 53.065 ;
+        RECT 158.490 51.925 159.290 52.225 ;
+        RECT 159.820 52.195 160.010 52.735 ;
+        RECT 157.060 51.525 157.390 51.695 ;
+        RECT 157.570 51.525 158.320 51.695 ;
+        RECT 158.570 51.245 158.940 51.745 ;
+        RECT 159.120 51.695 159.290 51.925 ;
+        RECT 159.460 51.865 160.010 52.195 ;
+        RECT 160.310 52.405 160.480 53.325 ;
+        RECT 160.660 53.295 160.875 53.795 ;
+        RECT 161.340 52.990 161.510 53.615 ;
+        RECT 161.795 53.015 161.975 53.795 ;
+        RECT 160.650 52.830 161.510 52.990 ;
+        RECT 160.650 52.660 161.760 52.830 ;
+        RECT 161.590 52.405 161.760 52.660 ;
+        RECT 162.155 52.795 162.490 53.555 ;
+        RECT 162.670 52.965 162.840 53.795 ;
+        RECT 163.010 52.795 163.340 53.555 ;
+        RECT 163.510 52.965 163.680 53.795 ;
+        RECT 162.155 52.625 163.825 52.795 ;
+        RECT 163.995 52.705 169.340 53.795 ;
+        RECT 170.525 53.125 170.695 53.625 ;
+        RECT 170.865 53.295 171.195 53.795 ;
+        RECT 170.525 52.955 171.190 53.125 ;
+        RECT 160.310 52.235 161.400 52.405 ;
+        RECT 161.590 52.235 163.410 52.405 ;
+        RECT 160.310 51.695 160.480 52.235 ;
+        RECT 161.590 52.065 161.760 52.235 ;
+        RECT 161.260 51.895 161.760 52.065 ;
+        RECT 163.580 52.060 163.825 52.625 ;
+        RECT 159.120 51.525 159.580 51.695 ;
+        RECT 159.810 51.525 160.480 51.695 ;
+        RECT 160.795 51.245 160.965 51.775 ;
+        RECT 161.260 51.455 161.620 51.895 ;
+        RECT 162.155 51.890 163.825 52.060 ;
+        RECT 163.995 52.015 166.575 52.535 ;
+        RECT 166.745 52.185 169.340 52.705 ;
+        RECT 170.440 52.135 170.790 52.785 ;
+        RECT 161.795 51.245 161.965 51.725 ;
+        RECT 162.155 51.465 162.490 51.890 ;
+        RECT 162.665 51.245 162.835 51.720 ;
+        RECT 163.010 51.465 163.345 51.890 ;
+        RECT 163.515 51.245 163.685 51.720 ;
+        RECT 163.995 51.245 169.340 52.015 ;
+        RECT 170.960 51.965 171.190 52.955 ;
+        RECT 170.525 51.795 171.190 51.965 ;
+        RECT 170.525 51.505 170.695 51.795 ;
+        RECT 170.865 51.245 171.195 51.625 ;
+        RECT 171.365 51.505 171.590 53.625 ;
+        RECT 171.790 53.335 172.055 53.795 ;
+        RECT 172.240 53.225 172.475 53.600 ;
+        RECT 172.720 53.350 173.790 53.520 ;
+        RECT 171.790 52.225 172.070 52.825 ;
+        RECT 171.805 51.245 172.055 51.705 ;
+        RECT 172.240 51.695 172.410 53.225 ;
+        RECT 172.580 52.195 172.820 53.065 ;
+        RECT 173.010 52.815 173.450 53.170 ;
+        RECT 173.620 52.735 173.790 53.350 ;
+        RECT 173.960 52.995 174.130 53.795 ;
+        RECT 174.300 53.295 174.550 53.625 ;
+        RECT 174.775 53.325 175.660 53.495 ;
+        RECT 173.620 52.645 174.130 52.735 ;
+        RECT 173.330 52.475 174.130 52.645 ;
+        RECT 172.580 51.865 173.160 52.195 ;
+        RECT 173.330 51.695 173.500 52.475 ;
+        RECT 173.960 52.405 174.130 52.475 ;
+        RECT 173.670 52.225 173.840 52.255 ;
+        RECT 174.300 52.225 174.470 53.295 ;
+        RECT 174.640 52.405 174.830 53.125 ;
+        RECT 175.000 52.735 175.320 53.065 ;
+        RECT 173.670 51.925 174.470 52.225 ;
+        RECT 175.000 52.195 175.190 52.735 ;
+        RECT 172.240 51.525 172.570 51.695 ;
+        RECT 172.750 51.525 173.500 51.695 ;
+        RECT 173.750 51.245 174.120 51.745 ;
+        RECT 174.300 51.695 174.470 51.925 ;
+        RECT 174.640 51.865 175.190 52.195 ;
+        RECT 175.490 52.405 175.660 53.325 ;
+        RECT 175.840 53.295 176.055 53.795 ;
+        RECT 176.520 52.990 176.690 53.615 ;
+        RECT 176.975 53.015 177.155 53.795 ;
+        RECT 175.830 52.830 176.690 52.990 ;
+        RECT 175.830 52.660 176.940 52.830 ;
+        RECT 176.770 52.405 176.940 52.660 ;
+        RECT 177.335 52.795 177.670 53.555 ;
+        RECT 177.850 52.965 178.020 53.795 ;
+        RECT 178.190 52.795 178.520 53.555 ;
+        RECT 178.690 52.965 178.860 53.795 ;
+        RECT 177.335 52.625 179.005 52.795 ;
+        RECT 179.175 52.705 182.685 53.795 ;
+        RECT 177.395 52.605 177.565 52.625 ;
+        RECT 175.490 52.235 176.580 52.405 ;
+        RECT 176.770 52.235 178.590 52.405 ;
+        RECT 175.490 51.695 175.660 52.235 ;
+        RECT 176.770 52.065 176.940 52.235 ;
+        RECT 176.440 51.895 176.940 52.065 ;
+        RECT 178.760 52.060 179.005 52.625 ;
+        RECT 174.300 51.525 174.760 51.695 ;
+        RECT 174.990 51.525 175.660 51.695 ;
+        RECT 175.975 51.245 176.145 51.775 ;
+        RECT 176.440 51.455 176.800 51.895 ;
+        RECT 177.335 51.890 179.005 52.060 ;
+        RECT 179.175 52.015 180.825 52.535 ;
+        RECT 180.995 52.185 182.685 52.705 ;
+        RECT 182.855 52.630 183.145 53.795 ;
+        RECT 183.315 52.705 184.985 53.795 ;
+        RECT 185.245 53.125 185.415 53.625 ;
+        RECT 185.585 53.295 185.915 53.795 ;
+        RECT 185.245 52.955 185.910 53.125 ;
+        RECT 183.315 52.015 184.065 52.535 ;
+        RECT 184.235 52.185 184.985 52.705 ;
+        RECT 185.160 52.135 185.510 52.785 ;
+        RECT 176.975 51.245 177.145 51.725 ;
+        RECT 177.335 51.465 177.670 51.890 ;
+        RECT 177.845 51.245 178.015 51.720 ;
+        RECT 178.190 51.465 178.525 51.890 ;
+        RECT 178.695 51.245 178.865 51.720 ;
+        RECT 179.175 51.245 182.685 52.015 ;
+        RECT 182.855 51.245 183.145 51.970 ;
+        RECT 183.315 51.245 184.985 52.015 ;
+        RECT 185.680 51.965 185.910 52.955 ;
+        RECT 185.245 51.795 185.910 51.965 ;
+        RECT 185.245 51.505 185.415 51.795 ;
+        RECT 185.585 51.245 185.915 51.625 ;
+        RECT 186.085 51.505 186.310 53.625 ;
+        RECT 186.510 53.335 186.775 53.795 ;
+        RECT 186.960 53.225 187.195 53.600 ;
+        RECT 187.440 53.350 188.510 53.520 ;
+        RECT 186.510 52.225 186.790 52.825 ;
+        RECT 186.525 51.245 186.775 51.705 ;
+        RECT 186.960 51.695 187.130 53.225 ;
+        RECT 187.300 52.195 187.540 53.065 ;
+        RECT 187.730 52.815 188.170 53.170 ;
+        RECT 188.340 52.735 188.510 53.350 ;
+        RECT 188.680 52.995 188.850 53.795 ;
+        RECT 189.020 53.295 189.270 53.625 ;
+        RECT 189.495 53.325 190.380 53.495 ;
+        RECT 188.340 52.645 188.850 52.735 ;
+        RECT 188.050 52.475 188.850 52.645 ;
+        RECT 187.300 51.865 187.880 52.195 ;
+        RECT 188.050 51.695 188.220 52.475 ;
+        RECT 188.680 52.405 188.850 52.475 ;
+        RECT 188.390 52.225 188.560 52.255 ;
+        RECT 189.020 52.225 189.190 53.295 ;
+        RECT 189.360 52.405 189.550 53.125 ;
+        RECT 189.720 52.735 190.040 53.065 ;
+        RECT 188.390 51.925 189.190 52.225 ;
+        RECT 189.720 52.195 189.910 52.735 ;
+        RECT 186.960 51.525 187.290 51.695 ;
+        RECT 187.470 51.525 188.220 51.695 ;
+        RECT 188.470 51.245 188.840 51.745 ;
+        RECT 189.020 51.695 189.190 51.925 ;
+        RECT 189.360 51.865 189.910 52.195 ;
+        RECT 190.210 52.405 190.380 53.325 ;
+        RECT 190.560 53.295 190.775 53.795 ;
+        RECT 191.240 52.990 191.410 53.615 ;
+        RECT 191.695 53.015 191.875 53.795 ;
+        RECT 190.550 52.830 191.410 52.990 ;
+        RECT 190.550 52.660 191.660 52.830 ;
+        RECT 191.490 52.405 191.660 52.660 ;
+        RECT 192.055 52.795 192.390 53.555 ;
+        RECT 192.570 52.965 192.740 53.795 ;
+        RECT 192.910 52.795 193.240 53.555 ;
+        RECT 193.410 52.965 193.580 53.795 ;
+        RECT 192.055 52.625 193.725 52.795 ;
+        RECT 193.895 52.705 197.405 53.795 ;
+        RECT 198.125 53.125 198.295 53.625 ;
+        RECT 198.465 53.295 198.795 53.795 ;
+        RECT 198.125 52.955 198.790 53.125 ;
+        RECT 190.210 52.235 191.300 52.405 ;
+        RECT 191.490 52.235 193.310 52.405 ;
+        RECT 190.210 51.695 190.380 52.235 ;
+        RECT 191.490 52.065 191.660 52.235 ;
+        RECT 191.160 51.895 191.660 52.065 ;
+        RECT 193.480 52.060 193.725 52.625 ;
+        RECT 189.020 51.525 189.480 51.695 ;
+        RECT 189.710 51.525 190.380 51.695 ;
+        RECT 190.695 51.245 190.865 51.775 ;
+        RECT 191.160 51.455 191.520 51.895 ;
+        RECT 192.055 51.890 193.725 52.060 ;
+        RECT 193.895 52.015 195.545 52.535 ;
+        RECT 195.715 52.185 197.405 52.705 ;
+        RECT 198.040 52.135 198.390 52.785 ;
+        RECT 191.695 51.245 191.865 51.725 ;
+        RECT 192.055 51.465 192.390 51.890 ;
+        RECT 192.565 51.245 192.735 51.720 ;
+        RECT 192.910 51.465 193.245 51.890 ;
+        RECT 193.415 51.245 193.585 51.720 ;
+        RECT 193.895 51.245 197.405 52.015 ;
+        RECT 198.560 51.965 198.790 52.955 ;
+        RECT 198.125 51.795 198.790 51.965 ;
+        RECT 198.125 51.505 198.295 51.795 ;
+        RECT 198.465 51.245 198.795 51.625 ;
+        RECT 198.965 51.505 199.190 53.625 ;
+        RECT 199.390 53.335 199.655 53.795 ;
+        RECT 199.840 53.225 200.075 53.600 ;
+        RECT 200.320 53.350 201.390 53.520 ;
+        RECT 199.390 52.225 199.670 52.825 ;
+        RECT 199.405 51.245 199.655 51.705 ;
+        RECT 199.840 51.695 200.010 53.225 ;
+        RECT 200.180 52.195 200.420 53.065 ;
+        RECT 200.610 52.815 201.050 53.170 ;
+        RECT 201.220 52.735 201.390 53.350 ;
+        RECT 201.560 52.995 201.730 53.795 ;
+        RECT 201.900 53.295 202.150 53.625 ;
+        RECT 202.375 53.325 203.260 53.495 ;
+        RECT 201.220 52.645 201.730 52.735 ;
+        RECT 200.930 52.475 201.730 52.645 ;
+        RECT 200.180 51.865 200.760 52.195 ;
+        RECT 200.930 51.695 201.100 52.475 ;
+        RECT 201.560 52.405 201.730 52.475 ;
+        RECT 201.270 52.225 201.440 52.255 ;
+        RECT 201.900 52.225 202.070 53.295 ;
+        RECT 202.240 52.405 202.430 53.125 ;
+        RECT 202.600 52.735 202.920 53.065 ;
+        RECT 201.270 51.925 202.070 52.225 ;
+        RECT 202.600 52.195 202.790 52.735 ;
+        RECT 199.840 51.525 200.170 51.695 ;
+        RECT 200.350 51.525 201.100 51.695 ;
+        RECT 201.350 51.245 201.720 51.745 ;
+        RECT 201.900 51.695 202.070 51.925 ;
+        RECT 202.240 51.865 202.790 52.195 ;
+        RECT 203.090 52.405 203.260 53.325 ;
+        RECT 203.440 53.295 203.655 53.795 ;
+        RECT 204.120 52.990 204.290 53.615 ;
+        RECT 204.575 53.015 204.755 53.795 ;
+        RECT 203.430 52.830 204.290 52.990 ;
+        RECT 203.430 52.660 204.540 52.830 ;
+        RECT 204.370 52.405 204.540 52.660 ;
+        RECT 204.935 52.795 205.270 53.555 ;
+        RECT 205.450 52.965 205.620 53.795 ;
+        RECT 205.790 52.795 206.120 53.555 ;
+        RECT 206.290 52.965 206.460 53.795 ;
+        RECT 204.935 52.625 206.605 52.795 ;
+        RECT 206.775 52.705 210.285 53.795 ;
+        RECT 203.090 52.235 204.180 52.405 ;
+        RECT 204.370 52.235 206.190 52.405 ;
+        RECT 203.090 51.695 203.260 52.235 ;
+        RECT 204.370 52.065 204.540 52.235 ;
+        RECT 204.040 51.895 204.540 52.065 ;
+        RECT 206.360 52.060 206.605 52.625 ;
+        RECT 201.900 51.525 202.360 51.695 ;
+        RECT 202.590 51.525 203.260 51.695 ;
+        RECT 203.575 51.245 203.745 51.775 ;
+        RECT 204.040 51.455 204.400 51.895 ;
+        RECT 204.935 51.890 206.605 52.060 ;
+        RECT 206.775 52.015 208.425 52.535 ;
+        RECT 208.595 52.185 210.285 52.705 ;
+        RECT 204.575 51.245 204.745 51.725 ;
+        RECT 204.935 51.465 205.270 51.890 ;
+        RECT 205.445 51.245 205.615 51.720 ;
+        RECT 205.790 51.465 206.125 51.890 ;
+        RECT 206.295 51.245 206.465 51.720 ;
+        RECT 206.775 51.245 210.285 52.015 ;
+        RECT 210.515 51.925 210.685 52.775 ;
+        RECT 210.915 52.630 211.205 53.795 ;
+        RECT 212.345 53.115 212.595 53.625 ;
+        RECT 212.765 53.285 213.015 53.795 ;
+        RECT 213.185 53.115 213.435 53.625 ;
+        RECT 213.605 53.285 213.855 53.795 ;
+        RECT 214.025 53.455 215.115 53.625 ;
+        RECT 214.025 53.115 214.275 53.455 ;
+        RECT 214.865 53.295 215.115 53.455 ;
+        RECT 215.385 53.295 215.635 53.795 ;
+        RECT 215.805 53.455 216.895 53.625 ;
+        RECT 215.805 53.295 216.055 53.455 ;
+        RECT 212.345 52.945 214.275 53.115 ;
+        RECT 212.295 52.605 213.895 52.775 ;
+        RECT 214.065 52.615 214.275 52.945 ;
+        RECT 214.445 53.125 214.695 53.285 ;
+        RECT 216.225 53.125 216.475 53.285 ;
+        RECT 212.295 52.235 212.785 52.605 ;
+        RECT 213.015 52.235 213.555 52.435 ;
+        RECT 213.725 52.405 213.895 52.605 ;
+        RECT 214.445 52.575 214.830 53.125 ;
+        RECT 215.345 52.945 216.475 53.125 ;
+        RECT 216.645 52.945 216.895 53.455 ;
+        RECT 217.065 52.955 217.315 53.795 ;
+        RECT 217.485 53.115 217.735 53.625 ;
+        RECT 217.905 53.325 218.155 53.795 ;
+        RECT 218.325 53.115 218.575 53.625 ;
+        RECT 217.485 52.945 218.575 53.115 ;
+        RECT 218.745 52.985 218.995 53.795 ;
+        RECT 215.345 52.825 215.515 52.945 ;
+        RECT 215.165 52.655 215.515 52.825 ;
+        RECT 218.325 52.815 218.575 52.945 ;
+        RECT 213.725 52.235 214.105 52.405 ;
+        RECT 214.445 52.065 214.655 52.575 ;
+        RECT 215.165 52.405 215.355 52.655 ;
+        RECT 215.685 52.605 217.175 52.775 ;
+        RECT 215.685 52.485 215.855 52.605 ;
+        RECT 214.825 52.235 215.355 52.405 ;
+        RECT 215.525 52.235 215.855 52.485 ;
+        RECT 216.025 52.235 216.645 52.435 ;
+        RECT 216.815 52.235 217.175 52.605 ;
+        RECT 217.345 52.405 217.670 52.775 ;
+        RECT 218.325 52.575 219.130 52.815 ;
+        RECT 219.655 52.705 223.165 53.795 ;
+        RECT 217.345 52.235 218.650 52.405 ;
+        RECT 215.165 52.065 215.355 52.235 ;
+        RECT 218.820 52.065 219.130 52.575 ;
+        RECT 210.915 51.245 211.205 51.970 ;
+        RECT 212.385 51.245 212.555 52.055 ;
+        RECT 212.725 51.635 212.975 52.065 ;
+        RECT 213.145 51.895 214.735 52.065 ;
+        RECT 213.145 51.805 213.480 51.895 ;
+        RECT 212.725 51.415 213.895 51.635 ;
+        RECT 214.065 51.245 214.235 51.715 ;
+        RECT 214.405 51.415 214.735 51.895 ;
+        RECT 215.165 51.885 216.935 52.065 ;
+        RECT 214.905 51.245 215.595 51.715 ;
+        RECT 215.765 51.415 216.095 51.885 ;
+        RECT 216.265 51.245 216.435 51.715 ;
+        RECT 216.605 51.415 216.935 51.885 ;
+        RECT 217.105 51.245 217.275 52.055 ;
+        RECT 217.445 51.885 219.130 52.065 ;
+        RECT 219.655 52.015 221.305 52.535 ;
+        RECT 221.475 52.185 223.165 52.705 ;
+        RECT 223.340 52.825 223.615 53.625 ;
+        RECT 223.785 52.995 224.115 53.795 ;
+        RECT 224.285 52.825 224.455 53.625 ;
+        RECT 224.625 52.995 224.875 53.795 ;
+        RECT 225.045 53.455 227.140 53.625 ;
+        RECT 225.045 52.825 225.375 53.455 ;
+        RECT 223.340 52.615 225.375 52.825 ;
+        RECT 225.545 52.905 225.715 53.285 ;
+        RECT 225.885 53.095 226.215 53.455 ;
+        RECT 226.385 52.905 226.555 53.285 ;
+        RECT 226.725 53.075 227.140 53.455 ;
+        RECT 225.545 52.605 227.305 52.905 ;
+        RECT 227.475 52.705 230.985 53.795 ;
+        RECT 223.390 52.235 225.050 52.435 ;
+        RECT 225.370 52.235 226.735 52.435 ;
+        RECT 226.905 52.065 227.305 52.605 ;
+        RECT 217.445 51.435 217.775 51.885 ;
+        RECT 217.945 51.245 218.115 51.715 ;
+        RECT 218.285 51.435 218.615 51.885 ;
+        RECT 218.785 51.245 218.955 51.715 ;
+        RECT 219.655 51.245 223.165 52.015 ;
+        RECT 223.340 51.245 223.615 52.065 ;
+        RECT 223.785 51.885 227.305 52.065 ;
+        RECT 227.475 52.015 229.125 52.535 ;
+        RECT 229.295 52.185 230.985 52.705 ;
+        RECT 231.185 52.500 231.435 53.495 ;
+        RECT 231.615 52.910 231.795 53.625 ;
+        RECT 231.965 53.095 232.415 53.795 ;
+        RECT 232.590 52.910 232.770 53.625 ;
+        RECT 232.980 53.095 233.310 53.795 ;
+        RECT 233.520 52.920 233.710 53.625 ;
+        RECT 233.880 53.095 234.210 53.795 ;
+        RECT 234.380 52.925 234.570 53.625 ;
+        RECT 234.740 53.095 235.070 53.795 ;
+        RECT 234.380 52.920 235.125 52.925 ;
+        RECT 231.615 52.740 233.350 52.910 ;
+        RECT 233.140 52.515 233.350 52.740 ;
+        RECT 233.520 52.695 235.125 52.920 ;
+        RECT 235.295 52.705 238.805 53.795 ;
+        RECT 231.185 52.155 231.945 52.500 ;
+        RECT 223.785 51.415 224.115 51.885 ;
+        RECT 224.285 51.245 224.455 51.715 ;
+        RECT 224.625 51.415 224.955 51.885 ;
+        RECT 225.125 51.245 225.295 51.715 ;
+        RECT 225.465 51.415 225.795 51.885 ;
+        RECT 225.965 51.245 226.135 51.715 ;
+        RECT 226.305 51.415 226.635 51.885 ;
+        RECT 226.805 51.245 227.090 51.715 ;
+        RECT 227.475 51.245 230.985 52.015 ;
+        RECT 231.535 51.725 231.870 51.965 ;
+        RECT 232.135 51.905 232.425 52.500 ;
+        RECT 232.595 52.155 232.970 52.485 ;
+        RECT 233.140 52.180 234.675 52.515 ;
+        RECT 233.140 51.965 233.350 52.180 ;
+        RECT 234.845 52.005 235.125 52.695 ;
+        RECT 232.605 51.775 233.350 51.965 ;
+        RECT 233.520 51.775 235.125 52.005 ;
+        RECT 235.295 52.015 236.945 52.535 ;
+        RECT 237.115 52.185 238.805 52.705 ;
+        RECT 238.975 52.630 239.265 53.795 ;
+        RECT 239.525 52.865 239.695 53.625 ;
+        RECT 239.910 53.035 240.240 53.795 ;
+        RECT 239.525 52.695 240.240 52.865 ;
+        RECT 240.410 52.720 240.665 53.625 ;
+        RECT 239.435 52.145 239.790 52.515 ;
+        RECT 240.070 52.485 240.240 52.695 ;
+        RECT 240.070 52.155 240.325 52.485 ;
+        RECT 232.605 51.725 232.795 51.775 ;
+        RECT 231.535 51.535 232.795 51.725 ;
+        RECT 233.520 51.675 233.710 51.775 ;
+        RECT 231.535 51.415 231.870 51.535 ;
+        RECT 232.975 51.245 233.305 51.605 ;
+        RECT 233.880 51.245 234.210 51.605 ;
+        RECT 234.380 51.415 234.570 51.775 ;
+        RECT 234.740 51.245 235.070 51.605 ;
+        RECT 235.295 51.245 238.805 52.015 ;
+        RECT 238.975 51.245 239.265 51.970 ;
+        RECT 240.070 51.965 240.240 52.155 ;
+        RECT 240.495 51.990 240.665 52.720 ;
+        RECT 240.840 52.645 241.100 53.795 ;
+        RECT 241.275 52.705 244.785 53.795 ;
+        RECT 245.005 53.115 245.255 53.625 ;
+        RECT 245.425 53.285 245.675 53.795 ;
+        RECT 245.845 53.115 246.095 53.625 ;
+        RECT 246.265 53.285 246.515 53.795 ;
+        RECT 246.685 53.455 247.775 53.625 ;
+        RECT 246.685 53.115 246.935 53.455 ;
+        RECT 247.525 53.295 247.775 53.455 ;
+        RECT 248.045 53.295 248.295 53.795 ;
+        RECT 248.465 53.455 249.555 53.625 ;
+        RECT 248.465 53.295 248.715 53.455 ;
+        RECT 245.005 52.945 246.935 53.115 ;
+        RECT 239.525 51.795 240.240 51.965 ;
+        RECT 239.525 51.415 239.695 51.795 ;
+        RECT 239.910 51.245 240.240 51.625 ;
+        RECT 240.410 51.415 240.665 51.990 ;
+        RECT 240.840 51.245 241.100 52.085 ;
+        RECT 241.275 52.015 242.925 52.535 ;
+        RECT 243.095 52.185 244.785 52.705 ;
+        RECT 244.955 52.605 246.555 52.775 ;
+        RECT 246.725 52.615 246.935 52.945 ;
+        RECT 247.105 53.125 247.355 53.285 ;
+        RECT 248.885 53.125 249.135 53.285 ;
+        RECT 244.955 52.235 245.445 52.605 ;
+        RECT 245.675 52.235 246.215 52.435 ;
+        RECT 246.385 52.405 246.555 52.605 ;
+        RECT 247.105 52.575 247.490 53.125 ;
+        RECT 248.005 52.945 249.135 53.125 ;
+        RECT 249.305 52.945 249.555 53.455 ;
+        RECT 249.725 52.955 249.975 53.795 ;
+        RECT 250.145 53.115 250.395 53.625 ;
+        RECT 250.565 53.325 250.815 53.795 ;
+        RECT 250.985 53.115 251.235 53.625 ;
+        RECT 250.145 52.945 251.235 53.115 ;
+        RECT 251.405 52.985 251.655 53.795 ;
+        RECT 248.005 52.825 248.175 52.945 ;
+        RECT 247.825 52.655 248.175 52.825 ;
+        RECT 250.985 52.815 251.235 52.945 ;
+        RECT 246.385 52.235 246.765 52.405 ;
+        RECT 247.105 52.065 247.315 52.575 ;
+        RECT 247.825 52.405 248.015 52.655 ;
+        RECT 248.345 52.605 249.835 52.775 ;
+        RECT 248.345 52.485 248.515 52.605 ;
+        RECT 247.485 52.235 248.015 52.405 ;
+        RECT 248.185 52.235 248.515 52.485 ;
+        RECT 248.685 52.235 249.305 52.435 ;
+        RECT 249.475 52.235 249.835 52.605 ;
+        RECT 250.005 52.405 250.330 52.775 ;
+        RECT 250.985 52.575 251.790 52.815 ;
+        RECT 252.315 52.705 255.825 53.795 ;
+        RECT 256.045 53.115 256.295 53.625 ;
+        RECT 256.465 53.285 256.715 53.795 ;
+        RECT 256.885 53.115 257.135 53.625 ;
+        RECT 257.305 53.285 257.555 53.795 ;
+        RECT 257.725 53.455 258.815 53.625 ;
+        RECT 257.725 53.115 257.975 53.455 ;
+        RECT 258.565 53.295 258.815 53.455 ;
+        RECT 259.085 53.295 259.335 53.795 ;
+        RECT 259.505 53.455 260.595 53.625 ;
+        RECT 259.505 53.295 259.755 53.455 ;
+        RECT 256.045 52.945 257.975 53.115 ;
+        RECT 250.005 52.235 251.310 52.405 ;
+        RECT 247.825 52.065 248.015 52.235 ;
+        RECT 251.480 52.065 251.790 52.575 ;
+        RECT 241.275 51.245 244.785 52.015 ;
+        RECT 245.045 51.245 245.215 52.055 ;
+        RECT 245.385 51.635 245.635 52.065 ;
+        RECT 245.805 51.895 247.395 52.065 ;
+        RECT 245.805 51.805 246.140 51.895 ;
+        RECT 245.385 51.415 246.555 51.635 ;
+        RECT 246.725 51.245 246.895 51.715 ;
+        RECT 247.065 51.415 247.395 51.895 ;
+        RECT 247.825 51.885 249.595 52.065 ;
+        RECT 247.565 51.245 248.255 51.715 ;
+        RECT 248.425 51.415 248.755 51.885 ;
+        RECT 248.925 51.245 249.095 51.715 ;
+        RECT 249.265 51.415 249.595 51.885 ;
+        RECT 249.765 51.245 249.935 52.055 ;
+        RECT 250.105 51.885 251.790 52.065 ;
+        RECT 252.315 52.015 253.965 52.535 ;
+        RECT 254.135 52.185 255.825 52.705 ;
+        RECT 255.995 52.605 257.595 52.775 ;
+        RECT 257.765 52.615 257.975 52.945 ;
+        RECT 258.145 53.125 258.395 53.285 ;
+        RECT 259.925 53.125 260.175 53.285 ;
+        RECT 255.995 52.235 256.485 52.605 ;
+        RECT 256.715 52.235 257.255 52.435 ;
+        RECT 257.425 52.405 257.595 52.605 ;
+        RECT 258.145 52.575 258.530 53.125 ;
+        RECT 259.045 52.945 260.175 53.125 ;
+        RECT 260.345 52.945 260.595 53.455 ;
+        RECT 260.765 52.955 261.015 53.795 ;
+        RECT 261.185 53.115 261.435 53.625 ;
+        RECT 261.605 53.325 261.855 53.795 ;
+        RECT 262.025 53.115 262.275 53.625 ;
+        RECT 261.185 52.945 262.275 53.115 ;
+        RECT 262.445 52.985 262.695 53.795 ;
+        RECT 259.045 52.825 259.215 52.945 ;
+        RECT 258.865 52.655 259.215 52.825 ;
+        RECT 262.025 52.815 262.275 52.945 ;
+        RECT 257.425 52.235 257.805 52.405 ;
+        RECT 258.145 52.065 258.355 52.575 ;
+        RECT 258.865 52.405 259.055 52.655 ;
+        RECT 259.385 52.605 260.875 52.775 ;
+        RECT 259.385 52.485 259.555 52.605 ;
+        RECT 258.525 52.235 259.055 52.405 ;
+        RECT 259.225 52.235 259.555 52.485 ;
+        RECT 259.725 52.235 260.345 52.435 ;
+        RECT 260.515 52.235 260.875 52.605 ;
+        RECT 261.045 52.405 261.370 52.775 ;
+        RECT 262.025 52.575 262.830 52.815 ;
+        RECT 263.355 52.705 266.865 53.795 ;
+        RECT 261.045 52.235 262.350 52.405 ;
+        RECT 258.865 52.065 259.055 52.235 ;
+        RECT 262.520 52.065 262.830 52.575 ;
+        RECT 250.105 51.435 250.435 51.885 ;
+        RECT 250.605 51.245 250.775 51.715 ;
+        RECT 250.945 51.435 251.275 51.885 ;
+        RECT 251.445 51.245 251.615 51.715 ;
+        RECT 252.315 51.245 255.825 52.015 ;
+        RECT 256.085 51.245 256.255 52.055 ;
+        RECT 256.425 51.635 256.675 52.065 ;
+        RECT 256.845 51.895 258.435 52.065 ;
+        RECT 256.845 51.805 257.180 51.895 ;
+        RECT 256.425 51.415 257.595 51.635 ;
+        RECT 257.765 51.245 257.935 51.715 ;
+        RECT 258.105 51.415 258.435 51.895 ;
+        RECT 258.865 51.885 260.635 52.065 ;
+        RECT 258.605 51.245 259.295 51.715 ;
+        RECT 259.465 51.415 259.795 51.885 ;
+        RECT 259.965 51.245 260.135 51.715 ;
+        RECT 260.305 51.415 260.635 51.885 ;
+        RECT 260.805 51.245 260.975 52.055 ;
+        RECT 261.145 51.885 262.830 52.065 ;
+        RECT 263.355 52.015 265.005 52.535 ;
+        RECT 265.175 52.185 266.865 52.705 ;
+        RECT 267.035 52.630 267.325 53.795 ;
+        RECT 267.495 52.705 271.005 53.795 ;
+        RECT 271.225 53.115 271.475 53.625 ;
+        RECT 271.645 53.285 271.895 53.795 ;
+        RECT 272.065 53.115 272.315 53.625 ;
+        RECT 272.485 53.285 272.735 53.795 ;
+        RECT 272.905 53.455 273.995 53.625 ;
+        RECT 272.905 53.115 273.155 53.455 ;
+        RECT 273.745 53.295 273.995 53.455 ;
+        RECT 274.265 53.295 274.515 53.795 ;
+        RECT 274.685 53.455 275.775 53.625 ;
+        RECT 274.685 53.295 274.935 53.455 ;
+        RECT 271.225 52.945 273.155 53.115 ;
+        RECT 267.495 52.015 269.145 52.535 ;
+        RECT 269.315 52.185 271.005 52.705 ;
+        RECT 271.175 52.605 272.775 52.775 ;
+        RECT 272.945 52.615 273.155 52.945 ;
+        RECT 273.325 53.125 273.575 53.285 ;
+        RECT 275.105 53.125 275.355 53.285 ;
+        RECT 271.175 52.235 271.665 52.605 ;
+        RECT 272.605 52.435 272.775 52.605 ;
+        RECT 273.325 52.575 273.710 53.125 ;
+        RECT 274.225 52.945 275.355 53.125 ;
+        RECT 275.525 52.945 275.775 53.455 ;
+        RECT 275.945 52.955 276.195 53.795 ;
+        RECT 276.365 53.115 276.615 53.625 ;
+        RECT 276.785 53.325 277.035 53.795 ;
+        RECT 277.205 53.115 277.455 53.625 ;
+        RECT 276.365 52.945 277.455 53.115 ;
+        RECT 277.625 52.985 277.875 53.795 ;
+        RECT 274.225 52.825 274.395 52.945 ;
+        RECT 274.045 52.655 274.395 52.825 ;
+        RECT 277.205 52.815 277.455 52.945 ;
+        RECT 271.895 52.235 272.435 52.435 ;
+        RECT 272.605 52.405 272.785 52.435 ;
+        RECT 272.605 52.235 272.985 52.405 ;
+        RECT 273.325 52.065 273.535 52.575 ;
+        RECT 274.045 52.405 274.235 52.655 ;
+        RECT 274.565 52.605 276.055 52.775 ;
+        RECT 274.565 52.485 274.735 52.605 ;
+        RECT 273.705 52.235 274.235 52.405 ;
+        RECT 274.405 52.235 274.735 52.485 ;
+        RECT 274.905 52.235 275.525 52.435 ;
+        RECT 275.695 52.235 276.055 52.605 ;
+        RECT 276.225 52.405 276.550 52.775 ;
+        RECT 277.205 52.575 278.010 52.815 ;
+        RECT 278.535 52.705 282.045 53.795 ;
+        RECT 282.265 53.115 282.515 53.625 ;
+        RECT 282.685 53.285 282.935 53.795 ;
+        RECT 283.105 53.115 283.355 53.625 ;
+        RECT 283.525 53.285 283.775 53.795 ;
+        RECT 283.945 53.455 285.035 53.625 ;
+        RECT 283.945 53.115 284.195 53.455 ;
+        RECT 284.785 53.295 285.035 53.455 ;
+        RECT 285.305 53.295 285.555 53.795 ;
+        RECT 285.725 53.455 286.815 53.625 ;
+        RECT 285.725 53.295 285.975 53.455 ;
+        RECT 282.265 52.945 284.195 53.115 ;
+        RECT 276.225 52.235 277.530 52.405 ;
+        RECT 274.045 52.065 274.235 52.235 ;
+        RECT 277.700 52.065 278.010 52.575 ;
+        RECT 261.145 51.435 261.475 51.885 ;
+        RECT 261.645 51.245 261.815 51.715 ;
+        RECT 261.985 51.435 262.315 51.885 ;
+        RECT 262.485 51.245 262.655 51.715 ;
+        RECT 263.355 51.245 266.865 52.015 ;
+        RECT 267.035 51.245 267.325 51.970 ;
+        RECT 267.495 51.245 271.005 52.015 ;
+        RECT 271.265 51.245 271.435 52.055 ;
+        RECT 271.605 51.635 271.855 52.065 ;
+        RECT 272.025 51.895 273.615 52.065 ;
+        RECT 272.025 51.805 272.360 51.895 ;
+        RECT 271.605 51.415 272.775 51.635 ;
+        RECT 272.945 51.245 273.115 51.715 ;
+        RECT 273.285 51.415 273.615 51.895 ;
+        RECT 274.045 51.885 275.815 52.065 ;
+        RECT 273.785 51.245 274.475 51.715 ;
+        RECT 274.645 51.415 274.975 51.885 ;
+        RECT 275.145 51.245 275.315 51.715 ;
+        RECT 275.485 51.415 275.815 51.885 ;
+        RECT 275.985 51.245 276.155 52.055 ;
+        RECT 276.325 51.885 278.010 52.065 ;
+        RECT 278.535 52.015 280.185 52.535 ;
+        RECT 280.355 52.185 282.045 52.705 ;
+        RECT 282.215 52.605 283.815 52.775 ;
+        RECT 283.985 52.615 284.195 52.945 ;
+        RECT 284.365 53.125 284.615 53.285 ;
+        RECT 286.145 53.125 286.395 53.285 ;
+        RECT 282.215 52.235 282.705 52.605 ;
+        RECT 283.645 52.435 283.815 52.605 ;
+        RECT 284.365 52.575 284.750 53.125 ;
+        RECT 285.265 52.945 286.395 53.125 ;
+        RECT 286.565 52.945 286.815 53.455 ;
+        RECT 286.985 52.955 287.235 53.795 ;
+        RECT 287.405 53.115 287.655 53.625 ;
+        RECT 287.825 53.325 288.075 53.795 ;
+        RECT 288.245 53.115 288.495 53.625 ;
+        RECT 287.405 52.945 288.495 53.115 ;
+        RECT 288.665 52.985 288.915 53.795 ;
+        RECT 285.265 52.825 285.435 52.945 ;
+        RECT 285.085 52.655 285.435 52.825 ;
+        RECT 288.245 52.815 288.495 52.945 ;
+        RECT 282.935 52.235 283.475 52.435 ;
+        RECT 283.645 52.405 283.825 52.435 ;
+        RECT 283.645 52.235 284.025 52.405 ;
+        RECT 284.365 52.065 284.575 52.575 ;
+        RECT 285.085 52.405 285.275 52.655 ;
+        RECT 285.605 52.605 287.095 52.775 ;
+        RECT 285.605 52.485 285.775 52.605 ;
+        RECT 284.745 52.235 285.275 52.405 ;
+        RECT 285.445 52.235 285.775 52.485 ;
+        RECT 285.945 52.235 286.565 52.435 ;
+        RECT 286.735 52.235 287.095 52.605 ;
+        RECT 287.265 52.405 287.590 52.775 ;
+        RECT 288.245 52.575 289.050 52.815 ;
+        RECT 289.575 52.705 294.920 53.795 ;
+        RECT 287.265 52.235 288.570 52.405 ;
+        RECT 285.085 52.065 285.275 52.235 ;
+        RECT 288.740 52.065 289.050 52.575 ;
+        RECT 276.325 51.435 276.655 51.885 ;
+        RECT 276.825 51.245 276.995 51.715 ;
+        RECT 277.165 51.435 277.495 51.885 ;
+        RECT 277.665 51.245 277.835 51.715 ;
+        RECT 278.535 51.245 282.045 52.015 ;
+        RECT 282.305 51.245 282.475 52.055 ;
+        RECT 282.645 51.635 282.895 52.065 ;
+        RECT 283.065 51.895 284.655 52.065 ;
+        RECT 283.065 51.805 283.400 51.895 ;
+        RECT 282.645 51.415 283.815 51.635 ;
+        RECT 283.985 51.245 284.155 51.715 ;
+        RECT 284.325 51.415 284.655 51.895 ;
+        RECT 285.085 51.885 286.855 52.065 ;
+        RECT 284.825 51.245 285.515 51.715 ;
+        RECT 285.685 51.415 286.015 51.885 ;
+        RECT 286.185 51.245 286.355 51.715 ;
+        RECT 286.525 51.415 286.855 51.885 ;
+        RECT 287.025 51.245 287.195 52.055 ;
+        RECT 287.365 51.885 289.050 52.065 ;
+        RECT 289.575 52.015 292.155 52.535 ;
+        RECT 292.325 52.185 294.920 52.705 ;
+        RECT 295.095 52.630 295.385 53.795 ;
+        RECT 295.560 52.825 295.835 53.625 ;
+        RECT 296.005 52.995 296.335 53.795 ;
+        RECT 296.505 52.825 296.675 53.625 ;
+        RECT 296.845 52.995 297.095 53.795 ;
+        RECT 297.265 53.455 299.360 53.625 ;
+        RECT 297.265 52.825 297.595 53.455 ;
+        RECT 295.560 52.615 297.595 52.825 ;
+        RECT 297.765 52.905 297.935 53.285 ;
+        RECT 298.105 53.095 298.435 53.455 ;
+        RECT 298.605 52.905 298.775 53.285 ;
+        RECT 298.945 53.075 299.360 53.455 ;
+        RECT 297.765 52.605 299.525 52.905 ;
+        RECT 299.695 52.705 305.040 53.795 ;
+        RECT 306.185 53.115 306.435 53.625 ;
+        RECT 306.605 53.285 306.855 53.795 ;
+        RECT 307.025 53.115 307.275 53.625 ;
+        RECT 307.445 53.285 307.695 53.795 ;
+        RECT 307.865 53.455 308.955 53.625 ;
+        RECT 307.865 53.115 308.115 53.455 ;
+        RECT 308.705 53.295 308.955 53.455 ;
+        RECT 309.225 53.295 309.475 53.795 ;
+        RECT 309.645 53.455 310.735 53.625 ;
+        RECT 309.645 53.295 309.895 53.455 ;
+        RECT 306.185 52.945 308.115 53.115 ;
+        RECT 295.610 52.235 297.270 52.435 ;
+        RECT 297.590 52.235 298.955 52.435 ;
+        RECT 299.125 52.065 299.525 52.605 ;
+        RECT 287.365 51.435 287.695 51.885 ;
+        RECT 287.865 51.245 288.035 51.715 ;
+        RECT 288.205 51.435 288.535 51.885 ;
+        RECT 288.705 51.245 288.875 51.715 ;
+        RECT 289.575 51.245 294.920 52.015 ;
+        RECT 295.095 51.245 295.385 51.970 ;
+        RECT 295.560 51.245 295.835 52.065 ;
+        RECT 296.005 51.885 299.525 52.065 ;
+        RECT 299.695 52.015 302.275 52.535 ;
+        RECT 302.445 52.185 305.040 52.705 ;
+        RECT 306.135 52.605 307.735 52.775 ;
+        RECT 307.905 52.615 308.115 52.945 ;
+        RECT 308.285 53.125 308.535 53.285 ;
+        RECT 310.065 53.125 310.315 53.285 ;
+        RECT 306.135 52.235 306.625 52.605 ;
+        RECT 307.565 52.435 307.735 52.605 ;
+        RECT 308.285 52.575 308.670 53.125 ;
+        RECT 309.185 52.945 310.315 53.125 ;
+        RECT 310.485 52.945 310.735 53.455 ;
+        RECT 310.905 52.955 311.155 53.795 ;
+        RECT 311.325 53.115 311.575 53.625 ;
+        RECT 311.745 53.325 311.995 53.795 ;
+        RECT 312.165 53.115 312.415 53.625 ;
+        RECT 311.325 52.945 312.415 53.115 ;
+        RECT 312.585 52.985 312.835 53.795 ;
+        RECT 309.185 52.825 309.355 52.945 ;
+        RECT 309.005 52.655 309.355 52.825 ;
+        RECT 312.165 52.815 312.415 52.945 ;
+        RECT 306.855 52.235 307.395 52.435 ;
+        RECT 307.565 52.405 307.745 52.435 ;
+        RECT 307.565 52.235 307.945 52.405 ;
+        RECT 308.285 52.065 308.495 52.575 ;
+        RECT 309.005 52.405 309.195 52.655 ;
+        RECT 309.525 52.605 311.015 52.775 ;
+        RECT 309.525 52.485 309.695 52.605 ;
+        RECT 308.665 52.235 309.195 52.405 ;
+        RECT 309.365 52.235 309.695 52.485 ;
+        RECT 309.865 52.235 310.485 52.435 ;
+        RECT 310.655 52.235 311.015 52.605 ;
+        RECT 311.185 52.405 311.510 52.775 ;
+        RECT 312.165 52.575 312.970 52.815 ;
+        RECT 313.495 52.705 317.005 53.795 ;
+        RECT 311.185 52.235 312.490 52.405 ;
+        RECT 309.005 52.065 309.195 52.235 ;
+        RECT 312.660 52.065 312.970 52.575 ;
+        RECT 296.005 51.415 296.335 51.885 ;
+        RECT 296.505 51.245 296.675 51.715 ;
+        RECT 296.845 51.415 297.175 51.885 ;
+        RECT 297.345 51.245 297.515 51.715 ;
+        RECT 297.685 51.415 298.015 51.885 ;
+        RECT 298.185 51.245 298.355 51.715 ;
+        RECT 298.525 51.415 298.855 51.885 ;
+        RECT 299.025 51.245 299.310 51.715 ;
+        RECT 299.695 51.245 305.040 52.015 ;
+        RECT 306.225 51.245 306.395 52.055 ;
+        RECT 306.565 51.635 306.815 52.065 ;
+        RECT 306.985 51.895 308.575 52.065 ;
+        RECT 306.985 51.805 307.320 51.895 ;
+        RECT 306.565 51.415 307.735 51.635 ;
+        RECT 307.905 51.245 308.075 51.715 ;
+        RECT 308.245 51.415 308.575 51.895 ;
+        RECT 309.005 51.885 310.775 52.065 ;
+        RECT 308.745 51.245 309.435 51.715 ;
+        RECT 309.605 51.415 309.935 51.885 ;
+        RECT 310.105 51.245 310.275 51.715 ;
+        RECT 310.445 51.415 310.775 51.885 ;
+        RECT 310.945 51.245 311.115 52.055 ;
+        RECT 311.285 51.885 312.970 52.065 ;
+        RECT 313.495 52.015 315.145 52.535 ;
+        RECT 315.315 52.185 317.005 52.705 ;
+        RECT 317.265 52.865 317.435 53.625 ;
+        RECT 317.650 53.035 317.980 53.795 ;
+        RECT 317.265 52.695 317.980 52.865 ;
+        RECT 318.150 52.720 318.405 53.625 ;
+        RECT 317.175 52.145 317.530 52.515 ;
+        RECT 317.810 52.485 317.980 52.695 ;
+        RECT 317.810 52.155 318.065 52.485 ;
+        RECT 311.285 51.435 311.615 51.885 ;
+        RECT 311.785 51.245 311.955 51.715 ;
+        RECT 312.125 51.435 312.455 51.885 ;
+        RECT 312.625 51.245 312.795 51.715 ;
+        RECT 313.495 51.245 317.005 52.015 ;
+        RECT 317.810 51.965 317.980 52.155 ;
+        RECT 318.235 51.990 318.405 52.720 ;
+        RECT 318.580 52.645 318.840 53.795 ;
+        RECT 319.015 52.705 322.525 53.795 ;
+        RECT 317.265 51.795 317.980 51.965 ;
+        RECT 317.265 51.415 317.435 51.795 ;
+        RECT 317.650 51.245 317.980 51.625 ;
+        RECT 318.150 51.415 318.405 51.990 ;
+        RECT 318.580 51.245 318.840 52.085 ;
+        RECT 319.015 52.015 320.665 52.535 ;
+        RECT 320.835 52.185 322.525 52.705 ;
+        RECT 323.155 52.630 323.445 53.795 ;
+        RECT 323.705 52.865 323.875 53.625 ;
+        RECT 324.090 53.035 324.420 53.795 ;
+        RECT 323.705 52.695 324.420 52.865 ;
+        RECT 324.590 52.720 324.845 53.625 ;
+        RECT 323.615 52.145 323.970 52.515 ;
+        RECT 324.250 52.485 324.420 52.695 ;
+        RECT 324.250 52.155 324.505 52.485 ;
+        RECT 319.015 51.245 322.525 52.015 ;
+        RECT 323.155 51.245 323.445 51.970 ;
+        RECT 324.250 51.965 324.420 52.155 ;
+        RECT 324.675 51.990 324.845 52.720 ;
+        RECT 325.020 52.645 325.280 53.795 ;
+        RECT 325.455 52.705 328.965 53.795 ;
+        RECT 329.185 53.115 329.435 53.625 ;
+        RECT 329.605 53.285 329.855 53.795 ;
+        RECT 330.025 53.115 330.275 53.625 ;
+        RECT 330.445 53.285 330.695 53.795 ;
+        RECT 330.865 53.455 331.955 53.625 ;
+        RECT 330.865 53.115 331.115 53.455 ;
+        RECT 331.705 53.295 331.955 53.455 ;
+        RECT 332.225 53.295 332.475 53.795 ;
+        RECT 332.645 53.455 333.735 53.625 ;
+        RECT 332.645 53.295 332.895 53.455 ;
+        RECT 329.185 52.945 331.115 53.115 ;
+        RECT 323.705 51.795 324.420 51.965 ;
+        RECT 323.705 51.415 323.875 51.795 ;
+        RECT 324.090 51.245 324.420 51.625 ;
+        RECT 324.590 51.415 324.845 51.990 ;
+        RECT 325.020 51.245 325.280 52.085 ;
+        RECT 325.455 52.015 327.105 52.535 ;
+        RECT 327.275 52.185 328.965 52.705 ;
+        RECT 329.135 52.605 330.735 52.775 ;
+        RECT 330.905 52.615 331.115 52.945 ;
+        RECT 331.285 53.125 331.535 53.285 ;
+        RECT 333.065 53.125 333.315 53.285 ;
+        RECT 329.135 52.235 329.625 52.605 ;
+        RECT 329.855 52.235 330.395 52.435 ;
+        RECT 330.565 52.405 330.735 52.605 ;
+        RECT 331.285 52.575 331.670 53.125 ;
+        RECT 332.185 52.945 333.315 53.125 ;
+        RECT 333.485 52.945 333.735 53.455 ;
+        RECT 333.905 52.955 334.155 53.795 ;
+        RECT 334.325 53.115 334.575 53.625 ;
+        RECT 334.745 53.325 334.995 53.795 ;
+        RECT 335.165 53.115 335.415 53.625 ;
+        RECT 334.325 52.945 335.415 53.115 ;
+        RECT 335.585 52.985 335.835 53.795 ;
+        RECT 332.185 52.825 332.355 52.945 ;
+        RECT 332.005 52.655 332.355 52.825 ;
+        RECT 335.165 52.815 335.415 52.945 ;
+        RECT 330.565 52.235 330.945 52.405 ;
+        RECT 331.285 52.065 331.495 52.575 ;
+        RECT 332.005 52.405 332.195 52.655 ;
+        RECT 332.525 52.605 334.015 52.775 ;
+        RECT 332.525 52.485 332.695 52.605 ;
+        RECT 331.665 52.235 332.195 52.405 ;
+        RECT 332.365 52.235 332.695 52.485 ;
+        RECT 332.865 52.235 333.485 52.435 ;
+        RECT 333.655 52.235 334.015 52.605 ;
+        RECT 334.185 52.405 334.510 52.775 ;
+        RECT 335.165 52.575 335.970 52.815 ;
+        RECT 336.495 52.705 340.005 53.795 ;
+        RECT 340.225 53.115 340.475 53.625 ;
+        RECT 340.645 53.285 340.895 53.795 ;
+        RECT 341.065 53.115 341.315 53.625 ;
+        RECT 341.485 53.285 341.735 53.795 ;
+        RECT 341.905 53.455 342.995 53.625 ;
+        RECT 341.905 53.115 342.155 53.455 ;
+        RECT 342.745 53.295 342.995 53.455 ;
+        RECT 343.265 53.295 343.515 53.795 ;
+        RECT 343.685 53.455 344.775 53.625 ;
+        RECT 343.685 53.295 343.935 53.455 ;
+        RECT 340.225 52.945 342.155 53.115 ;
+        RECT 334.185 52.235 335.490 52.405 ;
+        RECT 332.005 52.065 332.195 52.235 ;
+        RECT 335.660 52.065 335.970 52.575 ;
+        RECT 325.455 51.245 328.965 52.015 ;
+        RECT 329.225 51.245 329.395 52.055 ;
+        RECT 329.565 51.635 329.815 52.065 ;
+        RECT 329.985 51.895 331.575 52.065 ;
+        RECT 329.985 51.805 330.320 51.895 ;
+        RECT 329.565 51.415 330.735 51.635 ;
+        RECT 330.905 51.245 331.075 51.715 ;
+        RECT 331.245 51.415 331.575 51.895 ;
+        RECT 332.005 51.885 333.775 52.065 ;
+        RECT 331.745 51.245 332.435 51.715 ;
+        RECT 332.605 51.415 332.935 51.885 ;
+        RECT 333.105 51.245 333.275 51.715 ;
+        RECT 333.445 51.415 333.775 51.885 ;
+        RECT 333.945 51.245 334.115 52.055 ;
+        RECT 334.285 51.885 335.970 52.065 ;
+        RECT 336.495 52.015 338.145 52.535 ;
+        RECT 338.315 52.185 340.005 52.705 ;
+        RECT 340.175 52.605 341.775 52.775 ;
+        RECT 341.945 52.615 342.155 52.945 ;
+        RECT 342.325 53.125 342.575 53.285 ;
+        RECT 344.105 53.125 344.355 53.285 ;
+        RECT 340.175 52.235 340.665 52.605 ;
+        RECT 340.895 52.235 341.435 52.435 ;
+        RECT 341.605 52.405 341.775 52.605 ;
+        RECT 342.325 52.575 342.710 53.125 ;
+        RECT 343.225 52.945 344.355 53.125 ;
+        RECT 344.525 52.945 344.775 53.455 ;
+        RECT 344.945 52.955 345.195 53.795 ;
+        RECT 345.365 53.115 345.615 53.625 ;
+        RECT 345.785 53.325 346.035 53.795 ;
+        RECT 346.205 53.115 346.455 53.625 ;
+        RECT 345.365 52.945 346.455 53.115 ;
+        RECT 346.625 52.985 346.875 53.795 ;
+        RECT 343.225 52.825 343.395 52.945 ;
+        RECT 343.045 52.655 343.395 52.825 ;
+        RECT 346.205 52.815 346.455 52.945 ;
+        RECT 341.605 52.235 341.985 52.405 ;
+        RECT 342.325 52.065 342.535 52.575 ;
+        RECT 343.045 52.405 343.235 52.655 ;
+        RECT 343.565 52.605 345.055 52.775 ;
+        RECT 343.565 52.485 343.735 52.605 ;
+        RECT 342.705 52.235 343.235 52.405 ;
+        RECT 343.405 52.235 343.735 52.485 ;
+        RECT 343.905 52.235 344.525 52.435 ;
+        RECT 344.695 52.235 345.055 52.605 ;
+        RECT 345.225 52.405 345.550 52.775 ;
+        RECT 346.205 52.575 347.010 52.815 ;
+        RECT 347.535 52.705 351.045 53.795 ;
+        RECT 345.225 52.235 346.530 52.405 ;
+        RECT 343.045 52.065 343.235 52.235 ;
+        RECT 346.700 52.065 347.010 52.575 ;
+        RECT 334.285 51.435 334.615 51.885 ;
+        RECT 334.785 51.245 334.955 51.715 ;
+        RECT 335.125 51.435 335.455 51.885 ;
+        RECT 335.625 51.245 335.795 51.715 ;
+        RECT 336.495 51.245 340.005 52.015 ;
+        RECT 340.265 51.245 340.435 52.055 ;
+        RECT 340.605 51.635 340.855 52.065 ;
+        RECT 341.025 51.895 342.615 52.065 ;
+        RECT 341.025 51.805 341.360 51.895 ;
+        RECT 340.605 51.415 341.775 51.635 ;
+        RECT 341.945 51.245 342.115 51.715 ;
+        RECT 342.285 51.415 342.615 51.895 ;
+        RECT 343.045 51.885 344.815 52.065 ;
+        RECT 342.785 51.245 343.475 51.715 ;
+        RECT 343.645 51.415 343.975 51.885 ;
+        RECT 344.145 51.245 344.315 51.715 ;
+        RECT 344.485 51.415 344.815 51.885 ;
+        RECT 344.985 51.245 345.155 52.055 ;
+        RECT 345.325 51.885 347.010 52.065 ;
+        RECT 347.535 52.015 349.185 52.535 ;
+        RECT 349.355 52.185 351.045 52.705 ;
+        RECT 351.215 52.630 351.505 53.795 ;
+        RECT 351.725 53.115 351.975 53.625 ;
+        RECT 352.145 53.285 352.395 53.795 ;
+        RECT 352.565 53.115 352.815 53.625 ;
+        RECT 352.985 53.285 353.235 53.795 ;
+        RECT 353.405 53.455 354.495 53.625 ;
+        RECT 353.405 53.115 353.655 53.455 ;
+        RECT 354.245 53.295 354.495 53.455 ;
+        RECT 354.765 53.295 355.015 53.795 ;
+        RECT 355.185 53.455 356.275 53.625 ;
+        RECT 355.185 53.295 355.435 53.455 ;
+        RECT 351.725 52.945 353.655 53.115 ;
+        RECT 351.675 52.605 353.275 52.775 ;
+        RECT 353.445 52.615 353.655 52.945 ;
+        RECT 353.825 53.125 354.075 53.285 ;
+        RECT 355.605 53.125 355.855 53.285 ;
+        RECT 351.675 52.235 352.165 52.605 ;
+        RECT 352.395 52.235 352.935 52.435 ;
+        RECT 353.105 52.405 353.275 52.605 ;
+        RECT 353.825 52.575 354.210 53.125 ;
+        RECT 354.725 52.945 355.855 53.125 ;
+        RECT 356.025 52.945 356.275 53.455 ;
+        RECT 356.445 52.955 356.695 53.795 ;
+        RECT 356.865 53.115 357.115 53.625 ;
+        RECT 357.285 53.325 357.535 53.795 ;
+        RECT 357.705 53.115 357.955 53.625 ;
+        RECT 356.865 52.945 357.955 53.115 ;
+        RECT 358.125 52.985 358.375 53.795 ;
+        RECT 354.725 52.825 354.895 52.945 ;
+        RECT 354.545 52.655 354.895 52.825 ;
+        RECT 357.705 52.815 357.955 52.945 ;
+        RECT 353.105 52.235 353.485 52.405 ;
+        RECT 353.825 52.065 354.035 52.575 ;
+        RECT 354.545 52.405 354.735 52.655 ;
+        RECT 355.065 52.605 356.555 52.775 ;
+        RECT 355.065 52.485 355.235 52.605 ;
+        RECT 354.205 52.235 354.735 52.405 ;
+        RECT 354.905 52.235 355.235 52.485 ;
+        RECT 355.405 52.235 356.025 52.435 ;
+        RECT 356.195 52.235 356.555 52.605 ;
+        RECT 356.725 52.405 357.050 52.775 ;
+        RECT 357.705 52.575 358.510 52.815 ;
+        RECT 359.035 52.705 362.545 53.795 ;
+        RECT 356.725 52.235 358.030 52.405 ;
+        RECT 354.545 52.065 354.735 52.235 ;
+        RECT 358.200 52.065 358.510 52.575 ;
+        RECT 345.325 51.435 345.655 51.885 ;
+        RECT 345.825 51.245 345.995 51.715 ;
+        RECT 346.165 51.435 346.495 51.885 ;
+        RECT 346.665 51.245 346.835 51.715 ;
+        RECT 347.535 51.245 351.045 52.015 ;
+        RECT 351.215 51.245 351.505 51.970 ;
+        RECT 351.765 51.245 351.935 52.055 ;
+        RECT 352.105 51.635 352.355 52.065 ;
+        RECT 352.525 51.895 354.115 52.065 ;
+        RECT 352.525 51.805 352.860 51.895 ;
+        RECT 352.105 51.415 353.275 51.635 ;
+        RECT 353.445 51.245 353.615 51.715 ;
+        RECT 353.785 51.415 354.115 51.895 ;
+        RECT 354.545 51.885 356.315 52.065 ;
+        RECT 354.285 51.245 354.975 51.715 ;
+        RECT 355.145 51.415 355.475 51.885 ;
+        RECT 355.645 51.245 355.815 51.715 ;
+        RECT 355.985 51.415 356.315 51.885 ;
+        RECT 356.485 51.245 356.655 52.055 ;
+        RECT 356.825 51.885 358.510 52.065 ;
+        RECT 359.035 52.015 360.685 52.535 ;
+        RECT 360.855 52.185 362.545 52.705 ;
+        RECT 362.720 52.825 362.995 53.625 ;
+        RECT 363.165 52.995 363.495 53.795 ;
+        RECT 363.665 52.825 363.835 53.625 ;
+        RECT 364.005 52.995 364.255 53.795 ;
+        RECT 364.425 53.455 366.520 53.625 ;
+        RECT 364.425 52.825 364.755 53.455 ;
+        RECT 362.720 52.615 364.755 52.825 ;
+        RECT 364.925 52.905 365.095 53.285 ;
+        RECT 365.265 53.095 365.595 53.455 ;
+        RECT 365.765 52.905 365.935 53.285 ;
+        RECT 366.105 53.075 366.520 53.455 ;
+        RECT 364.925 52.605 366.685 52.905 ;
+        RECT 366.855 52.705 370.365 53.795 ;
+        RECT 362.770 52.235 364.430 52.435 ;
+        RECT 364.750 52.235 366.115 52.435 ;
+        RECT 366.285 52.065 366.685 52.605 ;
+        RECT 356.825 51.435 357.155 51.885 ;
+        RECT 357.325 51.245 357.495 51.715 ;
+        RECT 357.665 51.435 357.995 51.885 ;
+        RECT 358.165 51.245 358.335 51.715 ;
+        RECT 359.035 51.245 362.545 52.015 ;
+        RECT 362.720 51.245 362.995 52.065 ;
+        RECT 363.165 51.885 366.685 52.065 ;
+        RECT 366.855 52.015 368.505 52.535 ;
+        RECT 368.675 52.185 370.365 52.705 ;
+        RECT 370.540 52.825 370.815 53.625 ;
+        RECT 370.985 52.995 371.315 53.795 ;
+        RECT 371.485 52.825 371.655 53.625 ;
+        RECT 371.825 52.995 372.075 53.795 ;
+        RECT 372.245 53.455 374.340 53.625 ;
+        RECT 372.245 52.825 372.575 53.455 ;
+        RECT 370.540 52.615 372.575 52.825 ;
+        RECT 372.745 52.905 372.915 53.285 ;
+        RECT 373.085 53.095 373.415 53.455 ;
+        RECT 373.585 52.905 373.755 53.285 ;
+        RECT 373.925 53.075 374.340 53.455 ;
+        RECT 372.745 52.605 374.505 52.905 ;
+        RECT 374.675 52.705 378.185 53.795 ;
+        RECT 370.590 52.235 372.250 52.435 ;
+        RECT 372.570 52.235 373.935 52.435 ;
+        RECT 374.105 52.065 374.505 52.605 ;
+        RECT 363.165 51.415 363.495 51.885 ;
+        RECT 363.665 51.245 363.835 51.715 ;
+        RECT 364.005 51.415 364.335 51.885 ;
+        RECT 364.505 51.245 364.675 51.715 ;
+        RECT 364.845 51.415 365.175 51.885 ;
+        RECT 365.345 51.245 365.515 51.715 ;
+        RECT 365.685 51.415 366.015 51.885 ;
+        RECT 366.185 51.245 366.470 51.715 ;
+        RECT 366.855 51.245 370.365 52.015 ;
+        RECT 370.540 51.245 370.815 52.065 ;
+        RECT 370.985 51.885 374.505 52.065 ;
+        RECT 374.675 52.015 376.325 52.535 ;
+        RECT 376.495 52.185 378.185 52.705 ;
+        RECT 379.275 52.630 379.565 53.795 ;
+        RECT 379.925 53.070 380.255 53.795 ;
+        RECT 370.985 51.415 371.315 51.885 ;
+        RECT 371.485 51.245 371.655 51.715 ;
+        RECT 371.825 51.415 372.155 51.885 ;
+        RECT 372.325 51.245 372.495 51.715 ;
+        RECT 372.665 51.415 372.995 51.885 ;
+        RECT 373.165 51.245 373.335 51.715 ;
+        RECT 373.505 51.415 373.835 51.885 ;
+        RECT 374.005 51.245 374.290 51.715 ;
+        RECT 374.675 51.245 378.185 52.015 ;
+        RECT 379.275 51.245 379.565 51.970 ;
+        RECT 379.735 51.415 380.255 52.900 ;
+        RECT 380.425 52.075 380.945 53.625 ;
+        RECT 381.115 52.705 384.625 53.795 ;
+        RECT 384.985 53.070 385.315 53.795 ;
+        RECT 381.115 52.015 382.765 52.535 ;
+        RECT 382.935 52.185 384.625 52.705 ;
+        RECT 380.425 51.245 380.765 51.905 ;
+        RECT 381.115 51.245 384.625 52.015 ;
+        RECT 384.795 51.415 385.315 52.900 ;
+        RECT 385.485 52.075 386.005 53.625 ;
+        RECT 386.175 52.705 389.685 53.795 ;
+        RECT 390.045 53.070 390.375 53.795 ;
+        RECT 386.175 52.015 387.825 52.535 ;
+        RECT 387.995 52.185 389.685 52.705 ;
+        RECT 385.485 51.245 385.825 51.905 ;
+        RECT 386.175 51.245 389.685 52.015 ;
+        RECT 389.855 51.415 390.375 52.900 ;
+        RECT 390.545 52.075 391.065 53.625 ;
+        RECT 391.235 52.705 396.580 53.795 ;
+        RECT 396.755 52.705 402.100 53.795 ;
+        RECT 402.275 52.705 405.785 53.795 ;
+        RECT 405.955 52.705 407.165 53.795 ;
+        RECT 391.235 52.015 393.815 52.535 ;
+        RECT 393.985 52.185 396.580 52.705 ;
+        RECT 396.755 52.015 399.335 52.535 ;
+        RECT 399.505 52.185 402.100 52.705 ;
+        RECT 402.275 52.015 403.925 52.535 ;
+        RECT 404.095 52.185 405.785 52.705 ;
+        RECT 390.545 51.245 390.885 51.905 ;
+        RECT 391.235 51.245 396.580 52.015 ;
+        RECT 396.755 51.245 402.100 52.015 ;
+        RECT 402.275 51.245 405.785 52.015 ;
+        RECT 405.955 51.995 406.475 52.535 ;
+        RECT 406.645 52.165 407.165 52.705 ;
+        RECT 407.335 52.630 407.625 53.795 ;
+        RECT 407.795 52.705 413.140 53.795 ;
+        RECT 413.315 52.705 418.660 53.795 ;
+        RECT 418.835 52.705 424.180 53.795 ;
+        RECT 424.355 52.705 429.700 53.795 ;
+        RECT 429.875 52.705 435.220 53.795 ;
+        RECT 407.795 52.015 410.375 52.535 ;
+        RECT 410.545 52.185 413.140 52.705 ;
+        RECT 413.315 52.015 415.895 52.535 ;
+        RECT 416.065 52.185 418.660 52.705 ;
+        RECT 418.835 52.015 421.415 52.535 ;
+        RECT 421.585 52.185 424.180 52.705 ;
+        RECT 424.355 52.015 426.935 52.535 ;
+        RECT 427.105 52.185 429.700 52.705 ;
+        RECT 429.875 52.015 432.455 52.535 ;
+        RECT 432.625 52.185 435.220 52.705 ;
+        RECT 435.395 52.630 435.685 53.795 ;
+        RECT 435.855 52.705 441.200 53.795 ;
+        RECT 441.375 52.705 446.720 53.795 ;
+        RECT 446.895 52.705 452.240 53.795 ;
+        RECT 452.415 52.705 457.760 53.795 ;
+        RECT 457.935 52.705 463.280 53.795 ;
+        RECT 435.855 52.015 438.435 52.535 ;
+        RECT 438.605 52.185 441.200 52.705 ;
+        RECT 441.375 52.015 443.955 52.535 ;
+        RECT 444.125 52.185 446.720 52.705 ;
+        RECT 446.895 52.015 449.475 52.535 ;
+        RECT 449.645 52.185 452.240 52.705 ;
+        RECT 452.415 52.015 454.995 52.535 ;
+        RECT 455.165 52.185 457.760 52.705 ;
+        RECT 457.935 52.015 460.515 52.535 ;
+        RECT 460.685 52.185 463.280 52.705 ;
+        RECT 463.455 52.630 463.745 53.795 ;
+        RECT 463.915 52.705 469.260 53.795 ;
+        RECT 469.435 52.705 474.780 53.795 ;
+        RECT 474.955 52.705 480.300 53.795 ;
+        RECT 480.475 52.705 485.820 53.795 ;
+        RECT 485.995 52.705 491.340 53.795 ;
+        RECT 463.915 52.015 466.495 52.535 ;
+        RECT 466.665 52.185 469.260 52.705 ;
+        RECT 469.435 52.015 472.015 52.535 ;
+        RECT 472.185 52.185 474.780 52.705 ;
+        RECT 474.955 52.015 477.535 52.535 ;
+        RECT 477.705 52.185 480.300 52.705 ;
+        RECT 480.475 52.015 483.055 52.535 ;
+        RECT 483.225 52.185 485.820 52.705 ;
+        RECT 485.995 52.015 488.575 52.535 ;
+        RECT 488.745 52.185 491.340 52.705 ;
+        RECT 491.515 52.630 491.805 53.795 ;
+        RECT 491.975 52.705 497.320 53.795 ;
+        RECT 497.495 52.705 502.840 53.795 ;
+        RECT 503.015 52.705 508.360 53.795 ;
+        RECT 508.535 52.705 513.880 53.795 ;
+        RECT 514.055 52.705 519.400 53.795 ;
+        RECT 491.975 52.015 494.555 52.535 ;
+        RECT 494.725 52.185 497.320 52.705 ;
+        RECT 497.495 52.015 500.075 52.535 ;
+        RECT 500.245 52.185 502.840 52.705 ;
+        RECT 503.015 52.015 505.595 52.535 ;
+        RECT 505.765 52.185 508.360 52.705 ;
+        RECT 508.535 52.015 511.115 52.535 ;
+        RECT 511.285 52.185 513.880 52.705 ;
+        RECT 514.055 52.015 516.635 52.535 ;
+        RECT 516.805 52.185 519.400 52.705 ;
+        RECT 519.575 52.630 519.865 53.795 ;
+        RECT 520.035 52.705 525.380 53.795 ;
+        RECT 525.555 52.705 530.900 53.795 ;
+        RECT 531.075 52.705 536.420 53.795 ;
+        RECT 536.595 52.705 541.940 53.795 ;
+        RECT 542.115 52.705 547.460 53.795 ;
+        RECT 520.035 52.015 522.615 52.535 ;
+        RECT 522.785 52.185 525.380 52.705 ;
+        RECT 525.555 52.015 528.135 52.535 ;
+        RECT 528.305 52.185 530.900 52.705 ;
+        RECT 531.075 52.015 533.655 52.535 ;
+        RECT 533.825 52.185 536.420 52.705 ;
+        RECT 536.595 52.015 539.175 52.535 ;
+        RECT 539.345 52.185 541.940 52.705 ;
+        RECT 542.115 52.015 544.695 52.535 ;
+        RECT 544.865 52.185 547.460 52.705 ;
+        RECT 547.635 52.630 547.925 53.795 ;
+        RECT 548.095 52.705 553.440 53.795 ;
+        RECT 553.615 52.705 558.960 53.795 ;
+        RECT 559.135 52.705 564.480 53.795 ;
+        RECT 564.655 52.705 570.000 53.795 ;
+        RECT 570.175 52.705 575.520 53.795 ;
+        RECT 548.095 52.015 550.675 52.535 ;
+        RECT 550.845 52.185 553.440 52.705 ;
+        RECT 553.615 52.015 556.195 52.535 ;
+        RECT 556.365 52.185 558.960 52.705 ;
+        RECT 559.135 52.015 561.715 52.535 ;
+        RECT 561.885 52.185 564.480 52.705 ;
+        RECT 564.655 52.015 567.235 52.535 ;
+        RECT 567.405 52.185 570.000 52.705 ;
+        RECT 570.175 52.015 572.755 52.535 ;
+        RECT 572.925 52.185 575.520 52.705 ;
+        RECT 575.695 52.630 575.985 53.795 ;
+        RECT 576.155 52.705 581.500 53.795 ;
+        RECT 581.675 52.705 587.020 53.795 ;
+        RECT 587.195 52.705 592.540 53.795 ;
+        RECT 592.715 52.705 598.060 53.795 ;
+        RECT 598.235 52.705 603.580 53.795 ;
+        RECT 576.155 52.015 578.735 52.535 ;
+        RECT 578.905 52.185 581.500 52.705 ;
+        RECT 581.675 52.015 584.255 52.535 ;
+        RECT 584.425 52.185 587.020 52.705 ;
+        RECT 587.195 52.015 589.775 52.535 ;
+        RECT 589.945 52.185 592.540 52.705 ;
+        RECT 592.715 52.015 595.295 52.535 ;
+        RECT 595.465 52.185 598.060 52.705 ;
+        RECT 598.235 52.015 600.815 52.535 ;
+        RECT 600.985 52.185 603.580 52.705 ;
+        RECT 603.755 52.630 604.045 53.795 ;
+        RECT 604.215 52.705 609.560 53.795 ;
+        RECT 609.735 52.705 615.080 53.795 ;
+        RECT 615.255 52.705 620.600 53.795 ;
+        RECT 620.775 52.705 623.365 53.795 ;
+        RECT 624.185 53.070 624.515 53.795 ;
+        RECT 604.215 52.015 606.795 52.535 ;
+        RECT 606.965 52.185 609.560 52.705 ;
+        RECT 609.735 52.015 612.315 52.535 ;
+        RECT 612.485 52.185 615.080 52.705 ;
+        RECT 615.255 52.015 617.835 52.535 ;
+        RECT 618.005 52.185 620.600 52.705 ;
+        RECT 620.775 52.015 621.985 52.535 ;
+        RECT 622.155 52.185 623.365 52.705 ;
+        RECT 405.955 51.245 407.165 51.995 ;
+        RECT 407.335 51.245 407.625 51.970 ;
+        RECT 407.795 51.245 413.140 52.015 ;
+        RECT 413.315 51.245 418.660 52.015 ;
+        RECT 418.835 51.245 424.180 52.015 ;
+        RECT 424.355 51.245 429.700 52.015 ;
+        RECT 429.875 51.245 435.220 52.015 ;
+        RECT 435.395 51.245 435.685 51.970 ;
+        RECT 435.855 51.245 441.200 52.015 ;
+        RECT 441.375 51.245 446.720 52.015 ;
+        RECT 446.895 51.245 452.240 52.015 ;
+        RECT 452.415 51.245 457.760 52.015 ;
+        RECT 457.935 51.245 463.280 52.015 ;
+        RECT 463.455 51.245 463.745 51.970 ;
+        RECT 463.915 51.245 469.260 52.015 ;
+        RECT 469.435 51.245 474.780 52.015 ;
+        RECT 474.955 51.245 480.300 52.015 ;
+        RECT 480.475 51.245 485.820 52.015 ;
+        RECT 485.995 51.245 491.340 52.015 ;
+        RECT 491.515 51.245 491.805 51.970 ;
+        RECT 491.975 51.245 497.320 52.015 ;
+        RECT 497.495 51.245 502.840 52.015 ;
+        RECT 503.015 51.245 508.360 52.015 ;
+        RECT 508.535 51.245 513.880 52.015 ;
+        RECT 514.055 51.245 519.400 52.015 ;
+        RECT 519.575 51.245 519.865 51.970 ;
+        RECT 520.035 51.245 525.380 52.015 ;
+        RECT 525.555 51.245 530.900 52.015 ;
+        RECT 531.075 51.245 536.420 52.015 ;
+        RECT 536.595 51.245 541.940 52.015 ;
+        RECT 542.115 51.245 547.460 52.015 ;
+        RECT 547.635 51.245 547.925 51.970 ;
+        RECT 548.095 51.245 553.440 52.015 ;
+        RECT 553.615 51.245 558.960 52.015 ;
+        RECT 559.135 51.245 564.480 52.015 ;
+        RECT 564.655 51.245 570.000 52.015 ;
+        RECT 570.175 51.245 575.520 52.015 ;
+        RECT 575.695 51.245 575.985 51.970 ;
+        RECT 576.155 51.245 581.500 52.015 ;
+        RECT 581.675 51.245 587.020 52.015 ;
+        RECT 587.195 51.245 592.540 52.015 ;
+        RECT 592.715 51.245 598.060 52.015 ;
+        RECT 598.235 51.245 603.580 52.015 ;
+        RECT 603.755 51.245 604.045 51.970 ;
+        RECT 604.215 51.245 609.560 52.015 ;
+        RECT 609.735 51.245 615.080 52.015 ;
+        RECT 615.255 51.245 620.600 52.015 ;
+        RECT 620.775 51.245 623.365 52.015 ;
+        RECT 623.995 51.415 624.515 52.900 ;
+        RECT 624.685 52.075 625.205 53.625 ;
+        RECT 625.375 52.705 628.885 53.795 ;
+        RECT 625.375 52.015 627.025 52.535 ;
+        RECT 627.195 52.185 628.885 52.705 ;
+        RECT 629.975 52.705 631.185 53.795 ;
+        RECT 629.975 52.165 630.495 52.705 ;
+        RECT 624.685 51.245 625.025 51.905 ;
+        RECT 625.375 51.245 628.885 52.015 ;
+        RECT 630.665 51.995 631.185 52.535 ;
+        RECT 629.975 51.245 631.185 51.995 ;
+        RECT 42.470 51.075 631.270 51.245 ;
+        RECT 42.555 50.325 43.765 51.075 ;
+        RECT 42.555 49.785 43.075 50.325 ;
+        RECT 43.935 50.305 49.280 51.075 ;
+        RECT 49.455 50.305 54.800 51.075 ;
+        RECT 54.975 50.305 56.645 51.075 ;
+        RECT 56.815 50.350 57.105 51.075 ;
+        RECT 57.275 50.305 62.620 51.075 ;
+        RECT 62.795 50.305 68.140 51.075 ;
+        RECT 68.315 50.305 71.825 51.075 ;
+        RECT 43.245 49.615 43.765 50.155 ;
+        RECT 43.935 49.785 46.515 50.305 ;
+        RECT 46.685 49.615 49.280 50.135 ;
+        RECT 49.455 49.785 52.035 50.305 ;
+        RECT 52.205 49.615 54.800 50.135 ;
+        RECT 54.975 49.785 55.725 50.305 ;
+        RECT 55.895 49.615 56.645 50.135 ;
+        RECT 57.275 49.785 59.855 50.305 ;
+        RECT 42.555 48.525 43.765 49.615 ;
+        RECT 43.935 48.525 49.280 49.615 ;
+        RECT 49.455 48.525 54.800 49.615 ;
+        RECT 54.975 48.525 56.645 49.615 ;
+        RECT 56.815 48.525 57.105 49.690 ;
+        RECT 60.025 49.615 62.620 50.135 ;
+        RECT 62.795 49.785 65.375 50.305 ;
+        RECT 65.545 49.615 68.140 50.135 ;
+        RECT 68.315 49.785 69.965 50.305 ;
+        RECT 72.955 50.255 73.185 51.075 ;
+        RECT 73.355 50.275 73.685 50.905 ;
+        RECT 70.135 49.615 71.825 50.135 ;
+        RECT 72.935 49.835 73.265 50.085 ;
+        RECT 73.435 49.675 73.685 50.275 ;
+        RECT 73.855 50.255 74.065 51.075 ;
+        RECT 74.295 50.305 77.805 51.075 ;
+        RECT 77.995 50.565 78.235 51.075 ;
+        RECT 74.295 49.785 75.945 50.305 ;
+        RECT 57.275 48.525 62.620 49.615 ;
+        RECT 62.795 48.525 68.140 49.615 ;
+        RECT 68.315 48.525 71.825 49.615 ;
+        RECT 72.955 48.525 73.185 49.665 ;
+        RECT 73.355 48.695 73.685 49.675 ;
+        RECT 73.855 48.525 74.065 49.665 ;
+        RECT 76.115 49.615 77.805 50.135 ;
+        RECT 77.980 49.835 78.235 50.395 ;
+        RECT 78.405 50.335 78.735 50.870 ;
+        RECT 78.950 50.335 79.120 51.075 ;
+        RECT 79.330 50.425 79.660 50.895 ;
+        RECT 79.830 50.595 80.000 51.075 ;
+        RECT 80.170 50.425 80.500 50.895 ;
+        RECT 80.670 50.595 80.840 51.075 ;
+        RECT 78.405 49.665 78.585 50.335 ;
+        RECT 79.330 50.255 81.025 50.425 ;
+        RECT 78.755 49.835 79.130 50.165 ;
+        RECT 79.300 49.915 80.510 50.085 ;
+        RECT 79.300 49.665 79.505 49.915 ;
+        RECT 80.680 49.665 81.025 50.255 ;
+        RECT 81.195 50.305 84.705 51.075 ;
+        RECT 84.875 50.350 85.165 51.075 ;
+        RECT 85.885 50.525 86.055 50.905 ;
+        RECT 86.270 50.695 86.600 51.075 ;
+        RECT 85.885 50.355 86.600 50.525 ;
+        RECT 81.195 49.785 82.845 50.305 ;
+        RECT 74.295 48.525 77.805 49.615 ;
+        RECT 78.045 49.495 79.505 49.665 ;
+        RECT 80.170 49.495 81.025 49.665 ;
+        RECT 83.015 49.615 84.705 50.135 ;
+        RECT 85.795 49.805 86.150 50.175 ;
+        RECT 86.430 50.165 86.600 50.355 ;
+        RECT 86.770 50.330 87.025 50.905 ;
+        RECT 86.430 49.835 86.685 50.165 ;
+        RECT 78.045 48.695 78.405 49.495 ;
+        RECT 80.170 49.325 80.500 49.495 ;
+        RECT 78.950 48.525 79.120 49.325 ;
+        RECT 79.330 49.155 80.500 49.325 ;
+        RECT 79.330 48.695 79.660 49.155 ;
+        RECT 79.830 48.525 80.000 48.985 ;
+        RECT 80.170 48.695 80.500 49.155 ;
+        RECT 80.670 48.525 80.840 49.325 ;
+        RECT 81.195 48.525 84.705 49.615 ;
+        RECT 84.875 48.525 85.165 49.690 ;
+        RECT 86.430 49.625 86.600 49.835 ;
+        RECT 85.885 49.455 86.600 49.625 ;
+        RECT 86.855 49.600 87.025 50.330 ;
+        RECT 87.200 50.235 87.460 51.075 ;
+        RECT 87.635 50.305 91.145 51.075 ;
+        RECT 91.405 50.525 91.575 50.815 ;
+        RECT 91.745 50.695 92.075 51.075 ;
+        RECT 91.405 50.355 92.070 50.525 ;
+        RECT 87.635 49.785 89.285 50.305 ;
+        RECT 85.885 48.695 86.055 49.455 ;
+        RECT 86.270 48.525 86.600 49.285 ;
+        RECT 86.770 48.695 87.025 49.600 ;
+        RECT 87.200 48.525 87.460 49.675 ;
+        RECT 89.455 49.615 91.145 50.135 ;
+        RECT 87.635 48.525 91.145 49.615 ;
+        RECT 91.320 49.535 91.670 50.185 ;
+        RECT 91.840 49.365 92.070 50.355 ;
+        RECT 91.405 49.195 92.070 49.365 ;
+        RECT 91.405 48.695 91.575 49.195 ;
+        RECT 91.745 48.525 92.075 49.025 ;
+        RECT 92.245 48.695 92.470 50.815 ;
+        RECT 92.685 50.615 92.935 51.075 ;
+        RECT 93.120 50.625 93.450 50.795 ;
+        RECT 93.630 50.625 94.380 50.795 ;
+        RECT 92.670 49.495 92.950 50.095 ;
+        RECT 93.120 49.095 93.290 50.625 ;
+        RECT 93.460 50.125 94.040 50.455 ;
+        RECT 93.460 49.255 93.700 50.125 ;
+        RECT 94.210 49.845 94.380 50.625 ;
+        RECT 94.630 50.575 95.000 51.075 ;
+        RECT 95.180 50.625 95.640 50.795 ;
+        RECT 95.870 50.625 96.540 50.795 ;
+        RECT 95.180 50.395 95.350 50.625 ;
+        RECT 94.550 50.095 95.350 50.395 ;
+        RECT 95.520 50.125 96.070 50.455 ;
+        RECT 94.550 50.065 94.720 50.095 ;
+        RECT 94.840 49.845 95.010 49.915 ;
+        RECT 94.210 49.675 95.010 49.845 ;
+        RECT 94.500 49.585 95.010 49.675 ;
+        RECT 93.890 49.150 94.330 49.505 ;
+        RECT 92.670 48.525 92.935 48.985 ;
+        RECT 93.120 48.720 93.355 49.095 ;
+        RECT 94.500 48.970 94.670 49.585 ;
+        RECT 93.600 48.800 94.670 48.970 ;
+        RECT 94.840 48.525 95.010 49.325 ;
+        RECT 95.180 49.025 95.350 50.095 ;
+        RECT 95.520 49.195 95.710 49.915 ;
+        RECT 95.880 49.585 96.070 50.125 ;
+        RECT 96.370 50.085 96.540 50.625 ;
+        RECT 96.855 50.545 97.025 51.075 ;
+        RECT 97.320 50.425 97.680 50.865 ;
+        RECT 97.855 50.595 98.025 51.075 ;
+        RECT 98.215 50.430 98.550 50.855 ;
+        RECT 98.725 50.600 98.895 51.075 ;
+        RECT 99.070 50.430 99.405 50.855 ;
+        RECT 99.575 50.600 99.745 51.075 ;
+        RECT 97.320 50.255 97.820 50.425 ;
+        RECT 98.215 50.260 99.885 50.430 ;
+        RECT 97.650 50.085 97.820 50.255 ;
+        RECT 96.370 49.915 97.460 50.085 ;
+        RECT 97.650 49.915 99.470 50.085 ;
+        RECT 95.880 49.255 96.200 49.585 ;
+        RECT 95.180 48.695 95.430 49.025 ;
+        RECT 96.370 48.995 96.540 49.915 ;
+        RECT 97.650 49.660 97.820 49.915 ;
+        RECT 99.640 49.695 99.885 50.260 ;
+        RECT 100.055 50.305 103.565 51.075 ;
+        RECT 103.735 50.325 104.945 51.075 ;
+        RECT 100.055 49.785 101.705 50.305 ;
+        RECT 96.710 49.490 97.820 49.660 ;
+        RECT 98.215 49.525 99.885 49.695 ;
+        RECT 101.875 49.615 103.565 50.135 ;
+        RECT 103.735 49.785 104.255 50.325 ;
+        RECT 105.120 50.255 105.395 51.075 ;
+        RECT 105.565 50.435 105.895 50.905 ;
+        RECT 106.065 50.605 106.235 51.075 ;
+        RECT 106.405 50.435 106.735 50.905 ;
+        RECT 106.905 50.605 107.075 51.075 ;
+        RECT 107.245 50.435 107.575 50.905 ;
+        RECT 107.745 50.605 107.915 51.075 ;
+        RECT 108.085 50.435 108.415 50.905 ;
+        RECT 108.585 50.605 108.870 51.075 ;
+        RECT 105.565 50.255 109.085 50.435 ;
+        RECT 104.425 49.615 104.945 50.155 ;
+        RECT 105.170 49.885 106.830 50.085 ;
+        RECT 107.150 49.885 108.515 50.085 ;
+        RECT 108.685 49.715 109.085 50.255 ;
+        RECT 109.255 50.305 112.765 51.075 ;
+        RECT 112.935 50.350 113.225 51.075 ;
+        RECT 113.485 50.525 113.655 50.815 ;
+        RECT 113.825 50.695 114.155 51.075 ;
+        RECT 113.485 50.355 114.150 50.525 ;
+        RECT 109.255 49.785 110.905 50.305 ;
+        RECT 96.710 49.330 97.570 49.490 ;
+        RECT 95.655 48.825 96.540 48.995 ;
+        RECT 96.720 48.525 96.935 49.025 ;
+        RECT 97.400 48.705 97.570 49.330 ;
+        RECT 97.855 48.525 98.035 49.305 ;
+        RECT 98.215 48.765 98.550 49.525 ;
+        RECT 98.730 48.525 98.900 49.355 ;
+        RECT 99.070 48.765 99.400 49.525 ;
+        RECT 99.570 48.525 99.740 49.355 ;
+        RECT 100.055 48.525 103.565 49.615 ;
+        RECT 103.735 48.525 104.945 49.615 ;
+        RECT 105.120 49.495 107.155 49.705 ;
+        RECT 105.120 48.695 105.395 49.495 ;
+        RECT 105.565 48.525 105.895 49.325 ;
+        RECT 106.065 48.695 106.235 49.495 ;
+        RECT 106.405 48.525 106.655 49.325 ;
+        RECT 106.825 48.865 107.155 49.495 ;
+        RECT 107.325 49.415 109.085 49.715 ;
+        RECT 111.075 49.615 112.765 50.135 ;
+        RECT 107.325 49.035 107.495 49.415 ;
+        RECT 107.665 48.865 107.995 49.225 ;
+        RECT 108.165 49.035 108.335 49.415 ;
+        RECT 108.505 48.865 108.920 49.245 ;
+        RECT 106.825 48.695 108.920 48.865 ;
+        RECT 109.255 48.525 112.765 49.615 ;
+        RECT 112.935 48.525 113.225 49.690 ;
+        RECT 113.400 49.535 113.750 50.185 ;
+        RECT 113.920 49.365 114.150 50.355 ;
+        RECT 113.485 49.195 114.150 49.365 ;
+        RECT 113.485 48.695 113.655 49.195 ;
+        RECT 113.825 48.525 114.155 49.025 ;
+        RECT 114.325 48.695 114.550 50.815 ;
+        RECT 114.765 50.615 115.015 51.075 ;
+        RECT 115.200 50.625 115.530 50.795 ;
+        RECT 115.710 50.625 116.460 50.795 ;
+        RECT 114.750 49.495 115.030 50.095 ;
+        RECT 115.200 49.095 115.370 50.625 ;
+        RECT 115.540 50.125 116.120 50.455 ;
+        RECT 115.540 49.255 115.780 50.125 ;
+        RECT 116.290 49.845 116.460 50.625 ;
+        RECT 116.710 50.575 117.080 51.075 ;
+        RECT 117.260 50.625 117.720 50.795 ;
+        RECT 117.950 50.625 118.620 50.795 ;
+        RECT 117.260 50.395 117.430 50.625 ;
+        RECT 116.630 50.095 117.430 50.395 ;
+        RECT 117.600 50.125 118.150 50.455 ;
+        RECT 116.630 50.065 116.800 50.095 ;
+        RECT 116.920 49.845 117.090 49.915 ;
+        RECT 116.290 49.675 117.090 49.845 ;
+        RECT 116.580 49.585 117.090 49.675 ;
+        RECT 115.970 49.150 116.410 49.505 ;
+        RECT 114.750 48.525 115.015 48.985 ;
+        RECT 115.200 48.720 115.435 49.095 ;
+        RECT 116.580 48.970 116.750 49.585 ;
+        RECT 115.680 48.800 116.750 48.970 ;
+        RECT 116.920 48.525 117.090 49.325 ;
+        RECT 117.260 49.025 117.430 50.095 ;
+        RECT 117.600 49.195 117.790 49.915 ;
+        RECT 117.960 49.585 118.150 50.125 ;
+        RECT 118.450 50.085 118.620 50.625 ;
+        RECT 118.935 50.545 119.105 51.075 ;
+        RECT 119.400 50.425 119.760 50.865 ;
+        RECT 119.935 50.595 120.105 51.075 ;
+        RECT 120.295 50.430 120.630 50.855 ;
+        RECT 120.805 50.600 120.975 51.075 ;
+        RECT 121.150 50.430 121.485 50.855 ;
+        RECT 121.655 50.600 121.825 51.075 ;
+        RECT 119.400 50.255 119.900 50.425 ;
+        RECT 120.295 50.260 121.965 50.430 ;
+        RECT 119.730 50.085 119.900 50.255 ;
+        RECT 118.450 49.915 119.540 50.085 ;
+        RECT 119.730 49.915 121.550 50.085 ;
+        RECT 117.960 49.255 118.280 49.585 ;
+        RECT 117.260 48.695 117.510 49.025 ;
+        RECT 118.450 48.995 118.620 49.915 ;
+        RECT 119.730 49.660 119.900 49.915 ;
+        RECT 121.720 49.695 121.965 50.260 ;
+        RECT 122.135 50.305 125.645 51.075 ;
+        RECT 125.905 50.525 126.075 50.815 ;
+        RECT 126.245 50.695 126.575 51.075 ;
+        RECT 125.905 50.355 126.570 50.525 ;
+        RECT 122.135 49.785 123.785 50.305 ;
+        RECT 118.790 49.490 119.900 49.660 ;
+        RECT 120.295 49.525 121.965 49.695 ;
+        RECT 123.955 49.615 125.645 50.135 ;
+        RECT 118.790 49.330 119.650 49.490 ;
+        RECT 117.735 48.825 118.620 48.995 ;
+        RECT 118.800 48.525 119.015 49.025 ;
+        RECT 119.480 48.705 119.650 49.330 ;
+        RECT 119.935 48.525 120.115 49.305 ;
+        RECT 120.295 48.765 120.630 49.525 ;
+        RECT 120.810 48.525 120.980 49.355 ;
+        RECT 121.150 48.765 121.480 49.525 ;
+        RECT 121.650 48.525 121.820 49.355 ;
+        RECT 122.135 48.525 125.645 49.615 ;
+        RECT 125.820 49.535 126.170 50.185 ;
+        RECT 126.340 49.365 126.570 50.355 ;
+        RECT 125.905 49.195 126.570 49.365 ;
+        RECT 125.905 48.695 126.075 49.195 ;
+        RECT 126.245 48.525 126.575 49.025 ;
+        RECT 126.745 48.695 126.970 50.815 ;
+        RECT 127.185 50.615 127.435 51.075 ;
+        RECT 127.620 50.625 127.950 50.795 ;
+        RECT 128.130 50.625 128.880 50.795 ;
+        RECT 127.170 49.495 127.450 50.095 ;
+        RECT 127.620 49.095 127.790 50.625 ;
+        RECT 127.960 50.125 128.540 50.455 ;
+        RECT 127.960 49.255 128.200 50.125 ;
+        RECT 128.710 49.845 128.880 50.625 ;
+        RECT 129.130 50.575 129.500 51.075 ;
+        RECT 129.680 50.625 130.140 50.795 ;
+        RECT 130.370 50.625 131.040 50.795 ;
+        RECT 129.680 50.395 129.850 50.625 ;
+        RECT 129.050 50.095 129.850 50.395 ;
+        RECT 130.020 50.125 130.570 50.455 ;
+        RECT 129.050 50.065 129.220 50.095 ;
+        RECT 129.340 49.845 129.510 49.915 ;
+        RECT 128.710 49.675 129.510 49.845 ;
+        RECT 129.000 49.585 129.510 49.675 ;
+        RECT 128.390 49.150 128.830 49.505 ;
+        RECT 127.170 48.525 127.435 48.985 ;
+        RECT 127.620 48.720 127.855 49.095 ;
+        RECT 129.000 48.970 129.170 49.585 ;
+        RECT 128.100 48.800 129.170 48.970 ;
+        RECT 129.340 48.525 129.510 49.325 ;
+        RECT 129.680 49.025 129.850 50.095 ;
+        RECT 130.020 49.195 130.210 49.915 ;
+        RECT 130.380 49.585 130.570 50.125 ;
+        RECT 130.870 50.085 131.040 50.625 ;
+        RECT 131.355 50.545 131.525 51.075 ;
+        RECT 131.820 50.425 132.180 50.865 ;
+        RECT 132.355 50.595 132.525 51.075 ;
+        RECT 132.715 50.430 133.050 50.855 ;
+        RECT 133.225 50.600 133.395 51.075 ;
+        RECT 133.570 50.430 133.905 50.855 ;
+        RECT 134.075 50.600 134.245 51.075 ;
+        RECT 131.820 50.255 132.320 50.425 ;
+        RECT 132.715 50.260 134.385 50.430 ;
+        RECT 132.150 50.085 132.320 50.255 ;
+        RECT 130.870 49.915 131.960 50.085 ;
+        RECT 132.150 49.915 133.970 50.085 ;
+        RECT 130.380 49.255 130.700 49.585 ;
+        RECT 129.680 48.695 129.930 49.025 ;
+        RECT 130.870 48.995 131.040 49.915 ;
+        RECT 132.150 49.660 132.320 49.915 ;
+        RECT 134.140 49.695 134.385 50.260 ;
+        RECT 134.555 50.305 139.900 51.075 ;
+        RECT 140.995 50.350 141.285 51.075 ;
+        RECT 141.545 50.525 141.715 50.815 ;
+        RECT 141.885 50.695 142.215 51.075 ;
+        RECT 141.545 50.355 142.210 50.525 ;
+        RECT 134.555 49.785 137.135 50.305 ;
+        RECT 131.210 49.490 132.320 49.660 ;
+        RECT 132.715 49.525 134.385 49.695 ;
+        RECT 137.305 49.615 139.900 50.135 ;
+        RECT 131.210 49.330 132.070 49.490 ;
+        RECT 130.155 48.825 131.040 48.995 ;
+        RECT 131.220 48.525 131.435 49.025 ;
+        RECT 131.900 48.705 132.070 49.330 ;
+        RECT 132.355 48.525 132.535 49.305 ;
+        RECT 132.715 48.765 133.050 49.525 ;
+        RECT 133.230 48.525 133.400 49.355 ;
+        RECT 133.570 48.765 133.900 49.525 ;
+        RECT 134.070 48.525 134.240 49.355 ;
+        RECT 134.555 48.525 139.900 49.615 ;
+        RECT 140.995 48.525 141.285 49.690 ;
+        RECT 141.460 49.535 141.810 50.185 ;
+        RECT 141.980 49.365 142.210 50.355 ;
+        RECT 141.545 49.195 142.210 49.365 ;
+        RECT 141.545 48.695 141.715 49.195 ;
+        RECT 141.885 48.525 142.215 49.025 ;
+        RECT 142.385 48.695 142.610 50.815 ;
+        RECT 142.825 50.615 143.075 51.075 ;
+        RECT 143.260 50.625 143.590 50.795 ;
+        RECT 143.770 50.625 144.520 50.795 ;
+        RECT 142.810 49.495 143.090 50.095 ;
+        RECT 143.260 49.095 143.430 50.625 ;
+        RECT 143.600 50.125 144.180 50.455 ;
+        RECT 143.600 49.255 143.840 50.125 ;
+        RECT 144.350 49.845 144.520 50.625 ;
+        RECT 144.770 50.575 145.140 51.075 ;
+        RECT 145.320 50.625 145.780 50.795 ;
+        RECT 146.010 50.625 146.680 50.795 ;
+        RECT 145.320 50.395 145.490 50.625 ;
+        RECT 144.690 50.095 145.490 50.395 ;
+        RECT 145.660 50.125 146.210 50.455 ;
+        RECT 144.690 50.065 144.860 50.095 ;
+        RECT 144.980 49.845 145.150 49.915 ;
+        RECT 144.350 49.675 145.150 49.845 ;
+        RECT 144.640 49.585 145.150 49.675 ;
+        RECT 144.030 49.150 144.470 49.505 ;
+        RECT 142.810 48.525 143.075 48.985 ;
+        RECT 143.260 48.720 143.495 49.095 ;
+        RECT 144.640 48.970 144.810 49.585 ;
+        RECT 143.740 48.800 144.810 48.970 ;
+        RECT 144.980 48.525 145.150 49.325 ;
+        RECT 145.320 49.025 145.490 50.095 ;
+        RECT 145.660 49.195 145.850 49.915 ;
+        RECT 146.020 49.585 146.210 50.125 ;
+        RECT 146.510 50.085 146.680 50.625 ;
+        RECT 146.995 50.545 147.165 51.075 ;
+        RECT 147.460 50.425 147.820 50.865 ;
+        RECT 147.995 50.595 148.165 51.075 ;
+        RECT 148.865 50.600 149.035 51.075 ;
+        RECT 149.715 50.600 149.885 51.075 ;
+        RECT 147.460 50.255 147.960 50.425 ;
+        RECT 147.790 50.085 147.960 50.255 ;
+        RECT 150.195 50.305 153.705 51.075 ;
+        RECT 153.965 50.525 154.135 50.815 ;
+        RECT 154.305 50.695 154.635 51.075 ;
+        RECT 153.965 50.355 154.630 50.525 ;
+        RECT 146.510 49.915 147.600 50.085 ;
+        RECT 147.790 49.915 149.610 50.085 ;
+        RECT 146.020 49.255 146.340 49.585 ;
+        RECT 145.320 48.695 145.570 49.025 ;
+        RECT 146.510 48.995 146.680 49.915 ;
+        RECT 147.790 49.660 147.960 49.915 ;
+        RECT 150.195 49.785 151.845 50.305 ;
+        RECT 146.850 49.490 147.960 49.660 ;
+        RECT 152.015 49.615 153.705 50.135 ;
+        RECT 146.850 49.330 147.710 49.490 ;
+        RECT 145.795 48.825 146.680 48.995 ;
+        RECT 146.860 48.525 147.075 49.025 ;
+        RECT 147.540 48.705 147.710 49.330 ;
+        RECT 147.995 48.525 148.175 49.305 ;
+        RECT 148.870 48.525 149.040 49.355 ;
+        RECT 149.710 48.525 149.880 49.355 ;
+        RECT 150.195 48.525 153.705 49.615 ;
+        RECT 153.880 49.535 154.230 50.185 ;
+        RECT 154.400 49.365 154.630 50.355 ;
+        RECT 153.965 49.195 154.630 49.365 ;
+        RECT 153.965 48.695 154.135 49.195 ;
+        RECT 154.305 48.525 154.635 49.025 ;
+        RECT 154.805 48.695 155.030 50.815 ;
+        RECT 155.245 50.615 155.495 51.075 ;
+        RECT 155.680 50.625 156.010 50.795 ;
+        RECT 156.190 50.625 156.940 50.795 ;
+        RECT 155.230 49.495 155.510 50.095 ;
+        RECT 155.680 49.095 155.850 50.625 ;
+        RECT 156.020 50.125 156.600 50.455 ;
+        RECT 156.020 49.255 156.260 50.125 ;
+        RECT 156.770 49.845 156.940 50.625 ;
+        RECT 157.190 50.575 157.560 51.075 ;
+        RECT 157.740 50.625 158.200 50.795 ;
+        RECT 158.430 50.625 159.100 50.795 ;
+        RECT 157.740 50.395 157.910 50.625 ;
+        RECT 157.110 50.095 157.910 50.395 ;
+        RECT 158.080 50.125 158.630 50.455 ;
+        RECT 157.110 50.065 157.280 50.095 ;
+        RECT 157.400 49.845 157.570 49.915 ;
+        RECT 156.770 49.675 157.570 49.845 ;
+        RECT 157.060 49.585 157.570 49.675 ;
+        RECT 156.450 49.150 156.890 49.505 ;
+        RECT 155.230 48.525 155.495 48.985 ;
+        RECT 155.680 48.720 155.915 49.095 ;
+        RECT 157.060 48.970 157.230 49.585 ;
+        RECT 156.160 48.800 157.230 48.970 ;
+        RECT 157.400 48.525 157.570 49.325 ;
+        RECT 157.740 49.025 157.910 50.095 ;
+        RECT 158.080 49.195 158.270 49.915 ;
+        RECT 158.440 49.585 158.630 50.125 ;
+        RECT 158.930 50.085 159.100 50.625 ;
+        RECT 159.415 50.545 159.585 51.075 ;
+        RECT 159.880 50.425 160.240 50.865 ;
+        RECT 160.415 50.595 160.585 51.075 ;
+        RECT 160.775 50.430 161.110 50.855 ;
+        RECT 161.285 50.600 161.455 51.075 ;
+        RECT 161.630 50.430 161.965 50.855 ;
+        RECT 162.135 50.600 162.305 51.075 ;
+        RECT 159.880 50.255 160.380 50.425 ;
+        RECT 160.775 50.260 162.445 50.430 ;
+        RECT 160.210 50.085 160.380 50.255 ;
+        RECT 158.930 49.915 160.020 50.085 ;
+        RECT 160.210 49.915 162.030 50.085 ;
+        RECT 158.440 49.255 158.760 49.585 ;
+        RECT 157.740 48.695 157.990 49.025 ;
+        RECT 158.930 48.995 159.100 49.915 ;
+        RECT 160.210 49.660 160.380 49.915 ;
+        RECT 162.200 49.695 162.445 50.260 ;
+        RECT 162.615 50.305 167.960 51.075 ;
+        RECT 169.055 50.350 169.345 51.075 ;
+        RECT 169.605 50.525 169.775 50.815 ;
+        RECT 169.945 50.695 170.275 51.075 ;
+        RECT 169.605 50.355 170.270 50.525 ;
+        RECT 162.615 49.785 165.195 50.305 ;
+        RECT 159.270 49.490 160.380 49.660 ;
+        RECT 160.775 49.525 162.445 49.695 ;
+        RECT 165.365 49.615 167.960 50.135 ;
+        RECT 159.270 49.330 160.130 49.490 ;
+        RECT 158.215 48.825 159.100 48.995 ;
+        RECT 159.280 48.525 159.495 49.025 ;
+        RECT 159.960 48.705 160.130 49.330 ;
+        RECT 160.415 48.525 160.595 49.305 ;
+        RECT 160.775 48.765 161.110 49.525 ;
+        RECT 161.290 48.525 161.460 49.355 ;
+        RECT 161.630 48.765 161.960 49.525 ;
+        RECT 162.130 48.525 162.300 49.355 ;
+        RECT 162.615 48.525 167.960 49.615 ;
+        RECT 169.055 48.525 169.345 49.690 ;
+        RECT 169.520 49.535 169.870 50.185 ;
+        RECT 170.040 49.365 170.270 50.355 ;
+        RECT 169.605 49.195 170.270 49.365 ;
+        RECT 169.605 48.695 169.775 49.195 ;
+        RECT 169.945 48.525 170.275 49.025 ;
+        RECT 170.445 48.695 170.670 50.815 ;
+        RECT 170.885 50.615 171.135 51.075 ;
+        RECT 171.320 50.625 171.650 50.795 ;
+        RECT 171.830 50.625 172.580 50.795 ;
+        RECT 170.870 49.495 171.150 50.095 ;
+        RECT 171.320 49.095 171.490 50.625 ;
+        RECT 171.660 50.125 172.240 50.455 ;
+        RECT 171.660 49.255 171.900 50.125 ;
+        RECT 172.410 49.845 172.580 50.625 ;
+        RECT 172.830 50.575 173.200 51.075 ;
+        RECT 173.380 50.625 173.840 50.795 ;
+        RECT 174.070 50.625 174.740 50.795 ;
+        RECT 173.380 50.395 173.550 50.625 ;
+        RECT 172.750 50.095 173.550 50.395 ;
+        RECT 173.720 50.125 174.270 50.455 ;
+        RECT 172.750 50.065 172.920 50.095 ;
+        RECT 173.040 49.845 173.210 49.915 ;
+        RECT 172.410 49.675 173.210 49.845 ;
+        RECT 172.700 49.585 173.210 49.675 ;
+        RECT 172.090 49.150 172.530 49.505 ;
+        RECT 170.870 48.525 171.135 48.985 ;
+        RECT 171.320 48.720 171.555 49.095 ;
+        RECT 172.700 48.970 172.870 49.585 ;
+        RECT 171.800 48.800 172.870 48.970 ;
+        RECT 173.040 48.525 173.210 49.325 ;
+        RECT 173.380 49.025 173.550 50.095 ;
+        RECT 173.720 49.195 173.910 49.915 ;
+        RECT 174.080 49.585 174.270 50.125 ;
+        RECT 174.570 50.085 174.740 50.625 ;
+        RECT 175.055 50.545 175.225 51.075 ;
+        RECT 175.520 50.425 175.880 50.865 ;
+        RECT 176.055 50.595 176.225 51.075 ;
+        RECT 176.415 50.430 176.750 50.855 ;
+        RECT 176.925 50.600 177.095 51.075 ;
+        RECT 177.270 50.430 177.605 50.855 ;
+        RECT 177.775 50.600 177.945 51.075 ;
+        RECT 175.520 50.255 176.020 50.425 ;
+        RECT 176.415 50.260 178.085 50.430 ;
+        RECT 175.850 50.085 176.020 50.255 ;
+        RECT 174.570 49.915 175.660 50.085 ;
+        RECT 175.850 49.915 177.670 50.085 ;
+        RECT 174.080 49.255 174.400 49.585 ;
+        RECT 173.380 48.695 173.630 49.025 ;
+        RECT 174.570 48.995 174.740 49.915 ;
+        RECT 175.850 49.660 176.020 49.915 ;
+        RECT 177.840 49.695 178.085 50.260 ;
+        RECT 178.255 50.305 181.765 51.075 ;
+        RECT 182.025 50.525 182.195 50.815 ;
+        RECT 182.365 50.695 182.695 51.075 ;
+        RECT 182.025 50.355 182.690 50.525 ;
+        RECT 178.255 49.785 179.905 50.305 ;
+        RECT 174.910 49.490 176.020 49.660 ;
+        RECT 176.415 49.525 178.085 49.695 ;
+        RECT 180.075 49.615 181.765 50.135 ;
+        RECT 174.910 49.330 175.770 49.490 ;
+        RECT 173.855 48.825 174.740 48.995 ;
+        RECT 174.920 48.525 175.135 49.025 ;
+        RECT 175.600 48.705 175.770 49.330 ;
+        RECT 176.055 48.525 176.235 49.305 ;
+        RECT 176.415 48.765 176.750 49.525 ;
+        RECT 176.930 48.525 177.100 49.355 ;
+        RECT 177.270 48.765 177.600 49.525 ;
+        RECT 177.770 48.525 177.940 49.355 ;
+        RECT 178.255 48.525 181.765 49.615 ;
+        RECT 181.940 49.535 182.290 50.185 ;
+        RECT 182.460 49.365 182.690 50.355 ;
+        RECT 182.025 49.195 182.690 49.365 ;
+        RECT 182.025 48.695 182.195 49.195 ;
+        RECT 182.365 48.525 182.695 49.025 ;
+        RECT 182.865 48.695 183.090 50.815 ;
+        RECT 183.305 50.615 183.555 51.075 ;
+        RECT 183.740 50.625 184.070 50.795 ;
+        RECT 184.250 50.625 185.000 50.795 ;
+        RECT 183.290 49.495 183.570 50.095 ;
+        RECT 183.740 49.095 183.910 50.625 ;
+        RECT 184.080 50.125 184.660 50.455 ;
+        RECT 184.080 49.255 184.320 50.125 ;
+        RECT 184.830 49.845 185.000 50.625 ;
+        RECT 185.250 50.575 185.620 51.075 ;
+        RECT 185.800 50.625 186.260 50.795 ;
+        RECT 186.490 50.625 187.160 50.795 ;
+        RECT 185.800 50.395 185.970 50.625 ;
+        RECT 185.170 50.095 185.970 50.395 ;
+        RECT 186.140 50.125 186.690 50.455 ;
+        RECT 185.170 50.065 185.340 50.095 ;
+        RECT 185.460 49.845 185.630 49.915 ;
+        RECT 184.830 49.675 185.630 49.845 ;
+        RECT 185.120 49.585 185.630 49.675 ;
+        RECT 184.510 49.150 184.950 49.505 ;
+        RECT 183.290 48.525 183.555 48.985 ;
+        RECT 183.740 48.720 183.975 49.095 ;
+        RECT 185.120 48.970 185.290 49.585 ;
+        RECT 184.220 48.800 185.290 48.970 ;
+        RECT 185.460 48.525 185.630 49.325 ;
+        RECT 185.800 49.025 185.970 50.095 ;
+        RECT 186.140 49.195 186.330 49.915 ;
+        RECT 186.500 49.585 186.690 50.125 ;
+        RECT 186.990 50.085 187.160 50.625 ;
+        RECT 187.475 50.545 187.645 51.075 ;
+        RECT 187.940 50.425 188.300 50.865 ;
+        RECT 188.475 50.595 188.645 51.075 ;
+        RECT 188.835 50.430 189.170 50.855 ;
+        RECT 189.345 50.600 189.515 51.075 ;
+        RECT 189.690 50.430 190.025 50.855 ;
+        RECT 190.195 50.600 190.365 51.075 ;
+        RECT 187.940 50.255 188.440 50.425 ;
+        RECT 188.835 50.260 190.505 50.430 ;
+        RECT 188.270 50.085 188.440 50.255 ;
+        RECT 186.990 49.915 188.080 50.085 ;
+        RECT 188.270 49.915 190.090 50.085 ;
+        RECT 186.500 49.255 186.820 49.585 ;
+        RECT 185.800 48.695 186.050 49.025 ;
+        RECT 186.990 48.995 187.160 49.915 ;
+        RECT 188.270 49.660 188.440 49.915 ;
+        RECT 190.260 49.695 190.505 50.260 ;
+        RECT 190.675 50.305 194.185 51.075 ;
+        RECT 194.355 50.400 194.615 50.905 ;
+        RECT 194.795 50.695 195.125 51.075 ;
+        RECT 195.305 50.525 195.475 50.905 ;
+        RECT 190.675 49.785 192.325 50.305 ;
+        RECT 187.330 49.490 188.440 49.660 ;
+        RECT 188.835 49.525 190.505 49.695 ;
+        RECT 192.495 49.615 194.185 50.135 ;
+        RECT 187.330 49.330 188.190 49.490 ;
+        RECT 186.275 48.825 187.160 48.995 ;
+        RECT 187.340 48.525 187.555 49.025 ;
+        RECT 188.020 48.705 188.190 49.330 ;
+        RECT 188.475 48.525 188.655 49.305 ;
+        RECT 188.835 48.765 189.170 49.525 ;
+        RECT 189.350 48.525 189.520 49.355 ;
+        RECT 189.690 48.765 190.020 49.525 ;
+        RECT 190.190 48.525 190.360 49.355 ;
+        RECT 190.675 48.525 194.185 49.615 ;
+        RECT 194.355 49.600 194.525 50.400 ;
+        RECT 194.810 50.355 195.475 50.525 ;
+        RECT 194.810 50.100 194.980 50.355 ;
+        RECT 195.735 50.325 196.945 51.075 ;
+        RECT 197.115 50.350 197.405 51.075 ;
+        RECT 197.665 50.525 197.835 50.815 ;
+        RECT 198.005 50.695 198.335 51.075 ;
+        RECT 197.665 50.355 198.330 50.525 ;
+        RECT 194.695 49.770 194.980 50.100 ;
+        RECT 195.215 49.805 195.545 50.175 ;
+        RECT 195.735 49.785 196.255 50.325 ;
+        RECT 194.810 49.625 194.980 49.770 ;
+        RECT 194.355 48.695 194.625 49.600 ;
+        RECT 194.810 49.455 195.475 49.625 ;
+        RECT 196.425 49.615 196.945 50.155 ;
+        RECT 194.795 48.525 195.125 49.285 ;
+        RECT 195.305 48.695 195.475 49.455 ;
+        RECT 195.735 48.525 196.945 49.615 ;
+        RECT 197.115 48.525 197.405 49.690 ;
+        RECT 197.580 49.535 197.930 50.185 ;
+        RECT 198.100 49.365 198.330 50.355 ;
+        RECT 197.665 49.195 198.330 49.365 ;
+        RECT 197.665 48.695 197.835 49.195 ;
+        RECT 198.005 48.525 198.335 49.025 ;
+        RECT 198.505 48.695 198.730 50.815 ;
+        RECT 198.945 50.615 199.195 51.075 ;
+        RECT 199.380 50.625 199.710 50.795 ;
+        RECT 199.890 50.625 200.640 50.795 ;
+        RECT 198.930 49.495 199.210 50.095 ;
+        RECT 199.380 49.095 199.550 50.625 ;
+        RECT 199.720 50.125 200.300 50.455 ;
+        RECT 199.720 49.255 199.960 50.125 ;
+        RECT 200.470 49.845 200.640 50.625 ;
+        RECT 200.890 50.575 201.260 51.075 ;
+        RECT 201.440 50.625 201.900 50.795 ;
+        RECT 202.130 50.625 202.800 50.795 ;
+        RECT 201.440 50.395 201.610 50.625 ;
+        RECT 200.810 50.095 201.610 50.395 ;
+        RECT 201.780 50.125 202.330 50.455 ;
+        RECT 200.810 50.065 200.980 50.095 ;
+        RECT 201.100 49.845 201.270 49.915 ;
+        RECT 200.470 49.675 201.270 49.845 ;
+        RECT 200.760 49.585 201.270 49.675 ;
+        RECT 200.150 49.150 200.590 49.505 ;
+        RECT 198.930 48.525 199.195 48.985 ;
+        RECT 199.380 48.720 199.615 49.095 ;
+        RECT 200.760 48.970 200.930 49.585 ;
+        RECT 199.860 48.800 200.930 48.970 ;
+        RECT 201.100 48.525 201.270 49.325 ;
+        RECT 201.440 49.025 201.610 50.095 ;
+        RECT 201.780 49.195 201.970 49.915 ;
+        RECT 202.140 49.585 202.330 50.125 ;
+        RECT 202.630 50.085 202.800 50.625 ;
+        RECT 203.115 50.545 203.285 51.075 ;
+        RECT 203.580 50.425 203.940 50.865 ;
+        RECT 204.115 50.595 204.285 51.075 ;
+        RECT 204.475 50.430 204.810 50.855 ;
+        RECT 204.985 50.600 205.155 51.075 ;
+        RECT 205.330 50.430 205.665 50.855 ;
+        RECT 205.835 50.600 206.005 51.075 ;
+        RECT 203.580 50.255 204.080 50.425 ;
+        RECT 204.475 50.260 206.145 50.430 ;
+        RECT 203.910 50.085 204.080 50.255 ;
+        RECT 202.630 49.915 203.720 50.085 ;
+        RECT 203.910 49.915 205.730 50.085 ;
+        RECT 202.140 49.255 202.460 49.585 ;
+        RECT 201.440 48.695 201.690 49.025 ;
+        RECT 202.630 48.995 202.800 49.915 ;
+        RECT 203.910 49.660 204.080 49.915 ;
+        RECT 205.900 49.695 206.145 50.260 ;
+        RECT 206.315 50.305 209.825 51.075 ;
+        RECT 206.315 49.785 207.965 50.305 ;
+        RECT 210.085 50.265 210.255 51.075 ;
+        RECT 210.425 50.685 211.595 50.905 ;
+        RECT 210.425 50.255 210.675 50.685 ;
+        RECT 211.765 50.605 211.935 51.075 ;
+        RECT 210.845 50.425 211.180 50.515 ;
+        RECT 212.105 50.425 212.435 50.905 ;
+        RECT 212.605 50.605 213.295 51.075 ;
+        RECT 213.465 50.435 213.795 50.905 ;
+        RECT 213.965 50.605 214.135 51.075 ;
+        RECT 214.305 50.435 214.635 50.905 ;
+        RECT 210.845 50.255 212.435 50.425 ;
+        RECT 212.865 50.255 214.635 50.435 ;
+        RECT 214.805 50.265 214.975 51.075 ;
+        RECT 215.145 50.435 215.475 50.885 ;
+        RECT 215.645 50.605 215.815 51.075 ;
+        RECT 215.985 50.435 216.315 50.885 ;
+        RECT 216.485 50.605 216.655 51.075 ;
+        RECT 215.145 50.255 216.830 50.435 ;
+        RECT 202.970 49.490 204.080 49.660 ;
+        RECT 204.475 49.525 206.145 49.695 ;
+        RECT 208.135 49.615 209.825 50.135 ;
+        RECT 202.970 49.330 203.830 49.490 ;
+        RECT 201.915 48.825 202.800 48.995 ;
+        RECT 202.980 48.525 203.195 49.025 ;
+        RECT 203.660 48.705 203.830 49.330 ;
+        RECT 204.115 48.525 204.295 49.305 ;
+        RECT 204.475 48.765 204.810 49.525 ;
+        RECT 204.990 48.525 205.160 49.355 ;
+        RECT 205.330 48.765 205.660 49.525 ;
+        RECT 205.830 48.525 206.000 49.355 ;
+        RECT 206.315 48.525 209.825 49.615 ;
+        RECT 209.995 49.715 210.485 50.085 ;
+        RECT 210.715 49.885 211.255 50.085 ;
+        RECT 211.425 49.915 211.805 50.085 ;
+        RECT 211.425 49.715 211.595 49.915 ;
+        RECT 209.995 49.545 211.595 49.715 ;
+        RECT 212.145 49.745 212.355 50.255 ;
+        RECT 212.865 50.085 213.055 50.255 ;
+        RECT 212.525 49.915 213.055 50.085 ;
+        RECT 211.765 49.375 211.975 49.705 ;
+        RECT 210.045 49.205 211.975 49.375 ;
+        RECT 210.045 48.695 210.295 49.205 ;
+        RECT 210.465 48.525 210.715 49.035 ;
+        RECT 210.885 48.695 211.135 49.205 ;
+        RECT 211.305 48.525 211.555 49.035 ;
+        RECT 211.725 48.865 211.975 49.205 ;
+        RECT 212.145 49.195 212.530 49.745 ;
+        RECT 212.865 49.665 213.055 49.915 ;
+        RECT 213.225 49.835 213.555 50.085 ;
+        RECT 213.725 49.885 214.345 50.085 ;
+        RECT 213.385 49.715 213.555 49.835 ;
+        RECT 214.515 49.715 214.875 50.085 ;
+        RECT 212.865 49.495 213.215 49.665 ;
+        RECT 213.385 49.545 214.875 49.715 ;
+        RECT 215.045 49.915 216.350 50.085 ;
+        RECT 215.045 49.545 215.370 49.915 ;
+        RECT 216.520 49.745 216.830 50.255 ;
+        RECT 217.355 50.305 222.700 51.075 ;
+        RECT 222.875 50.305 224.545 51.075 ;
+        RECT 225.175 50.350 225.465 51.075 ;
+        RECT 225.635 50.305 229.145 51.075 ;
+        RECT 230.155 50.785 230.490 50.905 ;
+        RECT 230.155 50.595 231.415 50.785 ;
+        RECT 231.595 50.715 231.925 51.075 ;
+        RECT 232.500 50.715 232.830 51.075 ;
+        RECT 230.155 50.355 230.490 50.595 ;
+        RECT 231.225 50.545 231.415 50.595 ;
+        RECT 232.140 50.545 232.330 50.645 ;
+        RECT 233.000 50.545 233.190 50.905 ;
+        RECT 233.360 50.715 233.690 51.075 ;
+        RECT 217.355 49.785 219.935 50.305 ;
+        RECT 213.045 49.375 213.215 49.495 ;
+        RECT 216.025 49.505 216.830 49.745 ;
+        RECT 220.105 49.615 222.700 50.135 ;
+        RECT 222.875 49.785 223.625 50.305 ;
+        RECT 223.795 49.615 224.545 50.135 ;
+        RECT 225.635 49.785 227.285 50.305 ;
+        RECT 216.025 49.375 216.275 49.505 ;
+        RECT 213.045 49.195 214.175 49.375 ;
+        RECT 212.145 49.035 212.395 49.195 ;
+        RECT 213.925 49.035 214.175 49.195 ;
+        RECT 212.565 48.865 212.815 49.025 ;
+        RECT 211.725 48.695 212.815 48.865 ;
+        RECT 213.085 48.525 213.335 49.025 ;
+        RECT 213.505 48.865 213.755 49.025 ;
+        RECT 214.345 48.865 214.595 49.375 ;
+        RECT 213.505 48.695 214.595 48.865 ;
+        RECT 214.765 48.525 215.015 49.365 ;
+        RECT 215.185 49.205 216.275 49.375 ;
+        RECT 215.185 48.695 215.435 49.205 ;
+        RECT 215.605 48.525 215.855 48.995 ;
+        RECT 216.025 48.695 216.275 49.205 ;
+        RECT 216.445 48.525 216.695 49.335 ;
+        RECT 217.355 48.525 222.700 49.615 ;
+        RECT 222.875 48.525 224.545 49.615 ;
+        RECT 225.175 48.525 225.465 49.690 ;
+        RECT 227.455 49.615 229.145 50.135 ;
+        RECT 225.635 48.525 229.145 49.615 ;
+        RECT 229.805 49.820 230.565 50.165 ;
+        RECT 230.755 49.820 231.045 50.415 ;
+        RECT 231.225 50.355 231.970 50.545 ;
+        RECT 231.215 49.835 231.590 50.165 ;
+        RECT 231.760 50.140 231.970 50.355 ;
+        RECT 232.140 50.315 233.745 50.545 ;
+        RECT 229.805 48.825 230.055 49.820 ;
+        RECT 231.760 49.805 233.295 50.140 ;
+        RECT 231.760 49.580 231.970 49.805 ;
+        RECT 233.465 49.625 233.745 50.315 ;
+        RECT 233.915 50.305 237.425 51.075 ;
+        RECT 233.915 49.785 235.565 50.305 ;
+        RECT 237.685 50.265 237.855 51.075 ;
+        RECT 238.025 50.685 239.195 50.905 ;
+        RECT 238.025 50.255 238.275 50.685 ;
+        RECT 239.365 50.605 239.535 51.075 ;
+        RECT 238.445 50.425 238.780 50.515 ;
+        RECT 239.705 50.425 240.035 50.905 ;
+        RECT 240.205 50.605 240.895 51.075 ;
+        RECT 241.065 50.435 241.395 50.905 ;
+        RECT 241.565 50.605 241.735 51.075 ;
+        RECT 241.905 50.435 242.235 50.905 ;
+        RECT 238.445 50.255 240.035 50.425 ;
+        RECT 240.465 50.255 242.235 50.435 ;
+        RECT 242.405 50.265 242.575 51.075 ;
+        RECT 242.745 50.435 243.075 50.885 ;
+        RECT 243.245 50.605 243.415 51.075 ;
+        RECT 243.585 50.435 243.915 50.885 ;
+        RECT 244.085 50.605 244.255 51.075 ;
+        RECT 242.745 50.255 244.430 50.435 ;
+        RECT 230.235 49.410 231.970 49.580 ;
+        RECT 230.235 48.695 230.415 49.410 ;
+        RECT 230.585 48.525 231.035 49.225 ;
+        RECT 231.210 48.695 231.390 49.410 ;
+        RECT 232.140 49.400 233.745 49.625 ;
+        RECT 235.735 49.615 237.425 50.135 ;
+        RECT 231.600 48.525 231.930 49.225 ;
+        RECT 232.140 49.035 232.330 49.400 ;
+        RECT 233.000 49.395 233.745 49.400 ;
+        RECT 232.135 48.865 232.330 49.035 ;
+        RECT 232.140 48.695 232.330 48.865 ;
+        RECT 232.500 48.525 232.830 49.225 ;
+        RECT 233.000 48.695 233.190 49.395 ;
+        RECT 233.360 48.525 233.690 49.225 ;
+        RECT 233.915 48.525 237.425 49.615 ;
+        RECT 237.595 49.715 238.085 50.085 ;
+        RECT 238.315 49.885 238.855 50.085 ;
+        RECT 239.025 49.915 239.405 50.085 ;
+        RECT 239.025 49.715 239.195 49.915 ;
+        RECT 237.595 49.545 239.195 49.715 ;
+        RECT 239.745 49.745 239.955 50.255 ;
+        RECT 240.465 50.085 240.655 50.255 ;
+        RECT 240.125 49.915 240.655 50.085 ;
+        RECT 239.365 49.375 239.575 49.705 ;
+        RECT 237.645 49.205 239.575 49.375 ;
+        RECT 237.645 48.695 237.895 49.205 ;
+        RECT 238.065 48.525 238.315 49.035 ;
+        RECT 238.485 48.695 238.735 49.205 ;
+        RECT 238.905 48.525 239.155 49.035 ;
+        RECT 239.325 48.865 239.575 49.205 ;
+        RECT 239.745 49.195 240.130 49.745 ;
+        RECT 240.465 49.665 240.655 49.915 ;
+        RECT 240.825 49.835 241.155 50.085 ;
+        RECT 241.325 49.885 241.945 50.085 ;
+        RECT 240.985 49.715 241.155 49.835 ;
+        RECT 242.115 49.715 242.475 50.085 ;
+        RECT 240.465 49.495 240.815 49.665 ;
+        RECT 240.985 49.545 242.475 49.715 ;
+        RECT 242.645 49.915 243.950 50.085 ;
+        RECT 242.645 49.545 242.970 49.915 ;
+        RECT 244.120 49.745 244.430 50.255 ;
+        RECT 244.955 50.305 250.300 51.075 ;
+        RECT 250.475 50.305 253.065 51.075 ;
+        RECT 253.235 50.350 253.525 51.075 ;
+        RECT 244.955 49.785 247.535 50.305 ;
+        RECT 240.645 49.375 240.815 49.495 ;
+        RECT 243.625 49.505 244.430 49.745 ;
+        RECT 247.705 49.615 250.300 50.135 ;
+        RECT 250.475 49.785 251.685 50.305 ;
+        RECT 253.785 50.265 253.955 51.075 ;
+        RECT 254.125 50.685 255.295 50.905 ;
+        RECT 254.125 50.255 254.375 50.685 ;
+        RECT 255.465 50.605 255.635 51.075 ;
+        RECT 254.545 50.425 254.880 50.515 ;
+        RECT 255.805 50.425 256.135 50.905 ;
+        RECT 256.305 50.605 256.995 51.075 ;
+        RECT 257.165 50.435 257.495 50.905 ;
+        RECT 257.665 50.605 257.835 51.075 ;
+        RECT 258.005 50.435 258.335 50.905 ;
+        RECT 254.545 50.255 256.135 50.425 ;
+        RECT 256.565 50.255 258.335 50.435 ;
+        RECT 258.505 50.265 258.675 51.075 ;
+        RECT 258.845 50.435 259.175 50.885 ;
+        RECT 259.345 50.605 259.515 51.075 ;
+        RECT 259.685 50.435 260.015 50.885 ;
+        RECT 260.185 50.605 260.355 51.075 ;
+        RECT 258.845 50.255 260.530 50.435 ;
+        RECT 251.855 49.615 253.065 50.135 ;
+        RECT 253.695 49.715 254.185 50.085 ;
+        RECT 254.415 49.885 254.955 50.085 ;
+        RECT 255.125 49.915 255.505 50.085 ;
+        RECT 255.125 49.715 255.295 49.915 ;
+        RECT 243.625 49.375 243.875 49.505 ;
+        RECT 240.645 49.195 241.775 49.375 ;
+        RECT 239.745 49.035 239.995 49.195 ;
+        RECT 241.525 49.035 241.775 49.195 ;
+        RECT 240.165 48.865 240.415 49.025 ;
+        RECT 239.325 48.695 240.415 48.865 ;
+        RECT 240.685 48.525 240.935 49.025 ;
+        RECT 241.105 48.865 241.355 49.025 ;
+        RECT 241.945 48.865 242.195 49.375 ;
+        RECT 241.105 48.695 242.195 48.865 ;
+        RECT 242.365 48.525 242.615 49.365 ;
+        RECT 242.785 49.205 243.875 49.375 ;
+        RECT 242.785 48.695 243.035 49.205 ;
+        RECT 243.205 48.525 243.455 48.995 ;
+        RECT 243.625 48.695 243.875 49.205 ;
+        RECT 244.045 48.525 244.295 49.335 ;
+        RECT 244.955 48.525 250.300 49.615 ;
+        RECT 250.475 48.525 253.065 49.615 ;
+        RECT 253.235 48.525 253.525 49.690 ;
+        RECT 253.695 49.545 255.295 49.715 ;
+        RECT 255.845 49.745 256.055 50.255 ;
+        RECT 256.565 50.085 256.755 50.255 ;
+        RECT 256.225 49.915 256.755 50.085 ;
+        RECT 255.465 49.375 255.675 49.705 ;
+        RECT 253.745 49.205 255.675 49.375 ;
+        RECT 253.745 48.695 253.995 49.205 ;
+        RECT 254.165 48.525 254.415 49.035 ;
+        RECT 254.585 48.695 254.835 49.205 ;
+        RECT 255.005 48.525 255.255 49.035 ;
+        RECT 255.425 48.865 255.675 49.205 ;
+        RECT 255.845 49.195 256.230 49.745 ;
+        RECT 256.565 49.665 256.755 49.915 ;
+        RECT 256.925 49.835 257.255 50.085 ;
+        RECT 257.425 49.885 258.045 50.085 ;
+        RECT 257.085 49.715 257.255 49.835 ;
+        RECT 258.215 49.715 258.575 50.085 ;
+        RECT 256.565 49.495 256.915 49.665 ;
+        RECT 257.085 49.545 258.575 49.715 ;
+        RECT 258.745 49.915 260.050 50.085 ;
+        RECT 258.745 49.545 259.070 49.915 ;
+        RECT 260.220 49.745 260.530 50.255 ;
+        RECT 261.055 50.305 264.565 51.075 ;
+        RECT 264.825 50.525 264.995 50.905 ;
+        RECT 265.210 50.695 265.540 51.075 ;
+        RECT 264.825 50.355 265.540 50.525 ;
+        RECT 261.055 49.785 262.705 50.305 ;
+        RECT 256.745 49.375 256.915 49.495 ;
+        RECT 259.725 49.505 260.530 49.745 ;
+        RECT 262.875 49.615 264.565 50.135 ;
+        RECT 264.735 49.805 265.090 50.175 ;
+        RECT 265.370 50.165 265.540 50.355 ;
+        RECT 265.710 50.330 265.965 50.905 ;
+        RECT 265.370 49.835 265.625 50.165 ;
+        RECT 265.370 49.625 265.540 49.835 ;
+        RECT 259.725 49.375 259.975 49.505 ;
+        RECT 256.745 49.195 257.875 49.375 ;
+        RECT 255.845 49.035 256.095 49.195 ;
+        RECT 257.625 49.035 257.875 49.195 ;
+        RECT 256.265 48.865 256.515 49.025 ;
+        RECT 255.425 48.695 256.515 48.865 ;
+        RECT 256.785 48.525 257.035 49.025 ;
+        RECT 257.205 48.865 257.455 49.025 ;
+        RECT 258.045 48.865 258.295 49.375 ;
+        RECT 257.205 48.695 258.295 48.865 ;
+        RECT 258.465 48.525 258.715 49.365 ;
+        RECT 258.885 49.205 259.975 49.375 ;
+        RECT 258.885 48.695 259.135 49.205 ;
+        RECT 259.305 48.525 259.555 48.995 ;
+        RECT 259.725 48.695 259.975 49.205 ;
+        RECT 260.145 48.525 260.395 49.335 ;
+        RECT 261.055 48.525 264.565 49.615 ;
+        RECT 264.825 49.455 265.540 49.625 ;
+        RECT 265.795 49.600 265.965 50.330 ;
+        RECT 266.140 50.235 266.400 51.075 ;
+        RECT 266.575 50.305 270.085 51.075 ;
+        RECT 266.575 49.785 268.225 50.305 ;
+        RECT 270.345 50.265 270.515 51.075 ;
+        RECT 270.685 50.685 271.855 50.905 ;
+        RECT 270.685 50.255 270.935 50.685 ;
+        RECT 272.025 50.605 272.195 51.075 ;
+        RECT 271.105 50.425 271.440 50.515 ;
+        RECT 272.365 50.425 272.695 50.905 ;
+        RECT 272.865 50.605 273.555 51.075 ;
+        RECT 273.725 50.435 274.055 50.905 ;
+        RECT 274.225 50.605 274.395 51.075 ;
+        RECT 274.565 50.435 274.895 50.905 ;
+        RECT 271.105 50.255 272.695 50.425 ;
+        RECT 273.125 50.255 274.895 50.435 ;
+        RECT 275.065 50.265 275.235 51.075 ;
+        RECT 275.405 50.435 275.735 50.885 ;
+        RECT 275.905 50.605 276.075 51.075 ;
+        RECT 276.245 50.435 276.575 50.885 ;
+        RECT 276.745 50.605 276.915 51.075 ;
+        RECT 275.405 50.255 277.090 50.435 ;
+        RECT 264.825 48.695 264.995 49.455 ;
+        RECT 265.210 48.525 265.540 49.285 ;
+        RECT 265.710 48.695 265.965 49.600 ;
+        RECT 266.140 48.525 266.400 49.675 ;
+        RECT 268.395 49.615 270.085 50.135 ;
+        RECT 266.575 48.525 270.085 49.615 ;
+        RECT 270.255 49.715 270.745 50.085 ;
+        RECT 270.975 49.885 271.515 50.085 ;
+        RECT 271.685 49.915 272.065 50.085 ;
+        RECT 271.685 49.715 271.855 49.915 ;
+        RECT 270.255 49.545 271.855 49.715 ;
+        RECT 272.405 49.745 272.615 50.255 ;
+        RECT 273.125 50.085 273.315 50.255 ;
+        RECT 272.785 49.915 273.315 50.085 ;
+        RECT 272.025 49.375 272.235 49.705 ;
+        RECT 270.305 49.205 272.235 49.375 ;
+        RECT 270.305 48.695 270.555 49.205 ;
+        RECT 270.725 48.525 270.975 49.035 ;
+        RECT 271.145 48.695 271.395 49.205 ;
+        RECT 271.565 48.525 271.815 49.035 ;
+        RECT 271.985 48.865 272.235 49.205 ;
+        RECT 272.405 49.195 272.790 49.745 ;
+        RECT 273.125 49.665 273.315 49.915 ;
+        RECT 273.485 49.835 273.815 50.085 ;
+        RECT 273.985 49.885 274.605 50.085 ;
+        RECT 273.645 49.715 273.815 49.835 ;
+        RECT 274.775 49.715 275.135 50.085 ;
+        RECT 273.125 49.495 273.475 49.665 ;
+        RECT 273.645 49.545 275.135 49.715 ;
+        RECT 275.305 49.915 276.610 50.085 ;
+        RECT 275.305 49.545 275.630 49.915 ;
+        RECT 276.780 49.745 277.090 50.255 ;
+        RECT 277.615 50.305 281.125 51.075 ;
+        RECT 281.295 50.350 281.585 51.075 ;
+        RECT 277.615 49.785 279.265 50.305 ;
+        RECT 281.760 50.255 282.035 51.075 ;
+        RECT 282.205 50.435 282.535 50.905 ;
+        RECT 282.705 50.605 282.875 51.075 ;
+        RECT 283.045 50.435 283.375 50.905 ;
+        RECT 283.545 50.605 283.715 51.075 ;
+        RECT 283.885 50.435 284.215 50.905 ;
+        RECT 284.385 50.605 284.555 51.075 ;
+        RECT 284.725 50.435 285.055 50.905 ;
+        RECT 285.225 50.605 285.510 51.075 ;
+        RECT 282.205 50.255 285.725 50.435 ;
+        RECT 273.305 49.375 273.475 49.495 ;
+        RECT 276.285 49.505 277.090 49.745 ;
+        RECT 279.435 49.615 281.125 50.135 ;
+        RECT 281.810 49.885 283.470 50.085 ;
+        RECT 283.790 49.885 285.155 50.085 ;
+        RECT 285.325 49.715 285.725 50.255 ;
+        RECT 285.895 50.305 289.405 51.075 ;
+        RECT 285.895 49.785 287.545 50.305 ;
+        RECT 289.665 50.265 289.835 51.075 ;
+        RECT 290.005 50.685 291.175 50.905 ;
+        RECT 290.005 50.255 290.255 50.685 ;
+        RECT 291.345 50.605 291.515 51.075 ;
+        RECT 290.425 50.425 290.760 50.515 ;
+        RECT 291.685 50.425 292.015 50.905 ;
+        RECT 292.185 50.605 292.875 51.075 ;
+        RECT 293.045 50.435 293.375 50.905 ;
+        RECT 293.545 50.605 293.715 51.075 ;
+        RECT 293.885 50.435 294.215 50.905 ;
+        RECT 290.425 50.255 292.015 50.425 ;
+        RECT 292.445 50.255 294.215 50.435 ;
+        RECT 294.385 50.265 294.555 51.075 ;
+        RECT 294.725 50.435 295.055 50.885 ;
+        RECT 295.225 50.605 295.395 51.075 ;
+        RECT 295.565 50.435 295.895 50.885 ;
+        RECT 296.065 50.605 296.235 51.075 ;
+        RECT 294.725 50.255 296.410 50.435 ;
+        RECT 276.285 49.375 276.535 49.505 ;
+        RECT 273.305 49.195 274.435 49.375 ;
+        RECT 272.405 49.035 272.655 49.195 ;
+        RECT 274.185 49.035 274.435 49.195 ;
+        RECT 272.825 48.865 273.075 49.025 ;
+        RECT 271.985 48.695 273.075 48.865 ;
+        RECT 273.345 48.525 273.595 49.025 ;
+        RECT 273.765 48.865 274.015 49.025 ;
+        RECT 274.605 48.865 274.855 49.375 ;
+        RECT 273.765 48.695 274.855 48.865 ;
+        RECT 275.025 48.525 275.275 49.365 ;
+        RECT 275.445 49.205 276.535 49.375 ;
+        RECT 275.445 48.695 275.695 49.205 ;
+        RECT 275.865 48.525 276.115 48.995 ;
+        RECT 276.285 48.695 276.535 49.205 ;
+        RECT 276.705 48.525 276.955 49.335 ;
+        RECT 277.615 48.525 281.125 49.615 ;
+        RECT 281.295 48.525 281.585 49.690 ;
+        RECT 281.760 49.495 283.795 49.705 ;
+        RECT 281.760 48.695 282.035 49.495 ;
+        RECT 282.205 48.525 282.535 49.325 ;
+        RECT 282.705 48.695 282.875 49.495 ;
+        RECT 283.045 48.525 283.295 49.325 ;
+        RECT 283.465 48.865 283.795 49.495 ;
+        RECT 283.965 49.415 285.725 49.715 ;
+        RECT 287.715 49.615 289.405 50.135 ;
+        RECT 283.965 49.035 284.135 49.415 ;
+        RECT 284.305 48.865 284.635 49.225 ;
+        RECT 284.805 49.035 284.975 49.415 ;
+        RECT 285.145 48.865 285.560 49.245 ;
+        RECT 283.465 48.695 285.560 48.865 ;
+        RECT 285.895 48.525 289.405 49.615 ;
+        RECT 289.575 49.715 290.065 50.085 ;
+        RECT 290.295 49.885 290.835 50.085 ;
+        RECT 291.005 49.915 291.385 50.085 ;
+        RECT 291.005 49.715 291.175 49.915 ;
+        RECT 289.575 49.545 291.175 49.715 ;
+        RECT 291.725 49.745 291.935 50.255 ;
+        RECT 292.445 50.085 292.635 50.255 ;
+        RECT 296.075 50.225 296.410 50.255 ;
+        RECT 292.105 49.915 292.635 50.085 ;
+        RECT 291.345 49.375 291.555 49.705 ;
+        RECT 289.625 49.205 291.555 49.375 ;
+        RECT 289.625 48.695 289.875 49.205 ;
+        RECT 290.045 48.525 290.295 49.035 ;
+        RECT 290.465 48.695 290.715 49.205 ;
+        RECT 290.885 48.525 291.135 49.035 ;
+        RECT 291.305 48.865 291.555 49.205 ;
+        RECT 291.725 49.195 292.110 49.745 ;
+        RECT 292.445 49.665 292.635 49.915 ;
+        RECT 292.805 49.835 293.135 50.085 ;
+        RECT 293.305 49.885 293.925 50.085 ;
+        RECT 292.965 49.715 293.135 49.835 ;
+        RECT 294.095 49.715 294.455 50.085 ;
+        RECT 292.445 49.495 292.795 49.665 ;
+        RECT 292.965 49.545 294.455 49.715 ;
+        RECT 294.625 49.915 295.930 50.085 ;
+        RECT 294.625 49.545 294.950 49.915 ;
+        RECT 296.100 49.745 296.410 50.225 ;
+        RECT 296.935 50.305 300.445 51.075 ;
+        RECT 296.935 49.785 298.585 50.305 ;
+        RECT 300.620 50.255 300.895 51.075 ;
+        RECT 301.065 50.435 301.395 50.905 ;
+        RECT 301.565 50.605 301.735 51.075 ;
+        RECT 301.905 50.435 302.235 50.905 ;
+        RECT 302.405 50.605 302.575 51.075 ;
+        RECT 302.745 50.435 303.075 50.905 ;
+        RECT 303.245 50.605 303.415 51.075 ;
+        RECT 303.585 50.435 303.915 50.905 ;
+        RECT 304.085 50.605 304.370 51.075 ;
+        RECT 301.065 50.255 304.585 50.435 ;
+        RECT 292.625 49.375 292.795 49.495 ;
+        RECT 295.605 49.505 296.410 49.745 ;
+        RECT 298.755 49.615 300.445 50.135 ;
+        RECT 300.670 49.885 302.330 50.085 ;
+        RECT 302.650 49.885 304.015 50.085 ;
+        RECT 304.185 49.715 304.585 50.255 ;
+        RECT 304.755 50.305 308.265 51.075 ;
+        RECT 309.355 50.350 309.645 51.075 ;
+        RECT 309.815 50.305 313.325 51.075 ;
+        RECT 304.755 49.785 306.405 50.305 ;
+        RECT 295.605 49.375 295.855 49.505 ;
+        RECT 292.625 49.195 293.755 49.375 ;
+        RECT 291.725 49.035 291.975 49.195 ;
+        RECT 293.505 49.035 293.755 49.195 ;
+        RECT 292.145 48.865 292.395 49.025 ;
+        RECT 291.305 48.695 292.395 48.865 ;
+        RECT 292.665 48.525 292.915 49.025 ;
+        RECT 293.085 48.865 293.335 49.025 ;
+        RECT 293.925 48.865 294.175 49.375 ;
+        RECT 293.085 48.695 294.175 48.865 ;
+        RECT 294.345 48.525 294.595 49.365 ;
+        RECT 294.765 49.205 295.855 49.375 ;
+        RECT 294.765 48.695 295.015 49.205 ;
+        RECT 295.185 48.525 295.435 48.995 ;
+        RECT 295.605 48.695 295.855 49.205 ;
+        RECT 296.025 48.525 296.275 49.335 ;
+        RECT 296.935 48.525 300.445 49.615 ;
+        RECT 300.620 49.495 302.655 49.705 ;
+        RECT 300.620 48.695 300.895 49.495 ;
+        RECT 301.065 48.525 301.395 49.325 ;
+        RECT 301.565 48.695 301.735 49.495 ;
+        RECT 301.905 48.525 302.155 49.325 ;
+        RECT 302.325 48.865 302.655 49.495 ;
+        RECT 302.825 49.415 304.585 49.715 ;
+        RECT 306.575 49.615 308.265 50.135 ;
+        RECT 309.815 49.785 311.465 50.305 ;
+        RECT 314.420 50.255 314.695 51.075 ;
+        RECT 314.865 50.435 315.195 50.905 ;
+        RECT 315.365 50.605 315.535 51.075 ;
+        RECT 315.705 50.435 316.035 50.905 ;
+        RECT 316.205 50.605 316.375 51.075 ;
+        RECT 316.545 50.435 316.875 50.905 ;
+        RECT 317.045 50.605 317.215 51.075 ;
+        RECT 317.385 50.435 317.715 50.905 ;
+        RECT 317.885 50.605 318.170 51.075 ;
+        RECT 314.865 50.255 318.385 50.435 ;
+        RECT 302.825 49.035 302.995 49.415 ;
+        RECT 303.165 48.865 303.495 49.225 ;
+        RECT 303.665 49.035 303.835 49.415 ;
+        RECT 304.005 48.865 304.420 49.245 ;
+        RECT 302.325 48.695 304.420 48.865 ;
+        RECT 304.755 48.525 308.265 49.615 ;
+        RECT 309.355 48.525 309.645 49.690 ;
+        RECT 311.635 49.615 313.325 50.135 ;
+        RECT 314.470 49.885 316.130 50.085 ;
+        RECT 316.450 49.885 317.815 50.085 ;
+        RECT 317.985 49.715 318.385 50.255 ;
+        RECT 318.555 50.305 322.065 51.075 ;
+        RECT 318.555 49.785 320.205 50.305 ;
+        RECT 323.245 50.265 323.415 51.075 ;
+        RECT 323.585 50.685 324.755 50.905 ;
+        RECT 323.585 50.255 323.835 50.685 ;
+        RECT 324.925 50.605 325.095 51.075 ;
+        RECT 324.005 50.425 324.340 50.515 ;
+        RECT 325.265 50.425 325.595 50.905 ;
+        RECT 325.765 50.605 326.455 51.075 ;
+        RECT 326.625 50.435 326.955 50.905 ;
+        RECT 327.125 50.605 327.295 51.075 ;
+        RECT 327.465 50.435 327.795 50.905 ;
+        RECT 324.005 50.255 325.595 50.425 ;
+        RECT 326.025 50.255 327.795 50.435 ;
+        RECT 327.965 50.265 328.135 51.075 ;
+        RECT 328.305 50.435 328.635 50.885 ;
+        RECT 328.805 50.605 328.975 51.075 ;
+        RECT 329.145 50.435 329.475 50.885 ;
+        RECT 329.645 50.605 329.815 51.075 ;
+        RECT 328.305 50.255 329.990 50.435 ;
+        RECT 309.815 48.525 313.325 49.615 ;
+        RECT 314.420 49.495 316.455 49.705 ;
+        RECT 314.420 48.695 314.695 49.495 ;
+        RECT 314.865 48.525 315.195 49.325 ;
+        RECT 315.365 48.695 315.535 49.495 ;
+        RECT 315.705 48.525 315.955 49.325 ;
+        RECT 316.125 48.865 316.455 49.495 ;
+        RECT 316.625 49.415 318.385 49.715 ;
+        RECT 320.375 49.615 322.065 50.135 ;
+        RECT 316.625 49.035 316.795 49.415 ;
+        RECT 316.965 48.865 317.295 49.225 ;
+        RECT 317.465 49.035 317.635 49.415 ;
+        RECT 317.805 48.865 318.220 49.245 ;
+        RECT 316.125 48.695 318.220 48.865 ;
+        RECT 318.555 48.525 322.065 49.615 ;
+        RECT 323.155 49.715 323.645 50.085 ;
+        RECT 323.875 49.885 324.415 50.085 ;
+        RECT 324.585 49.915 324.965 50.085 ;
+        RECT 324.585 49.715 324.755 49.915 ;
+        RECT 323.155 49.545 324.755 49.715 ;
+        RECT 325.305 49.745 325.515 50.255 ;
+        RECT 326.025 50.085 326.215 50.255 ;
+        RECT 329.655 50.225 329.990 50.255 ;
+        RECT 325.685 49.915 326.215 50.085 ;
+        RECT 324.925 49.375 325.135 49.705 ;
+        RECT 323.205 49.205 325.135 49.375 ;
+        RECT 323.205 48.695 323.455 49.205 ;
+        RECT 323.625 48.525 323.875 49.035 ;
+        RECT 324.045 48.695 324.295 49.205 ;
+        RECT 324.465 48.525 324.715 49.035 ;
+        RECT 324.885 48.865 325.135 49.205 ;
+        RECT 325.305 49.195 325.690 49.745 ;
+        RECT 326.025 49.665 326.215 49.915 ;
+        RECT 326.385 49.835 326.715 50.085 ;
+        RECT 326.885 49.885 327.505 50.085 ;
+        RECT 326.545 49.715 326.715 49.835 ;
+        RECT 327.675 49.715 328.035 50.085 ;
+        RECT 326.025 49.495 326.375 49.665 ;
+        RECT 326.545 49.545 328.035 49.715 ;
+        RECT 328.205 49.915 329.510 50.085 ;
+        RECT 328.205 49.545 328.530 49.915 ;
+        RECT 329.680 49.745 329.990 50.225 ;
+        RECT 330.515 50.305 335.860 51.075 ;
+        RECT 336.035 50.325 337.245 51.075 ;
+        RECT 337.415 50.350 337.705 51.075 ;
+        RECT 337.965 50.525 338.135 50.905 ;
+        RECT 338.350 50.695 338.680 51.075 ;
+        RECT 337.965 50.355 338.680 50.525 ;
+        RECT 330.515 49.785 333.095 50.305 ;
+        RECT 326.205 49.375 326.375 49.495 ;
+        RECT 329.185 49.505 329.990 49.745 ;
+        RECT 333.265 49.615 335.860 50.135 ;
+        RECT 336.035 49.785 336.555 50.325 ;
+        RECT 336.725 49.615 337.245 50.155 ;
+        RECT 337.875 49.805 338.230 50.175 ;
+        RECT 338.510 50.165 338.680 50.355 ;
+        RECT 339.280 50.235 339.540 51.075 ;
+        RECT 339.715 50.305 343.225 51.075 ;
+        RECT 338.510 49.835 338.765 50.165 ;
+        RECT 329.185 49.375 329.435 49.505 ;
+        RECT 326.205 49.195 327.335 49.375 ;
+        RECT 325.305 49.035 325.555 49.195 ;
+        RECT 327.085 49.035 327.335 49.195 ;
+        RECT 325.725 48.865 325.975 49.025 ;
+        RECT 324.885 48.695 325.975 48.865 ;
+        RECT 326.245 48.525 326.495 49.025 ;
+        RECT 326.665 48.865 326.915 49.025 ;
+        RECT 327.505 48.865 327.755 49.375 ;
+        RECT 326.665 48.695 327.755 48.865 ;
+        RECT 327.925 48.525 328.175 49.365 ;
+        RECT 328.345 49.205 329.435 49.375 ;
+        RECT 328.345 48.695 328.595 49.205 ;
+        RECT 328.765 48.525 329.015 48.995 ;
+        RECT 329.185 48.695 329.435 49.205 ;
+        RECT 329.605 48.525 329.855 49.335 ;
+        RECT 330.515 48.525 335.860 49.615 ;
+        RECT 336.035 48.525 337.245 49.615 ;
+        RECT 337.415 48.525 337.705 49.690 ;
+        RECT 338.510 49.625 338.680 49.835 ;
+        RECT 339.715 49.785 341.365 50.305 ;
+        RECT 343.485 50.265 343.655 51.075 ;
+        RECT 343.825 50.685 344.995 50.905 ;
+        RECT 343.825 50.255 344.075 50.685 ;
+        RECT 345.165 50.605 345.335 51.075 ;
+        RECT 344.245 50.425 344.580 50.515 ;
+        RECT 345.505 50.425 345.835 50.905 ;
+        RECT 346.005 50.605 346.695 51.075 ;
+        RECT 346.865 50.435 347.195 50.905 ;
+        RECT 347.365 50.605 347.535 51.075 ;
+        RECT 347.705 50.435 348.035 50.905 ;
+        RECT 344.245 50.255 345.835 50.425 ;
+        RECT 346.265 50.255 348.035 50.435 ;
+        RECT 348.205 50.265 348.375 51.075 ;
+        RECT 348.545 50.435 348.875 50.885 ;
+        RECT 349.045 50.605 349.215 51.075 ;
+        RECT 349.385 50.435 349.715 50.885 ;
+        RECT 349.885 50.605 350.055 51.075 ;
+        RECT 348.545 50.255 350.230 50.435 ;
+        RECT 337.965 49.455 338.680 49.625 ;
+        RECT 337.965 48.695 338.135 49.455 ;
+        RECT 338.350 48.525 338.680 49.285 ;
+        RECT 339.280 48.525 339.540 49.675 ;
+        RECT 341.535 49.615 343.225 50.135 ;
+        RECT 339.715 48.525 343.225 49.615 ;
+        RECT 343.395 49.715 343.885 50.085 ;
+        RECT 344.115 49.885 344.655 50.085 ;
+        RECT 344.825 49.915 345.205 50.085 ;
+        RECT 344.825 49.715 344.995 49.915 ;
+        RECT 343.395 49.545 344.995 49.715 ;
+        RECT 345.545 49.745 345.755 50.255 ;
+        RECT 346.265 50.085 346.455 50.255 ;
+        RECT 345.925 49.915 346.455 50.085 ;
+        RECT 345.165 49.375 345.375 49.705 ;
+        RECT 343.445 49.205 345.375 49.375 ;
+        RECT 343.445 48.695 343.695 49.205 ;
+        RECT 343.865 48.525 344.115 49.035 ;
+        RECT 344.285 48.695 344.535 49.205 ;
+        RECT 344.705 48.525 344.955 49.035 ;
+        RECT 345.125 48.865 345.375 49.205 ;
+        RECT 345.545 49.195 345.930 49.745 ;
+        RECT 346.265 49.665 346.455 49.915 ;
+        RECT 346.625 49.835 346.955 50.085 ;
+        RECT 347.125 49.885 347.745 50.085 ;
+        RECT 346.785 49.715 346.955 49.835 ;
+        RECT 347.915 49.715 348.275 50.085 ;
+        RECT 346.265 49.495 346.615 49.665 ;
+        RECT 346.785 49.545 348.275 49.715 ;
+        RECT 348.445 49.915 349.750 50.085 ;
+        RECT 348.445 49.545 348.770 49.915 ;
+        RECT 349.920 49.745 350.230 50.255 ;
+        RECT 350.755 50.305 354.265 51.075 ;
+        RECT 350.755 49.785 352.405 50.305 ;
+        RECT 354.440 50.255 354.715 51.075 ;
+        RECT 354.885 50.435 355.215 50.905 ;
+        RECT 355.385 50.605 355.555 51.075 ;
+        RECT 355.725 50.435 356.055 50.905 ;
+        RECT 356.225 50.605 356.395 51.075 ;
+        RECT 356.565 50.435 356.895 50.905 ;
+        RECT 357.065 50.605 357.235 51.075 ;
+        RECT 357.405 50.435 357.735 50.905 ;
+        RECT 357.905 50.605 358.190 51.075 ;
+        RECT 354.885 50.255 358.405 50.435 ;
+        RECT 346.445 49.375 346.615 49.495 ;
+        RECT 349.425 49.505 350.230 49.745 ;
+        RECT 352.575 49.615 354.265 50.135 ;
+        RECT 354.490 49.885 356.150 50.085 ;
+        RECT 356.470 49.885 357.835 50.085 ;
+        RECT 358.005 49.715 358.405 50.255 ;
+        RECT 358.575 50.305 363.920 51.075 ;
+        RECT 364.095 50.325 365.305 51.075 ;
+        RECT 365.475 50.350 365.765 51.075 ;
+        RECT 358.575 49.785 361.155 50.305 ;
+        RECT 349.425 49.375 349.675 49.505 ;
+        RECT 346.445 49.195 347.575 49.375 ;
+        RECT 345.545 49.035 345.795 49.195 ;
+        RECT 347.325 49.035 347.575 49.195 ;
+        RECT 345.965 48.865 346.215 49.025 ;
+        RECT 345.125 48.695 346.215 48.865 ;
+        RECT 346.485 48.525 346.735 49.025 ;
+        RECT 346.905 48.865 347.155 49.025 ;
+        RECT 347.745 48.865 347.995 49.375 ;
+        RECT 346.905 48.695 347.995 48.865 ;
+        RECT 348.165 48.525 348.415 49.365 ;
+        RECT 348.585 49.205 349.675 49.375 ;
+        RECT 348.585 48.695 348.835 49.205 ;
+        RECT 349.005 48.525 349.255 48.995 ;
+        RECT 349.425 48.695 349.675 49.205 ;
+        RECT 349.845 48.525 350.095 49.335 ;
+        RECT 350.755 48.525 354.265 49.615 ;
+        RECT 354.440 49.495 356.475 49.705 ;
+        RECT 354.440 48.695 354.715 49.495 ;
+        RECT 354.885 48.525 355.215 49.325 ;
+        RECT 355.385 48.695 355.555 49.495 ;
+        RECT 355.725 48.525 355.975 49.325 ;
+        RECT 356.145 48.865 356.475 49.495 ;
+        RECT 356.645 49.415 358.405 49.715 ;
+        RECT 361.325 49.615 363.920 50.135 ;
+        RECT 364.095 49.785 364.615 50.325 ;
+        RECT 365.940 50.255 366.215 51.075 ;
+        RECT 366.385 50.435 366.715 50.905 ;
+        RECT 366.885 50.605 367.055 51.075 ;
+        RECT 367.225 50.435 367.555 50.905 ;
+        RECT 367.725 50.605 367.895 51.075 ;
+        RECT 368.065 50.435 368.395 50.905 ;
+        RECT 368.565 50.605 368.735 51.075 ;
+        RECT 368.905 50.435 369.235 50.905 ;
+        RECT 369.405 50.605 369.690 51.075 ;
+        RECT 366.385 50.255 369.905 50.435 ;
+        RECT 364.785 49.615 365.305 50.155 ;
+        RECT 365.990 49.885 367.650 50.085 ;
+        RECT 367.970 49.885 369.335 50.085 ;
+        RECT 369.505 49.715 369.905 50.255 ;
+        RECT 370.075 50.305 373.585 51.075 ;
+        RECT 370.075 49.785 371.725 50.305 ;
+        RECT 373.760 50.255 374.035 51.075 ;
+        RECT 374.205 50.435 374.535 50.905 ;
+        RECT 374.705 50.605 374.875 51.075 ;
+        RECT 375.045 50.435 375.375 50.905 ;
+        RECT 375.545 50.605 375.715 51.075 ;
+        RECT 375.885 50.435 376.215 50.905 ;
+        RECT 376.385 50.605 376.555 51.075 ;
+        RECT 376.725 50.435 377.055 50.905 ;
+        RECT 377.225 50.605 377.510 51.075 ;
+        RECT 374.205 50.255 377.725 50.435 ;
+        RECT 356.645 49.035 356.815 49.415 ;
+        RECT 356.985 48.865 357.315 49.225 ;
+        RECT 357.485 49.035 357.655 49.415 ;
+        RECT 357.825 48.865 358.240 49.245 ;
+        RECT 356.145 48.695 358.240 48.865 ;
+        RECT 358.575 48.525 363.920 49.615 ;
+        RECT 364.095 48.525 365.305 49.615 ;
+        RECT 365.475 48.525 365.765 49.690 ;
+        RECT 365.940 49.495 367.975 49.705 ;
+        RECT 365.940 48.695 366.215 49.495 ;
+        RECT 366.385 48.525 366.715 49.325 ;
+        RECT 366.885 48.695 367.055 49.495 ;
+        RECT 367.225 48.525 367.475 49.325 ;
+        RECT 367.645 48.865 367.975 49.495 ;
+        RECT 368.145 49.415 369.905 49.715 ;
+        RECT 371.895 49.615 373.585 50.135 ;
+        RECT 373.810 49.885 375.470 50.085 ;
+        RECT 375.790 49.885 377.155 50.085 ;
+        RECT 377.325 49.715 377.725 50.255 ;
+        RECT 377.895 50.305 381.405 51.075 ;
+        RECT 377.895 49.785 379.545 50.305 ;
+        RECT 368.145 49.035 368.315 49.415 ;
+        RECT 368.485 48.865 368.815 49.225 ;
+        RECT 368.985 49.035 369.155 49.415 ;
+        RECT 369.325 48.865 369.740 49.245 ;
+        RECT 367.645 48.695 369.740 48.865 ;
+        RECT 370.075 48.525 373.585 49.615 ;
+        RECT 373.760 49.495 375.795 49.705 ;
+        RECT 373.760 48.695 374.035 49.495 ;
+        RECT 374.205 48.525 374.535 49.325 ;
+        RECT 374.705 48.695 374.875 49.495 ;
+        RECT 375.045 48.525 375.295 49.325 ;
+        RECT 375.465 48.865 375.795 49.495 ;
+        RECT 375.965 49.415 377.725 49.715 ;
+        RECT 379.715 49.615 381.405 50.135 ;
+        RECT 375.965 49.035 376.135 49.415 ;
+        RECT 376.305 48.865 376.635 49.225 ;
+        RECT 376.805 49.035 376.975 49.415 ;
+        RECT 377.145 48.865 377.560 49.245 ;
+        RECT 375.465 48.695 377.560 48.865 ;
+        RECT 377.895 48.525 381.405 49.615 ;
+        RECT 381.575 49.420 382.095 50.905 ;
+        RECT 382.265 50.415 382.605 51.075 ;
+        RECT 382.955 50.305 386.465 51.075 ;
+        RECT 381.765 48.525 382.095 49.250 ;
+        RECT 382.265 48.695 382.785 50.245 ;
+        RECT 382.955 49.785 384.605 50.305 ;
+        RECT 384.775 49.615 386.465 50.135 ;
+        RECT 382.955 48.525 386.465 49.615 ;
+        RECT 386.635 49.420 387.155 50.905 ;
+        RECT 387.325 50.415 387.665 51.075 ;
+        RECT 388.015 50.305 393.360 51.075 ;
+        RECT 393.535 50.350 393.825 51.075 ;
+        RECT 386.825 48.525 387.155 49.250 ;
+        RECT 387.325 48.695 387.845 50.245 ;
+        RECT 388.015 49.785 390.595 50.305 ;
+        RECT 390.765 49.615 393.360 50.135 ;
+        RECT 388.015 48.525 393.360 49.615 ;
+        RECT 393.535 48.525 393.825 49.690 ;
+        RECT 393.995 49.420 394.515 50.905 ;
+        RECT 394.685 50.415 395.025 51.075 ;
+        RECT 395.375 50.305 398.885 51.075 ;
+        RECT 394.185 48.525 394.515 49.250 ;
+        RECT 394.685 48.695 395.205 50.245 ;
+        RECT 395.375 49.785 397.025 50.305 ;
+        RECT 397.195 49.615 398.885 50.135 ;
+        RECT 395.375 48.525 398.885 49.615 ;
+        RECT 399.055 49.420 399.575 50.905 ;
+        RECT 399.745 50.415 400.085 51.075 ;
+        RECT 400.435 50.305 403.945 51.075 ;
+        RECT 399.245 48.525 399.575 49.250 ;
+        RECT 399.745 48.695 400.265 50.245 ;
+        RECT 400.435 49.785 402.085 50.305 ;
+        RECT 402.255 49.615 403.945 50.135 ;
+        RECT 400.435 48.525 403.945 49.615 ;
+        RECT 404.115 49.420 404.635 50.905 ;
+        RECT 404.805 50.415 405.145 51.075 ;
+        RECT 405.495 50.305 410.840 51.075 ;
+        RECT 411.015 50.305 412.685 51.075 ;
+        RECT 404.305 48.525 404.635 49.250 ;
+        RECT 404.805 48.695 405.325 50.245 ;
+        RECT 405.495 49.785 408.075 50.305 ;
+        RECT 408.245 49.615 410.840 50.135 ;
+        RECT 411.015 49.785 411.765 50.305 ;
+        RECT 411.935 49.615 412.685 50.135 ;
+        RECT 405.495 48.525 410.840 49.615 ;
+        RECT 411.015 48.525 412.685 49.615 ;
+        RECT 413.315 49.420 413.835 50.905 ;
+        RECT 414.005 50.415 414.345 51.075 ;
+        RECT 414.695 50.305 420.040 51.075 ;
+        RECT 420.215 50.325 421.425 51.075 ;
+        RECT 421.595 50.350 421.885 51.075 ;
+        RECT 413.505 48.525 413.835 49.250 ;
+        RECT 414.005 48.695 414.525 50.245 ;
+        RECT 414.695 49.785 417.275 50.305 ;
+        RECT 417.445 49.615 420.040 50.135 ;
+        RECT 420.215 49.785 420.735 50.325 ;
+        RECT 422.055 50.305 427.400 51.075 ;
+        RECT 420.905 49.615 421.425 50.155 ;
+        RECT 422.055 49.785 424.635 50.305 ;
+        RECT 414.695 48.525 420.040 49.615 ;
+        RECT 420.215 48.525 421.425 49.615 ;
+        RECT 421.595 48.525 421.885 49.690 ;
+        RECT 424.805 49.615 427.400 50.135 ;
+        RECT 422.055 48.525 427.400 49.615 ;
+        RECT 428.035 49.420 428.555 50.905 ;
+        RECT 428.725 50.415 429.065 51.075 ;
+        RECT 429.415 50.305 434.760 51.075 ;
+        RECT 428.225 48.525 428.555 49.250 ;
+        RECT 428.725 48.695 429.245 50.245 ;
+        RECT 429.415 49.785 431.995 50.305 ;
+        RECT 432.165 49.615 434.760 50.135 ;
+        RECT 429.415 48.525 434.760 49.615 ;
+        RECT 435.395 49.420 435.915 50.905 ;
+        RECT 436.085 50.415 436.425 51.075 ;
+        RECT 436.775 50.305 442.120 51.075 ;
+        RECT 442.295 50.305 447.640 51.075 ;
+        RECT 447.815 50.305 449.485 51.075 ;
+        RECT 449.655 50.350 449.945 51.075 ;
+        RECT 435.585 48.525 435.915 49.250 ;
+        RECT 436.085 48.695 436.605 50.245 ;
+        RECT 436.775 49.785 439.355 50.305 ;
+        RECT 439.525 49.615 442.120 50.135 ;
+        RECT 442.295 49.785 444.875 50.305 ;
+        RECT 445.045 49.615 447.640 50.135 ;
+        RECT 447.815 49.785 448.565 50.305 ;
+        RECT 448.735 49.615 449.485 50.135 ;
+        RECT 436.775 48.525 442.120 49.615 ;
+        RECT 442.295 48.525 447.640 49.615 ;
+        RECT 447.815 48.525 449.485 49.615 ;
+        RECT 449.655 48.525 449.945 49.690 ;
+        RECT 450.115 49.420 450.635 50.905 ;
+        RECT 450.805 50.415 451.145 51.075 ;
+        RECT 451.495 50.305 456.840 51.075 ;
+        RECT 450.305 48.525 450.635 49.250 ;
+        RECT 450.805 48.695 451.325 50.245 ;
+        RECT 451.495 49.785 454.075 50.305 ;
+        RECT 454.245 49.615 456.840 50.135 ;
+        RECT 451.495 48.525 456.840 49.615 ;
+        RECT 457.475 49.420 457.995 50.905 ;
+        RECT 458.165 50.415 458.505 51.075 ;
+        RECT 458.855 50.305 464.200 51.075 ;
+        RECT 464.375 50.305 467.885 51.075 ;
+        RECT 457.665 48.525 457.995 49.250 ;
+        RECT 458.165 48.695 458.685 50.245 ;
+        RECT 458.855 49.785 461.435 50.305 ;
+        RECT 461.605 49.615 464.200 50.135 ;
+        RECT 464.375 49.785 466.025 50.305 ;
+        RECT 466.195 49.615 467.885 50.135 ;
+        RECT 458.855 48.525 464.200 49.615 ;
+        RECT 464.375 48.525 467.885 49.615 ;
+        RECT 468.515 49.420 469.035 50.905 ;
+        RECT 469.205 50.415 469.545 51.075 ;
+        RECT 469.895 50.305 475.240 51.075 ;
+        RECT 475.415 50.305 477.085 51.075 ;
+        RECT 477.715 50.350 478.005 51.075 ;
+        RECT 478.175 50.325 479.385 51.075 ;
+        RECT 468.705 48.525 469.035 49.250 ;
+        RECT 469.205 48.695 469.725 50.245 ;
+        RECT 469.895 49.785 472.475 50.305 ;
+        RECT 472.645 49.615 475.240 50.135 ;
+        RECT 475.415 49.785 476.165 50.305 ;
+        RECT 476.335 49.615 477.085 50.135 ;
+        RECT 478.175 49.785 478.695 50.325 ;
+        RECT 469.895 48.525 475.240 49.615 ;
+        RECT 475.415 48.525 477.085 49.615 ;
+        RECT 477.715 48.525 478.005 49.690 ;
+        RECT 478.865 49.615 479.385 50.155 ;
+        RECT 478.175 48.525 479.385 49.615 ;
+        RECT 479.555 49.420 480.075 50.905 ;
+        RECT 480.245 50.415 480.585 51.075 ;
+        RECT 480.935 50.305 486.280 51.075 ;
+        RECT 479.745 48.525 480.075 49.250 ;
+        RECT 480.245 48.695 480.765 50.245 ;
+        RECT 480.935 49.785 483.515 50.305 ;
+        RECT 483.685 49.615 486.280 50.135 ;
+        RECT 480.935 48.525 486.280 49.615 ;
+        RECT 486.915 49.420 487.435 50.905 ;
+        RECT 487.605 50.415 487.945 51.075 ;
+        RECT 488.295 50.305 493.640 51.075 ;
+        RECT 493.815 50.305 499.160 51.075 ;
+        RECT 499.335 50.305 504.680 51.075 ;
+        RECT 505.775 50.350 506.065 51.075 ;
+        RECT 506.235 50.305 511.580 51.075 ;
+        RECT 487.105 48.525 487.435 49.250 ;
+        RECT 487.605 48.695 488.125 50.245 ;
+        RECT 488.295 49.785 490.875 50.305 ;
+        RECT 491.045 49.615 493.640 50.135 ;
+        RECT 493.815 49.785 496.395 50.305 ;
+        RECT 496.565 49.615 499.160 50.135 ;
+        RECT 499.335 49.785 501.915 50.305 ;
+        RECT 502.085 49.615 504.680 50.135 ;
+        RECT 506.235 49.785 508.815 50.305 ;
+        RECT 488.295 48.525 493.640 49.615 ;
+        RECT 493.815 48.525 499.160 49.615 ;
+        RECT 499.335 48.525 504.680 49.615 ;
+        RECT 505.775 48.525 506.065 49.690 ;
+        RECT 508.985 49.615 511.580 50.135 ;
+        RECT 506.235 48.525 511.580 49.615 ;
+        RECT 512.675 49.420 513.195 50.905 ;
+        RECT 513.365 50.415 513.705 51.075 ;
+        RECT 514.055 50.305 519.400 51.075 ;
+        RECT 512.865 48.525 513.195 49.250 ;
+        RECT 513.365 48.695 513.885 50.245 ;
+        RECT 514.055 49.785 516.635 50.305 ;
+        RECT 516.805 49.615 519.400 50.135 ;
+        RECT 514.055 48.525 519.400 49.615 ;
+        RECT 520.035 49.420 520.555 50.905 ;
+        RECT 520.725 50.415 521.065 51.075 ;
+        RECT 521.415 50.305 526.760 51.075 ;
+        RECT 526.935 50.305 532.280 51.075 ;
+        RECT 532.455 50.325 533.665 51.075 ;
+        RECT 533.835 50.350 534.125 51.075 ;
+        RECT 520.225 48.525 520.555 49.250 ;
+        RECT 520.725 48.695 521.245 50.245 ;
+        RECT 521.415 49.785 523.995 50.305 ;
+        RECT 524.165 49.615 526.760 50.135 ;
+        RECT 526.935 49.785 529.515 50.305 ;
+        RECT 529.685 49.615 532.280 50.135 ;
+        RECT 532.455 49.785 532.975 50.325 ;
+        RECT 533.145 49.615 533.665 50.155 ;
+        RECT 521.415 48.525 526.760 49.615 ;
+        RECT 526.935 48.525 532.280 49.615 ;
+        RECT 532.455 48.525 533.665 49.615 ;
+        RECT 533.835 48.525 534.125 49.690 ;
+        RECT 534.755 49.420 535.275 50.905 ;
+        RECT 535.445 50.415 535.785 51.075 ;
+        RECT 536.135 50.305 541.480 51.075 ;
+        RECT 534.945 48.525 535.275 49.250 ;
+        RECT 535.445 48.695 535.965 50.245 ;
+        RECT 536.135 49.785 538.715 50.305 ;
+        RECT 538.885 49.615 541.480 50.135 ;
+        RECT 536.135 48.525 541.480 49.615 ;
+        RECT 542.115 49.420 542.635 50.905 ;
+        RECT 542.805 50.415 543.145 51.075 ;
+        RECT 543.495 50.305 548.840 51.075 ;
+        RECT 549.015 50.305 552.525 51.075 ;
+        RECT 542.305 48.525 542.635 49.250 ;
+        RECT 542.805 48.695 543.325 50.245 ;
+        RECT 543.495 49.785 546.075 50.305 ;
+        RECT 546.245 49.615 548.840 50.135 ;
+        RECT 549.015 49.785 550.665 50.305 ;
+        RECT 550.835 49.615 552.525 50.135 ;
+        RECT 543.495 48.525 548.840 49.615 ;
+        RECT 549.015 48.525 552.525 49.615 ;
+        RECT 553.155 49.420 553.675 50.905 ;
+        RECT 553.845 50.415 554.185 51.075 ;
+        RECT 554.535 50.305 559.880 51.075 ;
+        RECT 560.055 50.305 561.725 51.075 ;
+        RECT 561.895 50.350 562.185 51.075 ;
+        RECT 562.355 50.325 563.565 51.075 ;
+        RECT 553.345 48.525 553.675 49.250 ;
+        RECT 553.845 48.695 554.365 50.245 ;
+        RECT 554.535 49.785 557.115 50.305 ;
+        RECT 557.285 49.615 559.880 50.135 ;
+        RECT 560.055 49.785 560.805 50.305 ;
+        RECT 560.975 49.615 561.725 50.135 ;
+        RECT 562.355 49.785 562.875 50.325 ;
+        RECT 554.535 48.525 559.880 49.615 ;
+        RECT 560.055 48.525 561.725 49.615 ;
+        RECT 561.895 48.525 562.185 49.690 ;
+        RECT 563.045 49.615 563.565 50.155 ;
+        RECT 562.355 48.525 563.565 49.615 ;
+        RECT 563.735 49.420 564.255 50.905 ;
+        RECT 564.425 50.415 564.765 51.075 ;
+        RECT 565.115 50.305 570.460 51.075 ;
+        RECT 563.925 48.525 564.255 49.250 ;
+        RECT 564.425 48.695 564.945 50.245 ;
+        RECT 565.115 49.785 567.695 50.305 ;
+        RECT 567.865 49.615 570.460 50.135 ;
+        RECT 565.115 48.525 570.460 49.615 ;
+        RECT 571.095 49.420 571.615 50.905 ;
+        RECT 571.785 50.415 572.125 51.075 ;
+        RECT 572.475 50.305 577.820 51.075 ;
+        RECT 577.995 50.305 583.340 51.075 ;
+        RECT 583.515 50.305 588.860 51.075 ;
+        RECT 589.955 50.350 590.245 51.075 ;
+        RECT 590.415 50.305 595.760 51.075 ;
+        RECT 571.285 48.525 571.615 49.250 ;
+        RECT 571.785 48.695 572.305 50.245 ;
+        RECT 572.475 49.785 575.055 50.305 ;
+        RECT 575.225 49.615 577.820 50.135 ;
+        RECT 577.995 49.785 580.575 50.305 ;
+        RECT 580.745 49.615 583.340 50.135 ;
+        RECT 583.515 49.785 586.095 50.305 ;
+        RECT 586.265 49.615 588.860 50.135 ;
+        RECT 590.415 49.785 592.995 50.305 ;
+        RECT 572.475 48.525 577.820 49.615 ;
+        RECT 577.995 48.525 583.340 49.615 ;
+        RECT 583.515 48.525 588.860 49.615 ;
+        RECT 589.955 48.525 590.245 49.690 ;
+        RECT 593.165 49.615 595.760 50.135 ;
+        RECT 590.415 48.525 595.760 49.615 ;
+        RECT 596.855 49.420 597.375 50.905 ;
+        RECT 597.545 50.415 597.885 51.075 ;
+        RECT 598.235 50.305 603.580 51.075 ;
+        RECT 597.045 48.525 597.375 49.250 ;
+        RECT 597.545 48.695 598.065 50.245 ;
+        RECT 598.235 49.785 600.815 50.305 ;
+        RECT 600.985 49.615 603.580 50.135 ;
+        RECT 598.235 48.525 603.580 49.615 ;
+        RECT 604.215 49.420 604.735 50.905 ;
+        RECT 604.905 50.415 605.245 51.075 ;
+        RECT 605.595 50.305 610.940 51.075 ;
+        RECT 611.115 50.305 616.460 51.075 ;
+        RECT 616.635 50.325 617.845 51.075 ;
+        RECT 618.015 50.350 618.305 51.075 ;
+        RECT 605.595 49.785 608.175 50.305 ;
+        RECT 608.345 49.615 610.940 50.135 ;
+        RECT 611.115 49.785 613.695 50.305 ;
+        RECT 613.865 49.615 616.460 50.135 ;
+        RECT 616.635 49.785 617.155 50.325 ;
+        RECT 617.325 49.615 617.845 50.155 ;
+        RECT 604.405 48.525 604.735 49.250 ;
+        RECT 605.595 48.525 610.940 49.615 ;
+        RECT 611.115 48.525 616.460 49.615 ;
+        RECT 616.635 48.525 617.845 49.615 ;
+        RECT 618.015 48.525 618.305 49.690 ;
+        RECT 618.935 49.420 619.455 50.905 ;
+        RECT 619.625 50.415 619.965 51.075 ;
+        RECT 620.315 50.305 623.825 51.075 ;
+        RECT 619.125 48.525 619.455 49.250 ;
+        RECT 619.625 48.695 620.145 50.245 ;
+        RECT 620.315 49.785 621.965 50.305 ;
+        RECT 622.135 49.615 623.825 50.135 ;
+        RECT 620.315 48.525 623.825 49.615 ;
+        RECT 623.995 49.420 624.515 50.905 ;
+        RECT 624.685 50.415 625.025 51.075 ;
+        RECT 625.375 50.305 628.885 51.075 ;
+        RECT 629.975 50.325 631.185 51.075 ;
+        RECT 624.185 48.525 624.515 49.250 ;
+        RECT 624.685 48.695 625.205 50.245 ;
+        RECT 625.375 49.785 627.025 50.305 ;
+        RECT 627.195 49.615 628.885 50.135 ;
+        RECT 625.375 48.525 628.885 49.615 ;
+        RECT 629.975 49.615 630.495 50.155 ;
+        RECT 630.665 49.785 631.185 50.325 ;
+        RECT 629.975 48.525 631.185 49.615 ;
+        RECT 42.470 48.355 631.270 48.525 ;
+        RECT 42.555 47.265 43.765 48.355 ;
+        RECT 43.935 47.265 49.280 48.355 ;
+        RECT 49.455 47.265 54.800 48.355 ;
+        RECT 54.975 47.265 60.320 48.355 ;
+        RECT 60.495 47.265 65.840 48.355 ;
+        RECT 66.015 47.265 69.525 48.355 ;
+        RECT 42.555 46.555 43.075 47.095 ;
+        RECT 43.245 46.725 43.765 47.265 ;
+        RECT 43.935 46.575 46.515 47.095 ;
+        RECT 46.685 46.745 49.280 47.265 ;
+        RECT 49.455 46.575 52.035 47.095 ;
+        RECT 52.205 46.745 54.800 47.265 ;
+        RECT 54.975 46.575 57.555 47.095 ;
+        RECT 57.725 46.745 60.320 47.265 ;
+        RECT 60.495 46.575 63.075 47.095 ;
+        RECT 63.245 46.745 65.840 47.265 ;
+        RECT 66.015 46.575 67.665 47.095 ;
+        RECT 67.835 46.745 69.525 47.265 ;
+        RECT 70.615 47.190 70.905 48.355 ;
+        RECT 71.075 47.265 72.285 48.355 ;
+        RECT 42.555 45.805 43.765 46.555 ;
+        RECT 43.935 45.805 49.280 46.575 ;
+        RECT 49.455 45.805 54.800 46.575 ;
+        RECT 54.975 45.805 60.320 46.575 ;
+        RECT 60.495 45.805 65.840 46.575 ;
+        RECT 66.015 45.805 69.525 46.575 ;
+        RECT 71.075 46.555 71.595 47.095 ;
+        RECT 71.765 46.725 72.285 47.265 ;
+        RECT 72.495 47.215 72.725 48.355 ;
+        RECT 72.895 47.205 73.225 48.185 ;
+        RECT 73.395 47.215 73.605 48.355 ;
+        RECT 73.835 47.265 77.345 48.355 ;
+        RECT 77.525 47.600 77.855 48.355 ;
+        RECT 78.035 47.470 78.215 48.185 ;
+        RECT 78.420 47.655 78.750 48.355 ;
+        RECT 78.960 47.480 79.150 48.185 ;
+        RECT 79.320 47.655 79.650 48.355 ;
+        RECT 79.820 47.485 80.010 48.185 ;
+        RECT 80.180 47.655 80.510 48.355 ;
+        RECT 79.820 47.480 80.565 47.485 ;
+        RECT 72.475 46.795 72.805 47.045 ;
+        RECT 70.615 45.805 70.905 46.530 ;
+        RECT 71.075 45.805 72.285 46.555 ;
+        RECT 72.495 45.805 72.725 46.625 ;
+        RECT 72.975 46.605 73.225 47.205 ;
+        RECT 72.895 45.975 73.225 46.605 ;
+        RECT 73.395 45.805 73.605 46.625 ;
+        RECT 73.835 46.575 75.485 47.095 ;
+        RECT 75.655 46.745 77.345 47.265 ;
+        RECT 77.555 46.715 77.865 47.335 ;
+        RECT 78.035 47.300 78.790 47.470 ;
+        RECT 78.580 47.075 78.790 47.300 ;
+        RECT 78.960 47.255 80.565 47.480 ;
+        RECT 80.735 47.265 84.245 48.355 ;
+        RECT 78.035 46.715 78.410 47.045 ;
+        RECT 78.580 46.740 80.115 47.075 ;
+        RECT 73.835 45.805 77.345 46.575 ;
+        RECT 78.580 46.525 78.790 46.740 ;
+        RECT 80.285 46.565 80.565 47.255 ;
+        RECT 77.525 46.335 78.790 46.525 ;
+        RECT 78.960 46.335 80.565 46.565 ;
+        RECT 80.735 46.575 82.385 47.095 ;
+        RECT 82.555 46.745 84.245 47.265 ;
+        RECT 85.425 47.425 85.595 48.185 ;
+        RECT 85.810 47.595 86.140 48.355 ;
+        RECT 85.425 47.255 86.140 47.425 ;
+        RECT 86.310 47.280 86.565 48.185 ;
+        RECT 85.335 46.705 85.690 47.075 ;
+        RECT 85.970 47.045 86.140 47.255 ;
+        RECT 85.970 46.715 86.225 47.045 ;
+        RECT 77.525 45.975 77.855 46.335 ;
+        RECT 78.960 46.235 79.150 46.335 ;
+        RECT 78.385 45.805 78.715 46.165 ;
+        RECT 79.320 45.805 79.650 46.165 ;
+        RECT 79.820 45.975 80.010 46.335 ;
+        RECT 80.180 45.805 80.510 46.165 ;
+        RECT 80.735 45.805 84.245 46.575 ;
+        RECT 85.970 46.525 86.140 46.715 ;
+        RECT 86.395 46.550 86.565 47.280 ;
+        RECT 86.740 47.205 87.000 48.355 ;
+        RECT 87.175 47.265 90.685 48.355 ;
+        RECT 85.425 46.355 86.140 46.525 ;
+        RECT 85.425 45.975 85.595 46.355 ;
+        RECT 85.810 45.805 86.140 46.185 ;
+        RECT 86.310 45.975 86.565 46.550 ;
+        RECT 86.740 45.805 87.000 46.645 ;
+        RECT 87.175 46.575 88.825 47.095 ;
+        RECT 88.995 46.745 90.685 47.265 ;
+        RECT 90.860 47.385 91.135 48.185 ;
+        RECT 91.305 47.555 91.635 48.355 ;
+        RECT 91.805 47.385 91.975 48.185 ;
+        RECT 92.145 47.555 92.395 48.355 ;
+        RECT 92.565 48.015 94.660 48.185 ;
+        RECT 92.565 47.385 92.895 48.015 ;
+        RECT 90.860 47.175 92.895 47.385 ;
+        RECT 93.065 47.465 93.235 47.845 ;
+        RECT 93.405 47.655 93.735 48.015 ;
+        RECT 93.905 47.465 94.075 47.845 ;
+        RECT 94.245 47.635 94.660 48.015 ;
+        RECT 93.065 47.165 94.825 47.465 ;
+        RECT 94.995 47.265 98.505 48.355 ;
+        RECT 90.910 46.795 92.570 46.995 ;
+        RECT 92.890 46.795 94.255 46.995 ;
+        RECT 94.425 46.625 94.825 47.165 ;
+        RECT 87.175 45.805 90.685 46.575 ;
+        RECT 90.860 45.805 91.135 46.625 ;
+        RECT 91.305 46.445 94.825 46.625 ;
+        RECT 94.995 46.575 96.645 47.095 ;
+        RECT 96.815 46.745 98.505 47.265 ;
+        RECT 98.675 47.190 98.965 48.355 ;
+        RECT 99.135 47.265 102.645 48.355 ;
+        RECT 99.135 46.575 100.785 47.095 ;
+        RECT 100.955 46.745 102.645 47.265 ;
+        RECT 103.280 47.385 103.555 48.185 ;
+        RECT 103.725 47.555 104.055 48.355 ;
+        RECT 104.225 47.385 104.395 48.185 ;
+        RECT 104.565 47.555 104.815 48.355 ;
+        RECT 104.985 48.015 107.080 48.185 ;
+        RECT 104.985 47.385 105.315 48.015 ;
+        RECT 103.280 47.175 105.315 47.385 ;
+        RECT 105.485 47.465 105.655 47.845 ;
+        RECT 105.825 47.655 106.155 48.015 ;
+        RECT 106.325 47.465 106.495 47.845 ;
+        RECT 106.665 47.635 107.080 48.015 ;
+        RECT 105.485 47.165 107.245 47.465 ;
+        RECT 107.415 47.265 110.925 48.355 ;
+        RECT 103.330 46.795 104.990 46.995 ;
+        RECT 105.310 46.795 106.675 46.995 ;
+        RECT 106.845 46.625 107.245 47.165 ;
+        RECT 91.305 45.975 91.635 46.445 ;
+        RECT 91.805 45.805 91.975 46.275 ;
+        RECT 92.145 45.975 92.475 46.445 ;
+        RECT 92.645 45.805 92.815 46.275 ;
+        RECT 92.985 45.975 93.315 46.445 ;
+        RECT 93.485 45.805 93.655 46.275 ;
+        RECT 93.825 45.975 94.155 46.445 ;
+        RECT 94.325 45.805 94.610 46.275 ;
+        RECT 94.995 45.805 98.505 46.575 ;
+        RECT 98.675 45.805 98.965 46.530 ;
+        RECT 99.135 45.805 102.645 46.575 ;
+        RECT 103.280 45.805 103.555 46.625 ;
+        RECT 103.725 46.445 107.245 46.625 ;
+        RECT 107.415 46.575 109.065 47.095 ;
+        RECT 109.235 46.745 110.925 47.265 ;
+        RECT 111.100 47.385 111.375 48.185 ;
+        RECT 111.545 47.555 111.875 48.355 ;
+        RECT 112.045 47.385 112.215 48.185 ;
+        RECT 112.385 47.555 112.635 48.355 ;
+        RECT 112.805 48.015 114.900 48.185 ;
+        RECT 112.805 47.385 113.135 48.015 ;
+        RECT 111.100 47.175 113.135 47.385 ;
+        RECT 113.305 47.465 113.475 47.845 ;
+        RECT 113.645 47.655 113.975 48.015 ;
+        RECT 114.145 47.465 114.315 47.845 ;
+        RECT 114.485 47.635 114.900 48.015 ;
+        RECT 113.305 47.165 115.065 47.465 ;
+        RECT 115.235 47.265 118.745 48.355 ;
+        RECT 111.150 46.795 112.810 46.995 ;
+        RECT 113.130 46.795 114.495 46.995 ;
+        RECT 114.665 46.625 115.065 47.165 ;
+        RECT 103.725 45.975 104.055 46.445 ;
+        RECT 104.225 45.805 104.395 46.275 ;
+        RECT 104.565 45.975 104.895 46.445 ;
+        RECT 105.065 45.805 105.235 46.275 ;
+        RECT 105.405 45.975 105.735 46.445 ;
+        RECT 105.905 45.805 106.075 46.275 ;
+        RECT 106.245 45.975 106.575 46.445 ;
+        RECT 106.745 45.805 107.030 46.275 ;
+        RECT 107.415 45.805 110.925 46.575 ;
+        RECT 111.100 45.805 111.375 46.625 ;
+        RECT 111.545 46.445 115.065 46.625 ;
+        RECT 115.235 46.575 116.885 47.095 ;
+        RECT 117.055 46.745 118.745 47.265 ;
+        RECT 118.945 47.385 119.280 48.170 ;
+        RECT 118.945 47.215 119.540 47.385 ;
+        RECT 111.545 45.975 111.875 46.445 ;
+        RECT 112.045 45.805 112.215 46.275 ;
+        RECT 112.385 45.975 112.715 46.445 ;
+        RECT 112.885 45.805 113.055 46.275 ;
+        RECT 113.225 45.975 113.555 46.445 ;
+        RECT 113.725 45.805 113.895 46.275 ;
+        RECT 114.065 45.975 114.395 46.445 ;
+        RECT 114.565 45.805 114.850 46.275 ;
+        RECT 115.235 45.805 118.745 46.575 ;
+        RECT 118.915 46.475 119.200 47.045 ;
+        RECT 119.370 46.545 119.540 47.215 ;
+        RECT 119.710 47.340 120.060 48.095 ;
+        RECT 120.230 47.505 120.550 48.095 ;
+        RECT 120.835 47.515 121.085 48.355 ;
+        RECT 119.710 46.715 119.880 47.340 ;
+        RECT 120.230 47.170 120.440 47.505 ;
+        RECT 121.310 47.345 121.560 48.185 ;
+        RECT 121.730 47.515 121.980 48.355 ;
+        RECT 122.150 47.345 122.400 48.185 ;
+        RECT 122.570 47.515 122.820 48.355 ;
+        RECT 120.110 46.715 120.440 47.170 ;
+        RECT 120.670 47.165 121.105 47.335 ;
+        RECT 121.310 47.175 122.885 47.345 ;
+        RECT 123.055 47.265 126.565 48.355 ;
+        RECT 120.670 46.715 120.840 47.165 ;
+        RECT 121.010 46.795 122.470 46.965 ;
+        RECT 121.010 46.545 121.180 46.795 ;
+        RECT 122.640 46.625 122.885 47.175 ;
+        RECT 119.370 46.375 121.180 46.545 ;
+        RECT 121.350 46.445 122.885 46.625 ;
+        RECT 123.055 46.575 124.705 47.095 ;
+        RECT 124.875 46.745 126.565 47.265 ;
+        RECT 126.735 47.190 127.025 48.355 ;
+        RECT 127.745 47.685 127.915 48.185 ;
+        RECT 128.085 47.855 128.415 48.355 ;
+        RECT 127.745 47.515 128.410 47.685 ;
+        RECT 127.660 46.695 128.010 47.345 ;
+        RECT 118.950 45.805 119.200 46.305 ;
+        RECT 119.530 46.025 119.700 46.375 ;
+        RECT 119.900 45.805 120.230 46.205 ;
+        RECT 120.400 46.025 120.570 46.375 ;
+        RECT 120.790 45.805 121.170 46.205 ;
+        RECT 121.350 45.975 121.600 46.445 ;
+        RECT 121.770 45.805 121.940 46.275 ;
+        RECT 122.110 45.975 122.440 46.445 ;
+        RECT 122.610 45.805 122.780 46.275 ;
+        RECT 123.055 45.805 126.565 46.575 ;
+        RECT 126.735 45.805 127.025 46.530 ;
+        RECT 128.180 46.525 128.410 47.515 ;
+        RECT 127.745 46.355 128.410 46.525 ;
+        RECT 127.745 46.065 127.915 46.355 ;
+        RECT 128.085 45.805 128.415 46.185 ;
+        RECT 128.585 46.065 128.810 48.185 ;
+        RECT 129.010 47.895 129.275 48.355 ;
+        RECT 129.460 47.785 129.695 48.160 ;
+        RECT 129.940 47.910 131.010 48.080 ;
+        RECT 129.010 46.785 129.290 47.385 ;
+        RECT 129.025 45.805 129.275 46.265 ;
+        RECT 129.460 46.255 129.630 47.785 ;
+        RECT 129.800 46.755 130.040 47.625 ;
+        RECT 130.230 47.375 130.670 47.730 ;
+        RECT 130.840 47.295 131.010 47.910 ;
+        RECT 131.180 47.555 131.350 48.355 ;
+        RECT 131.520 47.855 131.770 48.185 ;
+        RECT 131.995 47.885 132.880 48.055 ;
+        RECT 130.840 47.205 131.350 47.295 ;
+        RECT 130.550 47.035 131.350 47.205 ;
+        RECT 129.800 46.425 130.380 46.755 ;
+        RECT 130.550 46.255 130.720 47.035 ;
+        RECT 131.180 46.965 131.350 47.035 ;
+        RECT 130.890 46.785 131.060 46.815 ;
+        RECT 131.520 46.785 131.690 47.855 ;
+        RECT 131.860 46.965 132.050 47.685 ;
+        RECT 132.220 47.295 132.540 47.625 ;
+        RECT 130.890 46.485 131.690 46.785 ;
+        RECT 132.220 46.755 132.410 47.295 ;
+        RECT 129.460 46.085 129.790 46.255 ;
+        RECT 129.970 46.085 130.720 46.255 ;
+        RECT 130.970 45.805 131.340 46.305 ;
+        RECT 131.520 46.255 131.690 46.485 ;
+        RECT 131.860 46.425 132.410 46.755 ;
+        RECT 132.710 46.965 132.880 47.885 ;
+        RECT 133.060 47.855 133.275 48.355 ;
+        RECT 133.740 47.550 133.910 48.175 ;
+        RECT 134.195 47.575 134.375 48.355 ;
+        RECT 133.050 47.390 133.910 47.550 ;
+        RECT 133.050 47.220 134.160 47.390 ;
+        RECT 133.990 46.965 134.160 47.220 ;
+        RECT 134.555 47.355 134.890 48.115 ;
+        RECT 135.070 47.525 135.240 48.355 ;
+        RECT 135.410 47.355 135.740 48.115 ;
+        RECT 135.910 47.525 136.080 48.355 ;
+        RECT 134.555 47.185 136.225 47.355 ;
+        RECT 136.395 47.265 141.740 48.355 ;
+        RECT 141.915 47.265 143.585 48.355 ;
+        RECT 143.805 47.675 144.055 48.185 ;
+        RECT 144.225 47.845 144.475 48.355 ;
+        RECT 144.645 47.675 144.895 48.185 ;
+        RECT 145.065 47.845 145.315 48.355 ;
+        RECT 145.485 48.015 146.575 48.185 ;
+        RECT 145.485 47.675 145.735 48.015 ;
+        RECT 146.325 47.855 146.575 48.015 ;
+        RECT 146.845 47.855 147.095 48.355 ;
+        RECT 147.265 48.015 148.355 48.185 ;
+        RECT 147.265 47.855 147.515 48.015 ;
+        RECT 143.805 47.505 145.735 47.675 ;
+        RECT 132.710 46.795 133.800 46.965 ;
+        RECT 133.990 46.795 135.810 46.965 ;
+        RECT 132.710 46.255 132.880 46.795 ;
+        RECT 133.990 46.625 134.160 46.795 ;
+        RECT 133.660 46.455 134.160 46.625 ;
+        RECT 135.980 46.620 136.225 47.185 ;
+        RECT 131.520 46.085 131.980 46.255 ;
+        RECT 132.210 46.085 132.880 46.255 ;
+        RECT 133.195 45.805 133.365 46.335 ;
+        RECT 133.660 46.015 134.020 46.455 ;
+        RECT 134.555 46.450 136.225 46.620 ;
+        RECT 136.395 46.575 138.975 47.095 ;
+        RECT 139.145 46.745 141.740 47.265 ;
+        RECT 141.915 46.575 142.665 47.095 ;
+        RECT 142.835 46.745 143.585 47.265 ;
+        RECT 143.755 47.165 145.355 47.335 ;
+        RECT 145.525 47.175 145.735 47.505 ;
+        RECT 145.905 47.685 146.155 47.845 ;
+        RECT 147.685 47.685 147.935 47.845 ;
+        RECT 143.755 46.795 144.245 47.165 ;
+        RECT 145.185 46.995 145.355 47.165 ;
+        RECT 145.905 47.135 146.290 47.685 ;
+        RECT 146.805 47.505 147.935 47.685 ;
+        RECT 148.105 47.505 148.355 48.015 ;
+        RECT 148.525 47.515 148.775 48.355 ;
+        RECT 148.945 47.675 149.195 48.185 ;
+        RECT 149.365 47.885 149.615 48.355 ;
+        RECT 149.785 47.675 150.035 48.185 ;
+        RECT 148.945 47.505 150.035 47.675 ;
+        RECT 150.205 47.545 150.455 48.355 ;
+        RECT 146.805 47.385 146.975 47.505 ;
+        RECT 146.625 47.215 146.975 47.385 ;
+        RECT 149.785 47.375 150.035 47.505 ;
+        RECT 144.475 46.795 145.015 46.995 ;
+        RECT 145.185 46.965 145.365 46.995 ;
+        RECT 145.185 46.795 145.565 46.965 ;
+        RECT 145.905 46.625 146.115 47.135 ;
+        RECT 146.625 46.965 146.815 47.215 ;
+        RECT 147.145 47.165 148.635 47.335 ;
+        RECT 147.145 47.045 147.315 47.165 ;
+        RECT 146.285 46.795 146.815 46.965 ;
+        RECT 146.985 46.795 147.315 47.045 ;
+        RECT 147.485 46.795 148.105 46.995 ;
+        RECT 148.275 46.795 148.635 47.165 ;
+        RECT 148.805 46.965 149.130 47.335 ;
+        RECT 149.785 47.135 150.590 47.375 ;
+        RECT 151.115 47.265 154.625 48.355 ;
+        RECT 148.805 46.795 150.110 46.965 ;
+        RECT 146.625 46.625 146.815 46.795 ;
+        RECT 150.280 46.625 150.590 47.135 ;
+        RECT 134.195 45.805 134.365 46.285 ;
+        RECT 134.555 46.025 134.890 46.450 ;
+        RECT 135.065 45.805 135.235 46.280 ;
+        RECT 135.410 46.025 135.745 46.450 ;
+        RECT 135.915 45.805 136.085 46.280 ;
+        RECT 136.395 45.805 141.740 46.575 ;
+        RECT 141.915 45.805 143.585 46.575 ;
+        RECT 143.845 45.805 144.015 46.615 ;
+        RECT 144.185 46.195 144.435 46.625 ;
+        RECT 144.605 46.455 146.195 46.625 ;
+        RECT 144.605 46.365 144.940 46.455 ;
+        RECT 144.185 45.975 145.355 46.195 ;
+        RECT 145.525 45.805 145.695 46.275 ;
+        RECT 145.865 45.975 146.195 46.455 ;
+        RECT 146.625 46.445 148.395 46.625 ;
+        RECT 146.365 45.805 147.055 46.275 ;
+        RECT 147.225 45.975 147.555 46.445 ;
+        RECT 147.725 45.805 147.895 46.275 ;
+        RECT 148.065 45.975 148.395 46.445 ;
+        RECT 148.565 45.805 148.735 46.615 ;
+        RECT 148.905 46.445 150.590 46.625 ;
+        RECT 151.115 46.575 152.765 47.095 ;
+        RECT 152.935 46.745 154.625 47.265 ;
+        RECT 154.795 47.190 155.085 48.355 ;
+        RECT 155.345 47.685 155.515 48.185 ;
+        RECT 155.685 47.855 156.015 48.355 ;
+        RECT 155.345 47.515 156.010 47.685 ;
+        RECT 155.260 46.695 155.610 47.345 ;
+        RECT 148.905 45.995 149.235 46.445 ;
+        RECT 149.405 45.805 149.575 46.275 ;
+        RECT 149.745 45.995 150.075 46.445 ;
+        RECT 150.245 45.805 150.415 46.275 ;
+        RECT 151.115 45.805 154.625 46.575 ;
+        RECT 154.795 45.805 155.085 46.530 ;
+        RECT 155.780 46.525 156.010 47.515 ;
+        RECT 155.345 46.355 156.010 46.525 ;
+        RECT 155.345 46.065 155.515 46.355 ;
+        RECT 155.685 45.805 156.015 46.185 ;
+        RECT 156.185 46.065 156.410 48.185 ;
+        RECT 156.610 47.895 156.875 48.355 ;
+        RECT 157.060 47.785 157.295 48.160 ;
+        RECT 157.540 47.910 158.610 48.080 ;
+        RECT 156.610 46.785 156.890 47.385 ;
+        RECT 156.625 45.805 156.875 46.265 ;
+        RECT 157.060 46.255 157.230 47.785 ;
+        RECT 157.400 46.755 157.640 47.625 ;
+        RECT 157.830 47.375 158.270 47.730 ;
+        RECT 158.440 47.295 158.610 47.910 ;
+        RECT 158.780 47.555 158.950 48.355 ;
+        RECT 159.120 47.855 159.370 48.185 ;
+        RECT 159.595 47.885 160.480 48.055 ;
+        RECT 158.440 47.205 158.950 47.295 ;
+        RECT 158.150 47.035 158.950 47.205 ;
+        RECT 157.400 46.425 157.980 46.755 ;
+        RECT 158.150 46.255 158.320 47.035 ;
+        RECT 158.780 46.965 158.950 47.035 ;
+        RECT 158.490 46.785 158.660 46.815 ;
+        RECT 159.120 46.785 159.290 47.855 ;
+        RECT 159.460 46.965 159.650 47.685 ;
+        RECT 159.820 47.295 160.140 47.625 ;
+        RECT 158.490 46.485 159.290 46.785 ;
+        RECT 159.820 46.755 160.010 47.295 ;
+        RECT 157.060 46.085 157.390 46.255 ;
+        RECT 157.570 46.085 158.320 46.255 ;
+        RECT 158.570 45.805 158.940 46.305 ;
+        RECT 159.120 46.255 159.290 46.485 ;
+        RECT 159.460 46.425 160.010 46.755 ;
+        RECT 160.310 46.965 160.480 47.885 ;
+        RECT 160.660 47.855 160.875 48.355 ;
+        RECT 161.340 47.550 161.510 48.175 ;
+        RECT 161.795 47.575 161.975 48.355 ;
+        RECT 160.650 47.390 161.510 47.550 ;
+        RECT 160.650 47.220 161.760 47.390 ;
+        RECT 161.590 46.965 161.760 47.220 ;
+        RECT 162.155 47.355 162.490 48.115 ;
+        RECT 162.670 47.525 162.840 48.355 ;
+        RECT 163.010 47.355 163.340 48.115 ;
+        RECT 163.510 47.525 163.680 48.355 ;
+        RECT 162.155 47.185 163.825 47.355 ;
+        RECT 163.995 47.265 167.505 48.355 ;
+        RECT 167.675 47.265 168.885 48.355 ;
+        RECT 169.145 47.685 169.315 48.185 ;
+        RECT 169.485 47.855 169.815 48.355 ;
+        RECT 169.145 47.515 169.810 47.685 ;
+        RECT 160.310 46.795 161.400 46.965 ;
+        RECT 161.590 46.795 163.410 46.965 ;
+        RECT 160.310 46.255 160.480 46.795 ;
+        RECT 161.590 46.625 161.760 46.795 ;
+        RECT 161.260 46.455 161.760 46.625 ;
+        RECT 163.580 46.620 163.825 47.185 ;
+        RECT 159.120 46.085 159.580 46.255 ;
+        RECT 159.810 46.085 160.480 46.255 ;
+        RECT 160.795 45.805 160.965 46.335 ;
+        RECT 161.260 46.015 161.620 46.455 ;
+        RECT 162.155 46.450 163.825 46.620 ;
+        RECT 163.995 46.575 165.645 47.095 ;
+        RECT 165.815 46.745 167.505 47.265 ;
+        RECT 161.795 45.805 161.965 46.285 ;
+        RECT 162.155 46.025 162.490 46.450 ;
+        RECT 162.665 45.805 162.835 46.280 ;
+        RECT 163.010 46.025 163.345 46.450 ;
+        RECT 163.515 45.805 163.685 46.280 ;
+        RECT 163.995 45.805 167.505 46.575 ;
+        RECT 167.675 46.555 168.195 47.095 ;
+        RECT 168.365 46.725 168.885 47.265 ;
+        RECT 169.060 46.695 169.410 47.345 ;
+        RECT 167.675 45.805 168.885 46.555 ;
+        RECT 169.580 46.525 169.810 47.515 ;
+        RECT 169.145 46.355 169.810 46.525 ;
+        RECT 169.145 46.065 169.315 46.355 ;
+        RECT 169.485 45.805 169.815 46.185 ;
+        RECT 169.985 46.065 170.210 48.185 ;
+        RECT 170.410 47.895 170.675 48.355 ;
+        RECT 170.860 47.785 171.095 48.160 ;
+        RECT 171.340 47.910 172.410 48.080 ;
+        RECT 170.410 46.785 170.690 47.385 ;
+        RECT 170.425 45.805 170.675 46.265 ;
+        RECT 170.860 46.255 171.030 47.785 ;
+        RECT 171.200 46.755 171.440 47.625 ;
+        RECT 171.630 47.375 172.070 47.730 ;
+        RECT 172.240 47.295 172.410 47.910 ;
+        RECT 172.580 47.555 172.750 48.355 ;
+        RECT 172.920 47.855 173.170 48.185 ;
+        RECT 173.395 47.885 174.280 48.055 ;
+        RECT 172.240 47.205 172.750 47.295 ;
+        RECT 171.950 47.035 172.750 47.205 ;
+        RECT 171.200 46.425 171.780 46.755 ;
+        RECT 171.950 46.255 172.120 47.035 ;
+        RECT 172.580 46.965 172.750 47.035 ;
+        RECT 172.290 46.785 172.460 46.815 ;
+        RECT 172.920 46.785 173.090 47.855 ;
+        RECT 173.260 46.965 173.450 47.685 ;
+        RECT 173.620 47.295 173.940 47.625 ;
+        RECT 172.290 46.485 173.090 46.785 ;
+        RECT 173.620 46.755 173.810 47.295 ;
+        RECT 170.860 46.085 171.190 46.255 ;
+        RECT 171.370 46.085 172.120 46.255 ;
+        RECT 172.370 45.805 172.740 46.305 ;
+        RECT 172.920 46.255 173.090 46.485 ;
+        RECT 173.260 46.425 173.810 46.755 ;
+        RECT 174.110 46.965 174.280 47.885 ;
+        RECT 174.460 47.855 174.675 48.355 ;
+        RECT 175.140 47.550 175.310 48.175 ;
+        RECT 175.595 47.575 175.775 48.355 ;
+        RECT 174.450 47.390 175.310 47.550 ;
+        RECT 176.470 47.525 176.640 48.355 ;
+        RECT 177.310 47.525 177.480 48.355 ;
+        RECT 174.450 47.220 175.560 47.390 ;
+        RECT 177.795 47.265 181.305 48.355 ;
+        RECT 181.475 47.265 182.685 48.355 ;
+        RECT 175.390 46.965 175.560 47.220 ;
+        RECT 174.110 46.795 175.200 46.965 ;
+        RECT 175.390 46.795 177.210 46.965 ;
+        RECT 174.110 46.255 174.280 46.795 ;
+        RECT 175.390 46.625 175.560 46.795 ;
+        RECT 175.060 46.455 175.560 46.625 ;
+        RECT 177.795 46.575 179.445 47.095 ;
+        RECT 179.615 46.745 181.305 47.265 ;
+        RECT 172.920 46.085 173.380 46.255 ;
+        RECT 173.610 46.085 174.280 46.255 ;
+        RECT 174.595 45.805 174.765 46.335 ;
+        RECT 175.060 46.015 175.420 46.455 ;
+        RECT 175.595 45.805 175.765 46.285 ;
+        RECT 176.465 45.805 176.635 46.280 ;
+        RECT 177.315 45.805 177.485 46.280 ;
+        RECT 177.795 45.805 181.305 46.575 ;
+        RECT 181.475 46.555 181.995 47.095 ;
+        RECT 182.165 46.725 182.685 47.265 ;
+        RECT 182.855 47.190 183.145 48.355 ;
+        RECT 183.315 47.265 184.525 48.355 ;
+        RECT 184.785 47.685 184.955 48.185 ;
+        RECT 185.125 47.855 185.455 48.355 ;
+        RECT 184.785 47.515 185.450 47.685 ;
+        RECT 183.315 46.555 183.835 47.095 ;
+        RECT 184.005 46.725 184.525 47.265 ;
+        RECT 184.700 46.695 185.050 47.345 ;
+        RECT 181.475 45.805 182.685 46.555 ;
+        RECT 182.855 45.805 183.145 46.530 ;
+        RECT 183.315 45.805 184.525 46.555 ;
+        RECT 185.220 46.525 185.450 47.515 ;
+        RECT 184.785 46.355 185.450 46.525 ;
+        RECT 184.785 46.065 184.955 46.355 ;
+        RECT 185.125 45.805 185.455 46.185 ;
+        RECT 185.625 46.065 185.850 48.185 ;
+        RECT 186.050 47.895 186.315 48.355 ;
+        RECT 186.500 47.785 186.735 48.160 ;
+        RECT 186.980 47.910 188.050 48.080 ;
+        RECT 186.050 46.785 186.330 47.385 ;
+        RECT 186.065 45.805 186.315 46.265 ;
+        RECT 186.500 46.255 186.670 47.785 ;
+        RECT 186.840 46.755 187.080 47.625 ;
+        RECT 187.270 47.375 187.710 47.730 ;
+        RECT 187.880 47.295 188.050 47.910 ;
+        RECT 188.220 47.555 188.390 48.355 ;
+        RECT 188.560 47.855 188.810 48.185 ;
+        RECT 189.035 47.885 189.920 48.055 ;
+        RECT 187.880 47.205 188.390 47.295 ;
+        RECT 187.590 47.035 188.390 47.205 ;
+        RECT 186.840 46.425 187.420 46.755 ;
+        RECT 187.590 46.255 187.760 47.035 ;
+        RECT 188.220 46.965 188.390 47.035 ;
+        RECT 187.930 46.785 188.100 46.815 ;
+        RECT 188.560 46.785 188.730 47.855 ;
+        RECT 188.900 46.965 189.090 47.685 ;
+        RECT 189.260 47.295 189.580 47.625 ;
+        RECT 187.930 46.485 188.730 46.785 ;
+        RECT 189.260 46.755 189.450 47.295 ;
+        RECT 186.500 46.085 186.830 46.255 ;
+        RECT 187.010 46.085 187.760 46.255 ;
+        RECT 188.010 45.805 188.380 46.305 ;
+        RECT 188.560 46.255 188.730 46.485 ;
+        RECT 188.900 46.425 189.450 46.755 ;
+        RECT 189.750 46.965 189.920 47.885 ;
+        RECT 190.100 47.855 190.315 48.355 ;
+        RECT 190.780 47.550 190.950 48.175 ;
+        RECT 191.235 47.575 191.415 48.355 ;
+        RECT 190.090 47.390 190.950 47.550 ;
+        RECT 190.090 47.220 191.200 47.390 ;
+        RECT 191.030 46.965 191.200 47.220 ;
+        RECT 191.595 47.355 191.930 48.115 ;
+        RECT 192.110 47.525 192.280 48.355 ;
+        RECT 192.450 47.355 192.780 48.115 ;
+        RECT 192.950 47.525 193.120 48.355 ;
+        RECT 191.595 47.185 193.265 47.355 ;
+        RECT 193.435 47.265 196.945 48.355 ;
+        RECT 197.205 47.685 197.375 48.185 ;
+        RECT 197.545 47.855 197.875 48.355 ;
+        RECT 197.205 47.515 197.870 47.685 ;
+        RECT 189.750 46.795 190.840 46.965 ;
+        RECT 191.030 46.795 192.850 46.965 ;
+        RECT 189.750 46.255 189.920 46.795 ;
+        RECT 191.030 46.625 191.200 46.795 ;
+        RECT 190.700 46.455 191.200 46.625 ;
+        RECT 193.020 46.620 193.265 47.185 ;
+        RECT 188.560 46.085 189.020 46.255 ;
+        RECT 189.250 46.085 189.920 46.255 ;
+        RECT 190.235 45.805 190.405 46.335 ;
+        RECT 190.700 46.015 191.060 46.455 ;
+        RECT 191.595 46.450 193.265 46.620 ;
+        RECT 193.435 46.575 195.085 47.095 ;
+        RECT 195.255 46.745 196.945 47.265 ;
+        RECT 197.120 46.695 197.470 47.345 ;
+        RECT 191.235 45.805 191.405 46.285 ;
+        RECT 191.595 46.025 191.930 46.450 ;
+        RECT 192.105 45.805 192.275 46.280 ;
+        RECT 192.450 46.025 192.785 46.450 ;
+        RECT 192.955 45.805 193.125 46.280 ;
+        RECT 193.435 45.805 196.945 46.575 ;
+        RECT 197.640 46.525 197.870 47.515 ;
+        RECT 197.205 46.355 197.870 46.525 ;
+        RECT 197.205 46.065 197.375 46.355 ;
+        RECT 197.545 45.805 197.875 46.185 ;
+        RECT 198.045 46.065 198.270 48.185 ;
+        RECT 198.470 47.895 198.735 48.355 ;
+        RECT 198.920 47.785 199.155 48.160 ;
+        RECT 199.400 47.910 200.470 48.080 ;
+        RECT 198.470 46.785 198.750 47.385 ;
+        RECT 198.485 45.805 198.735 46.265 ;
+        RECT 198.920 46.255 199.090 47.785 ;
+        RECT 199.260 46.755 199.500 47.625 ;
+        RECT 199.690 47.375 200.130 47.730 ;
+        RECT 200.300 47.295 200.470 47.910 ;
+        RECT 200.640 47.555 200.810 48.355 ;
+        RECT 200.980 47.855 201.230 48.185 ;
+        RECT 201.455 47.885 202.340 48.055 ;
+        RECT 200.300 47.205 200.810 47.295 ;
+        RECT 200.010 47.035 200.810 47.205 ;
+        RECT 199.260 46.425 199.840 46.755 ;
+        RECT 200.010 46.255 200.180 47.035 ;
+        RECT 200.640 46.965 200.810 47.035 ;
+        RECT 200.350 46.785 200.520 46.815 ;
+        RECT 200.980 46.785 201.150 47.855 ;
+        RECT 201.320 46.965 201.510 47.685 ;
+        RECT 201.680 47.295 202.000 47.625 ;
+        RECT 200.350 46.485 201.150 46.785 ;
+        RECT 201.680 46.755 201.870 47.295 ;
+        RECT 198.920 46.085 199.250 46.255 ;
+        RECT 199.430 46.085 200.180 46.255 ;
+        RECT 200.430 45.805 200.800 46.305 ;
+        RECT 200.980 46.255 201.150 46.485 ;
+        RECT 201.320 46.425 201.870 46.755 ;
+        RECT 202.170 46.965 202.340 47.885 ;
+        RECT 202.520 47.855 202.735 48.355 ;
+        RECT 203.200 47.550 203.370 48.175 ;
+        RECT 203.655 47.575 203.835 48.355 ;
+        RECT 202.510 47.390 203.370 47.550 ;
+        RECT 202.510 47.220 203.620 47.390 ;
+        RECT 203.450 46.965 203.620 47.220 ;
+        RECT 204.015 47.355 204.350 48.115 ;
+        RECT 204.530 47.525 204.700 48.355 ;
+        RECT 204.870 47.355 205.200 48.115 ;
+        RECT 205.370 47.525 205.540 48.355 ;
+        RECT 204.015 47.185 205.685 47.355 ;
+        RECT 205.855 47.265 209.365 48.355 ;
+        RECT 209.535 47.265 210.745 48.355 ;
+        RECT 202.170 46.795 203.260 46.965 ;
+        RECT 203.450 46.795 205.270 46.965 ;
+        RECT 202.170 46.255 202.340 46.795 ;
+        RECT 203.450 46.625 203.620 46.795 ;
+        RECT 203.120 46.455 203.620 46.625 ;
+        RECT 205.440 46.620 205.685 47.185 ;
+        RECT 200.980 46.085 201.440 46.255 ;
+        RECT 201.670 46.085 202.340 46.255 ;
+        RECT 202.655 45.805 202.825 46.335 ;
+        RECT 203.120 46.015 203.480 46.455 ;
+        RECT 204.015 46.450 205.685 46.620 ;
+        RECT 205.855 46.575 207.505 47.095 ;
+        RECT 207.675 46.745 209.365 47.265 ;
+        RECT 203.655 45.805 203.825 46.285 ;
+        RECT 204.015 46.025 204.350 46.450 ;
+        RECT 204.525 45.805 204.695 46.280 ;
+        RECT 204.870 46.025 205.205 46.450 ;
+        RECT 205.375 45.805 205.545 46.280 ;
+        RECT 205.855 45.805 209.365 46.575 ;
+        RECT 209.535 46.555 210.055 47.095 ;
+        RECT 210.225 46.725 210.745 47.265 ;
+        RECT 210.915 47.190 211.205 48.355 ;
+        RECT 211.405 47.385 211.740 48.170 ;
+        RECT 211.405 47.215 212.000 47.385 ;
+        RECT 209.535 45.805 210.745 46.555 ;
+        RECT 210.915 45.805 211.205 46.530 ;
+        RECT 211.375 46.475 211.660 47.045 ;
+        RECT 211.830 46.545 212.000 47.215 ;
+        RECT 212.170 47.340 212.520 48.095 ;
+        RECT 212.690 47.505 213.010 48.095 ;
+        RECT 213.295 47.515 213.545 48.355 ;
+        RECT 212.170 46.715 212.340 47.340 ;
+        RECT 212.690 47.170 212.900 47.505 ;
+        RECT 213.770 47.345 214.020 48.185 ;
+        RECT 214.190 47.515 214.440 48.355 ;
+        RECT 214.610 47.345 214.860 48.185 ;
+        RECT 215.030 47.515 215.280 48.355 ;
+        RECT 212.570 46.715 212.900 47.170 ;
+        RECT 213.130 47.165 213.565 47.335 ;
+        RECT 213.770 47.175 215.345 47.345 ;
+        RECT 215.515 47.265 219.025 48.355 ;
+        RECT 213.130 46.715 213.300 47.165 ;
+        RECT 213.470 46.795 214.930 46.965 ;
+        RECT 213.470 46.545 213.640 46.795 ;
+        RECT 215.100 46.625 215.345 47.175 ;
+        RECT 211.830 46.375 213.640 46.545 ;
+        RECT 213.810 46.445 215.345 46.625 ;
+        RECT 215.515 46.575 217.165 47.095 ;
+        RECT 217.335 46.745 219.025 47.265 ;
+        RECT 219.225 47.060 219.475 48.055 ;
+        RECT 219.655 47.470 219.835 48.185 ;
+        RECT 220.005 47.655 220.455 48.355 ;
+        RECT 220.630 47.470 220.810 48.185 ;
+        RECT 221.020 47.655 221.350 48.355 ;
+        RECT 221.560 47.480 221.750 48.185 ;
+        RECT 221.920 47.655 222.250 48.355 ;
+        RECT 222.420 47.485 222.610 48.185 ;
+        RECT 222.780 47.655 223.110 48.355 ;
+        RECT 222.420 47.480 223.165 47.485 ;
+        RECT 219.655 47.300 221.390 47.470 ;
+        RECT 221.180 47.075 221.390 47.300 ;
+        RECT 221.560 47.255 223.165 47.480 ;
+        RECT 223.335 47.265 228.680 48.355 ;
+        RECT 228.855 47.265 230.525 48.355 ;
+        RECT 219.225 46.715 219.985 47.060 ;
+        RECT 211.410 45.805 211.660 46.305 ;
+        RECT 211.990 46.025 212.160 46.375 ;
+        RECT 212.360 45.805 212.690 46.205 ;
+        RECT 212.860 46.025 213.030 46.375 ;
+        RECT 213.250 45.805 213.630 46.205 ;
+        RECT 213.810 45.975 214.060 46.445 ;
+        RECT 214.230 45.805 214.400 46.275 ;
+        RECT 214.570 45.975 214.900 46.445 ;
+        RECT 215.070 45.805 215.240 46.275 ;
+        RECT 215.515 45.805 219.025 46.575 ;
+        RECT 219.575 46.285 219.910 46.525 ;
+        RECT 220.175 46.465 220.465 47.060 ;
+        RECT 220.635 46.715 221.010 47.045 ;
+        RECT 221.180 46.740 222.715 47.075 ;
+        RECT 221.180 46.525 221.390 46.740 ;
+        RECT 222.885 46.565 223.165 47.255 ;
+        RECT 220.645 46.335 221.390 46.525 ;
+        RECT 221.560 46.335 223.165 46.565 ;
+        RECT 223.335 46.575 225.915 47.095 ;
+        RECT 226.085 46.745 228.680 47.265 ;
+        RECT 228.855 46.575 229.605 47.095 ;
+        RECT 229.775 46.745 230.525 47.265 ;
+        RECT 230.725 47.385 231.060 48.170 ;
+        RECT 230.725 47.215 231.320 47.385 ;
+        RECT 220.645 46.285 220.835 46.335 ;
+        RECT 219.575 46.095 220.835 46.285 ;
+        RECT 221.560 46.235 221.750 46.335 ;
+        RECT 219.575 45.975 219.910 46.095 ;
+        RECT 221.015 45.805 221.345 46.165 ;
+        RECT 221.920 45.805 222.250 46.165 ;
+        RECT 222.420 45.975 222.610 46.335 ;
+        RECT 222.780 45.805 223.110 46.165 ;
+        RECT 223.335 45.805 228.680 46.575 ;
+        RECT 228.855 45.805 230.525 46.575 ;
+        RECT 230.695 46.475 230.980 47.045 ;
+        RECT 231.150 46.545 231.320 47.215 ;
+        RECT 231.490 47.340 231.840 48.095 ;
+        RECT 232.010 47.505 232.330 48.095 ;
+        RECT 232.615 47.515 232.865 48.355 ;
+        RECT 231.490 46.715 231.660 47.340 ;
+        RECT 232.010 47.170 232.220 47.505 ;
+        RECT 233.090 47.345 233.340 48.185 ;
+        RECT 233.510 47.515 233.760 48.355 ;
+        RECT 233.930 47.345 234.180 48.185 ;
+        RECT 234.350 47.515 234.600 48.355 ;
+        RECT 231.890 46.715 232.220 47.170 ;
+        RECT 232.450 47.165 232.885 47.335 ;
+        RECT 233.090 47.175 234.665 47.345 ;
+        RECT 234.835 47.265 238.345 48.355 ;
+        RECT 232.450 46.715 232.620 47.165 ;
+        RECT 232.790 46.795 234.250 46.965 ;
+        RECT 232.790 46.545 232.960 46.795 ;
+        RECT 234.420 46.625 234.665 47.175 ;
+        RECT 231.150 46.375 232.960 46.545 ;
+        RECT 233.130 46.445 234.665 46.625 ;
+        RECT 234.835 46.575 236.485 47.095 ;
+        RECT 236.655 46.745 238.345 47.265 ;
+        RECT 238.975 47.190 239.265 48.355 ;
+        RECT 239.485 47.675 239.735 48.185 ;
+        RECT 239.905 47.845 240.155 48.355 ;
+        RECT 240.325 47.675 240.575 48.185 ;
+        RECT 240.745 47.845 240.995 48.355 ;
+        RECT 241.165 48.015 242.255 48.185 ;
+        RECT 241.165 47.675 241.415 48.015 ;
+        RECT 242.005 47.855 242.255 48.015 ;
+        RECT 242.525 47.855 242.775 48.355 ;
+        RECT 242.945 48.015 244.035 48.185 ;
+        RECT 242.945 47.855 243.195 48.015 ;
+        RECT 239.485 47.505 241.415 47.675 ;
+        RECT 239.435 47.165 241.035 47.335 ;
+        RECT 241.205 47.175 241.415 47.505 ;
+        RECT 241.585 47.685 241.835 47.845 ;
+        RECT 243.365 47.685 243.615 47.845 ;
+        RECT 239.435 46.795 239.925 47.165 ;
+        RECT 240.155 46.795 240.695 46.995 ;
+        RECT 240.865 46.965 241.035 47.165 ;
+        RECT 241.585 47.135 241.970 47.685 ;
+        RECT 242.485 47.505 243.615 47.685 ;
+        RECT 243.785 47.505 244.035 48.015 ;
+        RECT 244.205 47.515 244.455 48.355 ;
+        RECT 244.625 47.675 244.875 48.185 ;
+        RECT 245.045 47.885 245.295 48.355 ;
+        RECT 245.465 47.675 245.715 48.185 ;
+        RECT 244.625 47.505 245.715 47.675 ;
+        RECT 245.885 47.545 246.135 48.355 ;
+        RECT 242.485 47.385 242.655 47.505 ;
+        RECT 242.305 47.215 242.655 47.385 ;
+        RECT 245.465 47.375 245.715 47.505 ;
+        RECT 240.865 46.795 241.245 46.965 ;
+        RECT 241.585 46.625 241.795 47.135 ;
+        RECT 242.305 46.965 242.495 47.215 ;
+        RECT 242.825 47.165 244.315 47.335 ;
+        RECT 242.825 47.045 242.995 47.165 ;
+        RECT 241.965 46.795 242.495 46.965 ;
+        RECT 242.665 46.795 242.995 47.045 ;
+        RECT 243.165 46.795 243.785 46.995 ;
+        RECT 243.955 46.795 244.315 47.165 ;
+        RECT 244.485 46.965 244.810 47.335 ;
+        RECT 245.465 47.135 246.270 47.375 ;
+        RECT 246.795 47.265 250.305 48.355 ;
+        RECT 244.485 46.795 245.790 46.965 ;
+        RECT 242.305 46.625 242.495 46.795 ;
+        RECT 245.960 46.625 246.270 47.135 ;
+        RECT 230.730 45.805 230.980 46.305 ;
+        RECT 231.310 46.025 231.480 46.375 ;
+        RECT 231.680 45.805 232.010 46.205 ;
+        RECT 232.180 46.025 232.350 46.375 ;
+        RECT 232.570 45.805 232.950 46.205 ;
+        RECT 233.130 45.975 233.380 46.445 ;
+        RECT 233.550 45.805 233.720 46.275 ;
+        RECT 233.890 45.975 234.220 46.445 ;
+        RECT 234.390 45.805 234.560 46.275 ;
+        RECT 234.835 45.805 238.345 46.575 ;
+        RECT 238.975 45.805 239.265 46.530 ;
+        RECT 239.525 45.805 239.695 46.615 ;
+        RECT 239.865 46.195 240.115 46.625 ;
+        RECT 240.285 46.455 241.875 46.625 ;
+        RECT 240.285 46.365 240.620 46.455 ;
+        RECT 239.865 45.975 241.035 46.195 ;
+        RECT 241.205 45.805 241.375 46.275 ;
+        RECT 241.545 45.975 241.875 46.455 ;
+        RECT 242.305 46.445 244.075 46.625 ;
+        RECT 242.045 45.805 242.735 46.275 ;
+        RECT 242.905 45.975 243.235 46.445 ;
+        RECT 243.405 45.805 243.575 46.275 ;
+        RECT 243.745 45.975 244.075 46.445 ;
+        RECT 244.245 45.805 244.415 46.615 ;
+        RECT 244.585 46.445 246.270 46.625 ;
+        RECT 246.795 46.575 248.445 47.095 ;
+        RECT 248.615 46.745 250.305 47.265 ;
+        RECT 250.505 47.385 250.840 48.170 ;
+        RECT 251.270 47.675 251.620 48.095 ;
+        RECT 251.225 47.505 251.620 47.675 ;
+        RECT 250.505 47.215 251.100 47.385 ;
+        RECT 244.585 45.995 244.915 46.445 ;
+        RECT 245.085 45.805 245.255 46.275 ;
+        RECT 245.425 45.995 245.755 46.445 ;
+        RECT 245.925 45.805 246.095 46.275 ;
+        RECT 246.795 45.805 250.305 46.575 ;
+        RECT 250.475 46.475 250.760 47.045 ;
+        RECT 250.930 46.545 251.100 47.215 ;
+        RECT 251.270 47.340 251.620 47.505 ;
+        RECT 251.790 47.505 252.110 48.095 ;
+        RECT 252.395 47.515 252.645 48.355 ;
+        RECT 252.870 48.015 253.120 48.185 ;
+        RECT 252.835 47.845 253.120 48.015 ;
+        RECT 251.270 46.715 251.440 47.340 ;
+        RECT 251.790 47.170 252.000 47.505 ;
+        RECT 252.870 47.345 253.120 47.845 ;
+        RECT 253.290 47.515 253.540 48.355 ;
+        RECT 253.710 47.345 253.960 48.185 ;
+        RECT 254.130 47.515 254.380 48.355 ;
+        RECT 251.670 46.715 252.000 47.170 ;
+        RECT 252.230 47.165 252.665 47.335 ;
+        RECT 252.870 47.175 254.445 47.345 ;
+        RECT 254.615 47.265 258.125 48.355 ;
+        RECT 252.230 46.715 252.400 47.165 ;
+        RECT 252.570 46.795 254.030 46.965 ;
+        RECT 252.570 46.545 252.740 46.795 ;
+        RECT 254.200 46.625 254.445 47.175 ;
+        RECT 250.930 46.375 252.740 46.545 ;
+        RECT 252.910 46.445 254.445 46.625 ;
+        RECT 254.615 46.575 256.265 47.095 ;
+        RECT 256.435 46.745 258.125 47.265 ;
+        RECT 258.365 47.385 258.725 48.185 ;
+        RECT 259.270 47.555 259.440 48.355 ;
+        RECT 259.650 47.725 259.980 48.185 ;
+        RECT 260.150 47.895 260.320 48.355 ;
+        RECT 260.490 47.725 260.820 48.185 ;
+        RECT 259.650 47.555 260.820 47.725 ;
+        RECT 260.990 47.555 261.160 48.355 ;
+        RECT 260.490 47.385 260.820 47.555 ;
+        RECT 258.365 47.215 259.825 47.385 ;
+        RECT 260.490 47.215 261.345 47.385 ;
+        RECT 261.515 47.265 266.860 48.355 ;
+        RECT 250.510 45.805 250.760 46.305 ;
+        RECT 251.090 46.025 251.260 46.375 ;
+        RECT 251.460 45.805 251.790 46.205 ;
+        RECT 251.960 46.025 252.130 46.375 ;
+        RECT 252.350 45.805 252.730 46.205 ;
+        RECT 252.910 45.975 253.160 46.445 ;
+        RECT 253.330 45.805 253.500 46.275 ;
+        RECT 253.670 45.975 254.000 46.445 ;
+        RECT 254.170 45.805 254.340 46.275 ;
+        RECT 254.615 45.805 258.125 46.575 ;
+        RECT 258.300 46.485 258.555 47.045 ;
+        RECT 258.725 46.545 258.905 47.215 ;
+        RECT 259.075 46.715 259.450 47.045 ;
+        RECT 259.620 46.965 259.825 47.215 ;
+        RECT 259.620 46.795 260.830 46.965 ;
+        RECT 261.000 46.625 261.345 47.215 ;
+        RECT 258.315 45.805 258.555 46.315 ;
+        RECT 258.725 46.010 259.055 46.545 ;
+        RECT 259.270 45.805 259.440 46.545 ;
+        RECT 259.650 46.455 261.345 46.625 ;
+        RECT 261.515 46.575 264.095 47.095 ;
+        RECT 264.265 46.745 266.860 47.265 ;
+        RECT 267.035 47.190 267.325 48.355 ;
+        RECT 267.585 47.425 267.755 48.185 ;
+        RECT 267.970 47.595 268.300 48.355 ;
+        RECT 267.585 47.255 268.300 47.425 ;
+        RECT 268.470 47.280 268.725 48.185 ;
+        RECT 267.495 46.705 267.850 47.075 ;
+        RECT 268.130 47.045 268.300 47.255 ;
+        RECT 268.130 46.715 268.385 47.045 ;
+        RECT 259.650 45.985 259.980 46.455 ;
+        RECT 260.150 45.805 260.320 46.285 ;
+        RECT 260.490 45.985 260.820 46.455 ;
+        RECT 260.990 45.805 261.160 46.285 ;
+        RECT 261.515 45.805 266.860 46.575 ;
+        RECT 267.035 45.805 267.325 46.530 ;
+        RECT 268.130 46.525 268.300 46.715 ;
+        RECT 268.555 46.550 268.725 47.280 ;
+        RECT 268.900 47.205 269.160 48.355 ;
+        RECT 269.335 47.265 272.845 48.355 ;
+        RECT 267.585 46.355 268.300 46.525 ;
+        RECT 267.585 45.975 267.755 46.355 ;
+        RECT 267.970 45.805 268.300 46.185 ;
+        RECT 268.470 45.975 268.725 46.550 ;
+        RECT 268.900 45.805 269.160 46.645 ;
+        RECT 269.335 46.575 270.985 47.095 ;
+        RECT 271.155 46.745 272.845 47.265 ;
+        RECT 273.020 47.385 273.295 48.185 ;
+        RECT 273.465 47.555 273.795 48.355 ;
+        RECT 273.965 47.385 274.135 48.185 ;
+        RECT 274.305 47.555 274.555 48.355 ;
+        RECT 274.725 48.015 276.820 48.185 ;
+        RECT 274.725 47.385 275.055 48.015 ;
+        RECT 273.020 47.175 275.055 47.385 ;
+        RECT 275.225 47.465 275.395 47.845 ;
+        RECT 275.565 47.655 275.895 48.015 ;
+        RECT 276.065 47.465 276.235 47.845 ;
+        RECT 276.405 47.635 276.820 48.015 ;
+        RECT 275.225 47.165 276.985 47.465 ;
+        RECT 277.155 47.265 282.500 48.355 ;
+        RECT 282.675 47.265 283.885 48.355 ;
+        RECT 273.070 46.795 274.730 46.995 ;
+        RECT 275.050 46.795 276.415 46.995 ;
+        RECT 276.585 46.625 276.985 47.165 ;
+        RECT 269.335 45.805 272.845 46.575 ;
+        RECT 273.020 45.805 273.295 46.625 ;
+        RECT 273.465 46.445 276.985 46.625 ;
+        RECT 277.155 46.575 279.735 47.095 ;
+        RECT 279.905 46.745 282.500 47.265 ;
+        RECT 273.465 45.975 273.795 46.445 ;
+        RECT 273.965 45.805 274.135 46.275 ;
+        RECT 274.305 45.975 274.635 46.445 ;
+        RECT 274.805 45.805 274.975 46.275 ;
+        RECT 275.145 45.975 275.475 46.445 ;
+        RECT 275.645 45.805 275.815 46.275 ;
+        RECT 275.985 45.975 276.315 46.445 ;
+        RECT 276.485 45.805 276.770 46.275 ;
+        RECT 277.155 45.805 282.500 46.575 ;
+        RECT 282.675 46.555 283.195 47.095 ;
+        RECT 283.365 46.725 283.885 47.265 ;
+        RECT 282.675 45.805 283.885 46.555 ;
+        RECT 284.055 45.975 284.805 48.185 ;
+        RECT 285.110 47.545 285.360 48.355 ;
+        RECT 285.530 47.335 285.780 48.185 ;
+        RECT 285.950 47.515 286.200 48.355 ;
+        RECT 286.370 47.335 286.620 48.185 ;
+        RECT 286.790 47.845 287.560 48.355 ;
+        RECT 287.730 48.015 288.820 48.185 ;
+        RECT 287.730 47.845 287.980 48.015 ;
+        RECT 288.570 47.845 288.820 48.015 ;
+        RECT 288.990 47.845 289.320 48.355 ;
+        RECT 289.490 48.015 290.580 48.185 ;
+        RECT 289.490 47.845 289.740 48.015 ;
+        RECT 288.150 47.675 288.400 47.845 ;
+        RECT 289.910 47.675 290.160 47.845 ;
+        RECT 284.975 47.165 286.620 47.335 ;
+        RECT 286.790 47.505 290.160 47.675 ;
+        RECT 290.330 47.505 290.580 48.015 ;
+        RECT 284.975 46.625 285.260 47.165 ;
+        RECT 286.790 46.995 287.120 47.505 ;
+        RECT 285.430 46.795 287.120 46.995 ;
+        RECT 287.310 47.165 289.070 47.335 ;
+        RECT 287.310 46.795 287.845 47.165 ;
+        RECT 288.015 46.795 288.570 46.995 ;
+        RECT 288.740 46.795 289.070 47.165 ;
+        RECT 289.240 47.165 290.625 47.335 ;
+        RECT 290.795 47.175 291.000 48.355 ;
+        RECT 291.415 47.265 294.925 48.355 ;
+        RECT 289.240 46.795 289.570 47.165 ;
+        RECT 290.455 46.995 290.625 47.165 ;
+        RECT 289.790 46.795 290.285 46.995 ;
+        RECT 290.455 46.795 291.245 46.995 ;
+        RECT 286.830 46.625 287.120 46.795 ;
+        RECT 284.975 46.445 286.660 46.625 ;
+        RECT 286.830 46.455 288.860 46.625 ;
+        RECT 285.150 45.805 285.320 46.275 ;
+        RECT 285.490 45.985 285.820 46.445 ;
+        RECT 285.990 45.805 286.160 46.275 ;
+        RECT 286.330 45.975 286.660 46.445 ;
+        RECT 287.305 46.365 288.860 46.455 ;
+        RECT 289.030 46.455 291.040 46.625 ;
+        RECT 286.830 45.805 287.000 46.275 ;
+        RECT 289.030 46.195 289.360 46.455 ;
+        RECT 289.870 46.445 291.040 46.455 ;
+        RECT 287.270 45.975 289.360 46.195 ;
+        RECT 289.530 45.805 289.700 46.275 ;
+        RECT 289.870 45.975 290.200 46.445 ;
+        RECT 290.370 45.805 290.540 46.275 ;
+        RECT 290.710 45.975 291.040 46.445 ;
+        RECT 291.415 46.575 293.065 47.095 ;
+        RECT 293.235 46.745 294.925 47.265 ;
+        RECT 295.095 47.190 295.385 48.355 ;
+        RECT 295.560 47.385 295.835 48.185 ;
+        RECT 296.005 47.555 296.335 48.355 ;
+        RECT 296.505 47.385 296.675 48.185 ;
+        RECT 296.845 47.555 297.095 48.355 ;
+        RECT 297.265 48.015 299.360 48.185 ;
+        RECT 297.265 47.385 297.595 48.015 ;
+        RECT 295.560 47.175 297.595 47.385 ;
+        RECT 297.765 47.465 297.935 47.845 ;
+        RECT 298.105 47.655 298.435 48.015 ;
+        RECT 298.605 47.465 298.775 47.845 ;
+        RECT 298.945 47.635 299.360 48.015 ;
+        RECT 297.765 47.165 299.525 47.465 ;
+        RECT 299.695 47.265 303.205 48.355 ;
+        RECT 297.590 46.795 298.955 46.995 ;
+        RECT 299.125 46.625 299.525 47.165 ;
+        RECT 291.415 45.805 294.925 46.575 ;
+        RECT 295.095 45.805 295.385 46.530 ;
+        RECT 295.560 45.805 295.835 46.625 ;
+        RECT 296.005 46.445 299.525 46.625 ;
+        RECT 299.695 46.575 301.345 47.095 ;
+        RECT 301.515 46.745 303.205 47.265 ;
+        RECT 303.380 47.385 303.655 48.185 ;
+        RECT 303.825 47.555 304.155 48.355 ;
+        RECT 304.325 47.385 304.495 48.185 ;
+        RECT 304.665 47.555 304.915 48.355 ;
+        RECT 305.085 48.015 307.180 48.185 ;
+        RECT 305.085 47.385 305.415 48.015 ;
+        RECT 303.380 47.175 305.415 47.385 ;
+        RECT 305.585 47.465 305.755 47.845 ;
+        RECT 305.925 47.655 306.255 48.015 ;
+        RECT 306.425 47.465 306.595 47.845 ;
+        RECT 306.765 47.635 307.180 48.015 ;
+        RECT 305.585 47.165 307.345 47.465 ;
+        RECT 307.515 47.265 311.025 48.355 ;
+        RECT 303.430 46.795 305.090 46.995 ;
+        RECT 305.410 46.795 306.775 46.995 ;
+        RECT 306.945 46.625 307.345 47.165 ;
+        RECT 296.005 45.975 296.335 46.445 ;
+        RECT 296.505 45.805 296.675 46.275 ;
+        RECT 296.845 45.975 297.175 46.445 ;
+        RECT 297.345 45.805 297.515 46.275 ;
+        RECT 297.685 45.975 298.015 46.445 ;
+        RECT 298.185 45.805 298.355 46.275 ;
+        RECT 298.525 45.975 298.855 46.445 ;
+        RECT 299.025 45.805 299.310 46.275 ;
+        RECT 299.695 45.805 303.205 46.575 ;
+        RECT 303.380 45.805 303.655 46.625 ;
+        RECT 303.825 46.445 307.345 46.625 ;
+        RECT 307.515 46.575 309.165 47.095 ;
+        RECT 309.335 46.745 311.025 47.265 ;
+        RECT 311.200 47.385 311.475 48.185 ;
+        RECT 311.645 47.555 311.975 48.355 ;
+        RECT 312.145 47.385 312.315 48.185 ;
+        RECT 312.485 47.555 312.735 48.355 ;
+        RECT 312.905 48.015 315.000 48.185 ;
+        RECT 312.905 47.385 313.235 48.015 ;
+        RECT 311.200 47.175 313.235 47.385 ;
+        RECT 313.405 47.465 313.575 47.845 ;
+        RECT 313.745 47.655 314.075 48.015 ;
+        RECT 314.245 47.465 314.415 47.845 ;
+        RECT 314.585 47.635 315.000 48.015 ;
+        RECT 313.405 47.165 315.165 47.465 ;
+        RECT 315.335 47.265 320.680 48.355 ;
+        RECT 320.855 47.265 322.525 48.355 ;
+        RECT 311.250 46.795 312.910 46.995 ;
+        RECT 313.230 46.795 314.595 46.995 ;
+        RECT 314.765 46.625 315.165 47.165 ;
+        RECT 303.825 45.975 304.155 46.445 ;
+        RECT 304.325 45.805 304.495 46.275 ;
+        RECT 304.665 45.975 304.995 46.445 ;
+        RECT 305.165 45.805 305.335 46.275 ;
+        RECT 305.505 45.975 305.835 46.445 ;
+        RECT 306.005 45.805 306.175 46.275 ;
+        RECT 306.345 45.975 306.675 46.445 ;
+        RECT 306.845 45.805 307.130 46.275 ;
+        RECT 307.515 45.805 311.025 46.575 ;
+        RECT 311.200 45.805 311.475 46.625 ;
+        RECT 311.645 46.445 315.165 46.625 ;
+        RECT 315.335 46.575 317.915 47.095 ;
+        RECT 318.085 46.745 320.680 47.265 ;
+        RECT 320.855 46.575 321.605 47.095 ;
+        RECT 321.775 46.745 322.525 47.265 ;
+        RECT 323.155 47.190 323.445 48.355 ;
+        RECT 323.620 47.385 323.895 48.185 ;
+        RECT 324.065 47.555 324.395 48.355 ;
+        RECT 324.565 47.385 324.735 48.185 ;
+        RECT 324.905 47.555 325.155 48.355 ;
+        RECT 325.325 48.015 327.420 48.185 ;
+        RECT 325.325 47.385 325.655 48.015 ;
+        RECT 323.620 47.175 325.655 47.385 ;
+        RECT 325.825 47.465 325.995 47.845 ;
+        RECT 326.165 47.655 326.495 48.015 ;
+        RECT 326.665 47.465 326.835 47.845 ;
+        RECT 327.005 47.635 327.420 48.015 ;
+        RECT 325.825 47.165 327.585 47.465 ;
+        RECT 327.755 47.265 331.265 48.355 ;
+        RECT 323.670 46.795 325.330 46.995 ;
+        RECT 325.650 46.795 327.015 46.995 ;
+        RECT 327.185 46.625 327.585 47.165 ;
+        RECT 311.645 45.975 311.975 46.445 ;
+        RECT 312.145 45.805 312.315 46.275 ;
+        RECT 312.485 45.975 312.815 46.445 ;
+        RECT 312.985 45.805 313.155 46.275 ;
+        RECT 313.325 45.975 313.655 46.445 ;
+        RECT 313.825 45.805 313.995 46.275 ;
+        RECT 314.165 45.975 314.495 46.445 ;
+        RECT 314.665 45.805 314.950 46.275 ;
+        RECT 315.335 45.805 320.680 46.575 ;
+        RECT 320.855 45.805 322.525 46.575 ;
+        RECT 323.155 45.805 323.445 46.530 ;
+        RECT 323.620 45.805 323.895 46.625 ;
+        RECT 324.065 46.445 327.585 46.625 ;
+        RECT 327.755 46.575 329.405 47.095 ;
+        RECT 329.575 46.745 331.265 47.265 ;
+        RECT 331.440 47.385 331.715 48.185 ;
+        RECT 331.885 47.555 332.215 48.355 ;
+        RECT 332.385 47.385 332.555 48.185 ;
+        RECT 332.725 47.555 332.975 48.355 ;
+        RECT 333.145 48.015 335.240 48.185 ;
+        RECT 333.145 47.385 333.475 48.015 ;
+        RECT 331.440 47.175 333.475 47.385 ;
+        RECT 333.645 47.465 333.815 47.845 ;
+        RECT 333.985 47.655 334.315 48.015 ;
+        RECT 334.485 47.465 334.655 47.845 ;
+        RECT 334.825 47.635 335.240 48.015 ;
+        RECT 333.645 47.165 335.405 47.465 ;
+        RECT 335.575 47.265 339.085 48.355 ;
+        RECT 331.490 46.795 333.150 46.995 ;
+        RECT 333.470 46.795 334.835 46.995 ;
+        RECT 335.005 46.625 335.405 47.165 ;
+        RECT 324.065 45.975 324.395 46.445 ;
+        RECT 324.565 45.805 324.735 46.275 ;
+        RECT 324.905 45.975 325.235 46.445 ;
+        RECT 325.405 45.805 325.575 46.275 ;
+        RECT 325.745 45.975 326.075 46.445 ;
+        RECT 326.245 45.805 326.415 46.275 ;
+        RECT 326.585 45.975 326.915 46.445 ;
+        RECT 327.085 45.805 327.370 46.275 ;
+        RECT 327.755 45.805 331.265 46.575 ;
+        RECT 331.440 45.805 331.715 46.625 ;
+        RECT 331.885 46.445 335.405 46.625 ;
+        RECT 335.575 46.575 337.225 47.095 ;
+        RECT 337.395 46.745 339.085 47.265 ;
+        RECT 339.260 47.385 339.535 48.185 ;
+        RECT 339.705 47.555 340.035 48.355 ;
+        RECT 340.205 47.385 340.375 48.185 ;
+        RECT 340.545 47.555 340.795 48.355 ;
+        RECT 340.965 48.015 343.060 48.185 ;
+        RECT 340.965 47.385 341.295 48.015 ;
+        RECT 339.260 47.175 341.295 47.385 ;
+        RECT 341.465 47.465 341.635 47.845 ;
+        RECT 341.805 47.655 342.135 48.015 ;
+        RECT 342.305 47.465 342.475 47.845 ;
+        RECT 342.645 47.635 343.060 48.015 ;
+        RECT 341.465 47.165 343.225 47.465 ;
+        RECT 343.395 47.265 348.740 48.355 ;
+        RECT 348.915 47.265 350.585 48.355 ;
+        RECT 339.310 46.795 340.970 46.995 ;
+        RECT 341.290 46.795 342.655 46.995 ;
+        RECT 342.825 46.625 343.225 47.165 ;
+        RECT 331.885 45.975 332.215 46.445 ;
+        RECT 332.385 45.805 332.555 46.275 ;
+        RECT 332.725 45.975 333.055 46.445 ;
+        RECT 333.225 45.805 333.395 46.275 ;
+        RECT 333.565 45.975 333.895 46.445 ;
+        RECT 334.065 45.805 334.235 46.275 ;
+        RECT 334.405 45.975 334.735 46.445 ;
+        RECT 334.905 45.805 335.190 46.275 ;
+        RECT 335.575 45.805 339.085 46.575 ;
+        RECT 339.260 45.805 339.535 46.625 ;
+        RECT 339.705 46.445 343.225 46.625 ;
+        RECT 343.395 46.575 345.975 47.095 ;
+        RECT 346.145 46.745 348.740 47.265 ;
+        RECT 348.915 46.575 349.665 47.095 ;
+        RECT 349.835 46.745 350.585 47.265 ;
+        RECT 351.215 47.190 351.505 48.355 ;
+        RECT 351.680 47.385 351.955 48.185 ;
+        RECT 352.125 47.555 352.455 48.355 ;
+        RECT 352.625 47.385 352.795 48.185 ;
+        RECT 352.965 47.555 353.215 48.355 ;
+        RECT 353.385 48.015 355.480 48.185 ;
+        RECT 353.385 47.385 353.715 48.015 ;
+        RECT 351.680 47.175 353.715 47.385 ;
+        RECT 353.885 47.465 354.055 47.845 ;
+        RECT 354.225 47.655 354.555 48.015 ;
+        RECT 354.725 47.465 354.895 47.845 ;
+        RECT 355.065 47.635 355.480 48.015 ;
+        RECT 353.885 47.165 355.645 47.465 ;
+        RECT 355.815 47.265 359.325 48.355 ;
+        RECT 351.730 46.795 353.390 46.995 ;
+        RECT 353.710 46.795 355.075 46.995 ;
+        RECT 355.245 46.625 355.645 47.165 ;
+        RECT 339.705 45.975 340.035 46.445 ;
+        RECT 340.205 45.805 340.375 46.275 ;
+        RECT 340.545 45.975 340.875 46.445 ;
+        RECT 341.045 45.805 341.215 46.275 ;
+        RECT 341.385 45.975 341.715 46.445 ;
+        RECT 341.885 45.805 342.055 46.275 ;
+        RECT 342.225 45.975 342.555 46.445 ;
+        RECT 342.725 45.805 343.010 46.275 ;
+        RECT 343.395 45.805 348.740 46.575 ;
+        RECT 348.915 45.805 350.585 46.575 ;
+        RECT 351.215 45.805 351.505 46.530 ;
+        RECT 351.680 45.805 351.955 46.625 ;
+        RECT 352.125 46.445 355.645 46.625 ;
+        RECT 355.815 46.575 357.465 47.095 ;
+        RECT 357.635 46.745 359.325 47.265 ;
+        RECT 359.500 47.385 359.775 48.185 ;
+        RECT 359.945 47.555 360.275 48.355 ;
+        RECT 360.445 47.385 360.615 48.185 ;
+        RECT 360.785 47.555 361.035 48.355 ;
+        RECT 361.205 48.015 363.300 48.185 ;
+        RECT 361.205 47.385 361.535 48.015 ;
+        RECT 359.500 47.175 361.535 47.385 ;
+        RECT 361.705 47.465 361.875 47.845 ;
+        RECT 362.045 47.655 362.375 48.015 ;
+        RECT 362.545 47.465 362.715 47.845 ;
+        RECT 362.885 47.635 363.300 48.015 ;
+        RECT 361.705 47.165 363.465 47.465 ;
+        RECT 363.635 47.265 367.145 48.355 ;
+        RECT 359.550 46.795 361.210 46.995 ;
+        RECT 361.530 46.795 362.895 46.995 ;
+        RECT 363.065 46.625 363.465 47.165 ;
+        RECT 352.125 45.975 352.455 46.445 ;
+        RECT 352.625 45.805 352.795 46.275 ;
+        RECT 352.965 45.975 353.295 46.445 ;
+        RECT 353.465 45.805 353.635 46.275 ;
+        RECT 353.805 45.975 354.135 46.445 ;
+        RECT 354.305 45.805 354.475 46.275 ;
+        RECT 354.645 45.975 354.975 46.445 ;
+        RECT 355.145 45.805 355.430 46.275 ;
+        RECT 355.815 45.805 359.325 46.575 ;
+        RECT 359.500 45.805 359.775 46.625 ;
+        RECT 359.945 46.445 363.465 46.625 ;
+        RECT 363.635 46.575 365.285 47.095 ;
+        RECT 365.455 46.745 367.145 47.265 ;
+        RECT 367.320 47.385 367.595 48.185 ;
+        RECT 367.765 47.555 368.095 48.355 ;
+        RECT 368.265 47.385 368.435 48.185 ;
+        RECT 368.605 47.555 368.855 48.355 ;
+        RECT 369.025 48.015 371.120 48.185 ;
+        RECT 369.025 47.385 369.355 48.015 ;
+        RECT 367.320 47.175 369.355 47.385 ;
+        RECT 369.525 47.465 369.695 47.845 ;
+        RECT 369.865 47.655 370.195 48.015 ;
+        RECT 370.365 47.465 370.535 47.845 ;
+        RECT 370.705 47.635 371.120 48.015 ;
+        RECT 369.525 47.165 371.285 47.465 ;
+        RECT 371.455 47.265 376.800 48.355 ;
+        RECT 376.975 47.265 378.645 48.355 ;
+        RECT 367.370 46.795 369.030 46.995 ;
+        RECT 369.350 46.795 370.715 46.995 ;
+        RECT 370.885 46.625 371.285 47.165 ;
+        RECT 359.945 45.975 360.275 46.445 ;
+        RECT 360.445 45.805 360.615 46.275 ;
+        RECT 360.785 45.975 361.115 46.445 ;
+        RECT 361.285 45.805 361.455 46.275 ;
+        RECT 361.625 45.975 361.955 46.445 ;
+        RECT 362.125 45.805 362.295 46.275 ;
+        RECT 362.465 45.975 362.795 46.445 ;
+        RECT 362.965 45.805 363.250 46.275 ;
+        RECT 363.635 45.805 367.145 46.575 ;
+        RECT 367.320 45.805 367.595 46.625 ;
+        RECT 367.765 46.445 371.285 46.625 ;
+        RECT 371.455 46.575 374.035 47.095 ;
+        RECT 374.205 46.745 376.800 47.265 ;
+        RECT 376.975 46.575 377.725 47.095 ;
+        RECT 377.895 46.745 378.645 47.265 ;
+        RECT 379.275 47.190 379.565 48.355 ;
+        RECT 379.925 47.630 380.255 48.355 ;
+        RECT 367.765 45.975 368.095 46.445 ;
+        RECT 368.265 45.805 368.435 46.275 ;
+        RECT 368.605 45.975 368.935 46.445 ;
+        RECT 369.105 45.805 369.275 46.275 ;
+        RECT 369.445 45.975 369.775 46.445 ;
+        RECT 369.945 45.805 370.115 46.275 ;
+        RECT 370.285 45.975 370.615 46.445 ;
+        RECT 370.785 45.805 371.070 46.275 ;
+        RECT 371.455 45.805 376.800 46.575 ;
+        RECT 376.975 45.805 378.645 46.575 ;
+        RECT 379.275 45.805 379.565 46.530 ;
+        RECT 379.735 45.975 380.255 47.460 ;
+        RECT 381.115 47.265 384.625 48.355 ;
+        RECT 384.985 47.630 385.315 48.355 ;
+        RECT 381.115 46.575 382.765 47.095 ;
+        RECT 382.935 46.745 384.625 47.265 ;
+        RECT 380.425 45.805 380.765 46.465 ;
+        RECT 381.115 45.805 384.625 46.575 ;
+        RECT 384.795 45.975 385.315 47.460 ;
+        RECT 385.485 46.635 386.005 48.185 ;
+        RECT 386.175 47.265 389.685 48.355 ;
+        RECT 390.045 47.630 390.375 48.355 ;
+        RECT 386.175 46.575 387.825 47.095 ;
+        RECT 387.995 46.745 389.685 47.265 ;
+        RECT 385.485 45.805 385.825 46.465 ;
+        RECT 386.175 45.805 389.685 46.575 ;
+        RECT 389.855 45.975 390.375 47.460 ;
+        RECT 390.545 46.635 391.065 48.185 ;
+        RECT 391.235 47.265 394.745 48.355 ;
+        RECT 395.105 47.630 395.435 48.355 ;
+        RECT 391.235 46.575 392.885 47.095 ;
+        RECT 393.055 46.745 394.745 47.265 ;
+        RECT 390.545 45.805 390.885 46.465 ;
+        RECT 391.235 45.805 394.745 46.575 ;
+        RECT 394.915 45.975 395.435 47.460 ;
+        RECT 396.295 47.265 399.805 48.355 ;
+        RECT 400.165 47.630 400.495 48.355 ;
+        RECT 396.295 46.575 397.945 47.095 ;
+        RECT 398.115 46.745 399.805 47.265 ;
+        RECT 395.605 45.805 395.945 46.465 ;
+        RECT 396.295 45.805 399.805 46.575 ;
+        RECT 399.975 45.975 400.495 47.460 ;
+        RECT 400.665 46.635 401.185 48.185 ;
+        RECT 401.355 47.265 406.700 48.355 ;
+        RECT 401.355 46.575 403.935 47.095 ;
+        RECT 404.105 46.745 406.700 47.265 ;
+        RECT 407.335 47.190 407.625 48.355 ;
+        RECT 407.985 47.630 408.315 48.355 ;
+        RECT 400.665 45.805 401.005 46.465 ;
+        RECT 401.355 45.805 406.700 46.575 ;
+        RECT 407.335 45.805 407.625 46.530 ;
+        RECT 407.795 45.975 408.315 47.460 ;
+        RECT 408.485 46.635 409.005 48.185 ;
+        RECT 409.175 47.265 412.685 48.355 ;
+        RECT 413.045 47.630 413.375 48.355 ;
+        RECT 409.175 46.575 410.825 47.095 ;
+        RECT 410.995 46.745 412.685 47.265 ;
+        RECT 408.485 45.805 408.825 46.465 ;
+        RECT 409.175 45.805 412.685 46.575 ;
+        RECT 412.855 45.975 413.375 47.460 ;
+        RECT 413.545 46.635 414.065 48.185 ;
+        RECT 414.235 47.265 417.745 48.355 ;
+        RECT 418.105 47.630 418.435 48.355 ;
+        RECT 414.235 46.575 415.885 47.095 ;
+        RECT 416.055 46.745 417.745 47.265 ;
+        RECT 413.545 45.805 413.885 46.465 ;
+        RECT 414.235 45.805 417.745 46.575 ;
+        RECT 417.915 45.975 418.435 47.460 ;
+        RECT 418.605 46.635 419.125 48.185 ;
+        RECT 419.295 47.265 422.805 48.355 ;
+        RECT 423.165 47.630 423.495 48.355 ;
+        RECT 419.295 46.575 420.945 47.095 ;
+        RECT 421.115 46.745 422.805 47.265 ;
+        RECT 418.605 45.805 418.945 46.465 ;
+        RECT 419.295 45.805 422.805 46.575 ;
+        RECT 422.975 45.975 423.495 47.460 ;
+        RECT 423.665 46.635 424.185 48.185 ;
+        RECT 424.355 47.265 427.865 48.355 ;
+        RECT 428.225 47.630 428.555 48.355 ;
+        RECT 424.355 46.575 426.005 47.095 ;
+        RECT 426.175 46.745 427.865 47.265 ;
+        RECT 423.665 45.805 424.005 46.465 ;
+        RECT 424.355 45.805 427.865 46.575 ;
+        RECT 428.035 45.975 428.555 47.460 ;
+        RECT 428.725 46.635 429.245 48.185 ;
+        RECT 429.415 47.265 434.760 48.355 ;
+        RECT 429.415 46.575 431.995 47.095 ;
+        RECT 432.165 46.745 434.760 47.265 ;
+        RECT 435.395 47.190 435.685 48.355 ;
+        RECT 436.045 47.630 436.375 48.355 ;
+        RECT 428.725 45.805 429.065 46.465 ;
+        RECT 429.415 45.805 434.760 46.575 ;
+        RECT 435.395 45.805 435.685 46.530 ;
+        RECT 435.855 45.975 436.375 47.460 ;
+        RECT 437.235 47.265 440.745 48.355 ;
+        RECT 441.105 47.630 441.435 48.355 ;
+        RECT 437.235 46.575 438.885 47.095 ;
+        RECT 439.055 46.745 440.745 47.265 ;
+        RECT 436.545 45.805 436.885 46.465 ;
+        RECT 437.235 45.805 440.745 46.575 ;
+        RECT 440.915 45.975 441.435 47.460 ;
+        RECT 441.605 46.635 442.125 48.185 ;
+        RECT 442.295 47.265 445.805 48.355 ;
+        RECT 446.165 47.630 446.495 48.355 ;
+        RECT 442.295 46.575 443.945 47.095 ;
+        RECT 444.115 46.745 445.805 47.265 ;
+        RECT 441.605 45.805 441.945 46.465 ;
+        RECT 442.295 45.805 445.805 46.575 ;
+        RECT 445.975 45.975 446.495 47.460 ;
+        RECT 446.665 46.635 447.185 48.185 ;
+        RECT 447.355 47.265 450.865 48.355 ;
+        RECT 451.225 47.630 451.555 48.355 ;
+        RECT 447.355 46.575 449.005 47.095 ;
+        RECT 449.175 46.745 450.865 47.265 ;
+        RECT 446.665 45.805 447.005 46.465 ;
+        RECT 447.355 45.805 450.865 46.575 ;
+        RECT 451.035 45.975 451.555 47.460 ;
+        RECT 451.725 46.635 452.245 48.185 ;
+        RECT 452.415 47.265 455.925 48.355 ;
+        RECT 456.285 47.630 456.615 48.355 ;
+        RECT 452.415 46.575 454.065 47.095 ;
+        RECT 454.235 46.745 455.925 47.265 ;
+        RECT 451.725 45.805 452.065 46.465 ;
+        RECT 452.415 45.805 455.925 46.575 ;
+        RECT 456.095 45.975 456.615 47.460 ;
+        RECT 456.785 46.635 457.305 48.185 ;
+        RECT 457.475 47.265 462.820 48.355 ;
+        RECT 457.475 46.575 460.055 47.095 ;
+        RECT 460.225 46.745 462.820 47.265 ;
+        RECT 463.455 47.190 463.745 48.355 ;
+        RECT 464.105 47.630 464.435 48.355 ;
+        RECT 456.785 45.805 457.125 46.465 ;
+        RECT 457.475 45.805 462.820 46.575 ;
+        RECT 463.455 45.805 463.745 46.530 ;
+        RECT 463.915 45.975 464.435 47.460 ;
+        RECT 465.295 47.265 468.805 48.355 ;
+        RECT 469.165 47.630 469.495 48.355 ;
+        RECT 465.295 46.575 466.945 47.095 ;
+        RECT 467.115 46.745 468.805 47.265 ;
+        RECT 464.605 45.805 464.945 46.465 ;
+        RECT 465.295 45.805 468.805 46.575 ;
+        RECT 468.975 45.975 469.495 47.460 ;
+        RECT 469.665 46.635 470.185 48.185 ;
+        RECT 470.355 47.265 473.865 48.355 ;
+        RECT 474.225 47.630 474.555 48.355 ;
+        RECT 470.355 46.575 472.005 47.095 ;
+        RECT 472.175 46.745 473.865 47.265 ;
+        RECT 469.665 45.805 470.005 46.465 ;
+        RECT 470.355 45.805 473.865 46.575 ;
+        RECT 474.035 45.975 474.555 47.460 ;
+        RECT 474.725 46.635 475.245 48.185 ;
+        RECT 475.415 47.265 478.925 48.355 ;
+        RECT 479.285 47.630 479.615 48.355 ;
+        RECT 475.415 46.575 477.065 47.095 ;
+        RECT 477.235 46.745 478.925 47.265 ;
+        RECT 474.725 45.805 475.065 46.465 ;
+        RECT 475.415 45.805 478.925 46.575 ;
+        RECT 479.095 45.975 479.615 47.460 ;
+        RECT 479.785 46.635 480.305 48.185 ;
+        RECT 480.475 47.265 483.985 48.355 ;
+        RECT 484.345 47.630 484.675 48.355 ;
+        RECT 480.475 46.575 482.125 47.095 ;
+        RECT 482.295 46.745 483.985 47.265 ;
+        RECT 479.785 45.805 480.125 46.465 ;
+        RECT 480.475 45.805 483.985 46.575 ;
+        RECT 484.155 45.975 484.675 47.460 ;
+        RECT 484.845 46.635 485.365 48.185 ;
+        RECT 485.535 47.265 490.880 48.355 ;
+        RECT 485.535 46.575 488.115 47.095 ;
+        RECT 488.285 46.745 490.880 47.265 ;
+        RECT 491.515 47.190 491.805 48.355 ;
+        RECT 492.165 47.630 492.495 48.355 ;
+        RECT 484.845 45.805 485.185 46.465 ;
+        RECT 485.535 45.805 490.880 46.575 ;
+        RECT 491.515 45.805 491.805 46.530 ;
+        RECT 491.975 45.975 492.495 47.460 ;
+        RECT 492.665 46.635 493.185 48.185 ;
+        RECT 493.355 47.265 496.865 48.355 ;
+        RECT 497.225 47.630 497.555 48.355 ;
+        RECT 493.355 46.575 495.005 47.095 ;
+        RECT 495.175 46.745 496.865 47.265 ;
+        RECT 492.665 45.805 493.005 46.465 ;
+        RECT 493.355 45.805 496.865 46.575 ;
+        RECT 497.035 45.975 497.555 47.460 ;
+        RECT 497.725 46.635 498.245 48.185 ;
+        RECT 498.415 47.265 501.925 48.355 ;
+        RECT 502.285 47.630 502.615 48.355 ;
+        RECT 498.415 46.575 500.065 47.095 ;
+        RECT 500.235 46.745 501.925 47.265 ;
+        RECT 497.725 45.805 498.065 46.465 ;
+        RECT 498.415 45.805 501.925 46.575 ;
+        RECT 502.095 45.975 502.615 47.460 ;
+        RECT 502.785 46.635 503.305 48.185 ;
+        RECT 503.475 47.265 506.985 48.355 ;
+        RECT 507.345 47.630 507.675 48.355 ;
+        RECT 503.475 46.575 505.125 47.095 ;
+        RECT 505.295 46.745 506.985 47.265 ;
+        RECT 502.785 45.805 503.125 46.465 ;
+        RECT 503.475 45.805 506.985 46.575 ;
+        RECT 507.155 45.975 507.675 47.460 ;
+        RECT 507.845 46.635 508.365 48.185 ;
+        RECT 508.535 47.265 512.045 48.355 ;
+        RECT 512.405 47.630 512.735 48.355 ;
+        RECT 508.535 46.575 510.185 47.095 ;
+        RECT 510.355 46.745 512.045 47.265 ;
+        RECT 507.845 45.805 508.185 46.465 ;
+        RECT 508.535 45.805 512.045 46.575 ;
+        RECT 512.215 45.975 512.735 47.460 ;
+        RECT 513.595 47.265 518.940 48.355 ;
+        RECT 513.595 46.575 516.175 47.095 ;
+        RECT 516.345 46.745 518.940 47.265 ;
+        RECT 519.575 47.190 519.865 48.355 ;
+        RECT 520.225 47.630 520.555 48.355 ;
+        RECT 512.905 45.805 513.245 46.465 ;
+        RECT 513.595 45.805 518.940 46.575 ;
+        RECT 519.575 45.805 519.865 46.530 ;
+        RECT 520.035 45.975 520.555 47.460 ;
+        RECT 520.725 46.635 521.245 48.185 ;
+        RECT 521.415 47.265 524.925 48.355 ;
+        RECT 525.285 47.630 525.615 48.355 ;
+        RECT 521.415 46.575 523.065 47.095 ;
+        RECT 523.235 46.745 524.925 47.265 ;
+        RECT 520.725 45.805 521.065 46.465 ;
+        RECT 521.415 45.805 524.925 46.575 ;
+        RECT 525.095 45.975 525.615 47.460 ;
+        RECT 525.785 46.635 526.305 48.185 ;
+        RECT 526.475 47.265 529.985 48.355 ;
+        RECT 530.345 47.630 530.675 48.355 ;
+        RECT 526.475 46.575 528.125 47.095 ;
+        RECT 528.295 46.745 529.985 47.265 ;
+        RECT 525.785 45.805 526.125 46.465 ;
+        RECT 526.475 45.805 529.985 46.575 ;
+        RECT 530.155 45.975 530.675 47.460 ;
+        RECT 530.845 46.635 531.365 48.185 ;
+        RECT 531.535 47.265 535.045 48.355 ;
+        RECT 535.405 47.630 535.735 48.355 ;
+        RECT 531.535 46.575 533.185 47.095 ;
+        RECT 533.355 46.745 535.045 47.265 ;
+        RECT 530.845 45.805 531.185 46.465 ;
+        RECT 531.535 45.805 535.045 46.575 ;
+        RECT 535.215 45.975 535.735 47.460 ;
+        RECT 535.905 46.635 536.425 48.185 ;
+        RECT 536.595 47.265 540.105 48.355 ;
+        RECT 540.465 47.630 540.795 48.355 ;
+        RECT 536.595 46.575 538.245 47.095 ;
+        RECT 538.415 46.745 540.105 47.265 ;
+        RECT 535.905 45.805 536.245 46.465 ;
+        RECT 536.595 45.805 540.105 46.575 ;
+        RECT 540.275 45.975 540.795 47.460 ;
+        RECT 540.965 46.635 541.485 48.185 ;
+        RECT 541.655 47.265 547.000 48.355 ;
+        RECT 541.655 46.575 544.235 47.095 ;
+        RECT 544.405 46.745 547.000 47.265 ;
+        RECT 547.635 47.190 547.925 48.355 ;
+        RECT 548.285 47.630 548.615 48.355 ;
+        RECT 540.965 45.805 541.305 46.465 ;
+        RECT 541.655 45.805 547.000 46.575 ;
+        RECT 547.635 45.805 547.925 46.530 ;
+        RECT 548.095 45.975 548.615 47.460 ;
+        RECT 548.785 46.635 549.305 48.185 ;
+        RECT 549.475 47.265 552.985 48.355 ;
+        RECT 553.345 47.630 553.675 48.355 ;
+        RECT 549.475 46.575 551.125 47.095 ;
+        RECT 551.295 46.745 552.985 47.265 ;
+        RECT 548.785 45.805 549.125 46.465 ;
+        RECT 549.475 45.805 552.985 46.575 ;
+        RECT 553.155 45.975 553.675 47.460 ;
+        RECT 553.845 46.635 554.365 48.185 ;
+        RECT 554.535 47.265 558.045 48.355 ;
+        RECT 558.405 47.630 558.735 48.355 ;
+        RECT 554.535 46.575 556.185 47.095 ;
+        RECT 556.355 46.745 558.045 47.265 ;
+        RECT 553.845 45.805 554.185 46.465 ;
+        RECT 554.535 45.805 558.045 46.575 ;
+        RECT 558.215 45.975 558.735 47.460 ;
+        RECT 558.905 46.635 559.425 48.185 ;
+        RECT 559.595 47.265 563.105 48.355 ;
+        RECT 563.465 47.630 563.795 48.355 ;
+        RECT 559.595 46.575 561.245 47.095 ;
+        RECT 561.415 46.745 563.105 47.265 ;
+        RECT 558.905 45.805 559.245 46.465 ;
+        RECT 559.595 45.805 563.105 46.575 ;
+        RECT 563.275 45.975 563.795 47.460 ;
+        RECT 563.965 46.635 564.485 48.185 ;
+        RECT 564.655 47.265 568.165 48.355 ;
+        RECT 568.525 47.630 568.855 48.355 ;
+        RECT 564.655 46.575 566.305 47.095 ;
+        RECT 566.475 46.745 568.165 47.265 ;
+        RECT 563.965 45.805 564.305 46.465 ;
+        RECT 564.655 45.805 568.165 46.575 ;
+        RECT 568.335 45.975 568.855 47.460 ;
+        RECT 569.025 46.635 569.545 48.185 ;
+        RECT 569.715 47.265 575.060 48.355 ;
+        RECT 569.715 46.575 572.295 47.095 ;
+        RECT 572.465 46.745 575.060 47.265 ;
+        RECT 575.695 47.190 575.985 48.355 ;
+        RECT 576.345 47.630 576.675 48.355 ;
+        RECT 569.025 45.805 569.365 46.465 ;
+        RECT 569.715 45.805 575.060 46.575 ;
+        RECT 575.695 45.805 575.985 46.530 ;
+        RECT 576.155 45.975 576.675 47.460 ;
+        RECT 576.845 46.635 577.365 48.185 ;
+        RECT 577.535 47.265 581.045 48.355 ;
+        RECT 581.405 47.630 581.735 48.355 ;
+        RECT 577.535 46.575 579.185 47.095 ;
+        RECT 579.355 46.745 581.045 47.265 ;
+        RECT 576.845 45.805 577.185 46.465 ;
+        RECT 577.535 45.805 581.045 46.575 ;
+        RECT 581.215 45.975 581.735 47.460 ;
+        RECT 581.905 46.635 582.425 48.185 ;
+        RECT 582.595 47.265 586.105 48.355 ;
+        RECT 586.465 47.630 586.795 48.355 ;
+        RECT 582.595 46.575 584.245 47.095 ;
+        RECT 584.415 46.745 586.105 47.265 ;
+        RECT 581.905 45.805 582.245 46.465 ;
+        RECT 582.595 45.805 586.105 46.575 ;
+        RECT 586.275 45.975 586.795 47.460 ;
+        RECT 586.965 46.635 587.485 48.185 ;
+        RECT 587.655 47.265 591.165 48.355 ;
+        RECT 591.525 47.630 591.855 48.355 ;
+        RECT 587.655 46.575 589.305 47.095 ;
+        RECT 589.475 46.745 591.165 47.265 ;
+        RECT 586.965 45.805 587.305 46.465 ;
+        RECT 587.655 45.805 591.165 46.575 ;
+        RECT 591.335 45.975 591.855 47.460 ;
+        RECT 592.025 46.635 592.545 48.185 ;
+        RECT 592.715 47.265 596.225 48.355 ;
+        RECT 596.585 47.630 596.915 48.355 ;
+        RECT 592.715 46.575 594.365 47.095 ;
+        RECT 594.535 46.745 596.225 47.265 ;
+        RECT 592.025 45.805 592.365 46.465 ;
+        RECT 592.715 45.805 596.225 46.575 ;
+        RECT 596.395 45.975 596.915 47.460 ;
+        RECT 597.085 46.635 597.605 48.185 ;
+        RECT 597.775 47.265 603.120 48.355 ;
+        RECT 597.775 46.575 600.355 47.095 ;
+        RECT 600.525 46.745 603.120 47.265 ;
+        RECT 603.755 47.190 604.045 48.355 ;
+        RECT 604.405 47.630 604.735 48.355 ;
+        RECT 597.085 45.805 597.425 46.465 ;
+        RECT 597.775 45.805 603.120 46.575 ;
+        RECT 603.755 45.805 604.045 46.530 ;
+        RECT 604.215 45.975 604.735 47.460 ;
+        RECT 604.905 46.635 605.425 48.185 ;
+        RECT 605.595 47.265 609.105 48.355 ;
+        RECT 609.465 47.630 609.795 48.355 ;
+        RECT 605.595 46.575 607.245 47.095 ;
+        RECT 607.415 46.745 609.105 47.265 ;
+        RECT 604.905 45.805 605.245 46.465 ;
+        RECT 605.595 45.805 609.105 46.575 ;
+        RECT 609.275 45.975 609.795 47.460 ;
+        RECT 609.965 46.635 610.485 48.185 ;
+        RECT 610.655 47.265 614.165 48.355 ;
+        RECT 614.525 47.630 614.855 48.355 ;
+        RECT 610.655 46.575 612.305 47.095 ;
+        RECT 612.475 46.745 614.165 47.265 ;
+        RECT 609.965 45.805 610.305 46.465 ;
+        RECT 610.655 45.805 614.165 46.575 ;
+        RECT 614.335 45.975 614.855 47.460 ;
+        RECT 615.025 46.635 615.545 48.185 ;
+        RECT 615.715 47.265 619.225 48.355 ;
+        RECT 619.585 47.630 619.915 48.355 ;
+        RECT 615.715 46.575 617.365 47.095 ;
+        RECT 617.535 46.745 619.225 47.265 ;
+        RECT 615.025 45.805 615.365 46.465 ;
+        RECT 615.715 45.805 619.225 46.575 ;
+        RECT 619.395 45.975 619.915 47.460 ;
+        RECT 620.085 46.635 620.605 48.185 ;
+        RECT 620.775 47.265 624.285 48.355 ;
+        RECT 624.645 47.630 624.975 48.355 ;
+        RECT 620.775 46.575 622.425 47.095 ;
+        RECT 622.595 46.745 624.285 47.265 ;
+        RECT 620.085 45.805 620.425 46.465 ;
+        RECT 620.775 45.805 624.285 46.575 ;
+        RECT 624.455 45.975 624.975 47.460 ;
+        RECT 625.145 46.635 625.665 48.185 ;
+        RECT 625.835 47.265 629.345 48.355 ;
+        RECT 625.835 46.575 627.485 47.095 ;
+        RECT 627.655 46.745 629.345 47.265 ;
+        RECT 629.975 47.265 631.185 48.355 ;
+        RECT 629.975 46.725 630.495 47.265 ;
+        RECT 625.145 45.805 625.485 46.465 ;
+        RECT 625.835 45.805 629.345 46.575 ;
+        RECT 630.665 46.555 631.185 47.095 ;
+        RECT 629.975 45.805 631.185 46.555 ;
+        RECT 42.470 45.635 631.270 45.805 ;
+        RECT 42.555 44.885 43.765 45.635 ;
+        RECT 42.555 44.345 43.075 44.885 ;
+        RECT 43.935 44.865 49.280 45.635 ;
+        RECT 49.455 44.865 54.800 45.635 ;
+        RECT 54.975 44.865 56.645 45.635 ;
+        RECT 56.815 44.910 57.105 45.635 ;
+        RECT 57.275 44.865 62.620 45.635 ;
+        RECT 62.795 44.865 68.140 45.635 ;
+        RECT 68.315 44.865 70.905 45.635 ;
+        RECT 71.075 44.910 71.365 45.635 ;
+        RECT 71.535 44.865 76.880 45.635 ;
+        RECT 77.055 44.885 78.265 45.635 ;
+        RECT 78.455 45.125 78.695 45.635 ;
+        RECT 43.245 44.175 43.765 44.715 ;
+        RECT 43.935 44.345 46.515 44.865 ;
+        RECT 46.685 44.175 49.280 44.695 ;
+        RECT 49.455 44.345 52.035 44.865 ;
+        RECT 52.205 44.175 54.800 44.695 ;
+        RECT 54.975 44.345 55.725 44.865 ;
+        RECT 55.895 44.175 56.645 44.695 ;
+        RECT 57.275 44.345 59.855 44.865 ;
+        RECT 42.555 43.085 43.765 44.175 ;
+        RECT 43.935 43.085 49.280 44.175 ;
+        RECT 49.455 43.085 54.800 44.175 ;
+        RECT 54.975 43.085 56.645 44.175 ;
+        RECT 56.815 43.085 57.105 44.250 ;
+        RECT 60.025 44.175 62.620 44.695 ;
+        RECT 62.795 44.345 65.375 44.865 ;
+        RECT 65.545 44.175 68.140 44.695 ;
+        RECT 68.315 44.345 69.525 44.865 ;
+        RECT 69.695 44.175 70.905 44.695 ;
+        RECT 71.535 44.345 74.115 44.865 ;
+        RECT 57.275 43.085 62.620 44.175 ;
+        RECT 62.795 43.085 68.140 44.175 ;
+        RECT 68.315 43.085 70.905 44.175 ;
+        RECT 71.075 43.085 71.365 44.250 ;
+        RECT 74.285 44.175 76.880 44.695 ;
+        RECT 77.055 44.345 77.575 44.885 ;
+        RECT 77.745 44.175 78.265 44.715 ;
+        RECT 78.440 44.395 78.695 44.955 ;
+        RECT 78.865 44.895 79.195 45.430 ;
+        RECT 79.410 44.895 79.580 45.635 ;
+        RECT 79.790 44.985 80.120 45.455 ;
+        RECT 80.290 45.155 80.460 45.635 ;
+        RECT 80.630 44.985 80.960 45.455 ;
+        RECT 81.130 45.155 81.300 45.635 ;
+        RECT 78.865 44.225 79.045 44.895 ;
+        RECT 79.790 44.815 81.485 44.985 ;
+        RECT 79.215 44.395 79.590 44.725 ;
+        RECT 79.760 44.475 80.970 44.645 ;
+        RECT 79.760 44.225 79.965 44.475 ;
+        RECT 81.140 44.225 81.485 44.815 ;
+        RECT 81.655 44.865 85.165 45.635 ;
+        RECT 85.335 44.910 85.625 45.635 ;
+        RECT 81.655 44.345 83.305 44.865 ;
+        RECT 86.755 44.815 86.985 45.635 ;
+        RECT 87.155 44.835 87.485 45.465 ;
+        RECT 71.535 43.085 76.880 44.175 ;
+        RECT 77.055 43.085 78.265 44.175 ;
+        RECT 78.505 44.055 79.965 44.225 ;
+        RECT 80.630 44.055 81.485 44.225 ;
+        RECT 83.475 44.175 85.165 44.695 ;
+        RECT 86.735 44.395 87.065 44.645 ;
+        RECT 78.505 43.255 78.865 44.055 ;
+        RECT 80.630 43.885 80.960 44.055 ;
+        RECT 79.410 43.085 79.580 43.885 ;
+        RECT 79.790 43.715 80.960 43.885 ;
+        RECT 79.790 43.255 80.120 43.715 ;
+        RECT 80.290 43.085 80.460 43.545 ;
+        RECT 80.630 43.255 80.960 43.715 ;
+        RECT 81.130 43.085 81.300 43.885 ;
+        RECT 81.655 43.085 85.165 44.175 ;
+        RECT 85.335 43.085 85.625 44.250 ;
+        RECT 87.235 44.235 87.485 44.835 ;
+        RECT 87.655 44.815 87.865 45.635 ;
+        RECT 88.095 44.865 91.605 45.635 ;
+        RECT 88.095 44.345 89.745 44.865 ;
+        RECT 91.780 44.815 92.055 45.635 ;
+        RECT 92.225 44.995 92.555 45.465 ;
+        RECT 92.725 45.165 92.895 45.635 ;
+        RECT 93.065 44.995 93.395 45.465 ;
+        RECT 93.565 45.165 93.735 45.635 ;
+        RECT 93.905 44.995 94.235 45.465 ;
+        RECT 94.405 45.165 94.575 45.635 ;
+        RECT 94.745 44.995 95.075 45.465 ;
+        RECT 95.245 45.165 95.530 45.635 ;
+        RECT 92.225 44.815 95.745 44.995 ;
+        RECT 86.755 43.085 86.985 44.225 ;
+        RECT 87.155 43.255 87.485 44.235 ;
+        RECT 87.655 43.085 87.865 44.225 ;
+        RECT 89.915 44.175 91.605 44.695 ;
+        RECT 93.810 44.445 95.175 44.645 ;
+        RECT 95.345 44.275 95.745 44.815 ;
+        RECT 95.915 44.865 99.425 45.635 ;
+        RECT 99.595 44.910 99.885 45.635 ;
+        RECT 100.605 45.085 100.775 45.465 ;
+        RECT 100.990 45.255 101.320 45.635 ;
+        RECT 100.605 44.915 101.320 45.085 ;
+        RECT 95.915 44.345 97.565 44.865 ;
+        RECT 88.095 43.085 91.605 44.175 ;
+        RECT 91.780 44.055 93.815 44.265 ;
+        RECT 91.780 43.255 92.055 44.055 ;
+        RECT 92.225 43.085 92.555 43.885 ;
+        RECT 92.725 43.255 92.895 44.055 ;
+        RECT 93.065 43.085 93.315 43.885 ;
+        RECT 93.485 43.425 93.815 44.055 ;
+        RECT 93.985 43.975 95.745 44.275 ;
+        RECT 97.735 44.175 99.425 44.695 ;
+        RECT 100.515 44.365 100.870 44.735 ;
+        RECT 101.150 44.725 101.320 44.915 ;
+        RECT 101.490 44.890 101.745 45.465 ;
+        RECT 101.150 44.395 101.405 44.725 ;
+        RECT 93.985 43.595 94.155 43.975 ;
+        RECT 94.325 43.425 94.655 43.785 ;
+        RECT 94.825 43.595 94.995 43.975 ;
+        RECT 95.165 43.425 95.580 43.805 ;
+        RECT 93.485 43.255 95.580 43.425 ;
+        RECT 95.915 43.085 99.425 44.175 ;
+        RECT 99.595 43.085 99.885 44.250 ;
+        RECT 101.150 44.185 101.320 44.395 ;
+        RECT 100.605 44.015 101.320 44.185 ;
+        RECT 101.575 44.160 101.745 44.890 ;
+        RECT 101.920 44.795 102.180 45.635 ;
+        RECT 102.355 44.865 105.865 45.635 ;
+        RECT 106.070 45.135 106.320 45.635 ;
+        RECT 106.650 45.065 106.820 45.415 ;
+        RECT 107.020 45.235 107.350 45.635 ;
+        RECT 107.520 45.065 107.690 45.415 ;
+        RECT 107.910 45.235 108.290 45.635 ;
+        RECT 102.355 44.345 104.005 44.865 ;
+        RECT 100.605 43.255 100.775 44.015 ;
+        RECT 100.990 43.085 101.320 43.845 ;
+        RECT 101.490 43.255 101.745 44.160 ;
+        RECT 101.920 43.085 102.180 44.235 ;
+        RECT 104.175 44.175 105.865 44.695 ;
+        RECT 106.035 44.395 106.320 44.965 ;
+        RECT 106.490 44.895 108.300 45.065 ;
+        RECT 106.490 44.225 106.660 44.895 ;
+        RECT 102.355 43.085 105.865 44.175 ;
+        RECT 106.065 44.055 106.660 44.225 ;
+        RECT 106.830 44.100 107.000 44.725 ;
+        RECT 107.230 44.270 107.560 44.725 ;
+        RECT 106.065 43.270 106.400 44.055 ;
+        RECT 106.830 43.595 107.180 44.100 ;
+        RECT 106.785 43.425 107.180 43.595 ;
+        RECT 106.830 43.345 107.180 43.425 ;
+        RECT 107.350 43.935 107.560 44.270 ;
+        RECT 107.790 44.275 107.960 44.725 ;
+        RECT 108.130 44.645 108.300 44.895 ;
+        RECT 108.470 44.995 108.720 45.465 ;
+        RECT 108.890 45.165 109.060 45.635 ;
+        RECT 109.230 44.995 109.560 45.465 ;
+        RECT 109.730 45.165 109.900 45.635 ;
+        RECT 108.470 44.815 110.005 44.995 ;
+        RECT 108.130 44.475 109.590 44.645 ;
+        RECT 107.790 44.105 108.225 44.275 ;
+        RECT 109.760 44.265 110.005 44.815 ;
+        RECT 110.175 44.865 113.685 45.635 ;
+        RECT 113.855 44.910 114.145 45.635 ;
+        RECT 115.270 45.135 115.520 45.635 ;
+        RECT 115.850 45.065 116.020 45.415 ;
+        RECT 116.220 45.235 116.550 45.635 ;
+        RECT 116.720 45.065 116.890 45.415 ;
+        RECT 117.110 45.235 117.490 45.635 ;
+        RECT 110.175 44.345 111.825 44.865 ;
+        RECT 108.430 44.095 110.005 44.265 ;
+        RECT 111.995 44.175 113.685 44.695 ;
+        RECT 115.235 44.395 115.520 44.965 ;
+        RECT 115.690 44.895 117.500 45.065 ;
+        RECT 107.350 43.345 107.670 43.935 ;
+        RECT 107.955 43.085 108.205 43.925 ;
+        RECT 108.430 43.255 108.680 44.095 ;
+        RECT 108.850 43.085 109.100 43.925 ;
+        RECT 109.270 43.255 109.520 44.095 ;
+        RECT 109.690 43.085 109.940 43.925 ;
+        RECT 110.175 43.085 113.685 44.175 ;
+        RECT 113.855 43.085 114.145 44.250 ;
+        RECT 115.690 44.225 115.860 44.895 ;
+        RECT 115.265 44.055 115.860 44.225 ;
+        RECT 116.030 44.100 116.200 44.725 ;
+        RECT 116.430 44.270 116.760 44.725 ;
+        RECT 115.265 43.270 115.600 44.055 ;
+        RECT 116.030 43.935 116.380 44.100 ;
+        RECT 115.985 43.765 116.380 43.935 ;
+        RECT 116.030 43.345 116.380 43.765 ;
+        RECT 116.550 43.935 116.760 44.270 ;
+        RECT 116.990 44.275 117.160 44.725 ;
+        RECT 117.330 44.645 117.500 44.895 ;
+        RECT 117.670 44.995 117.920 45.465 ;
+        RECT 118.090 45.165 118.260 45.635 ;
+        RECT 118.430 44.995 118.760 45.465 ;
+        RECT 118.930 45.165 119.100 45.635 ;
+        RECT 117.670 44.815 119.205 44.995 ;
+        RECT 117.330 44.475 118.790 44.645 ;
+        RECT 116.990 44.105 117.425 44.275 ;
+        RECT 118.960 44.265 119.205 44.815 ;
+        RECT 119.375 44.865 122.885 45.635 ;
+        RECT 119.375 44.345 121.025 44.865 ;
+        RECT 123.095 44.815 123.325 45.635 ;
+        RECT 123.495 44.835 123.825 45.465 ;
+        RECT 117.630 44.095 119.205 44.265 ;
+        RECT 121.195 44.175 122.885 44.695 ;
+        RECT 123.075 44.395 123.405 44.645 ;
+        RECT 123.575 44.235 123.825 44.835 ;
+        RECT 123.995 44.815 124.205 45.635 ;
+        RECT 124.435 44.865 127.945 45.635 ;
+        RECT 128.115 44.910 128.405 45.635 ;
+        RECT 128.610 45.135 128.860 45.635 ;
+        RECT 129.190 45.065 129.360 45.415 ;
+        RECT 129.560 45.235 129.890 45.635 ;
+        RECT 130.060 45.065 130.230 45.415 ;
+        RECT 130.450 45.235 130.830 45.635 ;
+        RECT 124.435 44.345 126.085 44.865 ;
+        RECT 116.550 43.345 116.870 43.935 ;
+        RECT 117.155 43.085 117.405 43.925 ;
+        RECT 117.630 43.255 117.880 44.095 ;
+        RECT 118.050 43.085 118.300 43.925 ;
+        RECT 118.470 43.255 118.720 44.095 ;
+        RECT 118.890 43.085 119.140 43.925 ;
+        RECT 119.375 43.085 122.885 44.175 ;
+        RECT 123.095 43.085 123.325 44.225 ;
+        RECT 123.495 43.255 123.825 44.235 ;
+        RECT 123.995 43.085 124.205 44.225 ;
+        RECT 126.255 44.175 127.945 44.695 ;
+        RECT 128.575 44.395 128.860 44.965 ;
+        RECT 129.030 44.895 130.840 45.065 ;
+        RECT 124.435 43.085 127.945 44.175 ;
+        RECT 128.115 43.085 128.405 44.250 ;
+        RECT 129.030 44.225 129.200 44.895 ;
+        RECT 128.605 44.055 129.200 44.225 ;
+        RECT 129.370 44.100 129.540 44.725 ;
+        RECT 129.770 44.270 130.100 44.725 ;
+        RECT 128.605 43.270 128.940 44.055 ;
+        RECT 129.370 43.345 129.720 44.100 ;
+        RECT 129.890 43.935 130.100 44.270 ;
+        RECT 130.330 44.275 130.500 44.725 ;
+        RECT 130.670 44.645 130.840 44.895 ;
+        RECT 131.010 44.995 131.260 45.465 ;
+        RECT 131.430 45.165 131.600 45.635 ;
+        RECT 131.770 44.995 132.100 45.465 ;
+        RECT 132.270 45.165 132.440 45.635 ;
+        RECT 131.010 44.815 132.545 44.995 ;
+        RECT 130.670 44.475 132.130 44.645 ;
+        RECT 130.330 44.105 130.765 44.275 ;
+        RECT 132.300 44.265 132.545 44.815 ;
+        RECT 132.715 44.865 136.225 45.635 ;
+        RECT 136.945 45.085 137.115 45.465 ;
+        RECT 137.330 45.255 137.660 45.635 ;
+        RECT 136.945 44.915 137.660 45.085 ;
+        RECT 132.715 44.345 134.365 44.865 ;
+        RECT 130.970 44.095 132.545 44.265 ;
+        RECT 134.535 44.175 136.225 44.695 ;
+        RECT 136.855 44.365 137.210 44.735 ;
+        RECT 137.490 44.725 137.660 44.915 ;
+        RECT 137.830 44.890 138.085 45.465 ;
+        RECT 137.490 44.395 137.745 44.725 ;
+        RECT 137.490 44.185 137.660 44.395 ;
+        RECT 129.890 43.345 130.210 43.935 ;
+        RECT 130.495 43.085 130.745 43.925 ;
+        RECT 130.970 43.255 131.220 44.095 ;
+        RECT 131.390 43.085 131.640 43.925 ;
+        RECT 131.810 43.255 132.060 44.095 ;
+        RECT 132.230 43.085 132.480 43.925 ;
+        RECT 132.715 43.085 136.225 44.175 ;
+        RECT 136.945 44.015 137.660 44.185 ;
+        RECT 137.915 44.160 138.085 44.890 ;
+        RECT 138.260 44.795 138.520 45.635 ;
+        RECT 138.695 44.865 142.205 45.635 ;
+        RECT 142.375 44.910 142.665 45.635 ;
+        RECT 143.385 45.085 143.555 45.465 ;
+        RECT 143.770 45.255 144.100 45.635 ;
+        RECT 143.385 44.915 144.100 45.085 ;
+        RECT 138.695 44.345 140.345 44.865 ;
+        RECT 136.945 43.255 137.115 44.015 ;
+        RECT 137.330 43.085 137.660 43.845 ;
+        RECT 137.830 43.255 138.085 44.160 ;
+        RECT 138.260 43.085 138.520 44.235 ;
+        RECT 140.515 44.175 142.205 44.695 ;
+        RECT 143.295 44.365 143.650 44.735 ;
+        RECT 143.930 44.725 144.100 44.915 ;
+        RECT 144.270 44.890 144.525 45.465 ;
+        RECT 143.930 44.395 144.185 44.725 ;
+        RECT 138.695 43.085 142.205 44.175 ;
+        RECT 142.375 43.085 142.665 44.250 ;
+        RECT 143.930 44.185 144.100 44.395 ;
+        RECT 143.385 44.015 144.100 44.185 ;
+        RECT 144.355 44.160 144.525 44.890 ;
+        RECT 144.700 44.795 144.960 45.635 ;
+        RECT 145.135 44.865 148.645 45.635 ;
+        RECT 145.135 44.345 146.785 44.865 ;
+        RECT 148.820 44.815 149.095 45.635 ;
+        RECT 149.265 44.995 149.595 45.465 ;
+        RECT 149.765 45.165 149.935 45.635 ;
+        RECT 150.105 44.995 150.435 45.465 ;
+        RECT 150.605 45.165 150.775 45.635 ;
+        RECT 150.945 44.995 151.275 45.465 ;
+        RECT 151.445 45.165 151.615 45.635 ;
+        RECT 151.785 44.995 152.115 45.465 ;
+        RECT 152.285 45.165 152.570 45.635 ;
+        RECT 149.265 44.815 152.785 44.995 ;
+        RECT 143.385 43.255 143.555 44.015 ;
+        RECT 143.770 43.085 144.100 43.845 ;
+        RECT 144.270 43.255 144.525 44.160 ;
+        RECT 144.700 43.085 144.960 44.235 ;
+        RECT 146.955 44.175 148.645 44.695 ;
+        RECT 148.870 44.445 150.530 44.645 ;
+        RECT 150.850 44.445 152.215 44.645 ;
+        RECT 152.385 44.275 152.785 44.815 ;
+        RECT 152.955 44.865 156.465 45.635 ;
+        RECT 156.635 44.910 156.925 45.635 ;
+        RECT 157.185 45.085 157.355 45.375 ;
+        RECT 157.525 45.255 157.855 45.635 ;
+        RECT 157.185 44.915 157.850 45.085 ;
+        RECT 152.955 44.345 154.605 44.865 ;
+        RECT 145.135 43.085 148.645 44.175 ;
+        RECT 148.820 44.055 150.855 44.265 ;
+        RECT 148.820 43.255 149.095 44.055 ;
+        RECT 149.265 43.085 149.595 43.885 ;
+        RECT 149.765 43.255 149.935 44.055 ;
+        RECT 150.105 43.085 150.355 43.885 ;
+        RECT 150.525 43.425 150.855 44.055 ;
+        RECT 151.025 43.975 152.785 44.275 ;
+        RECT 154.775 44.175 156.465 44.695 ;
+        RECT 151.025 43.595 151.195 43.975 ;
+        RECT 151.365 43.425 151.695 43.785 ;
+        RECT 151.865 43.595 152.035 43.975 ;
+        RECT 152.205 43.425 152.620 43.805 ;
+        RECT 150.525 43.255 152.620 43.425 ;
+        RECT 152.955 43.085 156.465 44.175 ;
+        RECT 156.635 43.085 156.925 44.250 ;
+        RECT 157.100 44.095 157.450 44.745 ;
+        RECT 157.620 43.925 157.850 44.915 ;
+        RECT 157.185 43.755 157.850 43.925 ;
+        RECT 157.185 43.255 157.355 43.755 ;
+        RECT 157.525 43.085 157.855 43.585 ;
+        RECT 158.025 43.255 158.250 45.375 ;
+        RECT 158.465 45.175 158.715 45.635 ;
+        RECT 158.900 45.185 159.230 45.355 ;
+        RECT 159.410 45.185 160.160 45.355 ;
+        RECT 158.450 44.055 158.730 44.655 ;
+        RECT 158.900 43.655 159.070 45.185 ;
+        RECT 159.240 44.685 159.820 45.015 ;
+        RECT 159.240 43.815 159.480 44.685 ;
+        RECT 159.990 44.405 160.160 45.185 ;
+        RECT 160.410 45.135 160.780 45.635 ;
+        RECT 160.960 45.185 161.420 45.355 ;
+        RECT 161.650 45.185 162.320 45.355 ;
+        RECT 160.960 44.955 161.130 45.185 ;
+        RECT 160.330 44.655 161.130 44.955 ;
+        RECT 161.300 44.685 161.850 45.015 ;
+        RECT 160.330 44.625 160.500 44.655 ;
+        RECT 160.620 44.405 160.790 44.475 ;
+        RECT 159.990 44.235 160.790 44.405 ;
+        RECT 160.280 44.145 160.790 44.235 ;
+        RECT 159.670 43.710 160.110 44.065 ;
+        RECT 158.450 43.085 158.715 43.545 ;
+        RECT 158.900 43.280 159.135 43.655 ;
+        RECT 160.280 43.530 160.450 44.145 ;
+        RECT 159.380 43.360 160.450 43.530 ;
+        RECT 160.620 43.085 160.790 43.885 ;
+        RECT 160.960 43.585 161.130 44.655 ;
+        RECT 161.300 43.755 161.490 44.475 ;
+        RECT 161.660 44.145 161.850 44.685 ;
+        RECT 162.150 44.645 162.320 45.185 ;
+        RECT 162.635 45.105 162.805 45.635 ;
+        RECT 163.100 44.985 163.460 45.425 ;
+        RECT 163.635 45.155 163.805 45.635 ;
+        RECT 163.995 44.990 164.330 45.415 ;
+        RECT 164.505 45.160 164.675 45.635 ;
+        RECT 164.850 44.990 165.185 45.415 ;
+        RECT 165.355 45.160 165.525 45.635 ;
+        RECT 163.100 44.815 163.600 44.985 ;
+        RECT 163.995 44.820 165.665 44.990 ;
+        RECT 163.430 44.645 163.600 44.815 ;
+        RECT 162.150 44.475 163.240 44.645 ;
+        RECT 163.430 44.475 165.250 44.645 ;
+        RECT 161.660 43.815 161.980 44.145 ;
+        RECT 160.960 43.255 161.210 43.585 ;
+        RECT 162.150 43.555 162.320 44.475 ;
+        RECT 163.430 44.220 163.600 44.475 ;
+        RECT 165.420 44.255 165.665 44.820 ;
+        RECT 165.835 44.865 169.345 45.635 ;
+        RECT 169.515 44.885 170.725 45.635 ;
+        RECT 170.895 44.910 171.185 45.635 ;
+        RECT 165.835 44.345 167.485 44.865 ;
+        RECT 162.490 44.050 163.600 44.220 ;
+        RECT 163.995 44.085 165.665 44.255 ;
+        RECT 167.655 44.175 169.345 44.695 ;
+        RECT 169.515 44.345 170.035 44.885 ;
+        RECT 171.355 44.865 173.025 45.635 ;
+        RECT 170.205 44.175 170.725 44.715 ;
+        RECT 171.355 44.345 172.105 44.865 ;
+        RECT 173.745 44.825 173.915 45.635 ;
+        RECT 174.085 45.245 175.255 45.465 ;
+        RECT 174.085 44.815 174.335 45.245 ;
+        RECT 175.425 45.165 175.595 45.635 ;
+        RECT 174.505 44.985 174.840 45.075 ;
+        RECT 175.765 44.985 176.095 45.465 ;
+        RECT 176.265 45.165 176.955 45.635 ;
+        RECT 177.125 44.995 177.455 45.465 ;
+        RECT 177.625 45.165 177.795 45.635 ;
+        RECT 177.965 44.995 178.295 45.465 ;
+        RECT 174.505 44.815 176.095 44.985 ;
+        RECT 176.525 44.815 178.295 44.995 ;
+        RECT 178.465 44.825 178.635 45.635 ;
+        RECT 178.805 44.995 179.135 45.445 ;
+        RECT 179.305 45.165 179.475 45.635 ;
+        RECT 179.645 44.995 179.975 45.445 ;
+        RECT 180.145 45.165 180.315 45.635 ;
+        RECT 178.805 44.815 180.490 44.995 ;
+        RECT 162.490 43.890 163.350 44.050 ;
+        RECT 161.435 43.385 162.320 43.555 ;
+        RECT 162.500 43.085 162.715 43.585 ;
+        RECT 163.180 43.265 163.350 43.890 ;
+        RECT 163.635 43.085 163.815 43.865 ;
+        RECT 163.995 43.325 164.330 44.085 ;
+        RECT 164.510 43.085 164.680 43.915 ;
+        RECT 164.850 43.325 165.180 44.085 ;
+        RECT 165.350 43.085 165.520 43.915 ;
+        RECT 165.835 43.085 169.345 44.175 ;
+        RECT 169.515 43.085 170.725 44.175 ;
+        RECT 170.895 43.085 171.185 44.250 ;
+        RECT 172.275 44.175 173.025 44.695 ;
+        RECT 171.355 43.085 173.025 44.175 ;
+        RECT 173.655 44.275 174.145 44.645 ;
+        RECT 174.375 44.445 174.915 44.645 ;
+        RECT 175.085 44.475 175.465 44.645 ;
+        RECT 175.085 44.275 175.255 44.475 ;
+        RECT 173.655 44.105 175.255 44.275 ;
+        RECT 175.805 44.305 176.015 44.815 ;
+        RECT 176.525 44.645 176.715 44.815 ;
+        RECT 176.185 44.475 176.715 44.645 ;
+        RECT 175.425 43.935 175.635 44.265 ;
+        RECT 173.705 43.765 175.635 43.935 ;
+        RECT 173.705 43.255 173.955 43.765 ;
+        RECT 174.125 43.085 174.375 43.595 ;
+        RECT 174.545 43.255 174.795 43.765 ;
+        RECT 174.965 43.085 175.215 43.595 ;
+        RECT 175.385 43.425 175.635 43.765 ;
+        RECT 175.805 43.755 176.190 44.305 ;
+        RECT 176.525 44.225 176.715 44.475 ;
+        RECT 176.885 44.395 177.215 44.645 ;
+        RECT 177.385 44.445 178.005 44.645 ;
+        RECT 177.045 44.275 177.215 44.395 ;
+        RECT 178.175 44.275 178.535 44.645 ;
+        RECT 176.525 44.055 176.875 44.225 ;
+        RECT 177.045 44.105 178.535 44.275 ;
+        RECT 178.705 44.475 180.010 44.645 ;
+        RECT 178.705 44.105 179.030 44.475 ;
+        RECT 180.180 44.305 180.490 44.815 ;
+        RECT 181.015 44.865 184.525 45.635 ;
+        RECT 185.155 44.910 185.445 45.635 ;
+        RECT 186.165 45.085 186.335 45.375 ;
+        RECT 186.505 45.255 186.835 45.635 ;
+        RECT 186.165 44.915 186.830 45.085 ;
+        RECT 181.015 44.345 182.665 44.865 ;
+        RECT 176.705 43.935 176.875 44.055 ;
+        RECT 179.685 44.065 180.490 44.305 ;
+        RECT 182.835 44.175 184.525 44.695 ;
+        RECT 179.685 43.935 179.935 44.065 ;
+        RECT 176.705 43.755 177.835 43.935 ;
+        RECT 175.805 43.595 176.055 43.755 ;
+        RECT 177.585 43.595 177.835 43.755 ;
+        RECT 176.225 43.425 176.475 43.585 ;
+        RECT 175.385 43.255 176.475 43.425 ;
+        RECT 176.745 43.085 176.995 43.585 ;
+        RECT 177.165 43.425 177.415 43.585 ;
+        RECT 178.005 43.425 178.255 43.935 ;
+        RECT 177.165 43.255 178.255 43.425 ;
+        RECT 178.425 43.085 178.675 43.925 ;
+        RECT 178.845 43.765 179.935 43.935 ;
+        RECT 178.845 43.255 179.095 43.765 ;
+        RECT 179.265 43.085 179.515 43.555 ;
+        RECT 179.685 43.255 179.935 43.765 ;
+        RECT 180.105 43.085 180.355 43.895 ;
+        RECT 181.015 43.085 184.525 44.175 ;
+        RECT 185.155 43.085 185.445 44.250 ;
+        RECT 186.080 44.095 186.430 44.745 ;
+        RECT 186.600 43.925 186.830 44.915 ;
+        RECT 186.165 43.755 186.830 43.925 ;
+        RECT 186.165 43.255 186.335 43.755 ;
+        RECT 186.505 43.085 186.835 43.585 ;
+        RECT 187.005 43.255 187.230 45.375 ;
+        RECT 187.445 45.175 187.695 45.635 ;
+        RECT 187.880 45.185 188.210 45.355 ;
+        RECT 188.390 45.185 189.140 45.355 ;
+        RECT 187.430 44.055 187.710 44.655 ;
+        RECT 187.880 43.655 188.050 45.185 ;
+        RECT 188.220 44.685 188.800 45.015 ;
+        RECT 188.220 43.815 188.460 44.685 ;
+        RECT 188.970 44.405 189.140 45.185 ;
+        RECT 189.390 45.135 189.760 45.635 ;
+        RECT 189.940 45.185 190.400 45.355 ;
+        RECT 190.630 45.185 191.300 45.355 ;
+        RECT 189.940 44.955 190.110 45.185 ;
+        RECT 189.310 44.655 190.110 44.955 ;
+        RECT 190.280 44.685 190.830 45.015 ;
+        RECT 189.310 44.625 189.480 44.655 ;
+        RECT 189.600 44.405 189.770 44.475 ;
+        RECT 188.970 44.235 189.770 44.405 ;
+        RECT 189.260 44.145 189.770 44.235 ;
+        RECT 188.650 43.710 189.090 44.065 ;
+        RECT 187.430 43.085 187.695 43.545 ;
+        RECT 187.880 43.280 188.115 43.655 ;
+        RECT 189.260 43.530 189.430 44.145 ;
+        RECT 188.360 43.360 189.430 43.530 ;
+        RECT 189.600 43.085 189.770 43.885 ;
+        RECT 189.940 43.585 190.110 44.655 ;
+        RECT 190.280 43.755 190.470 44.475 ;
+        RECT 190.640 44.145 190.830 44.685 ;
+        RECT 191.130 44.645 191.300 45.185 ;
+        RECT 191.615 45.105 191.785 45.635 ;
+        RECT 192.080 44.985 192.440 45.425 ;
+        RECT 192.615 45.155 192.785 45.635 ;
+        RECT 192.975 44.990 193.310 45.415 ;
+        RECT 193.485 45.160 193.655 45.635 ;
+        RECT 193.830 44.990 194.165 45.415 ;
+        RECT 194.335 45.160 194.505 45.635 ;
+        RECT 192.080 44.815 192.580 44.985 ;
+        RECT 192.975 44.820 194.645 44.990 ;
+        RECT 192.410 44.645 192.580 44.815 ;
+        RECT 191.130 44.475 192.220 44.645 ;
+        RECT 192.410 44.475 194.230 44.645 ;
+        RECT 190.640 43.815 190.960 44.145 ;
+        RECT 189.940 43.255 190.190 43.585 ;
+        RECT 191.130 43.555 191.300 44.475 ;
+        RECT 192.410 44.220 192.580 44.475 ;
+        RECT 194.400 44.255 194.645 44.820 ;
+        RECT 194.815 44.865 198.325 45.635 ;
+        RECT 199.415 44.910 199.705 45.635 ;
+        RECT 199.875 44.865 203.385 45.635 ;
+        RECT 204.035 45.275 204.375 45.635 ;
+        RECT 204.905 45.275 205.235 45.635 ;
+        RECT 205.840 45.275 206.615 45.635 ;
+        RECT 206.805 45.105 206.975 45.465 ;
+        RECT 207.185 45.275 207.515 45.635 ;
+        RECT 204.075 44.935 205.665 45.105 ;
+        RECT 205.965 45.050 206.975 45.105 ;
+        RECT 208.015 45.050 208.295 45.315 ;
+        RECT 205.965 44.935 208.295 45.050 ;
+        RECT 194.815 44.345 196.465 44.865 ;
+        RECT 191.470 44.050 192.580 44.220 ;
+        RECT 192.975 44.085 194.645 44.255 ;
+        RECT 196.635 44.175 198.325 44.695 ;
+        RECT 199.875 44.345 201.525 44.865 ;
+        RECT 191.470 43.890 192.330 44.050 ;
+        RECT 190.415 43.385 191.300 43.555 ;
+        RECT 191.480 43.085 191.695 43.585 ;
+        RECT 192.160 43.265 192.330 43.890 ;
+        RECT 192.615 43.085 192.795 43.865 ;
+        RECT 192.975 43.325 193.310 44.085 ;
+        RECT 193.490 43.085 193.660 43.915 ;
+        RECT 193.830 43.325 194.160 44.085 ;
+        RECT 194.330 43.085 194.500 43.915 ;
+        RECT 194.815 43.085 198.325 44.175 ;
+        RECT 199.415 43.085 199.705 44.250 ;
+        RECT 201.695 44.175 203.385 44.695 ;
+        RECT 199.875 43.085 203.385 44.175 ;
+        RECT 204.075 44.135 204.560 44.935 ;
+        RECT 205.965 44.725 206.135 44.935 ;
+        RECT 206.805 44.880 208.295 44.935 ;
+        RECT 204.730 44.395 206.135 44.725 ;
+        RECT 204.075 43.965 205.665 44.135 ;
+        RECT 204.045 43.085 204.375 43.785 ;
+        RECT 204.555 43.535 204.725 43.965 ;
+        RECT 204.905 43.085 205.235 43.785 ;
+        RECT 205.415 43.535 205.665 43.965 ;
+        RECT 205.845 43.085 206.095 44.205 ;
+        RECT 206.325 44.195 206.635 44.725 ;
+        RECT 206.385 43.425 206.555 44.025 ;
+        RECT 206.805 43.595 206.975 44.880 ;
+        RECT 208.875 44.835 209.155 45.635 ;
+        RECT 209.535 44.865 213.045 45.635 ;
+        RECT 213.675 44.910 213.965 45.635 ;
+        RECT 214.515 45.345 214.850 45.465 ;
+        RECT 214.515 45.155 215.775 45.345 ;
+        RECT 215.955 45.275 216.285 45.635 ;
+        RECT 216.860 45.275 217.190 45.635 ;
+        RECT 214.515 44.915 214.850 45.155 ;
+        RECT 215.585 45.105 215.775 45.155 ;
+        RECT 216.500 45.105 216.690 45.205 ;
+        RECT 217.360 45.105 217.550 45.465 ;
+        RECT 217.720 45.275 218.050 45.635 ;
+        RECT 207.355 44.445 207.750 44.710 ;
+        RECT 207.920 44.445 208.445 44.710 ;
+        RECT 207.215 43.870 207.395 44.275 ;
+        RECT 207.575 44.210 207.750 44.445 ;
+        RECT 208.615 44.430 209.030 44.665 ;
+        RECT 208.615 44.210 208.865 44.430 ;
+        RECT 209.535 44.345 211.185 44.865 ;
+        RECT 207.575 44.040 208.865 44.210 ;
+        RECT 209.035 43.870 209.290 44.260 ;
+        RECT 211.355 44.175 213.045 44.695 ;
+        RECT 214.165 44.380 214.925 44.725 ;
+        RECT 215.115 44.380 215.405 44.975 ;
+        RECT 215.585 44.915 216.330 45.105 ;
+        RECT 215.575 44.395 215.950 44.725 ;
+        RECT 216.120 44.700 216.330 44.915 ;
+        RECT 216.500 44.875 218.105 45.105 ;
+        RECT 207.215 43.700 209.290 43.870 ;
+        RECT 207.215 43.425 207.395 43.700 ;
+        RECT 206.385 43.255 207.395 43.425 ;
+        RECT 207.565 43.085 207.895 43.445 ;
+        RECT 208.065 43.255 208.235 43.700 ;
+        RECT 208.405 43.085 208.735 43.445 ;
+        RECT 208.960 43.325 209.290 43.700 ;
+        RECT 209.535 43.085 213.045 44.175 ;
+        RECT 213.675 43.085 213.965 44.250 ;
+        RECT 214.165 43.385 214.415 44.380 ;
+        RECT 216.120 44.365 217.655 44.700 ;
+        RECT 216.120 44.140 216.330 44.365 ;
+        RECT 217.825 44.185 218.105 44.875 ;
+        RECT 218.275 44.865 221.785 45.635 ;
+        RECT 222.045 45.085 222.215 45.465 ;
+        RECT 222.430 45.255 222.760 45.635 ;
+        RECT 222.045 44.915 222.760 45.085 ;
+        RECT 218.275 44.345 219.925 44.865 ;
+        RECT 214.595 43.970 216.330 44.140 ;
+        RECT 214.595 43.255 214.775 43.970 ;
+        RECT 214.945 43.085 215.395 43.785 ;
+        RECT 215.570 43.255 215.750 43.970 ;
+        RECT 216.500 43.960 218.105 44.185 ;
+        RECT 220.095 44.175 221.785 44.695 ;
+        RECT 221.955 44.365 222.310 44.735 ;
+        RECT 222.590 44.725 222.760 44.915 ;
+        RECT 222.930 44.890 223.185 45.465 ;
+        RECT 222.590 44.395 222.845 44.725 ;
+        RECT 222.590 44.185 222.760 44.395 ;
+        RECT 215.960 43.085 216.290 43.785 ;
+        RECT 216.500 43.255 216.690 43.960 ;
+        RECT 217.360 43.955 218.105 43.960 ;
+        RECT 216.860 43.085 217.190 43.785 ;
+        RECT 217.360 43.255 217.550 43.955 ;
+        RECT 217.720 43.085 218.050 43.785 ;
+        RECT 218.275 43.085 221.785 44.175 ;
+        RECT 222.045 44.015 222.760 44.185 ;
+        RECT 223.015 44.160 223.185 44.890 ;
+        RECT 223.360 44.795 223.620 45.635 ;
+        RECT 223.795 44.865 227.305 45.635 ;
+        RECT 227.935 44.910 228.225 45.635 ;
+        RECT 228.395 44.995 228.735 45.465 ;
+        RECT 228.905 45.165 229.075 45.635 ;
+        RECT 229.245 44.995 229.575 45.465 ;
+        RECT 229.745 45.165 230.445 45.635 ;
+        RECT 223.795 44.345 225.445 44.865 ;
+        RECT 228.395 44.815 230.400 44.995 ;
+        RECT 230.615 44.985 230.945 45.455 ;
+        RECT 231.115 45.165 231.285 45.635 ;
+        RECT 231.455 44.985 231.785 45.455 ;
+        RECT 231.955 45.165 232.125 45.635 ;
+        RECT 230.615 44.815 232.365 44.985 ;
+        RECT 222.045 43.255 222.215 44.015 ;
+        RECT 222.430 43.085 222.760 43.845 ;
+        RECT 222.930 43.255 223.185 44.160 ;
+        RECT 223.360 43.085 223.620 44.235 ;
+        RECT 225.615 44.175 227.305 44.695 ;
+        RECT 230.180 44.645 230.400 44.815 ;
+        RECT 228.395 44.395 228.735 44.645 ;
+        RECT 228.905 44.395 229.365 44.645 ;
+        RECT 229.535 44.395 230.010 44.645 ;
+        RECT 230.180 44.475 231.905 44.645 ;
+        RECT 223.795 43.085 227.305 44.175 ;
+        RECT 227.935 43.085 228.225 44.250 ;
+        RECT 228.395 43.425 228.735 44.225 ;
+        RECT 228.905 43.670 229.140 44.395 ;
+        RECT 230.180 44.225 230.400 44.475 ;
+        RECT 230.755 44.265 230.925 44.275 ;
+        RECT 232.075 44.265 232.365 44.815 ;
+        RECT 232.535 44.865 236.045 45.635 ;
+        RECT 236.305 45.085 236.475 45.465 ;
+        RECT 236.690 45.255 237.020 45.635 ;
+        RECT 236.305 44.915 237.020 45.085 ;
+        RECT 232.535 44.345 234.185 44.865 ;
+        RECT 229.310 44.055 230.400 44.225 ;
+        RECT 230.655 44.095 232.365 44.265 ;
+        RECT 234.355 44.175 236.045 44.695 ;
+        RECT 236.215 44.365 236.570 44.735 ;
+        RECT 236.850 44.725 237.020 44.915 ;
+        RECT 237.190 44.890 237.445 45.465 ;
+        RECT 236.850 44.395 237.105 44.725 ;
+        RECT 236.850 44.185 237.020 44.395 ;
+        RECT 229.310 43.425 229.575 44.055 ;
+        RECT 228.395 43.255 229.575 43.425 ;
+        RECT 229.745 43.085 230.445 43.885 ;
+        RECT 230.655 43.255 230.905 44.095 ;
+        RECT 231.075 43.085 231.325 43.925 ;
+        RECT 231.495 43.255 231.745 44.095 ;
+        RECT 231.915 43.085 232.165 43.925 ;
+        RECT 232.535 43.085 236.045 44.175 ;
+        RECT 236.305 44.015 237.020 44.185 ;
+        RECT 237.275 44.160 237.445 44.890 ;
+        RECT 237.620 44.795 237.880 45.635 ;
+        RECT 238.055 44.865 241.565 45.635 ;
+        RECT 242.195 44.910 242.485 45.635 ;
+        RECT 242.675 45.125 242.915 45.635 ;
+        RECT 238.055 44.345 239.705 44.865 ;
+        RECT 236.305 43.255 236.475 44.015 ;
+        RECT 236.690 43.085 237.020 43.845 ;
+        RECT 237.190 43.255 237.445 44.160 ;
+        RECT 237.620 43.085 237.880 44.235 ;
+        RECT 239.875 44.175 241.565 44.695 ;
+        RECT 242.660 44.395 242.915 44.955 ;
+        RECT 243.085 44.895 243.415 45.430 ;
+        RECT 243.630 44.895 243.800 45.635 ;
+        RECT 244.010 44.985 244.340 45.455 ;
+        RECT 244.510 45.155 244.680 45.635 ;
+        RECT 244.850 44.985 245.180 45.455 ;
+        RECT 245.350 45.155 245.520 45.635 ;
+        RECT 238.055 43.085 241.565 44.175 ;
+        RECT 242.195 43.085 242.485 44.250 ;
+        RECT 243.085 44.225 243.265 44.895 ;
+        RECT 244.010 44.815 245.705 44.985 ;
+        RECT 243.435 44.395 243.810 44.725 ;
+        RECT 243.980 44.475 245.190 44.645 ;
+        RECT 243.980 44.225 244.185 44.475 ;
+        RECT 245.360 44.225 245.705 44.815 ;
+        RECT 245.875 44.865 249.385 45.635 ;
+        RECT 249.575 45.125 249.815 45.635 ;
+        RECT 245.875 44.345 247.525 44.865 ;
+        RECT 242.725 44.055 244.185 44.225 ;
+        RECT 244.850 44.055 245.705 44.225 ;
+        RECT 247.695 44.175 249.385 44.695 ;
+        RECT 249.560 44.395 249.815 44.955 ;
+        RECT 249.985 44.895 250.315 45.430 ;
+        RECT 250.530 44.895 250.700 45.635 ;
+        RECT 250.910 44.985 251.240 45.455 ;
+        RECT 251.410 45.155 251.580 45.635 ;
+        RECT 251.750 44.985 252.080 45.455 ;
+        RECT 252.250 45.155 252.420 45.635 ;
+        RECT 249.985 44.225 250.165 44.895 ;
+        RECT 250.910 44.815 252.605 44.985 ;
+        RECT 250.335 44.395 250.710 44.725 ;
+        RECT 250.880 44.475 252.090 44.645 ;
+        RECT 250.880 44.225 251.085 44.475 ;
+        RECT 252.260 44.225 252.605 44.815 ;
+        RECT 252.775 44.865 256.285 45.635 ;
+        RECT 256.455 44.910 256.745 45.635 ;
+        RECT 256.935 45.125 257.175 45.635 ;
+        RECT 252.775 44.345 254.425 44.865 ;
+        RECT 242.725 43.255 243.085 44.055 ;
+        RECT 244.850 43.885 245.180 44.055 ;
+        RECT 243.630 43.085 243.800 43.885 ;
+        RECT 244.010 43.715 245.180 43.885 ;
+        RECT 244.010 43.255 244.340 43.715 ;
+        RECT 244.510 43.085 244.680 43.545 ;
+        RECT 244.850 43.255 245.180 43.715 ;
+        RECT 245.350 43.085 245.520 43.885 ;
+        RECT 245.875 43.085 249.385 44.175 ;
+        RECT 249.625 44.055 251.085 44.225 ;
+        RECT 251.750 44.055 252.605 44.225 ;
+        RECT 254.595 44.175 256.285 44.695 ;
+        RECT 256.920 44.395 257.175 44.955 ;
+        RECT 257.345 44.895 257.675 45.430 ;
+        RECT 257.890 44.895 258.060 45.635 ;
+        RECT 258.270 44.985 258.600 45.455 ;
+        RECT 258.770 45.155 258.940 45.635 ;
+        RECT 259.110 44.985 259.440 45.455 ;
+        RECT 259.610 45.155 259.780 45.635 ;
+        RECT 249.625 43.255 249.985 44.055 ;
+        RECT 251.750 43.885 252.080 44.055 ;
+        RECT 250.530 43.085 250.700 43.885 ;
+        RECT 250.910 43.715 252.080 43.885 ;
+        RECT 250.910 43.255 251.240 43.715 ;
+        RECT 251.410 43.085 251.580 43.545 ;
+        RECT 251.750 43.255 252.080 43.715 ;
+        RECT 252.250 43.085 252.420 43.885 ;
+        RECT 252.775 43.085 256.285 44.175 ;
+        RECT 256.455 43.085 256.745 44.250 ;
+        RECT 257.345 44.225 257.525 44.895 ;
+        RECT 258.270 44.815 259.965 44.985 ;
+        RECT 257.695 44.395 258.070 44.725 ;
+        RECT 258.240 44.475 259.450 44.645 ;
+        RECT 258.240 44.225 258.445 44.475 ;
+        RECT 259.620 44.225 259.965 44.815 ;
+        RECT 260.135 44.865 263.645 45.635 ;
+        RECT 263.905 45.085 264.075 45.465 ;
+        RECT 264.290 45.255 264.620 45.635 ;
+        RECT 263.905 44.915 264.620 45.085 ;
+        RECT 260.135 44.345 261.785 44.865 ;
+        RECT 256.985 44.055 258.445 44.225 ;
+        RECT 259.110 44.055 259.965 44.225 ;
+        RECT 261.955 44.175 263.645 44.695 ;
+        RECT 263.815 44.365 264.170 44.735 ;
+        RECT 264.450 44.725 264.620 44.915 ;
+        RECT 264.790 44.890 265.045 45.465 ;
+        RECT 264.450 44.395 264.705 44.725 ;
+        RECT 264.450 44.185 264.620 44.395 ;
+        RECT 256.985 43.255 257.345 44.055 ;
+        RECT 259.110 43.885 259.440 44.055 ;
+        RECT 257.890 43.085 258.060 43.885 ;
+        RECT 258.270 43.715 259.440 43.885 ;
+        RECT 258.270 43.255 258.600 43.715 ;
+        RECT 258.770 43.085 258.940 43.545 ;
+        RECT 259.110 43.255 259.440 43.715 ;
+        RECT 259.610 43.085 259.780 43.885 ;
+        RECT 260.135 43.085 263.645 44.175 ;
+        RECT 263.905 44.015 264.620 44.185 ;
+        RECT 264.875 44.160 265.045 44.890 ;
+        RECT 265.220 44.795 265.480 45.635 ;
+        RECT 265.655 44.865 269.165 45.635 ;
+        RECT 269.335 44.885 270.545 45.635 ;
+        RECT 270.715 44.910 271.005 45.635 ;
+        RECT 271.265 45.085 271.435 45.465 ;
+        RECT 271.650 45.255 271.980 45.635 ;
+        RECT 271.265 44.915 271.980 45.085 ;
+        RECT 265.655 44.345 267.305 44.865 ;
+        RECT 263.905 43.255 264.075 44.015 ;
+        RECT 264.290 43.085 264.620 43.845 ;
+        RECT 264.790 43.255 265.045 44.160 ;
+        RECT 265.220 43.085 265.480 44.235 ;
+        RECT 267.475 44.175 269.165 44.695 ;
+        RECT 269.335 44.345 269.855 44.885 ;
+        RECT 270.025 44.175 270.545 44.715 ;
+        RECT 271.175 44.365 271.530 44.735 ;
+        RECT 271.810 44.725 271.980 44.915 ;
+        RECT 272.150 44.890 272.405 45.465 ;
+        RECT 271.810 44.395 272.065 44.725 ;
+        RECT 265.655 43.085 269.165 44.175 ;
+        RECT 269.335 43.085 270.545 44.175 ;
+        RECT 270.715 43.085 271.005 44.250 ;
+        RECT 271.810 44.185 271.980 44.395 ;
+        RECT 271.265 44.015 271.980 44.185 ;
+        RECT 272.235 44.160 272.405 44.890 ;
+        RECT 272.580 44.795 272.840 45.635 ;
+        RECT 273.015 44.865 276.525 45.635 ;
+        RECT 273.015 44.345 274.665 44.865 ;
+        RECT 276.700 44.815 276.975 45.635 ;
+        RECT 277.145 44.995 277.475 45.465 ;
+        RECT 277.645 45.165 277.815 45.635 ;
+        RECT 277.985 44.995 278.315 45.465 ;
+        RECT 278.485 45.165 278.655 45.635 ;
+        RECT 278.825 44.995 279.155 45.465 ;
+        RECT 279.325 45.165 279.495 45.635 ;
+        RECT 279.665 44.995 279.995 45.465 ;
+        RECT 280.165 45.165 280.450 45.635 ;
+        RECT 277.145 44.815 280.665 44.995 ;
+        RECT 271.265 43.255 271.435 44.015 ;
+        RECT 271.650 43.085 271.980 43.845 ;
+        RECT 272.150 43.255 272.405 44.160 ;
+        RECT 272.580 43.085 272.840 44.235 ;
+        RECT 274.835 44.175 276.525 44.695 ;
+        RECT 276.750 44.445 278.410 44.645 ;
+        RECT 278.730 44.445 280.095 44.645 ;
+        RECT 280.265 44.275 280.665 44.815 ;
+        RECT 280.835 44.865 284.345 45.635 ;
+        RECT 284.975 44.910 285.265 45.635 ;
+        RECT 280.835 44.345 282.485 44.865 ;
+        RECT 285.440 44.815 285.715 45.635 ;
+        RECT 285.885 44.995 286.215 45.465 ;
+        RECT 286.385 45.165 286.555 45.635 ;
+        RECT 286.725 44.995 287.055 45.465 ;
+        RECT 287.225 45.165 287.395 45.635 ;
+        RECT 287.565 44.995 287.895 45.465 ;
+        RECT 288.065 45.165 288.235 45.635 ;
+        RECT 288.405 44.995 288.735 45.465 ;
+        RECT 288.905 45.165 289.190 45.635 ;
+        RECT 285.885 44.815 289.405 44.995 ;
+        RECT 273.015 43.085 276.525 44.175 ;
+        RECT 276.700 44.055 278.735 44.265 ;
+        RECT 276.700 43.255 276.975 44.055 ;
+        RECT 277.145 43.085 277.475 43.885 ;
+        RECT 277.645 43.255 277.815 44.055 ;
+        RECT 277.985 43.085 278.235 43.885 ;
+        RECT 278.405 43.425 278.735 44.055 ;
+        RECT 278.905 43.975 280.665 44.275 ;
+        RECT 282.655 44.175 284.345 44.695 ;
+        RECT 285.490 44.445 287.150 44.645 ;
+        RECT 287.470 44.445 288.835 44.645 ;
+        RECT 289.005 44.275 289.405 44.815 ;
+        RECT 289.575 44.865 293.085 45.635 ;
+        RECT 293.345 45.085 293.515 45.465 ;
+        RECT 293.730 45.255 294.060 45.635 ;
+        RECT 293.345 44.915 294.060 45.085 ;
+        RECT 289.575 44.345 291.225 44.865 ;
+        RECT 278.905 43.595 279.075 43.975 ;
+        RECT 279.245 43.425 279.575 43.785 ;
+        RECT 279.745 43.595 279.915 43.975 ;
+        RECT 280.085 43.425 280.500 43.805 ;
+        RECT 278.405 43.255 280.500 43.425 ;
+        RECT 280.835 43.085 284.345 44.175 ;
+        RECT 284.975 43.085 285.265 44.250 ;
+        RECT 285.440 44.055 287.475 44.265 ;
+        RECT 285.440 43.255 285.715 44.055 ;
+        RECT 285.885 43.085 286.215 43.885 ;
+        RECT 286.385 43.255 286.555 44.055 ;
+        RECT 286.725 43.085 286.975 43.885 ;
+        RECT 287.145 43.425 287.475 44.055 ;
+        RECT 287.645 43.975 289.405 44.275 ;
+        RECT 291.395 44.175 293.085 44.695 ;
+        RECT 293.255 44.365 293.610 44.735 ;
+        RECT 293.890 44.725 294.060 44.915 ;
+        RECT 294.230 44.890 294.485 45.465 ;
+        RECT 293.890 44.395 294.145 44.725 ;
+        RECT 293.890 44.185 294.060 44.395 ;
+        RECT 287.645 43.595 287.815 43.975 ;
+        RECT 287.985 43.425 288.315 43.785 ;
+        RECT 288.485 43.595 288.655 43.975 ;
+        RECT 288.825 43.425 289.240 43.805 ;
+        RECT 287.145 43.255 289.240 43.425 ;
+        RECT 289.575 43.085 293.085 44.175 ;
+        RECT 293.345 44.015 294.060 44.185 ;
+        RECT 294.315 44.160 294.485 44.890 ;
+        RECT 294.660 44.795 294.920 45.635 ;
+        RECT 295.095 44.865 298.605 45.635 ;
+        RECT 299.235 44.910 299.525 45.635 ;
+        RECT 295.095 44.345 296.745 44.865 ;
+        RECT 299.700 44.815 299.975 45.635 ;
+        RECT 300.145 44.995 300.475 45.465 ;
+        RECT 300.645 45.165 300.815 45.635 ;
+        RECT 300.985 44.995 301.315 45.465 ;
+        RECT 301.485 45.165 301.655 45.635 ;
+        RECT 301.825 44.995 302.155 45.465 ;
+        RECT 302.325 45.165 302.495 45.635 ;
+        RECT 302.665 44.995 302.995 45.465 ;
+        RECT 303.165 45.165 303.450 45.635 ;
+        RECT 300.145 44.815 303.665 44.995 ;
+        RECT 293.345 43.255 293.515 44.015 ;
+        RECT 293.730 43.085 294.060 43.845 ;
+        RECT 294.230 43.255 294.485 44.160 ;
+        RECT 294.660 43.085 294.920 44.235 ;
+        RECT 296.915 44.175 298.605 44.695 ;
+        RECT 299.750 44.445 301.410 44.645 ;
+        RECT 301.730 44.445 303.095 44.645 ;
+        RECT 303.265 44.275 303.665 44.815 ;
+        RECT 303.835 44.865 307.345 45.635 ;
+        RECT 307.605 45.085 307.775 45.465 ;
+        RECT 307.990 45.255 308.320 45.635 ;
+        RECT 307.605 44.915 308.320 45.085 ;
+        RECT 303.835 44.345 305.485 44.865 ;
+        RECT 295.095 43.085 298.605 44.175 ;
+        RECT 299.235 43.085 299.525 44.250 ;
+        RECT 299.700 44.055 301.735 44.265 ;
+        RECT 299.700 43.255 299.975 44.055 ;
+        RECT 300.145 43.085 300.475 43.885 ;
+        RECT 300.645 43.255 300.815 44.055 ;
+        RECT 300.985 43.085 301.235 43.885 ;
+        RECT 301.405 43.425 301.735 44.055 ;
+        RECT 301.905 43.975 303.665 44.275 ;
+        RECT 305.655 44.175 307.345 44.695 ;
+        RECT 307.515 44.365 307.870 44.735 ;
+        RECT 308.150 44.725 308.320 44.915 ;
+        RECT 308.490 44.890 308.745 45.465 ;
+        RECT 308.150 44.395 308.405 44.725 ;
+        RECT 308.150 44.185 308.320 44.395 ;
+        RECT 301.905 43.595 302.075 43.975 ;
+        RECT 302.245 43.425 302.575 43.785 ;
+        RECT 302.745 43.595 302.915 43.975 ;
+        RECT 303.085 43.425 303.500 43.805 ;
+        RECT 301.405 43.255 303.500 43.425 ;
+        RECT 303.835 43.085 307.345 44.175 ;
+        RECT 307.605 44.015 308.320 44.185 ;
+        RECT 308.575 44.160 308.745 44.890 ;
+        RECT 308.920 44.795 309.180 45.635 ;
+        RECT 309.355 44.865 312.865 45.635 ;
+        RECT 313.495 44.910 313.785 45.635 ;
+        RECT 309.355 44.345 311.005 44.865 ;
+        RECT 313.960 44.815 314.235 45.635 ;
+        RECT 314.405 44.995 314.735 45.465 ;
+        RECT 314.905 45.165 315.075 45.635 ;
+        RECT 315.245 44.995 315.575 45.465 ;
+        RECT 315.745 45.165 315.915 45.635 ;
+        RECT 316.085 44.995 316.415 45.465 ;
+        RECT 316.585 45.165 316.755 45.635 ;
+        RECT 316.925 44.995 317.255 45.465 ;
+        RECT 317.425 45.165 317.710 45.635 ;
+        RECT 314.405 44.815 317.925 44.995 ;
+        RECT 307.605 43.255 307.775 44.015 ;
+        RECT 307.990 43.085 308.320 43.845 ;
+        RECT 308.490 43.255 308.745 44.160 ;
+        RECT 308.920 43.085 309.180 44.235 ;
+        RECT 311.175 44.175 312.865 44.695 ;
+        RECT 314.010 44.445 315.670 44.645 ;
+        RECT 315.990 44.445 317.355 44.645 ;
+        RECT 317.525 44.275 317.925 44.815 ;
+        RECT 318.095 44.865 321.605 45.635 ;
+        RECT 321.865 45.085 322.035 45.465 ;
+        RECT 322.250 45.255 322.580 45.635 ;
+        RECT 321.865 44.915 322.580 45.085 ;
+        RECT 318.095 44.345 319.745 44.865 ;
+        RECT 309.355 43.085 312.865 44.175 ;
+        RECT 313.495 43.085 313.785 44.250 ;
+        RECT 313.960 44.055 315.995 44.265 ;
+        RECT 313.960 43.255 314.235 44.055 ;
+        RECT 314.405 43.085 314.735 43.885 ;
+        RECT 314.905 43.255 315.075 44.055 ;
+        RECT 315.245 43.085 315.495 43.885 ;
+        RECT 315.665 43.425 315.995 44.055 ;
+        RECT 316.165 43.975 317.925 44.275 ;
+        RECT 319.915 44.175 321.605 44.695 ;
+        RECT 321.775 44.365 322.130 44.735 ;
+        RECT 322.410 44.725 322.580 44.915 ;
+        RECT 322.750 44.890 323.005 45.465 ;
+        RECT 322.410 44.395 322.665 44.725 ;
+        RECT 322.410 44.185 322.580 44.395 ;
+        RECT 316.165 43.595 316.335 43.975 ;
+        RECT 316.505 43.425 316.835 43.785 ;
+        RECT 317.005 43.595 317.175 43.975 ;
+        RECT 317.345 43.425 317.760 43.805 ;
+        RECT 315.665 43.255 317.760 43.425 ;
+        RECT 318.095 43.085 321.605 44.175 ;
+        RECT 321.865 44.015 322.580 44.185 ;
+        RECT 322.835 44.160 323.005 44.890 ;
+        RECT 323.180 44.795 323.440 45.635 ;
+        RECT 323.615 44.865 327.125 45.635 ;
+        RECT 327.755 44.910 328.045 45.635 ;
+        RECT 323.615 44.345 325.265 44.865 ;
+        RECT 328.220 44.815 328.495 45.635 ;
+        RECT 328.665 44.995 328.995 45.465 ;
+        RECT 329.165 45.165 329.335 45.635 ;
+        RECT 329.505 44.995 329.835 45.465 ;
+        RECT 330.005 45.165 330.175 45.635 ;
+        RECT 330.345 44.995 330.675 45.465 ;
+        RECT 330.845 45.165 331.015 45.635 ;
+        RECT 331.185 44.995 331.515 45.465 ;
+        RECT 331.685 45.165 331.970 45.635 ;
+        RECT 328.665 44.815 332.185 44.995 ;
+        RECT 321.865 43.255 322.035 44.015 ;
+        RECT 322.250 43.085 322.580 43.845 ;
+        RECT 322.750 43.255 323.005 44.160 ;
+        RECT 323.180 43.085 323.440 44.235 ;
+        RECT 325.435 44.175 327.125 44.695 ;
+        RECT 328.270 44.445 329.930 44.645 ;
+        RECT 330.250 44.445 331.615 44.645 ;
+        RECT 331.785 44.275 332.185 44.815 ;
+        RECT 332.355 44.865 335.865 45.635 ;
+        RECT 336.125 45.085 336.295 45.465 ;
+        RECT 336.510 45.255 336.840 45.635 ;
+        RECT 336.125 44.915 336.840 45.085 ;
+        RECT 332.355 44.345 334.005 44.865 ;
+        RECT 323.615 43.085 327.125 44.175 ;
+        RECT 327.755 43.085 328.045 44.250 ;
+        RECT 328.220 44.055 330.255 44.265 ;
+        RECT 328.220 43.255 328.495 44.055 ;
+        RECT 328.665 43.085 328.995 43.885 ;
+        RECT 329.165 43.255 329.335 44.055 ;
+        RECT 329.505 43.085 329.755 43.885 ;
+        RECT 329.925 43.425 330.255 44.055 ;
+        RECT 330.425 43.975 332.185 44.275 ;
+        RECT 334.175 44.175 335.865 44.695 ;
+        RECT 336.035 44.365 336.390 44.735 ;
+        RECT 336.670 44.725 336.840 44.915 ;
+        RECT 337.010 44.890 337.265 45.465 ;
+        RECT 336.670 44.395 336.925 44.725 ;
+        RECT 336.670 44.185 336.840 44.395 ;
+        RECT 330.425 43.595 330.595 43.975 ;
+        RECT 330.765 43.425 331.095 43.785 ;
+        RECT 331.265 43.595 331.435 43.975 ;
+        RECT 331.605 43.425 332.020 43.805 ;
+        RECT 329.925 43.255 332.020 43.425 ;
+        RECT 332.355 43.085 335.865 44.175 ;
+        RECT 336.125 44.015 336.840 44.185 ;
+        RECT 337.095 44.160 337.265 44.890 ;
+        RECT 337.440 44.795 337.700 45.635 ;
+        RECT 337.875 44.865 341.385 45.635 ;
+        RECT 342.015 44.910 342.305 45.635 ;
+        RECT 342.475 44.865 344.145 45.635 ;
+        RECT 337.875 44.345 339.525 44.865 ;
+        RECT 336.125 43.255 336.295 44.015 ;
+        RECT 336.510 43.085 336.840 43.845 ;
+        RECT 337.010 43.255 337.265 44.160 ;
+        RECT 337.440 43.085 337.700 44.235 ;
+        RECT 339.695 44.175 341.385 44.695 ;
+        RECT 342.475 44.345 343.225 44.865 ;
+        RECT 337.875 43.085 341.385 44.175 ;
+        RECT 342.015 43.085 342.305 44.250 ;
+        RECT 343.395 44.175 344.145 44.695 ;
+        RECT 342.475 43.085 344.145 44.175 ;
+        RECT 344.775 43.255 345.525 45.465 ;
+        RECT 345.870 45.165 346.040 45.635 ;
+        RECT 346.210 44.995 346.540 45.455 ;
+        RECT 346.710 45.165 346.880 45.635 ;
+        RECT 347.050 44.995 347.380 45.465 ;
+        RECT 347.550 45.165 347.720 45.635 ;
+        RECT 347.990 45.245 350.080 45.465 ;
+        RECT 345.695 44.815 347.380 44.995 ;
+        RECT 348.025 44.985 349.580 45.075 ;
+        RECT 347.550 44.815 349.580 44.985 ;
+        RECT 349.750 44.985 350.080 45.245 ;
+        RECT 350.250 45.165 350.420 45.635 ;
+        RECT 350.590 44.995 350.920 45.465 ;
+        RECT 351.090 45.165 351.260 45.635 ;
+        RECT 351.430 44.995 351.760 45.465 ;
+        RECT 350.590 44.985 351.760 44.995 ;
+        RECT 349.750 44.815 351.760 44.985 ;
+        RECT 352.135 44.865 355.645 45.635 ;
+        RECT 356.275 44.910 356.565 45.635 ;
+        RECT 345.695 44.275 345.980 44.815 ;
+        RECT 347.550 44.645 347.840 44.815 ;
+        RECT 346.150 44.445 347.840 44.645 ;
+        RECT 345.695 44.105 347.340 44.275 ;
+        RECT 345.830 43.085 346.080 43.895 ;
+        RECT 346.250 43.255 346.500 44.105 ;
+        RECT 346.670 43.085 346.920 43.925 ;
+        RECT 347.090 43.255 347.340 44.105 ;
+        RECT 347.510 43.935 347.840 44.445 ;
+        RECT 348.030 44.275 348.565 44.645 ;
+        RECT 348.735 44.445 349.290 44.645 ;
+        RECT 349.460 44.275 349.790 44.645 ;
+        RECT 348.030 44.105 349.790 44.275 ;
+        RECT 349.960 44.275 350.290 44.645 ;
+        RECT 350.510 44.445 351.005 44.645 ;
+        RECT 351.175 44.445 351.965 44.645 ;
+        RECT 351.175 44.275 351.345 44.445 ;
+        RECT 352.135 44.345 353.785 44.865 ;
+        RECT 356.740 44.815 357.015 45.635 ;
+        RECT 357.185 44.995 357.515 45.465 ;
+        RECT 357.685 45.165 357.855 45.635 ;
+        RECT 358.025 44.995 358.355 45.465 ;
+        RECT 358.525 45.165 358.695 45.635 ;
+        RECT 358.865 44.995 359.195 45.465 ;
+        RECT 359.365 45.165 359.535 45.635 ;
+        RECT 359.705 44.995 360.035 45.465 ;
+        RECT 360.205 45.165 360.490 45.635 ;
+        RECT 357.185 44.815 360.705 44.995 ;
+        RECT 349.960 44.105 351.345 44.275 ;
+        RECT 347.510 43.765 350.880 43.935 ;
+        RECT 348.870 43.595 349.120 43.765 ;
+        RECT 350.630 43.595 350.880 43.765 ;
+        RECT 347.510 43.085 348.280 43.595 ;
+        RECT 348.450 43.425 348.700 43.595 ;
+        RECT 349.290 43.425 349.540 43.595 ;
+        RECT 348.450 43.255 349.540 43.425 ;
+        RECT 349.710 43.085 350.040 43.595 ;
+        RECT 350.210 43.425 350.460 43.595 ;
+        RECT 351.050 43.425 351.300 43.935 ;
+        RECT 350.210 43.255 351.300 43.425 ;
+        RECT 351.515 43.085 351.720 44.265 ;
+        RECT 353.955 44.175 355.645 44.695 ;
+        RECT 356.790 44.445 358.450 44.645 ;
+        RECT 358.770 44.445 360.135 44.645 ;
+        RECT 360.305 44.275 360.705 44.815 ;
+        RECT 360.875 44.865 364.385 45.635 ;
+        RECT 360.875 44.345 362.525 44.865 ;
+        RECT 364.595 44.815 364.825 45.635 ;
+        RECT 364.995 44.835 365.325 45.465 ;
+        RECT 352.135 43.085 355.645 44.175 ;
+        RECT 356.275 43.085 356.565 44.250 ;
+        RECT 356.740 44.055 358.775 44.265 ;
+        RECT 356.740 43.255 357.015 44.055 ;
+        RECT 357.185 43.085 357.515 43.885 ;
+        RECT 357.685 43.255 357.855 44.055 ;
+        RECT 358.025 43.085 358.275 43.885 ;
+        RECT 358.445 43.425 358.775 44.055 ;
+        RECT 358.945 43.975 360.705 44.275 ;
+        RECT 362.695 44.175 364.385 44.695 ;
+        RECT 364.575 44.395 364.905 44.645 ;
+        RECT 365.075 44.235 365.325 44.835 ;
+        RECT 365.495 44.815 365.705 45.635 ;
+        RECT 365.935 44.865 369.445 45.635 ;
+        RECT 370.535 44.910 370.825 45.635 ;
+        RECT 365.935 44.345 367.585 44.865 ;
+        RECT 371.000 44.815 371.275 45.635 ;
+        RECT 371.445 44.995 371.775 45.465 ;
+        RECT 371.945 45.165 372.115 45.635 ;
+        RECT 372.285 44.995 372.615 45.465 ;
+        RECT 372.785 45.165 372.955 45.635 ;
+        RECT 373.125 44.995 373.455 45.465 ;
+        RECT 373.625 45.165 373.795 45.635 ;
+        RECT 373.965 44.995 374.295 45.465 ;
+        RECT 374.465 45.165 374.750 45.635 ;
+        RECT 371.445 44.815 374.965 44.995 ;
+        RECT 358.945 43.595 359.115 43.975 ;
+        RECT 359.285 43.425 359.615 43.785 ;
+        RECT 359.785 43.595 359.955 43.975 ;
+        RECT 360.125 43.425 360.540 43.805 ;
+        RECT 358.445 43.255 360.540 43.425 ;
+        RECT 360.875 43.085 364.385 44.175 ;
+        RECT 364.595 43.085 364.825 44.225 ;
+        RECT 364.995 43.255 365.325 44.235 ;
+        RECT 365.495 43.085 365.705 44.225 ;
+        RECT 367.755 44.175 369.445 44.695 ;
+        RECT 371.050 44.445 372.710 44.645 ;
+        RECT 373.030 44.445 374.395 44.645 ;
+        RECT 374.565 44.275 374.965 44.815 ;
+        RECT 375.135 44.865 378.645 45.635 ;
+        RECT 375.135 44.345 376.785 44.865 ;
+        RECT 365.935 43.085 369.445 44.175 ;
+        RECT 370.535 43.085 370.825 44.250 ;
+        RECT 371.000 44.055 373.035 44.265 ;
+        RECT 371.000 43.255 371.275 44.055 ;
+        RECT 371.445 43.085 371.775 43.885 ;
+        RECT 371.945 43.255 372.115 44.055 ;
+        RECT 372.285 43.085 372.535 43.885 ;
+        RECT 372.705 43.425 373.035 44.055 ;
+        RECT 373.205 43.975 374.965 44.275 ;
+        RECT 376.955 44.175 378.645 44.695 ;
+        RECT 373.205 43.595 373.375 43.975 ;
+        RECT 373.545 43.425 373.875 43.785 ;
+        RECT 374.045 43.595 374.215 43.975 ;
+        RECT 374.385 43.425 374.800 43.805 ;
+        RECT 372.705 43.255 374.800 43.425 ;
+        RECT 375.135 43.085 378.645 44.175 ;
+        RECT 378.815 43.980 379.335 45.465 ;
+        RECT 379.505 44.975 379.845 45.635 ;
+        RECT 380.195 44.865 383.705 45.635 ;
+        RECT 384.795 44.910 385.085 45.635 ;
+        RECT 379.005 43.085 379.335 43.810 ;
+        RECT 379.505 43.255 380.025 44.805 ;
+        RECT 380.195 44.345 381.845 44.865 ;
+        RECT 382.015 44.175 383.705 44.695 ;
+        RECT 380.195 43.085 383.705 44.175 ;
+        RECT 384.795 43.085 385.085 44.250 ;
+        RECT 385.255 43.980 385.775 45.465 ;
+        RECT 385.945 44.975 386.285 45.635 ;
+        RECT 386.635 44.865 390.145 45.635 ;
+        RECT 385.445 43.085 385.775 43.810 ;
+        RECT 385.945 43.255 386.465 44.805 ;
+        RECT 386.635 44.345 388.285 44.865 ;
+        RECT 388.455 44.175 390.145 44.695 ;
+        RECT 386.635 43.085 390.145 44.175 ;
+        RECT 390.315 43.980 390.835 45.465 ;
+        RECT 391.005 44.975 391.345 45.635 ;
+        RECT 391.695 44.865 397.040 45.635 ;
+        RECT 397.215 44.865 398.885 45.635 ;
+        RECT 399.055 44.910 399.345 45.635 ;
+        RECT 399.515 44.865 404.860 45.635 ;
+        RECT 405.035 44.865 410.380 45.635 ;
+        RECT 410.555 44.865 413.145 45.635 ;
+        RECT 413.315 44.910 413.605 45.635 ;
+        RECT 413.775 44.865 419.120 45.635 ;
+        RECT 419.295 44.865 424.640 45.635 ;
+        RECT 424.815 44.865 427.405 45.635 ;
+        RECT 427.575 44.910 427.865 45.635 ;
+        RECT 428.035 44.865 433.380 45.635 ;
+        RECT 433.555 44.865 438.900 45.635 ;
+        RECT 439.075 44.865 441.665 45.635 ;
+        RECT 441.835 44.910 442.125 45.635 ;
+        RECT 442.295 44.865 447.640 45.635 ;
+        RECT 447.815 44.865 453.160 45.635 ;
+        RECT 453.335 44.865 455.925 45.635 ;
+        RECT 456.095 44.910 456.385 45.635 ;
+        RECT 456.555 44.865 461.900 45.635 ;
+        RECT 462.075 44.865 467.420 45.635 ;
+        RECT 467.595 44.865 470.185 45.635 ;
+        RECT 470.355 44.910 470.645 45.635 ;
+        RECT 470.815 44.865 476.160 45.635 ;
+        RECT 476.335 44.865 481.680 45.635 ;
+        RECT 481.855 44.865 484.445 45.635 ;
+        RECT 484.615 44.910 484.905 45.635 ;
+        RECT 485.075 44.865 490.420 45.635 ;
+        RECT 490.595 44.865 495.940 45.635 ;
+        RECT 496.115 44.865 498.705 45.635 ;
+        RECT 498.875 44.910 499.165 45.635 ;
+        RECT 499.335 44.865 501.005 45.635 ;
+        RECT 390.505 43.085 390.835 43.810 ;
+        RECT 391.005 43.255 391.525 44.805 ;
+        RECT 391.695 44.345 394.275 44.865 ;
+        RECT 394.445 44.175 397.040 44.695 ;
+        RECT 397.215 44.345 397.965 44.865 ;
+        RECT 398.135 44.175 398.885 44.695 ;
+        RECT 399.515 44.345 402.095 44.865 ;
+        RECT 391.695 43.085 397.040 44.175 ;
+        RECT 397.215 43.085 398.885 44.175 ;
+        RECT 399.055 43.085 399.345 44.250 ;
+        RECT 402.265 44.175 404.860 44.695 ;
+        RECT 405.035 44.345 407.615 44.865 ;
+        RECT 407.785 44.175 410.380 44.695 ;
+        RECT 410.555 44.345 411.765 44.865 ;
+        RECT 411.935 44.175 413.145 44.695 ;
+        RECT 413.775 44.345 416.355 44.865 ;
+        RECT 399.515 43.085 404.860 44.175 ;
+        RECT 405.035 43.085 410.380 44.175 ;
+        RECT 410.555 43.085 413.145 44.175 ;
+        RECT 413.315 43.085 413.605 44.250 ;
+        RECT 416.525 44.175 419.120 44.695 ;
+        RECT 419.295 44.345 421.875 44.865 ;
+        RECT 422.045 44.175 424.640 44.695 ;
+        RECT 424.815 44.345 426.025 44.865 ;
+        RECT 426.195 44.175 427.405 44.695 ;
+        RECT 428.035 44.345 430.615 44.865 ;
+        RECT 413.775 43.085 419.120 44.175 ;
+        RECT 419.295 43.085 424.640 44.175 ;
+        RECT 424.815 43.085 427.405 44.175 ;
+        RECT 427.575 43.085 427.865 44.250 ;
+        RECT 430.785 44.175 433.380 44.695 ;
+        RECT 433.555 44.345 436.135 44.865 ;
+        RECT 436.305 44.175 438.900 44.695 ;
+        RECT 439.075 44.345 440.285 44.865 ;
+        RECT 440.455 44.175 441.665 44.695 ;
+        RECT 442.295 44.345 444.875 44.865 ;
+        RECT 428.035 43.085 433.380 44.175 ;
+        RECT 433.555 43.085 438.900 44.175 ;
+        RECT 439.075 43.085 441.665 44.175 ;
+        RECT 441.835 43.085 442.125 44.250 ;
+        RECT 445.045 44.175 447.640 44.695 ;
+        RECT 447.815 44.345 450.395 44.865 ;
+        RECT 450.565 44.175 453.160 44.695 ;
+        RECT 453.335 44.345 454.545 44.865 ;
+        RECT 454.715 44.175 455.925 44.695 ;
+        RECT 456.555 44.345 459.135 44.865 ;
+        RECT 442.295 43.085 447.640 44.175 ;
+        RECT 447.815 43.085 453.160 44.175 ;
+        RECT 453.335 43.085 455.925 44.175 ;
+        RECT 456.095 43.085 456.385 44.250 ;
+        RECT 459.305 44.175 461.900 44.695 ;
+        RECT 462.075 44.345 464.655 44.865 ;
+        RECT 464.825 44.175 467.420 44.695 ;
+        RECT 467.595 44.345 468.805 44.865 ;
+        RECT 468.975 44.175 470.185 44.695 ;
+        RECT 470.815 44.345 473.395 44.865 ;
+        RECT 456.555 43.085 461.900 44.175 ;
+        RECT 462.075 43.085 467.420 44.175 ;
+        RECT 467.595 43.085 470.185 44.175 ;
+        RECT 470.355 43.085 470.645 44.250 ;
+        RECT 473.565 44.175 476.160 44.695 ;
+        RECT 476.335 44.345 478.915 44.865 ;
+        RECT 479.085 44.175 481.680 44.695 ;
+        RECT 481.855 44.345 483.065 44.865 ;
+        RECT 483.235 44.175 484.445 44.695 ;
+        RECT 485.075 44.345 487.655 44.865 ;
+        RECT 470.815 43.085 476.160 44.175 ;
+        RECT 476.335 43.085 481.680 44.175 ;
+        RECT 481.855 43.085 484.445 44.175 ;
+        RECT 484.615 43.085 484.905 44.250 ;
+        RECT 487.825 44.175 490.420 44.695 ;
+        RECT 490.595 44.345 493.175 44.865 ;
+        RECT 493.345 44.175 495.940 44.695 ;
+        RECT 496.115 44.345 497.325 44.865 ;
+        RECT 497.495 44.175 498.705 44.695 ;
+        RECT 499.335 44.345 500.085 44.865 ;
+        RECT 485.075 43.085 490.420 44.175 ;
+        RECT 490.595 43.085 495.940 44.175 ;
+        RECT 496.115 43.085 498.705 44.175 ;
+        RECT 498.875 43.085 499.165 44.250 ;
+        RECT 500.255 44.175 501.005 44.695 ;
+        RECT 499.335 43.085 501.005 44.175 ;
+        RECT 501.635 43.980 502.155 45.465 ;
+        RECT 502.325 44.975 502.665 45.635 ;
+        RECT 503.015 44.865 508.360 45.635 ;
+        RECT 508.535 44.865 512.045 45.635 ;
+        RECT 513.135 44.910 513.425 45.635 ;
+        RECT 513.595 44.865 518.940 45.635 ;
+        RECT 519.115 44.865 524.460 45.635 ;
+        RECT 524.635 44.865 527.225 45.635 ;
+        RECT 527.395 44.910 527.685 45.635 ;
+        RECT 527.855 44.865 533.200 45.635 ;
+        RECT 533.375 44.865 538.720 45.635 ;
+        RECT 538.895 44.865 541.485 45.635 ;
+        RECT 541.655 44.910 541.945 45.635 ;
+        RECT 542.115 44.865 547.460 45.635 ;
+        RECT 547.635 44.865 552.980 45.635 ;
+        RECT 553.155 44.865 555.745 45.635 ;
+        RECT 555.915 44.910 556.205 45.635 ;
+        RECT 556.375 44.865 561.720 45.635 ;
+        RECT 561.895 44.865 567.240 45.635 ;
+        RECT 567.415 44.865 570.005 45.635 ;
+        RECT 570.175 44.910 570.465 45.635 ;
+        RECT 570.635 44.865 575.980 45.635 ;
+        RECT 576.155 44.865 581.500 45.635 ;
+        RECT 581.675 44.865 584.265 45.635 ;
+        RECT 584.435 44.910 584.725 45.635 ;
+        RECT 501.825 43.085 502.155 43.810 ;
+        RECT 502.325 43.255 502.845 44.805 ;
+        RECT 503.015 44.345 505.595 44.865 ;
+        RECT 505.765 44.175 508.360 44.695 ;
+        RECT 508.535 44.345 510.185 44.865 ;
+        RECT 510.355 44.175 512.045 44.695 ;
+        RECT 513.595 44.345 516.175 44.865 ;
+        RECT 503.015 43.085 508.360 44.175 ;
+        RECT 508.535 43.085 512.045 44.175 ;
+        RECT 513.135 43.085 513.425 44.250 ;
+        RECT 516.345 44.175 518.940 44.695 ;
+        RECT 519.115 44.345 521.695 44.865 ;
+        RECT 521.865 44.175 524.460 44.695 ;
+        RECT 524.635 44.345 525.845 44.865 ;
+        RECT 526.015 44.175 527.225 44.695 ;
+        RECT 527.855 44.345 530.435 44.865 ;
+        RECT 513.595 43.085 518.940 44.175 ;
+        RECT 519.115 43.085 524.460 44.175 ;
+        RECT 524.635 43.085 527.225 44.175 ;
+        RECT 527.395 43.085 527.685 44.250 ;
+        RECT 530.605 44.175 533.200 44.695 ;
+        RECT 533.375 44.345 535.955 44.865 ;
+        RECT 536.125 44.175 538.720 44.695 ;
+        RECT 538.895 44.345 540.105 44.865 ;
+        RECT 540.275 44.175 541.485 44.695 ;
+        RECT 542.115 44.345 544.695 44.865 ;
+        RECT 527.855 43.085 533.200 44.175 ;
+        RECT 533.375 43.085 538.720 44.175 ;
+        RECT 538.895 43.085 541.485 44.175 ;
+        RECT 541.655 43.085 541.945 44.250 ;
+        RECT 544.865 44.175 547.460 44.695 ;
+        RECT 547.635 44.345 550.215 44.865 ;
+        RECT 550.385 44.175 552.980 44.695 ;
+        RECT 553.155 44.345 554.365 44.865 ;
+        RECT 554.535 44.175 555.745 44.695 ;
+        RECT 556.375 44.345 558.955 44.865 ;
+        RECT 542.115 43.085 547.460 44.175 ;
+        RECT 547.635 43.085 552.980 44.175 ;
+        RECT 553.155 43.085 555.745 44.175 ;
+        RECT 555.915 43.085 556.205 44.250 ;
+        RECT 559.125 44.175 561.720 44.695 ;
+        RECT 561.895 44.345 564.475 44.865 ;
+        RECT 564.645 44.175 567.240 44.695 ;
+        RECT 567.415 44.345 568.625 44.865 ;
+        RECT 568.795 44.175 570.005 44.695 ;
+        RECT 570.635 44.345 573.215 44.865 ;
+        RECT 556.375 43.085 561.720 44.175 ;
+        RECT 561.895 43.085 567.240 44.175 ;
+        RECT 567.415 43.085 570.005 44.175 ;
+        RECT 570.175 43.085 570.465 44.250 ;
+        RECT 573.385 44.175 575.980 44.695 ;
+        RECT 576.155 44.345 578.735 44.865 ;
+        RECT 578.905 44.175 581.500 44.695 ;
+        RECT 581.675 44.345 582.885 44.865 ;
+        RECT 583.055 44.175 584.265 44.695 ;
+        RECT 570.635 43.085 575.980 44.175 ;
+        RECT 576.155 43.085 581.500 44.175 ;
+        RECT 581.675 43.085 584.265 44.175 ;
+        RECT 584.435 43.085 584.725 44.250 ;
+        RECT 585.815 43.980 586.335 45.465 ;
+        RECT 586.505 44.975 586.845 45.635 ;
+        RECT 587.195 44.865 592.540 45.635 ;
+        RECT 592.715 44.865 598.060 45.635 ;
+        RECT 598.695 44.910 598.985 45.635 ;
+        RECT 599.155 44.865 604.500 45.635 ;
+        RECT 604.675 44.865 610.020 45.635 ;
+        RECT 610.195 44.865 612.785 45.635 ;
+        RECT 612.955 44.910 613.245 45.635 ;
+        RECT 613.415 44.865 618.760 45.635 ;
+        RECT 618.935 44.865 621.525 45.635 ;
+        RECT 586.005 43.085 586.335 43.810 ;
+        RECT 586.505 43.255 587.025 44.805 ;
+        RECT 587.195 44.345 589.775 44.865 ;
+        RECT 589.945 44.175 592.540 44.695 ;
+        RECT 592.715 44.345 595.295 44.865 ;
+        RECT 595.465 44.175 598.060 44.695 ;
+        RECT 599.155 44.345 601.735 44.865 ;
+        RECT 587.195 43.085 592.540 44.175 ;
+        RECT 592.715 43.085 598.060 44.175 ;
+        RECT 598.695 43.085 598.985 44.250 ;
+        RECT 601.905 44.175 604.500 44.695 ;
+        RECT 604.675 44.345 607.255 44.865 ;
+        RECT 607.425 44.175 610.020 44.695 ;
+        RECT 610.195 44.345 611.405 44.865 ;
+        RECT 611.575 44.175 612.785 44.695 ;
+        RECT 613.415 44.345 615.995 44.865 ;
+        RECT 599.155 43.085 604.500 44.175 ;
+        RECT 604.675 43.085 610.020 44.175 ;
+        RECT 610.195 43.085 612.785 44.175 ;
+        RECT 612.955 43.085 613.245 44.250 ;
+        RECT 616.165 44.175 618.760 44.695 ;
+        RECT 618.935 44.345 620.145 44.865 ;
+        RECT 620.315 44.175 621.525 44.695 ;
+        RECT 613.415 43.085 618.760 44.175 ;
+        RECT 618.935 43.085 621.525 44.175 ;
+        RECT 622.155 43.980 622.675 45.465 ;
+        RECT 622.845 44.975 623.185 45.635 ;
+        RECT 623.535 44.865 627.045 45.635 ;
+        RECT 627.215 44.910 627.505 45.635 ;
+        RECT 627.675 44.865 629.345 45.635 ;
+        RECT 629.975 44.885 631.185 45.635 ;
+        RECT 623.535 44.345 625.185 44.865 ;
+        RECT 625.355 44.175 627.045 44.695 ;
+        RECT 627.675 44.345 628.425 44.865 ;
+        RECT 622.345 43.085 622.675 43.810 ;
+        RECT 623.535 43.085 627.045 44.175 ;
+        RECT 627.215 43.085 627.505 44.250 ;
+        RECT 628.595 44.175 629.345 44.695 ;
+        RECT 627.675 43.085 629.345 44.175 ;
+        RECT 629.975 44.175 630.495 44.715 ;
+        RECT 630.665 44.345 631.185 44.885 ;
+        RECT 629.975 43.085 631.185 44.175 ;
+        RECT 42.470 42.915 631.270 43.085 ;
+        RECT 155.775 40.705 155.945 42.235 ;
+        RECT 163.135 39.685 163.305 41.895 ;
+        RECT 175.095 38.665 175.265 41.895 ;
+        RECT 215.115 39.005 215.285 42.575 ;
+        RECT 221.555 39.345 221.725 42.575 ;
+        RECT 223.855 41.725 224.485 41.895 ;
+        RECT 224.775 41.215 224.945 42.235 ;
+        RECT 223.395 41.045 224.945 41.215 ;
+        RECT 258.355 39.685 258.525 40.875 ;
+      LAYER L1M1_PR_C ;
+        RECT 42.615 53.795 42.785 53.965 ;
+        RECT 43.075 53.795 43.245 53.965 ;
+        RECT 43.535 53.795 43.705 53.965 ;
+        RECT 43.995 53.795 44.165 53.965 ;
+        RECT 44.455 53.795 44.625 53.965 ;
+        RECT 44.915 53.795 45.085 53.965 ;
+        RECT 45.375 53.795 45.545 53.965 ;
+        RECT 45.835 53.795 46.005 53.965 ;
+        RECT 46.295 53.795 46.465 53.965 ;
+        RECT 46.755 53.795 46.925 53.965 ;
+        RECT 47.215 53.795 47.385 53.965 ;
+        RECT 47.675 53.795 47.845 53.965 ;
+        RECT 48.135 53.795 48.305 53.965 ;
+        RECT 48.595 53.795 48.765 53.965 ;
+        RECT 49.055 53.795 49.225 53.965 ;
+        RECT 49.515 53.795 49.685 53.965 ;
+        RECT 49.975 53.795 50.145 53.965 ;
+        RECT 50.435 53.795 50.605 53.965 ;
+        RECT 50.895 53.795 51.065 53.965 ;
+        RECT 51.355 53.795 51.525 53.965 ;
+        RECT 51.815 53.795 51.985 53.965 ;
+        RECT 52.275 53.795 52.445 53.965 ;
+        RECT 52.735 53.795 52.905 53.965 ;
+        RECT 53.195 53.795 53.365 53.965 ;
+        RECT 53.655 53.795 53.825 53.965 ;
+        RECT 54.115 53.795 54.285 53.965 ;
+        RECT 54.575 53.795 54.745 53.965 ;
+        RECT 55.035 53.795 55.205 53.965 ;
+        RECT 55.495 53.795 55.665 53.965 ;
+        RECT 55.955 53.795 56.125 53.965 ;
+        RECT 56.415 53.795 56.585 53.965 ;
+        RECT 56.875 53.795 57.045 53.965 ;
+        RECT 57.335 53.795 57.505 53.965 ;
+        RECT 57.795 53.795 57.965 53.965 ;
+        RECT 58.255 53.795 58.425 53.965 ;
+        RECT 58.715 53.795 58.885 53.965 ;
+        RECT 59.175 53.795 59.345 53.965 ;
+        RECT 59.635 53.795 59.805 53.965 ;
+        RECT 60.095 53.795 60.265 53.965 ;
+        RECT 60.555 53.795 60.725 53.965 ;
+        RECT 61.015 53.795 61.185 53.965 ;
+        RECT 61.475 53.795 61.645 53.965 ;
+        RECT 61.935 53.795 62.105 53.965 ;
+        RECT 62.395 53.795 62.565 53.965 ;
+        RECT 62.855 53.795 63.025 53.965 ;
+        RECT 63.315 53.795 63.485 53.965 ;
+        RECT 63.775 53.795 63.945 53.965 ;
+        RECT 64.235 53.795 64.405 53.965 ;
+        RECT 64.695 53.795 64.865 53.965 ;
+        RECT 65.155 53.795 65.325 53.965 ;
+        RECT 65.615 53.795 65.785 53.965 ;
+        RECT 66.075 53.795 66.245 53.965 ;
+        RECT 66.535 53.795 66.705 53.965 ;
+        RECT 66.995 53.795 67.165 53.965 ;
+        RECT 67.455 53.795 67.625 53.965 ;
+        RECT 67.915 53.795 68.085 53.965 ;
+        RECT 68.375 53.795 68.545 53.965 ;
+        RECT 68.835 53.795 69.005 53.965 ;
+        RECT 69.295 53.795 69.465 53.965 ;
+        RECT 69.755 53.795 69.925 53.965 ;
+        RECT 70.215 53.795 70.385 53.965 ;
+        RECT 70.675 53.795 70.845 53.965 ;
+        RECT 71.135 53.795 71.305 53.965 ;
+        RECT 71.595 53.795 71.765 53.965 ;
+        RECT 72.055 53.795 72.225 53.965 ;
+        RECT 72.515 53.795 72.685 53.965 ;
+        RECT 72.975 53.795 73.145 53.965 ;
+        RECT 73.435 53.795 73.605 53.965 ;
+        RECT 73.895 53.795 74.065 53.965 ;
+        RECT 74.355 53.795 74.525 53.965 ;
+        RECT 74.815 53.795 74.985 53.965 ;
+        RECT 75.275 53.795 75.445 53.965 ;
+        RECT 75.735 53.795 75.905 53.965 ;
+        RECT 76.195 53.795 76.365 53.965 ;
+        RECT 76.655 53.795 76.825 53.965 ;
+        RECT 77.115 53.795 77.285 53.965 ;
+        RECT 77.575 53.795 77.745 53.965 ;
+        RECT 78.035 53.795 78.205 53.965 ;
+        RECT 78.495 53.795 78.665 53.965 ;
+        RECT 78.955 53.795 79.125 53.965 ;
+        RECT 79.415 53.795 79.585 53.965 ;
+        RECT 79.875 53.795 80.045 53.965 ;
+        RECT 80.335 53.795 80.505 53.965 ;
+        RECT 80.795 53.795 80.965 53.965 ;
+        RECT 81.255 53.795 81.425 53.965 ;
+        RECT 81.715 53.795 81.885 53.965 ;
+        RECT 82.175 53.795 82.345 53.965 ;
+        RECT 82.635 53.795 82.805 53.965 ;
+        RECT 83.095 53.795 83.265 53.965 ;
+        RECT 83.555 53.795 83.725 53.965 ;
+        RECT 84.015 53.795 84.185 53.965 ;
+        RECT 84.475 53.795 84.645 53.965 ;
+        RECT 84.935 53.795 85.105 53.965 ;
+        RECT 85.395 53.795 85.565 53.965 ;
+        RECT 85.855 53.795 86.025 53.965 ;
+        RECT 86.315 53.795 86.485 53.965 ;
+        RECT 86.775 53.795 86.945 53.965 ;
+        RECT 87.235 53.795 87.405 53.965 ;
+        RECT 87.695 53.795 87.865 53.965 ;
+        RECT 88.155 53.795 88.325 53.965 ;
+        RECT 88.615 53.795 88.785 53.965 ;
+        RECT 89.075 53.795 89.245 53.965 ;
+        RECT 89.535 53.795 89.705 53.965 ;
+        RECT 89.995 53.795 90.165 53.965 ;
+        RECT 90.455 53.795 90.625 53.965 ;
+        RECT 90.915 53.795 91.085 53.965 ;
+        RECT 91.375 53.795 91.545 53.965 ;
+        RECT 91.835 53.795 92.005 53.965 ;
+        RECT 92.295 53.795 92.465 53.965 ;
+        RECT 92.755 53.795 92.925 53.965 ;
+        RECT 93.215 53.795 93.385 53.965 ;
+        RECT 93.675 53.795 93.845 53.965 ;
+        RECT 94.135 53.795 94.305 53.965 ;
+        RECT 94.595 53.795 94.765 53.965 ;
+        RECT 95.055 53.795 95.225 53.965 ;
+        RECT 95.515 53.795 95.685 53.965 ;
+        RECT 95.975 53.795 96.145 53.965 ;
+        RECT 96.435 53.795 96.605 53.965 ;
+        RECT 96.895 53.795 97.065 53.965 ;
+        RECT 97.355 53.795 97.525 53.965 ;
+        RECT 97.815 53.795 97.985 53.965 ;
+        RECT 98.275 53.795 98.445 53.965 ;
+        RECT 98.735 53.795 98.905 53.965 ;
+        RECT 99.195 53.795 99.365 53.965 ;
+        RECT 99.655 53.795 99.825 53.965 ;
+        RECT 100.115 53.795 100.285 53.965 ;
+        RECT 100.575 53.795 100.745 53.965 ;
+        RECT 101.035 53.795 101.205 53.965 ;
+        RECT 101.495 53.795 101.665 53.965 ;
+        RECT 101.955 53.795 102.125 53.965 ;
+        RECT 102.415 53.795 102.585 53.965 ;
+        RECT 102.875 53.795 103.045 53.965 ;
+        RECT 103.335 53.795 103.505 53.965 ;
+        RECT 103.795 53.795 103.965 53.965 ;
+        RECT 104.255 53.795 104.425 53.965 ;
+        RECT 104.715 53.795 104.885 53.965 ;
+        RECT 105.175 53.795 105.345 53.965 ;
+        RECT 105.635 53.795 105.805 53.965 ;
+        RECT 106.095 53.795 106.265 53.965 ;
+        RECT 106.555 53.795 106.725 53.965 ;
+        RECT 107.015 53.795 107.185 53.965 ;
+        RECT 107.475 53.795 107.645 53.965 ;
+        RECT 107.935 53.795 108.105 53.965 ;
+        RECT 108.395 53.795 108.565 53.965 ;
+        RECT 108.855 53.795 109.025 53.965 ;
+        RECT 109.315 53.795 109.485 53.965 ;
+        RECT 109.775 53.795 109.945 53.965 ;
+        RECT 110.235 53.795 110.405 53.965 ;
+        RECT 110.695 53.795 110.865 53.965 ;
+        RECT 111.155 53.795 111.325 53.965 ;
+        RECT 111.615 53.795 111.785 53.965 ;
+        RECT 112.075 53.795 112.245 53.965 ;
+        RECT 112.535 53.795 112.705 53.965 ;
+        RECT 112.995 53.795 113.165 53.965 ;
+        RECT 113.455 53.795 113.625 53.965 ;
+        RECT 113.915 53.795 114.085 53.965 ;
+        RECT 114.375 53.795 114.545 53.965 ;
+        RECT 114.835 53.795 115.005 53.965 ;
+        RECT 115.295 53.795 115.465 53.965 ;
+        RECT 115.755 53.795 115.925 53.965 ;
+        RECT 116.215 53.795 116.385 53.965 ;
+        RECT 116.675 53.795 116.845 53.965 ;
+        RECT 117.135 53.795 117.305 53.965 ;
+        RECT 117.595 53.795 117.765 53.965 ;
+        RECT 118.055 53.795 118.225 53.965 ;
+        RECT 118.515 53.795 118.685 53.965 ;
+        RECT 118.975 53.795 119.145 53.965 ;
+        RECT 119.435 53.795 119.605 53.965 ;
+        RECT 119.895 53.795 120.065 53.965 ;
+        RECT 120.355 53.795 120.525 53.965 ;
+        RECT 120.815 53.795 120.985 53.965 ;
+        RECT 121.275 53.795 121.445 53.965 ;
+        RECT 121.735 53.795 121.905 53.965 ;
+        RECT 122.195 53.795 122.365 53.965 ;
+        RECT 122.655 53.795 122.825 53.965 ;
+        RECT 123.115 53.795 123.285 53.965 ;
+        RECT 123.575 53.795 123.745 53.965 ;
+        RECT 124.035 53.795 124.205 53.965 ;
+        RECT 124.495 53.795 124.665 53.965 ;
+        RECT 124.955 53.795 125.125 53.965 ;
+        RECT 125.415 53.795 125.585 53.965 ;
+        RECT 125.875 53.795 126.045 53.965 ;
+        RECT 126.335 53.795 126.505 53.965 ;
+        RECT 126.795 53.795 126.965 53.965 ;
+        RECT 127.255 53.795 127.425 53.965 ;
+        RECT 127.715 53.795 127.885 53.965 ;
+        RECT 128.175 53.795 128.345 53.965 ;
+        RECT 128.635 53.795 128.805 53.965 ;
+        RECT 129.095 53.795 129.265 53.965 ;
+        RECT 129.555 53.795 129.725 53.965 ;
+        RECT 130.015 53.795 130.185 53.965 ;
+        RECT 130.475 53.795 130.645 53.965 ;
+        RECT 130.935 53.795 131.105 53.965 ;
+        RECT 131.395 53.795 131.565 53.965 ;
+        RECT 131.855 53.795 132.025 53.965 ;
+        RECT 132.315 53.795 132.485 53.965 ;
+        RECT 132.775 53.795 132.945 53.965 ;
+        RECT 133.235 53.795 133.405 53.965 ;
+        RECT 133.695 53.795 133.865 53.965 ;
+        RECT 134.155 53.795 134.325 53.965 ;
+        RECT 134.615 53.795 134.785 53.965 ;
+        RECT 135.075 53.795 135.245 53.965 ;
+        RECT 135.535 53.795 135.705 53.965 ;
+        RECT 135.995 53.795 136.165 53.965 ;
+        RECT 136.455 53.795 136.625 53.965 ;
+        RECT 136.915 53.795 137.085 53.965 ;
+        RECT 137.375 53.795 137.545 53.965 ;
+        RECT 137.835 53.795 138.005 53.965 ;
+        RECT 138.295 53.795 138.465 53.965 ;
+        RECT 138.755 53.795 138.925 53.965 ;
+        RECT 139.215 53.795 139.385 53.965 ;
+        RECT 139.675 53.795 139.845 53.965 ;
+        RECT 140.135 53.795 140.305 53.965 ;
+        RECT 140.595 53.795 140.765 53.965 ;
+        RECT 141.055 53.795 141.225 53.965 ;
+        RECT 141.515 53.795 141.685 53.965 ;
+        RECT 141.975 53.795 142.145 53.965 ;
+        RECT 142.435 53.795 142.605 53.965 ;
+        RECT 142.895 53.795 143.065 53.965 ;
+        RECT 143.355 53.795 143.525 53.965 ;
+        RECT 143.815 53.795 143.985 53.965 ;
+        RECT 144.275 53.795 144.445 53.965 ;
+        RECT 144.735 53.795 144.905 53.965 ;
+        RECT 145.195 53.795 145.365 53.965 ;
+        RECT 145.655 53.795 145.825 53.965 ;
+        RECT 146.115 53.795 146.285 53.965 ;
+        RECT 146.575 53.795 146.745 53.965 ;
+        RECT 147.035 53.795 147.205 53.965 ;
+        RECT 147.495 53.795 147.665 53.965 ;
+        RECT 147.955 53.795 148.125 53.965 ;
+        RECT 148.415 53.795 148.585 53.965 ;
+        RECT 148.875 53.795 149.045 53.965 ;
+        RECT 149.335 53.795 149.505 53.965 ;
+        RECT 149.795 53.795 149.965 53.965 ;
+        RECT 150.255 53.795 150.425 53.965 ;
+        RECT 150.715 53.795 150.885 53.965 ;
+        RECT 151.175 53.795 151.345 53.965 ;
+        RECT 151.635 53.795 151.805 53.965 ;
+        RECT 152.095 53.795 152.265 53.965 ;
+        RECT 152.555 53.795 152.725 53.965 ;
+        RECT 153.015 53.795 153.185 53.965 ;
+        RECT 153.475 53.795 153.645 53.965 ;
+        RECT 153.935 53.795 154.105 53.965 ;
+        RECT 154.395 53.795 154.565 53.965 ;
+        RECT 154.855 53.795 155.025 53.965 ;
+        RECT 155.315 53.795 155.485 53.965 ;
+        RECT 155.775 53.795 155.945 53.965 ;
+        RECT 156.235 53.795 156.405 53.965 ;
+        RECT 156.695 53.795 156.865 53.965 ;
+        RECT 157.155 53.795 157.325 53.965 ;
+        RECT 157.615 53.795 157.785 53.965 ;
+        RECT 158.075 53.795 158.245 53.965 ;
+        RECT 158.535 53.795 158.705 53.965 ;
+        RECT 158.995 53.795 159.165 53.965 ;
+        RECT 159.455 53.795 159.625 53.965 ;
+        RECT 159.915 53.795 160.085 53.965 ;
+        RECT 160.375 53.795 160.545 53.965 ;
+        RECT 160.835 53.795 161.005 53.965 ;
+        RECT 161.295 53.795 161.465 53.965 ;
+        RECT 161.755 53.795 161.925 53.965 ;
+        RECT 162.215 53.795 162.385 53.965 ;
+        RECT 162.675 53.795 162.845 53.965 ;
+        RECT 163.135 53.795 163.305 53.965 ;
+        RECT 163.595 53.795 163.765 53.965 ;
+        RECT 164.055 53.795 164.225 53.965 ;
+        RECT 164.515 53.795 164.685 53.965 ;
+        RECT 164.975 53.795 165.145 53.965 ;
+        RECT 165.435 53.795 165.605 53.965 ;
+        RECT 165.895 53.795 166.065 53.965 ;
+        RECT 166.355 53.795 166.525 53.965 ;
+        RECT 166.815 53.795 166.985 53.965 ;
+        RECT 167.275 53.795 167.445 53.965 ;
+        RECT 167.735 53.795 167.905 53.965 ;
+        RECT 168.195 53.795 168.365 53.965 ;
+        RECT 168.655 53.795 168.825 53.965 ;
+        RECT 169.115 53.795 169.285 53.965 ;
+        RECT 169.575 53.795 169.745 53.965 ;
+        RECT 170.035 53.795 170.205 53.965 ;
+        RECT 170.495 53.795 170.665 53.965 ;
+        RECT 170.955 53.795 171.125 53.965 ;
+        RECT 171.415 53.795 171.585 53.965 ;
+        RECT 171.875 53.795 172.045 53.965 ;
+        RECT 172.335 53.795 172.505 53.965 ;
+        RECT 172.795 53.795 172.965 53.965 ;
+        RECT 173.255 53.795 173.425 53.965 ;
+        RECT 173.715 53.795 173.885 53.965 ;
+        RECT 174.175 53.795 174.345 53.965 ;
+        RECT 174.635 53.795 174.805 53.965 ;
+        RECT 175.095 53.795 175.265 53.965 ;
+        RECT 175.555 53.795 175.725 53.965 ;
+        RECT 176.015 53.795 176.185 53.965 ;
+        RECT 176.475 53.795 176.645 53.965 ;
+        RECT 176.935 53.795 177.105 53.965 ;
+        RECT 177.395 53.795 177.565 53.965 ;
+        RECT 177.855 53.795 178.025 53.965 ;
+        RECT 178.315 53.795 178.485 53.965 ;
+        RECT 178.775 53.795 178.945 53.965 ;
+        RECT 179.235 53.795 179.405 53.965 ;
+        RECT 179.695 53.795 179.865 53.965 ;
+        RECT 180.155 53.795 180.325 53.965 ;
+        RECT 180.615 53.795 180.785 53.965 ;
+        RECT 181.075 53.795 181.245 53.965 ;
+        RECT 181.535 53.795 181.705 53.965 ;
+        RECT 181.995 53.795 182.165 53.965 ;
+        RECT 182.455 53.795 182.625 53.965 ;
+        RECT 182.915 53.795 183.085 53.965 ;
+        RECT 183.375 53.795 183.545 53.965 ;
+        RECT 183.835 53.795 184.005 53.965 ;
+        RECT 184.295 53.795 184.465 53.965 ;
+        RECT 184.755 53.795 184.925 53.965 ;
+        RECT 185.215 53.795 185.385 53.965 ;
+        RECT 185.675 53.795 185.845 53.965 ;
+        RECT 186.135 53.795 186.305 53.965 ;
+        RECT 186.595 53.795 186.765 53.965 ;
+        RECT 187.055 53.795 187.225 53.965 ;
+        RECT 187.515 53.795 187.685 53.965 ;
+        RECT 187.975 53.795 188.145 53.965 ;
+        RECT 188.435 53.795 188.605 53.965 ;
+        RECT 188.895 53.795 189.065 53.965 ;
+        RECT 189.355 53.795 189.525 53.965 ;
+        RECT 189.815 53.795 189.985 53.965 ;
+        RECT 190.275 53.795 190.445 53.965 ;
+        RECT 190.735 53.795 190.905 53.965 ;
+        RECT 191.195 53.795 191.365 53.965 ;
+        RECT 191.655 53.795 191.825 53.965 ;
+        RECT 192.115 53.795 192.285 53.965 ;
+        RECT 192.575 53.795 192.745 53.965 ;
+        RECT 193.035 53.795 193.205 53.965 ;
+        RECT 193.495 53.795 193.665 53.965 ;
+        RECT 193.955 53.795 194.125 53.965 ;
+        RECT 194.415 53.795 194.585 53.965 ;
+        RECT 194.875 53.795 195.045 53.965 ;
+        RECT 195.335 53.795 195.505 53.965 ;
+        RECT 195.795 53.795 195.965 53.965 ;
+        RECT 196.255 53.795 196.425 53.965 ;
+        RECT 196.715 53.795 196.885 53.965 ;
+        RECT 197.175 53.795 197.345 53.965 ;
+        RECT 197.635 53.795 197.805 53.965 ;
+        RECT 198.095 53.795 198.265 53.965 ;
+        RECT 198.555 53.795 198.725 53.965 ;
+        RECT 199.015 53.795 199.185 53.965 ;
+        RECT 199.475 53.795 199.645 53.965 ;
+        RECT 199.935 53.795 200.105 53.965 ;
+        RECT 200.395 53.795 200.565 53.965 ;
+        RECT 200.855 53.795 201.025 53.965 ;
+        RECT 201.315 53.795 201.485 53.965 ;
+        RECT 201.775 53.795 201.945 53.965 ;
+        RECT 202.235 53.795 202.405 53.965 ;
+        RECT 202.695 53.795 202.865 53.965 ;
+        RECT 203.155 53.795 203.325 53.965 ;
+        RECT 203.615 53.795 203.785 53.965 ;
+        RECT 204.075 53.795 204.245 53.965 ;
+        RECT 204.535 53.795 204.705 53.965 ;
+        RECT 204.995 53.795 205.165 53.965 ;
+        RECT 205.455 53.795 205.625 53.965 ;
+        RECT 205.915 53.795 206.085 53.965 ;
+        RECT 206.375 53.795 206.545 53.965 ;
+        RECT 206.835 53.795 207.005 53.965 ;
+        RECT 207.295 53.795 207.465 53.965 ;
+        RECT 207.755 53.795 207.925 53.965 ;
+        RECT 208.215 53.795 208.385 53.965 ;
+        RECT 208.675 53.795 208.845 53.965 ;
+        RECT 209.135 53.795 209.305 53.965 ;
+        RECT 209.595 53.795 209.765 53.965 ;
+        RECT 210.055 53.795 210.225 53.965 ;
+        RECT 210.515 53.795 210.685 53.965 ;
+        RECT 210.975 53.795 211.145 53.965 ;
+        RECT 211.435 53.795 211.605 53.965 ;
+        RECT 211.895 53.795 212.065 53.965 ;
+        RECT 212.355 53.795 212.525 53.965 ;
+        RECT 212.815 53.795 212.985 53.965 ;
+        RECT 213.275 53.795 213.445 53.965 ;
+        RECT 213.735 53.795 213.905 53.965 ;
+        RECT 214.195 53.795 214.365 53.965 ;
+        RECT 214.655 53.795 214.825 53.965 ;
+        RECT 215.115 53.795 215.285 53.965 ;
+        RECT 215.575 53.795 215.745 53.965 ;
+        RECT 216.035 53.795 216.205 53.965 ;
+        RECT 216.495 53.795 216.665 53.965 ;
+        RECT 216.955 53.795 217.125 53.965 ;
+        RECT 217.415 53.795 217.585 53.965 ;
+        RECT 217.875 53.795 218.045 53.965 ;
+        RECT 218.335 53.795 218.505 53.965 ;
+        RECT 218.795 53.795 218.965 53.965 ;
+        RECT 219.255 53.795 219.425 53.965 ;
+        RECT 219.715 53.795 219.885 53.965 ;
+        RECT 220.175 53.795 220.345 53.965 ;
+        RECT 220.635 53.795 220.805 53.965 ;
+        RECT 221.095 53.795 221.265 53.965 ;
+        RECT 221.555 53.795 221.725 53.965 ;
+        RECT 222.015 53.795 222.185 53.965 ;
+        RECT 222.475 53.795 222.645 53.965 ;
+        RECT 222.935 53.795 223.105 53.965 ;
+        RECT 223.395 53.795 223.565 53.965 ;
+        RECT 223.855 53.795 224.025 53.965 ;
+        RECT 224.315 53.795 224.485 53.965 ;
+        RECT 224.775 53.795 224.945 53.965 ;
+        RECT 225.235 53.795 225.405 53.965 ;
+        RECT 225.695 53.795 225.865 53.965 ;
+        RECT 226.155 53.795 226.325 53.965 ;
+        RECT 226.615 53.795 226.785 53.965 ;
+        RECT 227.075 53.795 227.245 53.965 ;
+        RECT 227.535 53.795 227.705 53.965 ;
+        RECT 227.995 53.795 228.165 53.965 ;
+        RECT 228.455 53.795 228.625 53.965 ;
+        RECT 228.915 53.795 229.085 53.965 ;
+        RECT 229.375 53.795 229.545 53.965 ;
+        RECT 229.835 53.795 230.005 53.965 ;
+        RECT 230.295 53.795 230.465 53.965 ;
+        RECT 230.755 53.795 230.925 53.965 ;
+        RECT 231.215 53.795 231.385 53.965 ;
+        RECT 231.675 53.795 231.845 53.965 ;
+        RECT 232.135 53.795 232.305 53.965 ;
+        RECT 232.595 53.795 232.765 53.965 ;
+        RECT 233.055 53.795 233.225 53.965 ;
+        RECT 233.515 53.795 233.685 53.965 ;
+        RECT 233.975 53.795 234.145 53.965 ;
+        RECT 234.435 53.795 234.605 53.965 ;
+        RECT 234.895 53.795 235.065 53.965 ;
+        RECT 235.355 53.795 235.525 53.965 ;
+        RECT 235.815 53.795 235.985 53.965 ;
+        RECT 236.275 53.795 236.445 53.965 ;
+        RECT 236.735 53.795 236.905 53.965 ;
+        RECT 237.195 53.795 237.365 53.965 ;
+        RECT 237.655 53.795 237.825 53.965 ;
+        RECT 238.115 53.795 238.285 53.965 ;
+        RECT 238.575 53.795 238.745 53.965 ;
+        RECT 239.035 53.795 239.205 53.965 ;
+        RECT 239.495 53.795 239.665 53.965 ;
+        RECT 239.955 53.795 240.125 53.965 ;
+        RECT 240.415 53.795 240.585 53.965 ;
+        RECT 240.875 53.795 241.045 53.965 ;
+        RECT 241.335 53.795 241.505 53.965 ;
+        RECT 241.795 53.795 241.965 53.965 ;
+        RECT 242.255 53.795 242.425 53.965 ;
+        RECT 242.715 53.795 242.885 53.965 ;
+        RECT 243.175 53.795 243.345 53.965 ;
+        RECT 243.635 53.795 243.805 53.965 ;
+        RECT 244.095 53.795 244.265 53.965 ;
+        RECT 244.555 53.795 244.725 53.965 ;
+        RECT 245.015 53.795 245.185 53.965 ;
+        RECT 245.475 53.795 245.645 53.965 ;
+        RECT 245.935 53.795 246.105 53.965 ;
+        RECT 246.395 53.795 246.565 53.965 ;
+        RECT 246.855 53.795 247.025 53.965 ;
+        RECT 247.315 53.795 247.485 53.965 ;
+        RECT 247.775 53.795 247.945 53.965 ;
+        RECT 248.235 53.795 248.405 53.965 ;
+        RECT 248.695 53.795 248.865 53.965 ;
+        RECT 249.155 53.795 249.325 53.965 ;
+        RECT 249.615 53.795 249.785 53.965 ;
+        RECT 250.075 53.795 250.245 53.965 ;
+        RECT 250.535 53.795 250.705 53.965 ;
+        RECT 250.995 53.795 251.165 53.965 ;
+        RECT 251.455 53.795 251.625 53.965 ;
+        RECT 251.915 53.795 252.085 53.965 ;
+        RECT 252.375 53.795 252.545 53.965 ;
+        RECT 252.835 53.795 253.005 53.965 ;
+        RECT 253.295 53.795 253.465 53.965 ;
+        RECT 253.755 53.795 253.925 53.965 ;
+        RECT 254.215 53.795 254.385 53.965 ;
+        RECT 254.675 53.795 254.845 53.965 ;
+        RECT 255.135 53.795 255.305 53.965 ;
+        RECT 255.595 53.795 255.765 53.965 ;
+        RECT 256.055 53.795 256.225 53.965 ;
+        RECT 256.515 53.795 256.685 53.965 ;
+        RECT 256.975 53.795 257.145 53.965 ;
+        RECT 257.435 53.795 257.605 53.965 ;
+        RECT 257.895 53.795 258.065 53.965 ;
+        RECT 258.355 53.795 258.525 53.965 ;
+        RECT 258.815 53.795 258.985 53.965 ;
+        RECT 259.275 53.795 259.445 53.965 ;
+        RECT 259.735 53.795 259.905 53.965 ;
+        RECT 260.195 53.795 260.365 53.965 ;
+        RECT 260.655 53.795 260.825 53.965 ;
+        RECT 261.115 53.795 261.285 53.965 ;
+        RECT 261.575 53.795 261.745 53.965 ;
+        RECT 262.035 53.795 262.205 53.965 ;
+        RECT 262.495 53.795 262.665 53.965 ;
+        RECT 262.955 53.795 263.125 53.965 ;
+        RECT 263.415 53.795 263.585 53.965 ;
+        RECT 263.875 53.795 264.045 53.965 ;
+        RECT 264.335 53.795 264.505 53.965 ;
+        RECT 264.795 53.795 264.965 53.965 ;
+        RECT 265.255 53.795 265.425 53.965 ;
+        RECT 265.715 53.795 265.885 53.965 ;
+        RECT 266.175 53.795 266.345 53.965 ;
+        RECT 266.635 53.795 266.805 53.965 ;
+        RECT 267.095 53.795 267.265 53.965 ;
+        RECT 267.555 53.795 267.725 53.965 ;
+        RECT 268.015 53.795 268.185 53.965 ;
+        RECT 268.475 53.795 268.645 53.965 ;
+        RECT 268.935 53.795 269.105 53.965 ;
+        RECT 269.395 53.795 269.565 53.965 ;
+        RECT 269.855 53.795 270.025 53.965 ;
+        RECT 270.315 53.795 270.485 53.965 ;
+        RECT 270.775 53.795 270.945 53.965 ;
+        RECT 271.235 53.795 271.405 53.965 ;
+        RECT 271.695 53.795 271.865 53.965 ;
+        RECT 272.155 53.795 272.325 53.965 ;
+        RECT 272.615 53.795 272.785 53.965 ;
+        RECT 273.075 53.795 273.245 53.965 ;
+        RECT 273.535 53.795 273.705 53.965 ;
+        RECT 273.995 53.795 274.165 53.965 ;
+        RECT 274.455 53.795 274.625 53.965 ;
+        RECT 274.915 53.795 275.085 53.965 ;
+        RECT 275.375 53.795 275.545 53.965 ;
+        RECT 275.835 53.795 276.005 53.965 ;
+        RECT 276.295 53.795 276.465 53.965 ;
+        RECT 276.755 53.795 276.925 53.965 ;
+        RECT 277.215 53.795 277.385 53.965 ;
+        RECT 277.675 53.795 277.845 53.965 ;
+        RECT 278.135 53.795 278.305 53.965 ;
+        RECT 278.595 53.795 278.765 53.965 ;
+        RECT 279.055 53.795 279.225 53.965 ;
+        RECT 279.515 53.795 279.685 53.965 ;
+        RECT 279.975 53.795 280.145 53.965 ;
+        RECT 280.435 53.795 280.605 53.965 ;
+        RECT 280.895 53.795 281.065 53.965 ;
+        RECT 281.355 53.795 281.525 53.965 ;
+        RECT 281.815 53.795 281.985 53.965 ;
+        RECT 282.275 53.795 282.445 53.965 ;
+        RECT 282.735 53.795 282.905 53.965 ;
+        RECT 283.195 53.795 283.365 53.965 ;
+        RECT 283.655 53.795 283.825 53.965 ;
+        RECT 284.115 53.795 284.285 53.965 ;
+        RECT 284.575 53.795 284.745 53.965 ;
+        RECT 285.035 53.795 285.205 53.965 ;
+        RECT 285.495 53.795 285.665 53.965 ;
+        RECT 285.955 53.795 286.125 53.965 ;
+        RECT 286.415 53.795 286.585 53.965 ;
+        RECT 286.875 53.795 287.045 53.965 ;
+        RECT 287.335 53.795 287.505 53.965 ;
+        RECT 287.795 53.795 287.965 53.965 ;
+        RECT 288.255 53.795 288.425 53.965 ;
+        RECT 288.715 53.795 288.885 53.965 ;
+        RECT 289.175 53.795 289.345 53.965 ;
+        RECT 289.635 53.795 289.805 53.965 ;
+        RECT 290.095 53.795 290.265 53.965 ;
+        RECT 290.555 53.795 290.725 53.965 ;
+        RECT 291.015 53.795 291.185 53.965 ;
+        RECT 291.475 53.795 291.645 53.965 ;
+        RECT 291.935 53.795 292.105 53.965 ;
+        RECT 292.395 53.795 292.565 53.965 ;
+        RECT 292.855 53.795 293.025 53.965 ;
+        RECT 293.315 53.795 293.485 53.965 ;
+        RECT 293.775 53.795 293.945 53.965 ;
+        RECT 294.235 53.795 294.405 53.965 ;
+        RECT 294.695 53.795 294.865 53.965 ;
+        RECT 295.155 53.795 295.325 53.965 ;
+        RECT 295.615 53.795 295.785 53.965 ;
+        RECT 296.075 53.795 296.245 53.965 ;
+        RECT 296.535 53.795 296.705 53.965 ;
+        RECT 296.995 53.795 297.165 53.965 ;
+        RECT 297.455 53.795 297.625 53.965 ;
+        RECT 297.915 53.795 298.085 53.965 ;
+        RECT 298.375 53.795 298.545 53.965 ;
+        RECT 298.835 53.795 299.005 53.965 ;
+        RECT 299.295 53.795 299.465 53.965 ;
+        RECT 299.755 53.795 299.925 53.965 ;
+        RECT 300.215 53.795 300.385 53.965 ;
+        RECT 300.675 53.795 300.845 53.965 ;
+        RECT 301.135 53.795 301.305 53.965 ;
+        RECT 301.595 53.795 301.765 53.965 ;
+        RECT 302.055 53.795 302.225 53.965 ;
+        RECT 302.515 53.795 302.685 53.965 ;
+        RECT 302.975 53.795 303.145 53.965 ;
+        RECT 303.435 53.795 303.605 53.965 ;
+        RECT 303.895 53.795 304.065 53.965 ;
+        RECT 304.355 53.795 304.525 53.965 ;
+        RECT 304.815 53.795 304.985 53.965 ;
+        RECT 305.275 53.795 305.445 53.965 ;
+        RECT 305.735 53.795 305.905 53.965 ;
+        RECT 306.195 53.795 306.365 53.965 ;
+        RECT 306.655 53.795 306.825 53.965 ;
+        RECT 307.115 53.795 307.285 53.965 ;
+        RECT 307.575 53.795 307.745 53.965 ;
+        RECT 308.035 53.795 308.205 53.965 ;
+        RECT 308.495 53.795 308.665 53.965 ;
+        RECT 308.955 53.795 309.125 53.965 ;
+        RECT 309.415 53.795 309.585 53.965 ;
+        RECT 309.875 53.795 310.045 53.965 ;
+        RECT 310.335 53.795 310.505 53.965 ;
+        RECT 310.795 53.795 310.965 53.965 ;
+        RECT 311.255 53.795 311.425 53.965 ;
+        RECT 311.715 53.795 311.885 53.965 ;
+        RECT 312.175 53.795 312.345 53.965 ;
+        RECT 312.635 53.795 312.805 53.965 ;
+        RECT 313.095 53.795 313.265 53.965 ;
+        RECT 313.555 53.795 313.725 53.965 ;
+        RECT 314.015 53.795 314.185 53.965 ;
+        RECT 314.475 53.795 314.645 53.965 ;
+        RECT 314.935 53.795 315.105 53.965 ;
+        RECT 315.395 53.795 315.565 53.965 ;
+        RECT 315.855 53.795 316.025 53.965 ;
+        RECT 316.315 53.795 316.485 53.965 ;
+        RECT 316.775 53.795 316.945 53.965 ;
+        RECT 317.235 53.795 317.405 53.965 ;
+        RECT 317.695 53.795 317.865 53.965 ;
+        RECT 318.155 53.795 318.325 53.965 ;
+        RECT 318.615 53.795 318.785 53.965 ;
+        RECT 319.075 53.795 319.245 53.965 ;
+        RECT 319.535 53.795 319.705 53.965 ;
+        RECT 319.995 53.795 320.165 53.965 ;
+        RECT 320.455 53.795 320.625 53.965 ;
+        RECT 320.915 53.795 321.085 53.965 ;
+        RECT 321.375 53.795 321.545 53.965 ;
+        RECT 321.835 53.795 322.005 53.965 ;
+        RECT 322.295 53.795 322.465 53.965 ;
+        RECT 322.755 53.795 322.925 53.965 ;
+        RECT 323.215 53.795 323.385 53.965 ;
+        RECT 323.675 53.795 323.845 53.965 ;
+        RECT 324.135 53.795 324.305 53.965 ;
+        RECT 324.595 53.795 324.765 53.965 ;
+        RECT 325.055 53.795 325.225 53.965 ;
+        RECT 325.515 53.795 325.685 53.965 ;
+        RECT 325.975 53.795 326.145 53.965 ;
+        RECT 326.435 53.795 326.605 53.965 ;
+        RECT 326.895 53.795 327.065 53.965 ;
+        RECT 327.355 53.795 327.525 53.965 ;
+        RECT 327.815 53.795 327.985 53.965 ;
+        RECT 328.275 53.795 328.445 53.965 ;
+        RECT 328.735 53.795 328.905 53.965 ;
+        RECT 329.195 53.795 329.365 53.965 ;
+        RECT 329.655 53.795 329.825 53.965 ;
+        RECT 330.115 53.795 330.285 53.965 ;
+        RECT 330.575 53.795 330.745 53.965 ;
+        RECT 331.035 53.795 331.205 53.965 ;
+        RECT 331.495 53.795 331.665 53.965 ;
+        RECT 331.955 53.795 332.125 53.965 ;
+        RECT 332.415 53.795 332.585 53.965 ;
+        RECT 332.875 53.795 333.045 53.965 ;
+        RECT 333.335 53.795 333.505 53.965 ;
+        RECT 333.795 53.795 333.965 53.965 ;
+        RECT 334.255 53.795 334.425 53.965 ;
+        RECT 334.715 53.795 334.885 53.965 ;
+        RECT 335.175 53.795 335.345 53.965 ;
+        RECT 335.635 53.795 335.805 53.965 ;
+        RECT 336.095 53.795 336.265 53.965 ;
+        RECT 336.555 53.795 336.725 53.965 ;
+        RECT 337.015 53.795 337.185 53.965 ;
+        RECT 337.475 53.795 337.645 53.965 ;
+        RECT 337.935 53.795 338.105 53.965 ;
+        RECT 338.395 53.795 338.565 53.965 ;
+        RECT 338.855 53.795 339.025 53.965 ;
+        RECT 339.315 53.795 339.485 53.965 ;
+        RECT 339.775 53.795 339.945 53.965 ;
+        RECT 340.235 53.795 340.405 53.965 ;
+        RECT 340.695 53.795 340.865 53.965 ;
+        RECT 341.155 53.795 341.325 53.965 ;
+        RECT 341.615 53.795 341.785 53.965 ;
+        RECT 342.075 53.795 342.245 53.965 ;
+        RECT 342.535 53.795 342.705 53.965 ;
+        RECT 342.995 53.795 343.165 53.965 ;
+        RECT 343.455 53.795 343.625 53.965 ;
+        RECT 343.915 53.795 344.085 53.965 ;
+        RECT 344.375 53.795 344.545 53.965 ;
+        RECT 344.835 53.795 345.005 53.965 ;
+        RECT 345.295 53.795 345.465 53.965 ;
+        RECT 345.755 53.795 345.925 53.965 ;
+        RECT 346.215 53.795 346.385 53.965 ;
+        RECT 346.675 53.795 346.845 53.965 ;
+        RECT 347.135 53.795 347.305 53.965 ;
+        RECT 347.595 53.795 347.765 53.965 ;
+        RECT 348.055 53.795 348.225 53.965 ;
+        RECT 348.515 53.795 348.685 53.965 ;
+        RECT 348.975 53.795 349.145 53.965 ;
+        RECT 349.435 53.795 349.605 53.965 ;
+        RECT 349.895 53.795 350.065 53.965 ;
+        RECT 350.355 53.795 350.525 53.965 ;
+        RECT 350.815 53.795 350.985 53.965 ;
+        RECT 351.275 53.795 351.445 53.965 ;
+        RECT 351.735 53.795 351.905 53.965 ;
+        RECT 352.195 53.795 352.365 53.965 ;
+        RECT 352.655 53.795 352.825 53.965 ;
+        RECT 353.115 53.795 353.285 53.965 ;
+        RECT 353.575 53.795 353.745 53.965 ;
+        RECT 354.035 53.795 354.205 53.965 ;
+        RECT 354.495 53.795 354.665 53.965 ;
+        RECT 354.955 53.795 355.125 53.965 ;
+        RECT 355.415 53.795 355.585 53.965 ;
+        RECT 355.875 53.795 356.045 53.965 ;
+        RECT 356.335 53.795 356.505 53.965 ;
+        RECT 356.795 53.795 356.965 53.965 ;
+        RECT 357.255 53.795 357.425 53.965 ;
+        RECT 357.715 53.795 357.885 53.965 ;
+        RECT 358.175 53.795 358.345 53.965 ;
+        RECT 358.635 53.795 358.805 53.965 ;
+        RECT 359.095 53.795 359.265 53.965 ;
+        RECT 359.555 53.795 359.725 53.965 ;
+        RECT 360.015 53.795 360.185 53.965 ;
+        RECT 360.475 53.795 360.645 53.965 ;
+        RECT 360.935 53.795 361.105 53.965 ;
+        RECT 361.395 53.795 361.565 53.965 ;
+        RECT 361.855 53.795 362.025 53.965 ;
+        RECT 362.315 53.795 362.485 53.965 ;
+        RECT 362.775 53.795 362.945 53.965 ;
+        RECT 363.235 53.795 363.405 53.965 ;
+        RECT 363.695 53.795 363.865 53.965 ;
+        RECT 364.155 53.795 364.325 53.965 ;
+        RECT 364.615 53.795 364.785 53.965 ;
+        RECT 365.075 53.795 365.245 53.965 ;
+        RECT 365.535 53.795 365.705 53.965 ;
+        RECT 365.995 53.795 366.165 53.965 ;
+        RECT 366.455 53.795 366.625 53.965 ;
+        RECT 366.915 53.795 367.085 53.965 ;
+        RECT 367.375 53.795 367.545 53.965 ;
+        RECT 367.835 53.795 368.005 53.965 ;
+        RECT 368.295 53.795 368.465 53.965 ;
+        RECT 368.755 53.795 368.925 53.965 ;
+        RECT 369.215 53.795 369.385 53.965 ;
+        RECT 369.675 53.795 369.845 53.965 ;
+        RECT 370.135 53.795 370.305 53.965 ;
+        RECT 370.595 53.795 370.765 53.965 ;
+        RECT 371.055 53.795 371.225 53.965 ;
+        RECT 371.515 53.795 371.685 53.965 ;
+        RECT 371.975 53.795 372.145 53.965 ;
+        RECT 372.435 53.795 372.605 53.965 ;
+        RECT 372.895 53.795 373.065 53.965 ;
+        RECT 373.355 53.795 373.525 53.965 ;
+        RECT 373.815 53.795 373.985 53.965 ;
+        RECT 374.275 53.795 374.445 53.965 ;
+        RECT 374.735 53.795 374.905 53.965 ;
+        RECT 375.195 53.795 375.365 53.965 ;
+        RECT 375.655 53.795 375.825 53.965 ;
+        RECT 376.115 53.795 376.285 53.965 ;
+        RECT 376.575 53.795 376.745 53.965 ;
+        RECT 377.035 53.795 377.205 53.965 ;
+        RECT 377.495 53.795 377.665 53.965 ;
+        RECT 377.955 53.795 378.125 53.965 ;
+        RECT 378.415 53.795 378.585 53.965 ;
+        RECT 378.875 53.795 379.045 53.965 ;
+        RECT 379.335 53.795 379.505 53.965 ;
+        RECT 379.795 53.795 379.965 53.965 ;
+        RECT 380.255 53.795 380.425 53.965 ;
+        RECT 380.715 53.795 380.885 53.965 ;
+        RECT 381.175 53.795 381.345 53.965 ;
+        RECT 381.635 53.795 381.805 53.965 ;
+        RECT 382.095 53.795 382.265 53.965 ;
+        RECT 382.555 53.795 382.725 53.965 ;
+        RECT 383.015 53.795 383.185 53.965 ;
+        RECT 383.475 53.795 383.645 53.965 ;
+        RECT 383.935 53.795 384.105 53.965 ;
+        RECT 384.395 53.795 384.565 53.965 ;
+        RECT 384.855 53.795 385.025 53.965 ;
+        RECT 385.315 53.795 385.485 53.965 ;
+        RECT 385.775 53.795 385.945 53.965 ;
+        RECT 386.235 53.795 386.405 53.965 ;
+        RECT 386.695 53.795 386.865 53.965 ;
+        RECT 387.155 53.795 387.325 53.965 ;
+        RECT 387.615 53.795 387.785 53.965 ;
+        RECT 388.075 53.795 388.245 53.965 ;
+        RECT 388.535 53.795 388.705 53.965 ;
+        RECT 388.995 53.795 389.165 53.965 ;
+        RECT 389.455 53.795 389.625 53.965 ;
+        RECT 389.915 53.795 390.085 53.965 ;
+        RECT 390.375 53.795 390.545 53.965 ;
+        RECT 390.835 53.795 391.005 53.965 ;
+        RECT 391.295 53.795 391.465 53.965 ;
+        RECT 391.755 53.795 391.925 53.965 ;
+        RECT 392.215 53.795 392.385 53.965 ;
+        RECT 392.675 53.795 392.845 53.965 ;
+        RECT 393.135 53.795 393.305 53.965 ;
+        RECT 393.595 53.795 393.765 53.965 ;
+        RECT 394.055 53.795 394.225 53.965 ;
+        RECT 394.515 53.795 394.685 53.965 ;
+        RECT 394.975 53.795 395.145 53.965 ;
+        RECT 395.435 53.795 395.605 53.965 ;
+        RECT 395.895 53.795 396.065 53.965 ;
+        RECT 396.355 53.795 396.525 53.965 ;
+        RECT 396.815 53.795 396.985 53.965 ;
+        RECT 397.275 53.795 397.445 53.965 ;
+        RECT 397.735 53.795 397.905 53.965 ;
+        RECT 398.195 53.795 398.365 53.965 ;
+        RECT 398.655 53.795 398.825 53.965 ;
+        RECT 399.115 53.795 399.285 53.965 ;
+        RECT 399.575 53.795 399.745 53.965 ;
+        RECT 400.035 53.795 400.205 53.965 ;
+        RECT 400.495 53.795 400.665 53.965 ;
+        RECT 400.955 53.795 401.125 53.965 ;
+        RECT 401.415 53.795 401.585 53.965 ;
+        RECT 401.875 53.795 402.045 53.965 ;
+        RECT 402.335 53.795 402.505 53.965 ;
+        RECT 402.795 53.795 402.965 53.965 ;
+        RECT 403.255 53.795 403.425 53.965 ;
+        RECT 403.715 53.795 403.885 53.965 ;
+        RECT 404.175 53.795 404.345 53.965 ;
+        RECT 404.635 53.795 404.805 53.965 ;
+        RECT 405.095 53.795 405.265 53.965 ;
+        RECT 405.555 53.795 405.725 53.965 ;
+        RECT 406.015 53.795 406.185 53.965 ;
+        RECT 406.475 53.795 406.645 53.965 ;
+        RECT 406.935 53.795 407.105 53.965 ;
+        RECT 407.395 53.795 407.565 53.965 ;
+        RECT 407.855 53.795 408.025 53.965 ;
+        RECT 408.315 53.795 408.485 53.965 ;
+        RECT 408.775 53.795 408.945 53.965 ;
+        RECT 409.235 53.795 409.405 53.965 ;
+        RECT 409.695 53.795 409.865 53.965 ;
+        RECT 410.155 53.795 410.325 53.965 ;
+        RECT 410.615 53.795 410.785 53.965 ;
+        RECT 411.075 53.795 411.245 53.965 ;
+        RECT 411.535 53.795 411.705 53.965 ;
+        RECT 411.995 53.795 412.165 53.965 ;
+        RECT 412.455 53.795 412.625 53.965 ;
+        RECT 412.915 53.795 413.085 53.965 ;
+        RECT 413.375 53.795 413.545 53.965 ;
+        RECT 413.835 53.795 414.005 53.965 ;
+        RECT 414.295 53.795 414.465 53.965 ;
+        RECT 414.755 53.795 414.925 53.965 ;
+        RECT 415.215 53.795 415.385 53.965 ;
+        RECT 415.675 53.795 415.845 53.965 ;
+        RECT 416.135 53.795 416.305 53.965 ;
+        RECT 416.595 53.795 416.765 53.965 ;
+        RECT 417.055 53.795 417.225 53.965 ;
+        RECT 417.515 53.795 417.685 53.965 ;
+        RECT 417.975 53.795 418.145 53.965 ;
+        RECT 418.435 53.795 418.605 53.965 ;
+        RECT 418.895 53.795 419.065 53.965 ;
+        RECT 419.355 53.795 419.525 53.965 ;
+        RECT 419.815 53.795 419.985 53.965 ;
+        RECT 420.275 53.795 420.445 53.965 ;
+        RECT 420.735 53.795 420.905 53.965 ;
+        RECT 421.195 53.795 421.365 53.965 ;
+        RECT 421.655 53.795 421.825 53.965 ;
+        RECT 422.115 53.795 422.285 53.965 ;
+        RECT 422.575 53.795 422.745 53.965 ;
+        RECT 423.035 53.795 423.205 53.965 ;
+        RECT 423.495 53.795 423.665 53.965 ;
+        RECT 423.955 53.795 424.125 53.965 ;
+        RECT 424.415 53.795 424.585 53.965 ;
+        RECT 424.875 53.795 425.045 53.965 ;
+        RECT 425.335 53.795 425.505 53.965 ;
+        RECT 425.795 53.795 425.965 53.965 ;
+        RECT 426.255 53.795 426.425 53.965 ;
+        RECT 426.715 53.795 426.885 53.965 ;
+        RECT 427.175 53.795 427.345 53.965 ;
+        RECT 427.635 53.795 427.805 53.965 ;
+        RECT 428.095 53.795 428.265 53.965 ;
+        RECT 428.555 53.795 428.725 53.965 ;
+        RECT 429.015 53.795 429.185 53.965 ;
+        RECT 429.475 53.795 429.645 53.965 ;
+        RECT 429.935 53.795 430.105 53.965 ;
+        RECT 430.395 53.795 430.565 53.965 ;
+        RECT 430.855 53.795 431.025 53.965 ;
+        RECT 431.315 53.795 431.485 53.965 ;
+        RECT 431.775 53.795 431.945 53.965 ;
+        RECT 432.235 53.795 432.405 53.965 ;
+        RECT 432.695 53.795 432.865 53.965 ;
+        RECT 433.155 53.795 433.325 53.965 ;
+        RECT 433.615 53.795 433.785 53.965 ;
+        RECT 434.075 53.795 434.245 53.965 ;
+        RECT 434.535 53.795 434.705 53.965 ;
+        RECT 434.995 53.795 435.165 53.965 ;
+        RECT 435.455 53.795 435.625 53.965 ;
+        RECT 435.915 53.795 436.085 53.965 ;
+        RECT 436.375 53.795 436.545 53.965 ;
+        RECT 436.835 53.795 437.005 53.965 ;
+        RECT 437.295 53.795 437.465 53.965 ;
+        RECT 437.755 53.795 437.925 53.965 ;
+        RECT 438.215 53.795 438.385 53.965 ;
+        RECT 438.675 53.795 438.845 53.965 ;
+        RECT 439.135 53.795 439.305 53.965 ;
+        RECT 439.595 53.795 439.765 53.965 ;
+        RECT 440.055 53.795 440.225 53.965 ;
+        RECT 440.515 53.795 440.685 53.965 ;
+        RECT 440.975 53.795 441.145 53.965 ;
+        RECT 441.435 53.795 441.605 53.965 ;
+        RECT 441.895 53.795 442.065 53.965 ;
+        RECT 442.355 53.795 442.525 53.965 ;
+        RECT 442.815 53.795 442.985 53.965 ;
+        RECT 443.275 53.795 443.445 53.965 ;
+        RECT 443.735 53.795 443.905 53.965 ;
+        RECT 444.195 53.795 444.365 53.965 ;
+        RECT 444.655 53.795 444.825 53.965 ;
+        RECT 445.115 53.795 445.285 53.965 ;
+        RECT 445.575 53.795 445.745 53.965 ;
+        RECT 446.035 53.795 446.205 53.965 ;
+        RECT 446.495 53.795 446.665 53.965 ;
+        RECT 446.955 53.795 447.125 53.965 ;
+        RECT 447.415 53.795 447.585 53.965 ;
+        RECT 447.875 53.795 448.045 53.965 ;
+        RECT 448.335 53.795 448.505 53.965 ;
+        RECT 448.795 53.795 448.965 53.965 ;
+        RECT 449.255 53.795 449.425 53.965 ;
+        RECT 449.715 53.795 449.885 53.965 ;
+        RECT 450.175 53.795 450.345 53.965 ;
+        RECT 450.635 53.795 450.805 53.965 ;
+        RECT 451.095 53.795 451.265 53.965 ;
+        RECT 451.555 53.795 451.725 53.965 ;
+        RECT 452.015 53.795 452.185 53.965 ;
+        RECT 452.475 53.795 452.645 53.965 ;
+        RECT 452.935 53.795 453.105 53.965 ;
+        RECT 453.395 53.795 453.565 53.965 ;
+        RECT 453.855 53.795 454.025 53.965 ;
+        RECT 454.315 53.795 454.485 53.965 ;
+        RECT 454.775 53.795 454.945 53.965 ;
+        RECT 455.235 53.795 455.405 53.965 ;
+        RECT 455.695 53.795 455.865 53.965 ;
+        RECT 456.155 53.795 456.325 53.965 ;
+        RECT 456.615 53.795 456.785 53.965 ;
+        RECT 457.075 53.795 457.245 53.965 ;
+        RECT 457.535 53.795 457.705 53.965 ;
+        RECT 457.995 53.795 458.165 53.965 ;
+        RECT 458.455 53.795 458.625 53.965 ;
+        RECT 458.915 53.795 459.085 53.965 ;
+        RECT 459.375 53.795 459.545 53.965 ;
+        RECT 459.835 53.795 460.005 53.965 ;
+        RECT 460.295 53.795 460.465 53.965 ;
+        RECT 460.755 53.795 460.925 53.965 ;
+        RECT 461.215 53.795 461.385 53.965 ;
+        RECT 461.675 53.795 461.845 53.965 ;
+        RECT 462.135 53.795 462.305 53.965 ;
+        RECT 462.595 53.795 462.765 53.965 ;
+        RECT 463.055 53.795 463.225 53.965 ;
+        RECT 463.515 53.795 463.685 53.965 ;
+        RECT 463.975 53.795 464.145 53.965 ;
+        RECT 464.435 53.795 464.605 53.965 ;
+        RECT 464.895 53.795 465.065 53.965 ;
+        RECT 465.355 53.795 465.525 53.965 ;
+        RECT 465.815 53.795 465.985 53.965 ;
+        RECT 466.275 53.795 466.445 53.965 ;
+        RECT 466.735 53.795 466.905 53.965 ;
+        RECT 467.195 53.795 467.365 53.965 ;
+        RECT 467.655 53.795 467.825 53.965 ;
+        RECT 468.115 53.795 468.285 53.965 ;
+        RECT 468.575 53.795 468.745 53.965 ;
+        RECT 469.035 53.795 469.205 53.965 ;
+        RECT 469.495 53.795 469.665 53.965 ;
+        RECT 469.955 53.795 470.125 53.965 ;
+        RECT 470.415 53.795 470.585 53.965 ;
+        RECT 470.875 53.795 471.045 53.965 ;
+        RECT 471.335 53.795 471.505 53.965 ;
+        RECT 471.795 53.795 471.965 53.965 ;
+        RECT 472.255 53.795 472.425 53.965 ;
+        RECT 472.715 53.795 472.885 53.965 ;
+        RECT 473.175 53.795 473.345 53.965 ;
+        RECT 473.635 53.795 473.805 53.965 ;
+        RECT 474.095 53.795 474.265 53.965 ;
+        RECT 474.555 53.795 474.725 53.965 ;
+        RECT 475.015 53.795 475.185 53.965 ;
+        RECT 475.475 53.795 475.645 53.965 ;
+        RECT 475.935 53.795 476.105 53.965 ;
+        RECT 476.395 53.795 476.565 53.965 ;
+        RECT 476.855 53.795 477.025 53.965 ;
+        RECT 477.315 53.795 477.485 53.965 ;
+        RECT 477.775 53.795 477.945 53.965 ;
+        RECT 478.235 53.795 478.405 53.965 ;
+        RECT 478.695 53.795 478.865 53.965 ;
+        RECT 479.155 53.795 479.325 53.965 ;
+        RECT 479.615 53.795 479.785 53.965 ;
+        RECT 480.075 53.795 480.245 53.965 ;
+        RECT 480.535 53.795 480.705 53.965 ;
+        RECT 480.995 53.795 481.165 53.965 ;
+        RECT 481.455 53.795 481.625 53.965 ;
+        RECT 481.915 53.795 482.085 53.965 ;
+        RECT 482.375 53.795 482.545 53.965 ;
+        RECT 482.835 53.795 483.005 53.965 ;
+        RECT 483.295 53.795 483.465 53.965 ;
+        RECT 483.755 53.795 483.925 53.965 ;
+        RECT 484.215 53.795 484.385 53.965 ;
+        RECT 484.675 53.795 484.845 53.965 ;
+        RECT 485.135 53.795 485.305 53.965 ;
+        RECT 485.595 53.795 485.765 53.965 ;
+        RECT 486.055 53.795 486.225 53.965 ;
+        RECT 486.515 53.795 486.685 53.965 ;
+        RECT 486.975 53.795 487.145 53.965 ;
+        RECT 487.435 53.795 487.605 53.965 ;
+        RECT 487.895 53.795 488.065 53.965 ;
+        RECT 488.355 53.795 488.525 53.965 ;
+        RECT 488.815 53.795 488.985 53.965 ;
+        RECT 489.275 53.795 489.445 53.965 ;
+        RECT 489.735 53.795 489.905 53.965 ;
+        RECT 490.195 53.795 490.365 53.965 ;
+        RECT 490.655 53.795 490.825 53.965 ;
+        RECT 491.115 53.795 491.285 53.965 ;
+        RECT 491.575 53.795 491.745 53.965 ;
+        RECT 492.035 53.795 492.205 53.965 ;
+        RECT 492.495 53.795 492.665 53.965 ;
+        RECT 492.955 53.795 493.125 53.965 ;
+        RECT 493.415 53.795 493.585 53.965 ;
+        RECT 493.875 53.795 494.045 53.965 ;
+        RECT 494.335 53.795 494.505 53.965 ;
+        RECT 494.795 53.795 494.965 53.965 ;
+        RECT 495.255 53.795 495.425 53.965 ;
+        RECT 495.715 53.795 495.885 53.965 ;
+        RECT 496.175 53.795 496.345 53.965 ;
+        RECT 496.635 53.795 496.805 53.965 ;
+        RECT 497.095 53.795 497.265 53.965 ;
+        RECT 497.555 53.795 497.725 53.965 ;
+        RECT 498.015 53.795 498.185 53.965 ;
+        RECT 498.475 53.795 498.645 53.965 ;
+        RECT 498.935 53.795 499.105 53.965 ;
+        RECT 499.395 53.795 499.565 53.965 ;
+        RECT 499.855 53.795 500.025 53.965 ;
+        RECT 500.315 53.795 500.485 53.965 ;
+        RECT 500.775 53.795 500.945 53.965 ;
+        RECT 501.235 53.795 501.405 53.965 ;
+        RECT 501.695 53.795 501.865 53.965 ;
+        RECT 502.155 53.795 502.325 53.965 ;
+        RECT 502.615 53.795 502.785 53.965 ;
+        RECT 503.075 53.795 503.245 53.965 ;
+        RECT 503.535 53.795 503.705 53.965 ;
+        RECT 503.995 53.795 504.165 53.965 ;
+        RECT 504.455 53.795 504.625 53.965 ;
+        RECT 504.915 53.795 505.085 53.965 ;
+        RECT 505.375 53.795 505.545 53.965 ;
+        RECT 505.835 53.795 506.005 53.965 ;
+        RECT 506.295 53.795 506.465 53.965 ;
+        RECT 506.755 53.795 506.925 53.965 ;
+        RECT 507.215 53.795 507.385 53.965 ;
+        RECT 507.675 53.795 507.845 53.965 ;
+        RECT 508.135 53.795 508.305 53.965 ;
+        RECT 508.595 53.795 508.765 53.965 ;
+        RECT 509.055 53.795 509.225 53.965 ;
+        RECT 509.515 53.795 509.685 53.965 ;
+        RECT 509.975 53.795 510.145 53.965 ;
+        RECT 510.435 53.795 510.605 53.965 ;
+        RECT 510.895 53.795 511.065 53.965 ;
+        RECT 511.355 53.795 511.525 53.965 ;
+        RECT 511.815 53.795 511.985 53.965 ;
+        RECT 512.275 53.795 512.445 53.965 ;
+        RECT 512.735 53.795 512.905 53.965 ;
+        RECT 513.195 53.795 513.365 53.965 ;
+        RECT 513.655 53.795 513.825 53.965 ;
+        RECT 514.115 53.795 514.285 53.965 ;
+        RECT 514.575 53.795 514.745 53.965 ;
+        RECT 515.035 53.795 515.205 53.965 ;
+        RECT 515.495 53.795 515.665 53.965 ;
+        RECT 515.955 53.795 516.125 53.965 ;
+        RECT 516.415 53.795 516.585 53.965 ;
+        RECT 516.875 53.795 517.045 53.965 ;
+        RECT 517.335 53.795 517.505 53.965 ;
+        RECT 517.795 53.795 517.965 53.965 ;
+        RECT 518.255 53.795 518.425 53.965 ;
+        RECT 518.715 53.795 518.885 53.965 ;
+        RECT 519.175 53.795 519.345 53.965 ;
+        RECT 519.635 53.795 519.805 53.965 ;
+        RECT 520.095 53.795 520.265 53.965 ;
+        RECT 520.555 53.795 520.725 53.965 ;
+        RECT 521.015 53.795 521.185 53.965 ;
+        RECT 521.475 53.795 521.645 53.965 ;
+        RECT 521.935 53.795 522.105 53.965 ;
+        RECT 522.395 53.795 522.565 53.965 ;
+        RECT 522.855 53.795 523.025 53.965 ;
+        RECT 523.315 53.795 523.485 53.965 ;
+        RECT 523.775 53.795 523.945 53.965 ;
+        RECT 524.235 53.795 524.405 53.965 ;
+        RECT 524.695 53.795 524.865 53.965 ;
+        RECT 525.155 53.795 525.325 53.965 ;
+        RECT 525.615 53.795 525.785 53.965 ;
+        RECT 526.075 53.795 526.245 53.965 ;
+        RECT 526.535 53.795 526.705 53.965 ;
+        RECT 526.995 53.795 527.165 53.965 ;
+        RECT 527.455 53.795 527.625 53.965 ;
+        RECT 527.915 53.795 528.085 53.965 ;
+        RECT 528.375 53.795 528.545 53.965 ;
+        RECT 528.835 53.795 529.005 53.965 ;
+        RECT 529.295 53.795 529.465 53.965 ;
+        RECT 529.755 53.795 529.925 53.965 ;
+        RECT 530.215 53.795 530.385 53.965 ;
+        RECT 530.675 53.795 530.845 53.965 ;
+        RECT 531.135 53.795 531.305 53.965 ;
+        RECT 531.595 53.795 531.765 53.965 ;
+        RECT 532.055 53.795 532.225 53.965 ;
+        RECT 532.515 53.795 532.685 53.965 ;
+        RECT 532.975 53.795 533.145 53.965 ;
+        RECT 533.435 53.795 533.605 53.965 ;
+        RECT 533.895 53.795 534.065 53.965 ;
+        RECT 534.355 53.795 534.525 53.965 ;
+        RECT 534.815 53.795 534.985 53.965 ;
+        RECT 535.275 53.795 535.445 53.965 ;
+        RECT 535.735 53.795 535.905 53.965 ;
+        RECT 536.195 53.795 536.365 53.965 ;
+        RECT 536.655 53.795 536.825 53.965 ;
+        RECT 537.115 53.795 537.285 53.965 ;
+        RECT 537.575 53.795 537.745 53.965 ;
+        RECT 538.035 53.795 538.205 53.965 ;
+        RECT 538.495 53.795 538.665 53.965 ;
+        RECT 538.955 53.795 539.125 53.965 ;
+        RECT 539.415 53.795 539.585 53.965 ;
+        RECT 539.875 53.795 540.045 53.965 ;
+        RECT 540.335 53.795 540.505 53.965 ;
+        RECT 540.795 53.795 540.965 53.965 ;
+        RECT 541.255 53.795 541.425 53.965 ;
+        RECT 541.715 53.795 541.885 53.965 ;
+        RECT 542.175 53.795 542.345 53.965 ;
+        RECT 542.635 53.795 542.805 53.965 ;
+        RECT 543.095 53.795 543.265 53.965 ;
+        RECT 543.555 53.795 543.725 53.965 ;
+        RECT 544.015 53.795 544.185 53.965 ;
+        RECT 544.475 53.795 544.645 53.965 ;
+        RECT 544.935 53.795 545.105 53.965 ;
+        RECT 545.395 53.795 545.565 53.965 ;
+        RECT 545.855 53.795 546.025 53.965 ;
+        RECT 546.315 53.795 546.485 53.965 ;
+        RECT 546.775 53.795 546.945 53.965 ;
+        RECT 547.235 53.795 547.405 53.965 ;
+        RECT 547.695 53.795 547.865 53.965 ;
+        RECT 548.155 53.795 548.325 53.965 ;
+        RECT 548.615 53.795 548.785 53.965 ;
+        RECT 549.075 53.795 549.245 53.965 ;
+        RECT 549.535 53.795 549.705 53.965 ;
+        RECT 549.995 53.795 550.165 53.965 ;
+        RECT 550.455 53.795 550.625 53.965 ;
+        RECT 550.915 53.795 551.085 53.965 ;
+        RECT 551.375 53.795 551.545 53.965 ;
+        RECT 551.835 53.795 552.005 53.965 ;
+        RECT 552.295 53.795 552.465 53.965 ;
+        RECT 552.755 53.795 552.925 53.965 ;
+        RECT 553.215 53.795 553.385 53.965 ;
+        RECT 553.675 53.795 553.845 53.965 ;
+        RECT 554.135 53.795 554.305 53.965 ;
+        RECT 554.595 53.795 554.765 53.965 ;
+        RECT 555.055 53.795 555.225 53.965 ;
+        RECT 555.515 53.795 555.685 53.965 ;
+        RECT 555.975 53.795 556.145 53.965 ;
+        RECT 556.435 53.795 556.605 53.965 ;
+        RECT 556.895 53.795 557.065 53.965 ;
+        RECT 557.355 53.795 557.525 53.965 ;
+        RECT 557.815 53.795 557.985 53.965 ;
+        RECT 558.275 53.795 558.445 53.965 ;
+        RECT 558.735 53.795 558.905 53.965 ;
+        RECT 559.195 53.795 559.365 53.965 ;
+        RECT 559.655 53.795 559.825 53.965 ;
+        RECT 560.115 53.795 560.285 53.965 ;
+        RECT 560.575 53.795 560.745 53.965 ;
+        RECT 561.035 53.795 561.205 53.965 ;
+        RECT 561.495 53.795 561.665 53.965 ;
+        RECT 561.955 53.795 562.125 53.965 ;
+        RECT 562.415 53.795 562.585 53.965 ;
+        RECT 562.875 53.795 563.045 53.965 ;
+        RECT 563.335 53.795 563.505 53.965 ;
+        RECT 563.795 53.795 563.965 53.965 ;
+        RECT 564.255 53.795 564.425 53.965 ;
+        RECT 564.715 53.795 564.885 53.965 ;
+        RECT 565.175 53.795 565.345 53.965 ;
+        RECT 565.635 53.795 565.805 53.965 ;
+        RECT 566.095 53.795 566.265 53.965 ;
+        RECT 566.555 53.795 566.725 53.965 ;
+        RECT 567.015 53.795 567.185 53.965 ;
+        RECT 567.475 53.795 567.645 53.965 ;
+        RECT 567.935 53.795 568.105 53.965 ;
+        RECT 568.395 53.795 568.565 53.965 ;
+        RECT 568.855 53.795 569.025 53.965 ;
+        RECT 569.315 53.795 569.485 53.965 ;
+        RECT 569.775 53.795 569.945 53.965 ;
+        RECT 570.235 53.795 570.405 53.965 ;
+        RECT 570.695 53.795 570.865 53.965 ;
+        RECT 571.155 53.795 571.325 53.965 ;
+        RECT 571.615 53.795 571.785 53.965 ;
+        RECT 572.075 53.795 572.245 53.965 ;
+        RECT 572.535 53.795 572.705 53.965 ;
+        RECT 572.995 53.795 573.165 53.965 ;
+        RECT 573.455 53.795 573.625 53.965 ;
+        RECT 573.915 53.795 574.085 53.965 ;
+        RECT 574.375 53.795 574.545 53.965 ;
+        RECT 574.835 53.795 575.005 53.965 ;
+        RECT 575.295 53.795 575.465 53.965 ;
+        RECT 575.755 53.795 575.925 53.965 ;
+        RECT 576.215 53.795 576.385 53.965 ;
+        RECT 576.675 53.795 576.845 53.965 ;
+        RECT 577.135 53.795 577.305 53.965 ;
+        RECT 577.595 53.795 577.765 53.965 ;
+        RECT 578.055 53.795 578.225 53.965 ;
+        RECT 578.515 53.795 578.685 53.965 ;
+        RECT 578.975 53.795 579.145 53.965 ;
+        RECT 579.435 53.795 579.605 53.965 ;
+        RECT 579.895 53.795 580.065 53.965 ;
+        RECT 580.355 53.795 580.525 53.965 ;
+        RECT 580.815 53.795 580.985 53.965 ;
+        RECT 581.275 53.795 581.445 53.965 ;
+        RECT 581.735 53.795 581.905 53.965 ;
+        RECT 582.195 53.795 582.365 53.965 ;
+        RECT 582.655 53.795 582.825 53.965 ;
+        RECT 583.115 53.795 583.285 53.965 ;
+        RECT 583.575 53.795 583.745 53.965 ;
+        RECT 584.035 53.795 584.205 53.965 ;
+        RECT 584.495 53.795 584.665 53.965 ;
+        RECT 584.955 53.795 585.125 53.965 ;
+        RECT 585.415 53.795 585.585 53.965 ;
+        RECT 585.875 53.795 586.045 53.965 ;
+        RECT 586.335 53.795 586.505 53.965 ;
+        RECT 586.795 53.795 586.965 53.965 ;
+        RECT 587.255 53.795 587.425 53.965 ;
+        RECT 587.715 53.795 587.885 53.965 ;
+        RECT 588.175 53.795 588.345 53.965 ;
+        RECT 588.635 53.795 588.805 53.965 ;
+        RECT 589.095 53.795 589.265 53.965 ;
+        RECT 589.555 53.795 589.725 53.965 ;
+        RECT 590.015 53.795 590.185 53.965 ;
+        RECT 590.475 53.795 590.645 53.965 ;
+        RECT 590.935 53.795 591.105 53.965 ;
+        RECT 591.395 53.795 591.565 53.965 ;
+        RECT 591.855 53.795 592.025 53.965 ;
+        RECT 592.315 53.795 592.485 53.965 ;
+        RECT 592.775 53.795 592.945 53.965 ;
+        RECT 593.235 53.795 593.405 53.965 ;
+        RECT 593.695 53.795 593.865 53.965 ;
+        RECT 594.155 53.795 594.325 53.965 ;
+        RECT 594.615 53.795 594.785 53.965 ;
+        RECT 595.075 53.795 595.245 53.965 ;
+        RECT 595.535 53.795 595.705 53.965 ;
+        RECT 595.995 53.795 596.165 53.965 ;
+        RECT 596.455 53.795 596.625 53.965 ;
+        RECT 596.915 53.795 597.085 53.965 ;
+        RECT 597.375 53.795 597.545 53.965 ;
+        RECT 597.835 53.795 598.005 53.965 ;
+        RECT 598.295 53.795 598.465 53.965 ;
+        RECT 598.755 53.795 598.925 53.965 ;
+        RECT 599.215 53.795 599.385 53.965 ;
+        RECT 599.675 53.795 599.845 53.965 ;
+        RECT 600.135 53.795 600.305 53.965 ;
+        RECT 600.595 53.795 600.765 53.965 ;
+        RECT 601.055 53.795 601.225 53.965 ;
+        RECT 601.515 53.795 601.685 53.965 ;
+        RECT 601.975 53.795 602.145 53.965 ;
+        RECT 602.435 53.795 602.605 53.965 ;
+        RECT 602.895 53.795 603.065 53.965 ;
+        RECT 603.355 53.795 603.525 53.965 ;
+        RECT 603.815 53.795 603.985 53.965 ;
+        RECT 604.275 53.795 604.445 53.965 ;
+        RECT 604.735 53.795 604.905 53.965 ;
+        RECT 605.195 53.795 605.365 53.965 ;
+        RECT 605.655 53.795 605.825 53.965 ;
+        RECT 606.115 53.795 606.285 53.965 ;
+        RECT 606.575 53.795 606.745 53.965 ;
+        RECT 607.035 53.795 607.205 53.965 ;
+        RECT 607.495 53.795 607.665 53.965 ;
+        RECT 607.955 53.795 608.125 53.965 ;
+        RECT 608.415 53.795 608.585 53.965 ;
+        RECT 608.875 53.795 609.045 53.965 ;
+        RECT 609.335 53.795 609.505 53.965 ;
+        RECT 609.795 53.795 609.965 53.965 ;
+        RECT 610.255 53.795 610.425 53.965 ;
+        RECT 610.715 53.795 610.885 53.965 ;
+        RECT 611.175 53.795 611.345 53.965 ;
+        RECT 611.635 53.795 611.805 53.965 ;
+        RECT 612.095 53.795 612.265 53.965 ;
+        RECT 612.555 53.795 612.725 53.965 ;
+        RECT 613.015 53.795 613.185 53.965 ;
+        RECT 613.475 53.795 613.645 53.965 ;
+        RECT 613.935 53.795 614.105 53.965 ;
+        RECT 614.395 53.795 614.565 53.965 ;
+        RECT 614.855 53.795 615.025 53.965 ;
+        RECT 615.315 53.795 615.485 53.965 ;
+        RECT 615.775 53.795 615.945 53.965 ;
+        RECT 616.235 53.795 616.405 53.965 ;
+        RECT 616.695 53.795 616.865 53.965 ;
+        RECT 617.155 53.795 617.325 53.965 ;
+        RECT 617.615 53.795 617.785 53.965 ;
+        RECT 618.075 53.795 618.245 53.965 ;
+        RECT 618.535 53.795 618.705 53.965 ;
+        RECT 618.995 53.795 619.165 53.965 ;
+        RECT 619.455 53.795 619.625 53.965 ;
+        RECT 619.915 53.795 620.085 53.965 ;
+        RECT 620.375 53.795 620.545 53.965 ;
+        RECT 620.835 53.795 621.005 53.965 ;
+        RECT 621.295 53.795 621.465 53.965 ;
+        RECT 621.755 53.795 621.925 53.965 ;
+        RECT 622.215 53.795 622.385 53.965 ;
+        RECT 622.675 53.795 622.845 53.965 ;
+        RECT 623.135 53.795 623.305 53.965 ;
+        RECT 623.595 53.795 623.765 53.965 ;
+        RECT 624.055 53.795 624.225 53.965 ;
+        RECT 624.515 53.795 624.685 53.965 ;
+        RECT 624.975 53.795 625.145 53.965 ;
+        RECT 625.435 53.795 625.605 53.965 ;
+        RECT 625.895 53.795 626.065 53.965 ;
+        RECT 626.355 53.795 626.525 53.965 ;
+        RECT 626.815 53.795 626.985 53.965 ;
+        RECT 627.275 53.795 627.445 53.965 ;
+        RECT 627.735 53.795 627.905 53.965 ;
+        RECT 628.195 53.795 628.365 53.965 ;
+        RECT 628.655 53.795 628.825 53.965 ;
+        RECT 629.115 53.795 629.285 53.965 ;
+        RECT 629.575 53.795 629.745 53.965 ;
+        RECT 630.035 53.795 630.205 53.965 ;
+        RECT 630.495 53.795 630.665 53.965 ;
+        RECT 630.955 53.795 631.125 53.965 ;
+        RECT 83.100 52.945 83.270 53.115 ;
+        RECT 77.575 52.265 77.745 52.435 ;
+        RECT 78.035 51.585 78.205 51.755 ;
+        RECT 82.635 52.605 82.805 52.775 ;
+        RECT 84.015 52.265 84.185 52.435 ;
+        RECT 83.560 51.925 83.730 52.095 ;
+        RECT 85.420 52.945 85.590 53.115 ;
+        RECT 84.960 51.925 85.130 52.095 ;
+        RECT 86.800 52.945 86.970 53.115 ;
+        RECT 86.800 51.925 86.970 52.095 ;
+        RECT 99.660 52.945 99.830 53.115 ;
+        RECT 99.195 52.265 99.365 52.435 ;
+        RECT 89.535 51.585 89.705 51.755 ;
+        RECT 100.575 52.605 100.745 52.775 ;
+        RECT 100.120 51.925 100.290 52.095 ;
+        RECT 101.980 52.945 102.150 53.115 ;
+        RECT 101.520 51.925 101.690 52.095 ;
+        RECT 103.360 52.945 103.530 53.115 ;
+        RECT 103.360 51.925 103.530 52.095 ;
+        RECT 112.080 52.945 112.250 53.115 ;
+        RECT 111.615 52.265 111.785 52.435 ;
+        RECT 106.095 51.585 106.265 51.755 ;
+        RECT 112.995 52.605 113.165 52.775 ;
+        RECT 112.540 51.925 112.710 52.095 ;
+        RECT 114.400 52.945 114.570 53.115 ;
+        RECT 113.940 51.925 114.110 52.095 ;
+        RECT 115.780 52.945 115.950 53.115 ;
+        RECT 115.780 51.925 115.950 52.095 ;
+        RECT 127.720 52.945 127.890 53.115 ;
+        RECT 127.255 52.265 127.425 52.435 ;
+        RECT 118.515 51.585 118.685 51.755 ;
+        RECT 128.635 52.265 128.805 52.435 ;
+        RECT 128.180 51.925 128.350 52.095 ;
+        RECT 130.040 52.945 130.210 53.115 ;
+        RECT 129.580 51.925 129.750 52.095 ;
+        RECT 131.420 52.945 131.590 53.115 ;
+        RECT 131.420 51.925 131.590 52.095 ;
+        RECT 140.140 52.945 140.310 53.115 ;
+        RECT 139.675 52.265 139.845 52.435 ;
+        RECT 141.055 52.265 141.225 52.435 ;
+        RECT 140.600 51.925 140.770 52.095 ;
+        RECT 142.460 52.945 142.630 53.115 ;
+        RECT 142.000 51.925 142.170 52.095 ;
+        RECT 143.840 52.945 144.010 53.115 ;
+        RECT 143.840 51.925 144.010 52.095 ;
+        RECT 155.780 52.945 155.950 53.115 ;
+        RECT 155.315 52.605 155.485 52.775 ;
+        RECT 156.695 52.605 156.865 52.775 ;
+        RECT 156.240 51.925 156.410 52.095 ;
+        RECT 158.100 52.945 158.270 53.115 ;
+        RECT 157.640 51.925 157.810 52.095 ;
+        RECT 159.480 52.945 159.650 53.115 ;
+        RECT 159.480 51.925 159.650 52.095 ;
+        RECT 162.215 53.285 162.385 53.455 ;
+        RECT 170.960 52.945 171.130 53.115 ;
+        RECT 170.495 52.265 170.665 52.435 ;
+        RECT 171.875 52.605 172.045 52.775 ;
+        RECT 171.420 51.925 171.590 52.095 ;
+        RECT 173.280 52.945 173.450 53.115 ;
+        RECT 172.820 51.925 172.990 52.095 ;
+        RECT 174.660 52.945 174.830 53.115 ;
+        RECT 174.660 51.925 174.830 52.095 ;
+        RECT 185.680 52.945 185.850 53.115 ;
+        RECT 185.215 52.265 185.385 52.435 ;
+        RECT 186.595 52.605 186.765 52.775 ;
+        RECT 186.140 51.925 186.310 52.095 ;
+        RECT 188.000 52.945 188.170 53.115 ;
+        RECT 187.540 51.925 187.710 52.095 ;
+        RECT 189.380 52.945 189.550 53.115 ;
+        RECT 189.380 51.925 189.550 52.095 ;
+        RECT 198.560 52.945 198.730 53.115 ;
+        RECT 198.095 52.265 198.265 52.435 ;
+        RECT 192.115 51.585 192.285 51.755 ;
+        RECT 199.475 52.265 199.645 52.435 ;
+        RECT 199.020 51.925 199.190 52.095 ;
+        RECT 200.880 52.945 201.050 53.115 ;
+        RECT 200.420 51.925 200.590 52.095 ;
+        RECT 202.260 52.945 202.430 53.115 ;
+        RECT 202.260 51.925 202.430 52.095 ;
+        RECT 210.515 52.605 210.685 52.775 ;
+        RECT 212.355 52.605 212.525 52.775 ;
+        RECT 213.275 52.265 213.445 52.435 ;
+        RECT 214.660 52.605 214.830 52.775 ;
+        RECT 204.995 51.585 205.165 51.755 ;
+        RECT 215.575 52.265 215.745 52.435 ;
+        RECT 216.035 52.265 216.205 52.435 ;
+        RECT 217.440 52.605 217.610 52.775 ;
+        RECT 226.155 52.605 226.325 52.775 ;
+        RECT 223.395 52.265 223.565 52.435 ;
+        RECT 225.695 52.265 225.865 52.435 ;
+        RECT 218.335 51.585 218.505 51.755 ;
+        RECT 234.895 52.605 235.065 52.775 ;
+        RECT 231.215 52.265 231.385 52.435 ;
+        RECT 232.135 52.265 232.305 52.435 ;
+        RECT 232.595 52.265 232.765 52.435 ;
+        RECT 239.495 52.265 239.665 52.435 ;
+        RECT 240.415 51.585 240.585 51.755 ;
+        RECT 245.015 52.265 245.185 52.435 ;
+        RECT 245.935 52.265 246.105 52.435 ;
+        RECT 247.320 52.605 247.490 52.775 ;
+        RECT 248.695 52.265 248.865 52.435 ;
+        RECT 249.615 52.265 249.785 52.435 ;
+        RECT 250.100 52.605 250.270 52.775 ;
+        RECT 256.515 52.605 256.685 52.775 ;
+        RECT 256.975 52.265 257.145 52.435 ;
+        RECT 258.360 52.605 258.530 52.775 ;
+        RECT 259.275 52.265 259.445 52.435 ;
+        RECT 259.735 52.265 259.905 52.435 ;
+        RECT 261.140 52.605 261.310 52.775 ;
+        RECT 262.035 52.605 262.205 52.775 ;
+        RECT 250.995 51.585 251.165 51.755 ;
+        RECT 273.540 52.605 273.710 52.775 ;
+        RECT 272.155 52.265 272.325 52.435 ;
+        RECT 272.615 52.265 272.785 52.435 ;
+        RECT 274.455 52.265 274.625 52.435 ;
+        RECT 274.915 52.265 275.085 52.435 ;
+        RECT 276.320 52.605 276.490 52.775 ;
+        RECT 277.215 52.605 277.385 52.775 ;
+        RECT 284.580 52.605 284.750 52.775 ;
+        RECT 283.195 52.265 283.365 52.435 ;
+        RECT 283.655 52.265 283.825 52.435 ;
+        RECT 285.495 52.265 285.665 52.435 ;
+        RECT 285.955 52.265 286.125 52.435 ;
+        RECT 287.360 52.605 287.530 52.775 ;
+        RECT 288.255 52.605 288.425 52.775 ;
+        RECT 296.995 52.265 297.165 52.435 ;
+        RECT 298.375 52.265 298.545 52.435 ;
+        RECT 308.500 52.605 308.670 52.775 ;
+        RECT 307.115 52.265 307.285 52.435 ;
+        RECT 307.575 52.265 307.745 52.435 ;
+        RECT 309.875 52.265 310.045 52.435 ;
+        RECT 310.795 52.265 310.965 52.435 ;
+        RECT 311.280 52.605 311.450 52.775 ;
+        RECT 296.075 51.585 296.245 51.755 ;
+        RECT 317.235 52.265 317.405 52.435 ;
+        RECT 312.175 51.585 312.345 51.755 ;
+        RECT 318.155 51.585 318.325 51.755 ;
+        RECT 323.675 52.265 323.845 52.435 ;
+        RECT 324.595 51.585 324.765 51.755 ;
+        RECT 329.195 52.265 329.365 52.435 ;
+        RECT 330.115 52.265 330.285 52.435 ;
+        RECT 331.500 52.605 331.670 52.775 ;
+        RECT 332.415 52.265 332.585 52.435 ;
+        RECT 332.875 52.265 333.045 52.435 ;
+        RECT 334.280 52.605 334.450 52.775 ;
+        RECT 335.175 52.605 335.345 52.775 ;
+        RECT 340.695 52.605 340.865 52.775 ;
+        RECT 341.080 52.265 341.250 52.435 ;
+        RECT 342.540 52.605 342.710 52.775 ;
+        RECT 343.455 52.265 343.625 52.435 ;
+        RECT 343.915 52.265 344.085 52.435 ;
+        RECT 345.320 52.605 345.490 52.775 ;
+        RECT 346.215 52.605 346.385 52.775 ;
+        RECT 352.195 52.605 352.365 52.775 ;
+        RECT 352.655 52.265 352.825 52.435 ;
+        RECT 354.040 52.605 354.210 52.775 ;
+        RECT 354.955 52.265 355.125 52.435 ;
+        RECT 355.415 52.265 355.585 52.435 ;
+        RECT 356.820 52.605 356.990 52.775 ;
+        RECT 357.715 52.605 357.885 52.775 ;
+        RECT 365.535 52.605 365.705 52.775 ;
+        RECT 364.155 52.265 364.325 52.435 ;
+        RECT 365.075 52.265 365.245 52.435 ;
+        RECT 371.975 52.265 372.145 52.435 ;
+        RECT 372.895 52.265 373.065 52.435 ;
+        RECT 371.055 51.585 371.225 51.755 ;
+        RECT 380.715 52.605 380.885 52.775 ;
+        RECT 385.775 52.945 385.945 53.115 ;
+        RECT 390.835 52.265 391.005 52.435 ;
+        RECT 624.975 52.265 625.145 52.435 ;
+        RECT 42.615 51.075 42.785 51.245 ;
+        RECT 43.075 51.075 43.245 51.245 ;
+        RECT 43.535 51.075 43.705 51.245 ;
+        RECT 43.995 51.075 44.165 51.245 ;
+        RECT 44.455 51.075 44.625 51.245 ;
+        RECT 44.915 51.075 45.085 51.245 ;
+        RECT 45.375 51.075 45.545 51.245 ;
+        RECT 45.835 51.075 46.005 51.245 ;
+        RECT 46.295 51.075 46.465 51.245 ;
+        RECT 46.755 51.075 46.925 51.245 ;
+        RECT 47.215 51.075 47.385 51.245 ;
+        RECT 47.675 51.075 47.845 51.245 ;
+        RECT 48.135 51.075 48.305 51.245 ;
+        RECT 48.595 51.075 48.765 51.245 ;
+        RECT 49.055 51.075 49.225 51.245 ;
+        RECT 49.515 51.075 49.685 51.245 ;
+        RECT 49.975 51.075 50.145 51.245 ;
+        RECT 50.435 51.075 50.605 51.245 ;
+        RECT 50.895 51.075 51.065 51.245 ;
+        RECT 51.355 51.075 51.525 51.245 ;
+        RECT 51.815 51.075 51.985 51.245 ;
+        RECT 52.275 51.075 52.445 51.245 ;
+        RECT 52.735 51.075 52.905 51.245 ;
+        RECT 53.195 51.075 53.365 51.245 ;
+        RECT 53.655 51.075 53.825 51.245 ;
+        RECT 54.115 51.075 54.285 51.245 ;
+        RECT 54.575 51.075 54.745 51.245 ;
+        RECT 55.035 51.075 55.205 51.245 ;
+        RECT 55.495 51.075 55.665 51.245 ;
+        RECT 55.955 51.075 56.125 51.245 ;
+        RECT 56.415 51.075 56.585 51.245 ;
+        RECT 56.875 51.075 57.045 51.245 ;
+        RECT 57.335 51.075 57.505 51.245 ;
+        RECT 57.795 51.075 57.965 51.245 ;
+        RECT 58.255 51.075 58.425 51.245 ;
+        RECT 58.715 51.075 58.885 51.245 ;
+        RECT 59.175 51.075 59.345 51.245 ;
+        RECT 59.635 51.075 59.805 51.245 ;
+        RECT 60.095 51.075 60.265 51.245 ;
+        RECT 60.555 51.075 60.725 51.245 ;
+        RECT 61.015 51.075 61.185 51.245 ;
+        RECT 61.475 51.075 61.645 51.245 ;
+        RECT 61.935 51.075 62.105 51.245 ;
+        RECT 62.395 51.075 62.565 51.245 ;
+        RECT 62.855 51.075 63.025 51.245 ;
+        RECT 63.315 51.075 63.485 51.245 ;
+        RECT 63.775 51.075 63.945 51.245 ;
+        RECT 64.235 51.075 64.405 51.245 ;
+        RECT 64.695 51.075 64.865 51.245 ;
+        RECT 65.155 51.075 65.325 51.245 ;
+        RECT 65.615 51.075 65.785 51.245 ;
+        RECT 66.075 51.075 66.245 51.245 ;
+        RECT 66.535 51.075 66.705 51.245 ;
+        RECT 66.995 51.075 67.165 51.245 ;
+        RECT 67.455 51.075 67.625 51.245 ;
+        RECT 67.915 51.075 68.085 51.245 ;
+        RECT 68.375 51.075 68.545 51.245 ;
+        RECT 68.835 51.075 69.005 51.245 ;
+        RECT 69.295 51.075 69.465 51.245 ;
+        RECT 69.755 51.075 69.925 51.245 ;
+        RECT 70.215 51.075 70.385 51.245 ;
+        RECT 70.675 51.075 70.845 51.245 ;
+        RECT 71.135 51.075 71.305 51.245 ;
+        RECT 71.595 51.075 71.765 51.245 ;
+        RECT 72.055 51.075 72.225 51.245 ;
+        RECT 72.515 51.075 72.685 51.245 ;
+        RECT 72.975 51.075 73.145 51.245 ;
+        RECT 73.435 51.075 73.605 51.245 ;
+        RECT 73.895 51.075 74.065 51.245 ;
+        RECT 74.355 51.075 74.525 51.245 ;
+        RECT 74.815 51.075 74.985 51.245 ;
+        RECT 75.275 51.075 75.445 51.245 ;
+        RECT 75.735 51.075 75.905 51.245 ;
+        RECT 76.195 51.075 76.365 51.245 ;
+        RECT 76.655 51.075 76.825 51.245 ;
+        RECT 77.115 51.075 77.285 51.245 ;
+        RECT 77.575 51.075 77.745 51.245 ;
+        RECT 78.035 51.075 78.205 51.245 ;
+        RECT 78.495 51.075 78.665 51.245 ;
+        RECT 78.955 51.075 79.125 51.245 ;
+        RECT 79.415 51.075 79.585 51.245 ;
+        RECT 79.875 51.075 80.045 51.245 ;
+        RECT 80.335 51.075 80.505 51.245 ;
+        RECT 80.795 51.075 80.965 51.245 ;
+        RECT 81.255 51.075 81.425 51.245 ;
+        RECT 81.715 51.075 81.885 51.245 ;
+        RECT 82.175 51.075 82.345 51.245 ;
+        RECT 82.635 51.075 82.805 51.245 ;
+        RECT 83.095 51.075 83.265 51.245 ;
+        RECT 83.555 51.075 83.725 51.245 ;
+        RECT 84.015 51.075 84.185 51.245 ;
+        RECT 84.475 51.075 84.645 51.245 ;
+        RECT 84.935 51.075 85.105 51.245 ;
+        RECT 85.395 51.075 85.565 51.245 ;
+        RECT 85.855 51.075 86.025 51.245 ;
+        RECT 86.315 51.075 86.485 51.245 ;
+        RECT 86.775 51.075 86.945 51.245 ;
+        RECT 87.235 51.075 87.405 51.245 ;
+        RECT 87.695 51.075 87.865 51.245 ;
+        RECT 88.155 51.075 88.325 51.245 ;
+        RECT 88.615 51.075 88.785 51.245 ;
+        RECT 89.075 51.075 89.245 51.245 ;
+        RECT 89.535 51.075 89.705 51.245 ;
+        RECT 89.995 51.075 90.165 51.245 ;
+        RECT 90.455 51.075 90.625 51.245 ;
+        RECT 90.915 51.075 91.085 51.245 ;
+        RECT 91.375 51.075 91.545 51.245 ;
+        RECT 91.835 51.075 92.005 51.245 ;
+        RECT 92.295 51.075 92.465 51.245 ;
+        RECT 92.755 51.075 92.925 51.245 ;
+        RECT 93.215 51.075 93.385 51.245 ;
+        RECT 93.675 51.075 93.845 51.245 ;
+        RECT 94.135 51.075 94.305 51.245 ;
+        RECT 94.595 51.075 94.765 51.245 ;
+        RECT 95.055 51.075 95.225 51.245 ;
+        RECT 95.515 51.075 95.685 51.245 ;
+        RECT 95.975 51.075 96.145 51.245 ;
+        RECT 96.435 51.075 96.605 51.245 ;
+        RECT 96.895 51.075 97.065 51.245 ;
+        RECT 97.355 51.075 97.525 51.245 ;
+        RECT 97.815 51.075 97.985 51.245 ;
+        RECT 98.275 51.075 98.445 51.245 ;
+        RECT 98.735 51.075 98.905 51.245 ;
+        RECT 99.195 51.075 99.365 51.245 ;
+        RECT 99.655 51.075 99.825 51.245 ;
+        RECT 100.115 51.075 100.285 51.245 ;
+        RECT 100.575 51.075 100.745 51.245 ;
+        RECT 101.035 51.075 101.205 51.245 ;
+        RECT 101.495 51.075 101.665 51.245 ;
+        RECT 101.955 51.075 102.125 51.245 ;
+        RECT 102.415 51.075 102.585 51.245 ;
+        RECT 102.875 51.075 103.045 51.245 ;
+        RECT 103.335 51.075 103.505 51.245 ;
+        RECT 103.795 51.075 103.965 51.245 ;
+        RECT 104.255 51.075 104.425 51.245 ;
+        RECT 104.715 51.075 104.885 51.245 ;
+        RECT 105.175 51.075 105.345 51.245 ;
+        RECT 105.635 51.075 105.805 51.245 ;
+        RECT 106.095 51.075 106.265 51.245 ;
+        RECT 106.555 51.075 106.725 51.245 ;
+        RECT 107.015 51.075 107.185 51.245 ;
+        RECT 107.475 51.075 107.645 51.245 ;
+        RECT 107.935 51.075 108.105 51.245 ;
+        RECT 108.395 51.075 108.565 51.245 ;
+        RECT 108.855 51.075 109.025 51.245 ;
+        RECT 109.315 51.075 109.485 51.245 ;
+        RECT 109.775 51.075 109.945 51.245 ;
+        RECT 110.235 51.075 110.405 51.245 ;
+        RECT 110.695 51.075 110.865 51.245 ;
+        RECT 111.155 51.075 111.325 51.245 ;
+        RECT 111.615 51.075 111.785 51.245 ;
+        RECT 112.075 51.075 112.245 51.245 ;
+        RECT 112.535 51.075 112.705 51.245 ;
+        RECT 112.995 51.075 113.165 51.245 ;
+        RECT 113.455 51.075 113.625 51.245 ;
+        RECT 113.915 51.075 114.085 51.245 ;
+        RECT 114.375 51.075 114.545 51.245 ;
+        RECT 114.835 51.075 115.005 51.245 ;
+        RECT 115.295 51.075 115.465 51.245 ;
+        RECT 115.755 51.075 115.925 51.245 ;
+        RECT 116.215 51.075 116.385 51.245 ;
+        RECT 116.675 51.075 116.845 51.245 ;
+        RECT 117.135 51.075 117.305 51.245 ;
+        RECT 117.595 51.075 117.765 51.245 ;
+        RECT 118.055 51.075 118.225 51.245 ;
+        RECT 118.515 51.075 118.685 51.245 ;
+        RECT 118.975 51.075 119.145 51.245 ;
+        RECT 119.435 51.075 119.605 51.245 ;
+        RECT 119.895 51.075 120.065 51.245 ;
+        RECT 120.355 51.075 120.525 51.245 ;
+        RECT 120.815 51.075 120.985 51.245 ;
+        RECT 121.275 51.075 121.445 51.245 ;
+        RECT 121.735 51.075 121.905 51.245 ;
+        RECT 122.195 51.075 122.365 51.245 ;
+        RECT 122.655 51.075 122.825 51.245 ;
+        RECT 123.115 51.075 123.285 51.245 ;
+        RECT 123.575 51.075 123.745 51.245 ;
+        RECT 124.035 51.075 124.205 51.245 ;
+        RECT 124.495 51.075 124.665 51.245 ;
+        RECT 124.955 51.075 125.125 51.245 ;
+        RECT 125.415 51.075 125.585 51.245 ;
+        RECT 125.875 51.075 126.045 51.245 ;
+        RECT 126.335 51.075 126.505 51.245 ;
+        RECT 126.795 51.075 126.965 51.245 ;
+        RECT 127.255 51.075 127.425 51.245 ;
+        RECT 127.715 51.075 127.885 51.245 ;
+        RECT 128.175 51.075 128.345 51.245 ;
+        RECT 128.635 51.075 128.805 51.245 ;
+        RECT 129.095 51.075 129.265 51.245 ;
+        RECT 129.555 51.075 129.725 51.245 ;
+        RECT 130.015 51.075 130.185 51.245 ;
+        RECT 130.475 51.075 130.645 51.245 ;
+        RECT 130.935 51.075 131.105 51.245 ;
+        RECT 131.395 51.075 131.565 51.245 ;
+        RECT 131.855 51.075 132.025 51.245 ;
+        RECT 132.315 51.075 132.485 51.245 ;
+        RECT 132.775 51.075 132.945 51.245 ;
+        RECT 133.235 51.075 133.405 51.245 ;
+        RECT 133.695 51.075 133.865 51.245 ;
+        RECT 134.155 51.075 134.325 51.245 ;
+        RECT 134.615 51.075 134.785 51.245 ;
+        RECT 135.075 51.075 135.245 51.245 ;
+        RECT 135.535 51.075 135.705 51.245 ;
+        RECT 135.995 51.075 136.165 51.245 ;
+        RECT 136.455 51.075 136.625 51.245 ;
+        RECT 136.915 51.075 137.085 51.245 ;
+        RECT 137.375 51.075 137.545 51.245 ;
+        RECT 137.835 51.075 138.005 51.245 ;
+        RECT 138.295 51.075 138.465 51.245 ;
+        RECT 138.755 51.075 138.925 51.245 ;
+        RECT 139.215 51.075 139.385 51.245 ;
+        RECT 139.675 51.075 139.845 51.245 ;
+        RECT 140.135 51.075 140.305 51.245 ;
+        RECT 140.595 51.075 140.765 51.245 ;
+        RECT 141.055 51.075 141.225 51.245 ;
+        RECT 141.515 51.075 141.685 51.245 ;
+        RECT 141.975 51.075 142.145 51.245 ;
+        RECT 142.435 51.075 142.605 51.245 ;
+        RECT 142.895 51.075 143.065 51.245 ;
+        RECT 143.355 51.075 143.525 51.245 ;
+        RECT 143.815 51.075 143.985 51.245 ;
+        RECT 144.275 51.075 144.445 51.245 ;
+        RECT 144.735 51.075 144.905 51.245 ;
+        RECT 145.195 51.075 145.365 51.245 ;
+        RECT 145.655 51.075 145.825 51.245 ;
+        RECT 146.115 51.075 146.285 51.245 ;
+        RECT 146.575 51.075 146.745 51.245 ;
+        RECT 147.035 51.075 147.205 51.245 ;
+        RECT 147.495 51.075 147.665 51.245 ;
+        RECT 147.955 51.075 148.125 51.245 ;
+        RECT 148.415 51.075 148.585 51.245 ;
+        RECT 148.875 51.075 149.045 51.245 ;
+        RECT 149.335 51.075 149.505 51.245 ;
+        RECT 149.795 51.075 149.965 51.245 ;
+        RECT 150.255 51.075 150.425 51.245 ;
+        RECT 150.715 51.075 150.885 51.245 ;
+        RECT 151.175 51.075 151.345 51.245 ;
+        RECT 151.635 51.075 151.805 51.245 ;
+        RECT 152.095 51.075 152.265 51.245 ;
+        RECT 152.555 51.075 152.725 51.245 ;
+        RECT 153.015 51.075 153.185 51.245 ;
+        RECT 153.475 51.075 153.645 51.245 ;
+        RECT 153.935 51.075 154.105 51.245 ;
+        RECT 154.395 51.075 154.565 51.245 ;
+        RECT 154.855 51.075 155.025 51.245 ;
+        RECT 155.315 51.075 155.485 51.245 ;
+        RECT 155.775 51.075 155.945 51.245 ;
+        RECT 156.235 51.075 156.405 51.245 ;
+        RECT 156.695 51.075 156.865 51.245 ;
+        RECT 157.155 51.075 157.325 51.245 ;
+        RECT 157.615 51.075 157.785 51.245 ;
+        RECT 158.075 51.075 158.245 51.245 ;
+        RECT 158.535 51.075 158.705 51.245 ;
+        RECT 158.995 51.075 159.165 51.245 ;
+        RECT 159.455 51.075 159.625 51.245 ;
+        RECT 159.915 51.075 160.085 51.245 ;
+        RECT 160.375 51.075 160.545 51.245 ;
+        RECT 160.835 51.075 161.005 51.245 ;
+        RECT 161.295 51.075 161.465 51.245 ;
+        RECT 161.755 51.075 161.925 51.245 ;
+        RECT 162.215 51.075 162.385 51.245 ;
+        RECT 162.675 51.075 162.845 51.245 ;
+        RECT 163.135 51.075 163.305 51.245 ;
+        RECT 163.595 51.075 163.765 51.245 ;
+        RECT 164.055 51.075 164.225 51.245 ;
+        RECT 164.515 51.075 164.685 51.245 ;
+        RECT 164.975 51.075 165.145 51.245 ;
+        RECT 165.435 51.075 165.605 51.245 ;
+        RECT 165.895 51.075 166.065 51.245 ;
+        RECT 166.355 51.075 166.525 51.245 ;
+        RECT 166.815 51.075 166.985 51.245 ;
+        RECT 167.275 51.075 167.445 51.245 ;
+        RECT 167.735 51.075 167.905 51.245 ;
+        RECT 168.195 51.075 168.365 51.245 ;
+        RECT 168.655 51.075 168.825 51.245 ;
+        RECT 169.115 51.075 169.285 51.245 ;
+        RECT 169.575 51.075 169.745 51.245 ;
+        RECT 170.035 51.075 170.205 51.245 ;
+        RECT 170.495 51.075 170.665 51.245 ;
+        RECT 170.955 51.075 171.125 51.245 ;
+        RECT 171.415 51.075 171.585 51.245 ;
+        RECT 171.875 51.075 172.045 51.245 ;
+        RECT 172.335 51.075 172.505 51.245 ;
+        RECT 172.795 51.075 172.965 51.245 ;
+        RECT 173.255 51.075 173.425 51.245 ;
+        RECT 173.715 51.075 173.885 51.245 ;
+        RECT 174.175 51.075 174.345 51.245 ;
+        RECT 174.635 51.075 174.805 51.245 ;
+        RECT 175.095 51.075 175.265 51.245 ;
+        RECT 175.555 51.075 175.725 51.245 ;
+        RECT 176.015 51.075 176.185 51.245 ;
+        RECT 176.475 51.075 176.645 51.245 ;
+        RECT 176.935 51.075 177.105 51.245 ;
+        RECT 177.395 51.075 177.565 51.245 ;
+        RECT 177.855 51.075 178.025 51.245 ;
+        RECT 178.315 51.075 178.485 51.245 ;
+        RECT 178.775 51.075 178.945 51.245 ;
+        RECT 179.235 51.075 179.405 51.245 ;
+        RECT 179.695 51.075 179.865 51.245 ;
+        RECT 180.155 51.075 180.325 51.245 ;
+        RECT 180.615 51.075 180.785 51.245 ;
+        RECT 181.075 51.075 181.245 51.245 ;
+        RECT 181.535 51.075 181.705 51.245 ;
+        RECT 181.995 51.075 182.165 51.245 ;
+        RECT 182.455 51.075 182.625 51.245 ;
+        RECT 182.915 51.075 183.085 51.245 ;
+        RECT 183.375 51.075 183.545 51.245 ;
+        RECT 183.835 51.075 184.005 51.245 ;
+        RECT 184.295 51.075 184.465 51.245 ;
+        RECT 184.755 51.075 184.925 51.245 ;
+        RECT 185.215 51.075 185.385 51.245 ;
+        RECT 185.675 51.075 185.845 51.245 ;
+        RECT 186.135 51.075 186.305 51.245 ;
+        RECT 186.595 51.075 186.765 51.245 ;
+        RECT 187.055 51.075 187.225 51.245 ;
+        RECT 187.515 51.075 187.685 51.245 ;
+        RECT 187.975 51.075 188.145 51.245 ;
+        RECT 188.435 51.075 188.605 51.245 ;
+        RECT 188.895 51.075 189.065 51.245 ;
+        RECT 189.355 51.075 189.525 51.245 ;
+        RECT 189.815 51.075 189.985 51.245 ;
+        RECT 190.275 51.075 190.445 51.245 ;
+        RECT 190.735 51.075 190.905 51.245 ;
+        RECT 191.195 51.075 191.365 51.245 ;
+        RECT 191.655 51.075 191.825 51.245 ;
+        RECT 192.115 51.075 192.285 51.245 ;
+        RECT 192.575 51.075 192.745 51.245 ;
+        RECT 193.035 51.075 193.205 51.245 ;
+        RECT 193.495 51.075 193.665 51.245 ;
+        RECT 193.955 51.075 194.125 51.245 ;
+        RECT 194.415 51.075 194.585 51.245 ;
+        RECT 194.875 51.075 195.045 51.245 ;
+        RECT 195.335 51.075 195.505 51.245 ;
+        RECT 195.795 51.075 195.965 51.245 ;
+        RECT 196.255 51.075 196.425 51.245 ;
+        RECT 196.715 51.075 196.885 51.245 ;
+        RECT 197.175 51.075 197.345 51.245 ;
+        RECT 197.635 51.075 197.805 51.245 ;
+        RECT 198.095 51.075 198.265 51.245 ;
+        RECT 198.555 51.075 198.725 51.245 ;
+        RECT 199.015 51.075 199.185 51.245 ;
+        RECT 199.475 51.075 199.645 51.245 ;
+        RECT 199.935 51.075 200.105 51.245 ;
+        RECT 200.395 51.075 200.565 51.245 ;
+        RECT 200.855 51.075 201.025 51.245 ;
+        RECT 201.315 51.075 201.485 51.245 ;
+        RECT 201.775 51.075 201.945 51.245 ;
+        RECT 202.235 51.075 202.405 51.245 ;
+        RECT 202.695 51.075 202.865 51.245 ;
+        RECT 203.155 51.075 203.325 51.245 ;
+        RECT 203.615 51.075 203.785 51.245 ;
+        RECT 204.075 51.075 204.245 51.245 ;
+        RECT 204.535 51.075 204.705 51.245 ;
+        RECT 204.995 51.075 205.165 51.245 ;
+        RECT 205.455 51.075 205.625 51.245 ;
+        RECT 205.915 51.075 206.085 51.245 ;
+        RECT 206.375 51.075 206.545 51.245 ;
+        RECT 206.835 51.075 207.005 51.245 ;
+        RECT 207.295 51.075 207.465 51.245 ;
+        RECT 207.755 51.075 207.925 51.245 ;
+        RECT 208.215 51.075 208.385 51.245 ;
+        RECT 208.675 51.075 208.845 51.245 ;
+        RECT 209.135 51.075 209.305 51.245 ;
+        RECT 209.595 51.075 209.765 51.245 ;
+        RECT 210.055 51.075 210.225 51.245 ;
+        RECT 210.515 51.075 210.685 51.245 ;
+        RECT 210.975 51.075 211.145 51.245 ;
+        RECT 211.435 51.075 211.605 51.245 ;
+        RECT 211.895 51.075 212.065 51.245 ;
+        RECT 212.355 51.075 212.525 51.245 ;
+        RECT 212.815 51.075 212.985 51.245 ;
+        RECT 213.275 51.075 213.445 51.245 ;
+        RECT 213.735 51.075 213.905 51.245 ;
+        RECT 214.195 51.075 214.365 51.245 ;
+        RECT 214.655 51.075 214.825 51.245 ;
+        RECT 215.115 51.075 215.285 51.245 ;
+        RECT 215.575 51.075 215.745 51.245 ;
+        RECT 216.035 51.075 216.205 51.245 ;
+        RECT 216.495 51.075 216.665 51.245 ;
+        RECT 216.955 51.075 217.125 51.245 ;
+        RECT 217.415 51.075 217.585 51.245 ;
+        RECT 217.875 51.075 218.045 51.245 ;
+        RECT 218.335 51.075 218.505 51.245 ;
+        RECT 218.795 51.075 218.965 51.245 ;
+        RECT 219.255 51.075 219.425 51.245 ;
+        RECT 219.715 51.075 219.885 51.245 ;
+        RECT 220.175 51.075 220.345 51.245 ;
+        RECT 220.635 51.075 220.805 51.245 ;
+        RECT 221.095 51.075 221.265 51.245 ;
+        RECT 221.555 51.075 221.725 51.245 ;
+        RECT 222.015 51.075 222.185 51.245 ;
+        RECT 222.475 51.075 222.645 51.245 ;
+        RECT 222.935 51.075 223.105 51.245 ;
+        RECT 223.395 51.075 223.565 51.245 ;
+        RECT 223.855 51.075 224.025 51.245 ;
+        RECT 224.315 51.075 224.485 51.245 ;
+        RECT 224.775 51.075 224.945 51.245 ;
+        RECT 225.235 51.075 225.405 51.245 ;
+        RECT 225.695 51.075 225.865 51.245 ;
+        RECT 226.155 51.075 226.325 51.245 ;
+        RECT 226.615 51.075 226.785 51.245 ;
+        RECT 227.075 51.075 227.245 51.245 ;
+        RECT 227.535 51.075 227.705 51.245 ;
+        RECT 227.995 51.075 228.165 51.245 ;
+        RECT 228.455 51.075 228.625 51.245 ;
+        RECT 228.915 51.075 229.085 51.245 ;
+        RECT 229.375 51.075 229.545 51.245 ;
+        RECT 229.835 51.075 230.005 51.245 ;
+        RECT 230.295 51.075 230.465 51.245 ;
+        RECT 230.755 51.075 230.925 51.245 ;
+        RECT 231.215 51.075 231.385 51.245 ;
+        RECT 231.675 51.075 231.845 51.245 ;
+        RECT 232.135 51.075 232.305 51.245 ;
+        RECT 232.595 51.075 232.765 51.245 ;
+        RECT 233.055 51.075 233.225 51.245 ;
+        RECT 233.515 51.075 233.685 51.245 ;
+        RECT 233.975 51.075 234.145 51.245 ;
+        RECT 234.435 51.075 234.605 51.245 ;
+        RECT 234.895 51.075 235.065 51.245 ;
+        RECT 235.355 51.075 235.525 51.245 ;
+        RECT 235.815 51.075 235.985 51.245 ;
+        RECT 236.275 51.075 236.445 51.245 ;
+        RECT 236.735 51.075 236.905 51.245 ;
+        RECT 237.195 51.075 237.365 51.245 ;
+        RECT 237.655 51.075 237.825 51.245 ;
+        RECT 238.115 51.075 238.285 51.245 ;
+        RECT 238.575 51.075 238.745 51.245 ;
+        RECT 239.035 51.075 239.205 51.245 ;
+        RECT 239.495 51.075 239.665 51.245 ;
+        RECT 239.955 51.075 240.125 51.245 ;
+        RECT 240.415 51.075 240.585 51.245 ;
+        RECT 240.875 51.075 241.045 51.245 ;
+        RECT 241.335 51.075 241.505 51.245 ;
+        RECT 241.795 51.075 241.965 51.245 ;
+        RECT 242.255 51.075 242.425 51.245 ;
+        RECT 242.715 51.075 242.885 51.245 ;
+        RECT 243.175 51.075 243.345 51.245 ;
+        RECT 243.635 51.075 243.805 51.245 ;
+        RECT 244.095 51.075 244.265 51.245 ;
+        RECT 244.555 51.075 244.725 51.245 ;
+        RECT 245.015 51.075 245.185 51.245 ;
+        RECT 245.475 51.075 245.645 51.245 ;
+        RECT 245.935 51.075 246.105 51.245 ;
+        RECT 246.395 51.075 246.565 51.245 ;
+        RECT 246.855 51.075 247.025 51.245 ;
+        RECT 247.315 51.075 247.485 51.245 ;
+        RECT 247.775 51.075 247.945 51.245 ;
+        RECT 248.235 51.075 248.405 51.245 ;
+        RECT 248.695 51.075 248.865 51.245 ;
+        RECT 249.155 51.075 249.325 51.245 ;
+        RECT 249.615 51.075 249.785 51.245 ;
+        RECT 250.075 51.075 250.245 51.245 ;
+        RECT 250.535 51.075 250.705 51.245 ;
+        RECT 250.995 51.075 251.165 51.245 ;
+        RECT 251.455 51.075 251.625 51.245 ;
+        RECT 251.915 51.075 252.085 51.245 ;
+        RECT 252.375 51.075 252.545 51.245 ;
+        RECT 252.835 51.075 253.005 51.245 ;
+        RECT 253.295 51.075 253.465 51.245 ;
+        RECT 253.755 51.075 253.925 51.245 ;
+        RECT 254.215 51.075 254.385 51.245 ;
+        RECT 254.675 51.075 254.845 51.245 ;
+        RECT 255.135 51.075 255.305 51.245 ;
+        RECT 255.595 51.075 255.765 51.245 ;
+        RECT 256.055 51.075 256.225 51.245 ;
+        RECT 256.515 51.075 256.685 51.245 ;
+        RECT 256.975 51.075 257.145 51.245 ;
+        RECT 257.435 51.075 257.605 51.245 ;
+        RECT 257.895 51.075 258.065 51.245 ;
+        RECT 258.355 51.075 258.525 51.245 ;
+        RECT 258.815 51.075 258.985 51.245 ;
+        RECT 259.275 51.075 259.445 51.245 ;
+        RECT 259.735 51.075 259.905 51.245 ;
+        RECT 260.195 51.075 260.365 51.245 ;
+        RECT 260.655 51.075 260.825 51.245 ;
+        RECT 261.115 51.075 261.285 51.245 ;
+        RECT 261.575 51.075 261.745 51.245 ;
+        RECT 262.035 51.075 262.205 51.245 ;
+        RECT 262.495 51.075 262.665 51.245 ;
+        RECT 262.955 51.075 263.125 51.245 ;
+        RECT 263.415 51.075 263.585 51.245 ;
+        RECT 263.875 51.075 264.045 51.245 ;
+        RECT 264.335 51.075 264.505 51.245 ;
+        RECT 264.795 51.075 264.965 51.245 ;
+        RECT 265.255 51.075 265.425 51.245 ;
+        RECT 265.715 51.075 265.885 51.245 ;
+        RECT 266.175 51.075 266.345 51.245 ;
+        RECT 266.635 51.075 266.805 51.245 ;
+        RECT 267.095 51.075 267.265 51.245 ;
+        RECT 267.555 51.075 267.725 51.245 ;
+        RECT 268.015 51.075 268.185 51.245 ;
+        RECT 268.475 51.075 268.645 51.245 ;
+        RECT 268.935 51.075 269.105 51.245 ;
+        RECT 269.395 51.075 269.565 51.245 ;
+        RECT 269.855 51.075 270.025 51.245 ;
+        RECT 270.315 51.075 270.485 51.245 ;
+        RECT 270.775 51.075 270.945 51.245 ;
+        RECT 271.235 51.075 271.405 51.245 ;
+        RECT 271.695 51.075 271.865 51.245 ;
+        RECT 272.155 51.075 272.325 51.245 ;
+        RECT 272.615 51.075 272.785 51.245 ;
+        RECT 273.075 51.075 273.245 51.245 ;
+        RECT 273.535 51.075 273.705 51.245 ;
+        RECT 273.995 51.075 274.165 51.245 ;
+        RECT 274.455 51.075 274.625 51.245 ;
+        RECT 274.915 51.075 275.085 51.245 ;
+        RECT 275.375 51.075 275.545 51.245 ;
+        RECT 275.835 51.075 276.005 51.245 ;
+        RECT 276.295 51.075 276.465 51.245 ;
+        RECT 276.755 51.075 276.925 51.245 ;
+        RECT 277.215 51.075 277.385 51.245 ;
+        RECT 277.675 51.075 277.845 51.245 ;
+        RECT 278.135 51.075 278.305 51.245 ;
+        RECT 278.595 51.075 278.765 51.245 ;
+        RECT 279.055 51.075 279.225 51.245 ;
+        RECT 279.515 51.075 279.685 51.245 ;
+        RECT 279.975 51.075 280.145 51.245 ;
+        RECT 280.435 51.075 280.605 51.245 ;
+        RECT 280.895 51.075 281.065 51.245 ;
+        RECT 281.355 51.075 281.525 51.245 ;
+        RECT 281.815 51.075 281.985 51.245 ;
+        RECT 282.275 51.075 282.445 51.245 ;
+        RECT 282.735 51.075 282.905 51.245 ;
+        RECT 283.195 51.075 283.365 51.245 ;
+        RECT 283.655 51.075 283.825 51.245 ;
+        RECT 284.115 51.075 284.285 51.245 ;
+        RECT 284.575 51.075 284.745 51.245 ;
+        RECT 285.035 51.075 285.205 51.245 ;
+        RECT 285.495 51.075 285.665 51.245 ;
+        RECT 285.955 51.075 286.125 51.245 ;
+        RECT 286.415 51.075 286.585 51.245 ;
+        RECT 286.875 51.075 287.045 51.245 ;
+        RECT 287.335 51.075 287.505 51.245 ;
+        RECT 287.795 51.075 287.965 51.245 ;
+        RECT 288.255 51.075 288.425 51.245 ;
+        RECT 288.715 51.075 288.885 51.245 ;
+        RECT 289.175 51.075 289.345 51.245 ;
+        RECT 289.635 51.075 289.805 51.245 ;
+        RECT 290.095 51.075 290.265 51.245 ;
+        RECT 290.555 51.075 290.725 51.245 ;
+        RECT 291.015 51.075 291.185 51.245 ;
+        RECT 291.475 51.075 291.645 51.245 ;
+        RECT 291.935 51.075 292.105 51.245 ;
+        RECT 292.395 51.075 292.565 51.245 ;
+        RECT 292.855 51.075 293.025 51.245 ;
+        RECT 293.315 51.075 293.485 51.245 ;
+        RECT 293.775 51.075 293.945 51.245 ;
+        RECT 294.235 51.075 294.405 51.245 ;
+        RECT 294.695 51.075 294.865 51.245 ;
+        RECT 295.155 51.075 295.325 51.245 ;
+        RECT 295.615 51.075 295.785 51.245 ;
+        RECT 296.075 51.075 296.245 51.245 ;
+        RECT 296.535 51.075 296.705 51.245 ;
+        RECT 296.995 51.075 297.165 51.245 ;
+        RECT 297.455 51.075 297.625 51.245 ;
+        RECT 297.915 51.075 298.085 51.245 ;
+        RECT 298.375 51.075 298.545 51.245 ;
+        RECT 298.835 51.075 299.005 51.245 ;
+        RECT 299.295 51.075 299.465 51.245 ;
+        RECT 299.755 51.075 299.925 51.245 ;
+        RECT 300.215 51.075 300.385 51.245 ;
+        RECT 300.675 51.075 300.845 51.245 ;
+        RECT 301.135 51.075 301.305 51.245 ;
+        RECT 301.595 51.075 301.765 51.245 ;
+        RECT 302.055 51.075 302.225 51.245 ;
+        RECT 302.515 51.075 302.685 51.245 ;
+        RECT 302.975 51.075 303.145 51.245 ;
+        RECT 303.435 51.075 303.605 51.245 ;
+        RECT 303.895 51.075 304.065 51.245 ;
+        RECT 304.355 51.075 304.525 51.245 ;
+        RECT 304.815 51.075 304.985 51.245 ;
+        RECT 305.275 51.075 305.445 51.245 ;
+        RECT 305.735 51.075 305.905 51.245 ;
+        RECT 306.195 51.075 306.365 51.245 ;
+        RECT 306.655 51.075 306.825 51.245 ;
+        RECT 307.115 51.075 307.285 51.245 ;
+        RECT 307.575 51.075 307.745 51.245 ;
+        RECT 308.035 51.075 308.205 51.245 ;
+        RECT 308.495 51.075 308.665 51.245 ;
+        RECT 308.955 51.075 309.125 51.245 ;
+        RECT 309.415 51.075 309.585 51.245 ;
+        RECT 309.875 51.075 310.045 51.245 ;
+        RECT 310.335 51.075 310.505 51.245 ;
+        RECT 310.795 51.075 310.965 51.245 ;
+        RECT 311.255 51.075 311.425 51.245 ;
+        RECT 311.715 51.075 311.885 51.245 ;
+        RECT 312.175 51.075 312.345 51.245 ;
+        RECT 312.635 51.075 312.805 51.245 ;
+        RECT 313.095 51.075 313.265 51.245 ;
+        RECT 313.555 51.075 313.725 51.245 ;
+        RECT 314.015 51.075 314.185 51.245 ;
+        RECT 314.475 51.075 314.645 51.245 ;
+        RECT 314.935 51.075 315.105 51.245 ;
+        RECT 315.395 51.075 315.565 51.245 ;
+        RECT 315.855 51.075 316.025 51.245 ;
+        RECT 316.315 51.075 316.485 51.245 ;
+        RECT 316.775 51.075 316.945 51.245 ;
+        RECT 317.235 51.075 317.405 51.245 ;
+        RECT 317.695 51.075 317.865 51.245 ;
+        RECT 318.155 51.075 318.325 51.245 ;
+        RECT 318.615 51.075 318.785 51.245 ;
+        RECT 319.075 51.075 319.245 51.245 ;
+        RECT 319.535 51.075 319.705 51.245 ;
+        RECT 319.995 51.075 320.165 51.245 ;
+        RECT 320.455 51.075 320.625 51.245 ;
+        RECT 320.915 51.075 321.085 51.245 ;
+        RECT 321.375 51.075 321.545 51.245 ;
+        RECT 321.835 51.075 322.005 51.245 ;
+        RECT 322.295 51.075 322.465 51.245 ;
+        RECT 322.755 51.075 322.925 51.245 ;
+        RECT 323.215 51.075 323.385 51.245 ;
+        RECT 323.675 51.075 323.845 51.245 ;
+        RECT 324.135 51.075 324.305 51.245 ;
+        RECT 324.595 51.075 324.765 51.245 ;
+        RECT 325.055 51.075 325.225 51.245 ;
+        RECT 325.515 51.075 325.685 51.245 ;
+        RECT 325.975 51.075 326.145 51.245 ;
+        RECT 326.435 51.075 326.605 51.245 ;
+        RECT 326.895 51.075 327.065 51.245 ;
+        RECT 327.355 51.075 327.525 51.245 ;
+        RECT 327.815 51.075 327.985 51.245 ;
+        RECT 328.275 51.075 328.445 51.245 ;
+        RECT 328.735 51.075 328.905 51.245 ;
+        RECT 329.195 51.075 329.365 51.245 ;
+        RECT 329.655 51.075 329.825 51.245 ;
+        RECT 330.115 51.075 330.285 51.245 ;
+        RECT 330.575 51.075 330.745 51.245 ;
+        RECT 331.035 51.075 331.205 51.245 ;
+        RECT 331.495 51.075 331.665 51.245 ;
+        RECT 331.955 51.075 332.125 51.245 ;
+        RECT 332.415 51.075 332.585 51.245 ;
+        RECT 332.875 51.075 333.045 51.245 ;
+        RECT 333.335 51.075 333.505 51.245 ;
+        RECT 333.795 51.075 333.965 51.245 ;
+        RECT 334.255 51.075 334.425 51.245 ;
+        RECT 334.715 51.075 334.885 51.245 ;
+        RECT 335.175 51.075 335.345 51.245 ;
+        RECT 335.635 51.075 335.805 51.245 ;
+        RECT 336.095 51.075 336.265 51.245 ;
+        RECT 336.555 51.075 336.725 51.245 ;
+        RECT 337.015 51.075 337.185 51.245 ;
+        RECT 337.475 51.075 337.645 51.245 ;
+        RECT 337.935 51.075 338.105 51.245 ;
+        RECT 338.395 51.075 338.565 51.245 ;
+        RECT 338.855 51.075 339.025 51.245 ;
+        RECT 339.315 51.075 339.485 51.245 ;
+        RECT 339.775 51.075 339.945 51.245 ;
+        RECT 340.235 51.075 340.405 51.245 ;
+        RECT 340.695 51.075 340.865 51.245 ;
+        RECT 341.155 51.075 341.325 51.245 ;
+        RECT 341.615 51.075 341.785 51.245 ;
+        RECT 342.075 51.075 342.245 51.245 ;
+        RECT 342.535 51.075 342.705 51.245 ;
+        RECT 342.995 51.075 343.165 51.245 ;
+        RECT 343.455 51.075 343.625 51.245 ;
+        RECT 343.915 51.075 344.085 51.245 ;
+        RECT 344.375 51.075 344.545 51.245 ;
+        RECT 344.835 51.075 345.005 51.245 ;
+        RECT 345.295 51.075 345.465 51.245 ;
+        RECT 345.755 51.075 345.925 51.245 ;
+        RECT 346.215 51.075 346.385 51.245 ;
+        RECT 346.675 51.075 346.845 51.245 ;
+        RECT 347.135 51.075 347.305 51.245 ;
+        RECT 347.595 51.075 347.765 51.245 ;
+        RECT 348.055 51.075 348.225 51.245 ;
+        RECT 348.515 51.075 348.685 51.245 ;
+        RECT 348.975 51.075 349.145 51.245 ;
+        RECT 349.435 51.075 349.605 51.245 ;
+        RECT 349.895 51.075 350.065 51.245 ;
+        RECT 350.355 51.075 350.525 51.245 ;
+        RECT 350.815 51.075 350.985 51.245 ;
+        RECT 351.275 51.075 351.445 51.245 ;
+        RECT 351.735 51.075 351.905 51.245 ;
+        RECT 352.195 51.075 352.365 51.245 ;
+        RECT 352.655 51.075 352.825 51.245 ;
+        RECT 353.115 51.075 353.285 51.245 ;
+        RECT 353.575 51.075 353.745 51.245 ;
+        RECT 354.035 51.075 354.205 51.245 ;
+        RECT 354.495 51.075 354.665 51.245 ;
+        RECT 354.955 51.075 355.125 51.245 ;
+        RECT 355.415 51.075 355.585 51.245 ;
+        RECT 355.875 51.075 356.045 51.245 ;
+        RECT 356.335 51.075 356.505 51.245 ;
+        RECT 356.795 51.075 356.965 51.245 ;
+        RECT 357.255 51.075 357.425 51.245 ;
+        RECT 357.715 51.075 357.885 51.245 ;
+        RECT 358.175 51.075 358.345 51.245 ;
+        RECT 358.635 51.075 358.805 51.245 ;
+        RECT 359.095 51.075 359.265 51.245 ;
+        RECT 359.555 51.075 359.725 51.245 ;
+        RECT 360.015 51.075 360.185 51.245 ;
+        RECT 360.475 51.075 360.645 51.245 ;
+        RECT 360.935 51.075 361.105 51.245 ;
+        RECT 361.395 51.075 361.565 51.245 ;
+        RECT 361.855 51.075 362.025 51.245 ;
+        RECT 362.315 51.075 362.485 51.245 ;
+        RECT 362.775 51.075 362.945 51.245 ;
+        RECT 363.235 51.075 363.405 51.245 ;
+        RECT 363.695 51.075 363.865 51.245 ;
+        RECT 364.155 51.075 364.325 51.245 ;
+        RECT 364.615 51.075 364.785 51.245 ;
+        RECT 365.075 51.075 365.245 51.245 ;
+        RECT 365.535 51.075 365.705 51.245 ;
+        RECT 365.995 51.075 366.165 51.245 ;
+        RECT 366.455 51.075 366.625 51.245 ;
+        RECT 366.915 51.075 367.085 51.245 ;
+        RECT 367.375 51.075 367.545 51.245 ;
+        RECT 367.835 51.075 368.005 51.245 ;
+        RECT 368.295 51.075 368.465 51.245 ;
+        RECT 368.755 51.075 368.925 51.245 ;
+        RECT 369.215 51.075 369.385 51.245 ;
+        RECT 369.675 51.075 369.845 51.245 ;
+        RECT 370.135 51.075 370.305 51.245 ;
+        RECT 370.595 51.075 370.765 51.245 ;
+        RECT 371.055 51.075 371.225 51.245 ;
+        RECT 371.515 51.075 371.685 51.245 ;
+        RECT 371.975 51.075 372.145 51.245 ;
+        RECT 372.435 51.075 372.605 51.245 ;
+        RECT 372.895 51.075 373.065 51.245 ;
+        RECT 373.355 51.075 373.525 51.245 ;
+        RECT 373.815 51.075 373.985 51.245 ;
+        RECT 374.275 51.075 374.445 51.245 ;
+        RECT 374.735 51.075 374.905 51.245 ;
+        RECT 375.195 51.075 375.365 51.245 ;
+        RECT 375.655 51.075 375.825 51.245 ;
+        RECT 376.115 51.075 376.285 51.245 ;
+        RECT 376.575 51.075 376.745 51.245 ;
+        RECT 377.035 51.075 377.205 51.245 ;
+        RECT 377.495 51.075 377.665 51.245 ;
+        RECT 377.955 51.075 378.125 51.245 ;
+        RECT 378.415 51.075 378.585 51.245 ;
+        RECT 378.875 51.075 379.045 51.245 ;
+        RECT 379.335 51.075 379.505 51.245 ;
+        RECT 379.795 51.075 379.965 51.245 ;
+        RECT 380.255 51.075 380.425 51.245 ;
+        RECT 380.715 51.075 380.885 51.245 ;
+        RECT 381.175 51.075 381.345 51.245 ;
+        RECT 381.635 51.075 381.805 51.245 ;
+        RECT 382.095 51.075 382.265 51.245 ;
+        RECT 382.555 51.075 382.725 51.245 ;
+        RECT 383.015 51.075 383.185 51.245 ;
+        RECT 383.475 51.075 383.645 51.245 ;
+        RECT 383.935 51.075 384.105 51.245 ;
+        RECT 384.395 51.075 384.565 51.245 ;
+        RECT 384.855 51.075 385.025 51.245 ;
+        RECT 385.315 51.075 385.485 51.245 ;
+        RECT 385.775 51.075 385.945 51.245 ;
+        RECT 386.235 51.075 386.405 51.245 ;
+        RECT 386.695 51.075 386.865 51.245 ;
+        RECT 387.155 51.075 387.325 51.245 ;
+        RECT 387.615 51.075 387.785 51.245 ;
+        RECT 388.075 51.075 388.245 51.245 ;
+        RECT 388.535 51.075 388.705 51.245 ;
+        RECT 388.995 51.075 389.165 51.245 ;
+        RECT 389.455 51.075 389.625 51.245 ;
+        RECT 389.915 51.075 390.085 51.245 ;
+        RECT 390.375 51.075 390.545 51.245 ;
+        RECT 390.835 51.075 391.005 51.245 ;
+        RECT 391.295 51.075 391.465 51.245 ;
+        RECT 391.755 51.075 391.925 51.245 ;
+        RECT 392.215 51.075 392.385 51.245 ;
+        RECT 392.675 51.075 392.845 51.245 ;
+        RECT 393.135 51.075 393.305 51.245 ;
+        RECT 393.595 51.075 393.765 51.245 ;
+        RECT 394.055 51.075 394.225 51.245 ;
+        RECT 394.515 51.075 394.685 51.245 ;
+        RECT 394.975 51.075 395.145 51.245 ;
+        RECT 395.435 51.075 395.605 51.245 ;
+        RECT 395.895 51.075 396.065 51.245 ;
+        RECT 396.355 51.075 396.525 51.245 ;
+        RECT 396.815 51.075 396.985 51.245 ;
+        RECT 397.275 51.075 397.445 51.245 ;
+        RECT 397.735 51.075 397.905 51.245 ;
+        RECT 398.195 51.075 398.365 51.245 ;
+        RECT 398.655 51.075 398.825 51.245 ;
+        RECT 399.115 51.075 399.285 51.245 ;
+        RECT 399.575 51.075 399.745 51.245 ;
+        RECT 400.035 51.075 400.205 51.245 ;
+        RECT 400.495 51.075 400.665 51.245 ;
+        RECT 400.955 51.075 401.125 51.245 ;
+        RECT 401.415 51.075 401.585 51.245 ;
+        RECT 401.875 51.075 402.045 51.245 ;
+        RECT 402.335 51.075 402.505 51.245 ;
+        RECT 402.795 51.075 402.965 51.245 ;
+        RECT 403.255 51.075 403.425 51.245 ;
+        RECT 403.715 51.075 403.885 51.245 ;
+        RECT 404.175 51.075 404.345 51.245 ;
+        RECT 404.635 51.075 404.805 51.245 ;
+        RECT 405.095 51.075 405.265 51.245 ;
+        RECT 405.555 51.075 405.725 51.245 ;
+        RECT 406.015 51.075 406.185 51.245 ;
+        RECT 406.475 51.075 406.645 51.245 ;
+        RECT 406.935 51.075 407.105 51.245 ;
+        RECT 407.395 51.075 407.565 51.245 ;
+        RECT 407.855 51.075 408.025 51.245 ;
+        RECT 408.315 51.075 408.485 51.245 ;
+        RECT 408.775 51.075 408.945 51.245 ;
+        RECT 409.235 51.075 409.405 51.245 ;
+        RECT 409.695 51.075 409.865 51.245 ;
+        RECT 410.155 51.075 410.325 51.245 ;
+        RECT 410.615 51.075 410.785 51.245 ;
+        RECT 411.075 51.075 411.245 51.245 ;
+        RECT 411.535 51.075 411.705 51.245 ;
+        RECT 411.995 51.075 412.165 51.245 ;
+        RECT 412.455 51.075 412.625 51.245 ;
+        RECT 412.915 51.075 413.085 51.245 ;
+        RECT 413.375 51.075 413.545 51.245 ;
+        RECT 413.835 51.075 414.005 51.245 ;
+        RECT 414.295 51.075 414.465 51.245 ;
+        RECT 414.755 51.075 414.925 51.245 ;
+        RECT 415.215 51.075 415.385 51.245 ;
+        RECT 415.675 51.075 415.845 51.245 ;
+        RECT 416.135 51.075 416.305 51.245 ;
+        RECT 416.595 51.075 416.765 51.245 ;
+        RECT 417.055 51.075 417.225 51.245 ;
+        RECT 417.515 51.075 417.685 51.245 ;
+        RECT 417.975 51.075 418.145 51.245 ;
+        RECT 418.435 51.075 418.605 51.245 ;
+        RECT 418.895 51.075 419.065 51.245 ;
+        RECT 419.355 51.075 419.525 51.245 ;
+        RECT 419.815 51.075 419.985 51.245 ;
+        RECT 420.275 51.075 420.445 51.245 ;
+        RECT 420.735 51.075 420.905 51.245 ;
+        RECT 421.195 51.075 421.365 51.245 ;
+        RECT 421.655 51.075 421.825 51.245 ;
+        RECT 422.115 51.075 422.285 51.245 ;
+        RECT 422.575 51.075 422.745 51.245 ;
+        RECT 423.035 51.075 423.205 51.245 ;
+        RECT 423.495 51.075 423.665 51.245 ;
+        RECT 423.955 51.075 424.125 51.245 ;
+        RECT 424.415 51.075 424.585 51.245 ;
+        RECT 424.875 51.075 425.045 51.245 ;
+        RECT 425.335 51.075 425.505 51.245 ;
+        RECT 425.795 51.075 425.965 51.245 ;
+        RECT 426.255 51.075 426.425 51.245 ;
+        RECT 426.715 51.075 426.885 51.245 ;
+        RECT 427.175 51.075 427.345 51.245 ;
+        RECT 427.635 51.075 427.805 51.245 ;
+        RECT 428.095 51.075 428.265 51.245 ;
+        RECT 428.555 51.075 428.725 51.245 ;
+        RECT 429.015 51.075 429.185 51.245 ;
+        RECT 429.475 51.075 429.645 51.245 ;
+        RECT 429.935 51.075 430.105 51.245 ;
+        RECT 430.395 51.075 430.565 51.245 ;
+        RECT 430.855 51.075 431.025 51.245 ;
+        RECT 431.315 51.075 431.485 51.245 ;
+        RECT 431.775 51.075 431.945 51.245 ;
+        RECT 432.235 51.075 432.405 51.245 ;
+        RECT 432.695 51.075 432.865 51.245 ;
+        RECT 433.155 51.075 433.325 51.245 ;
+        RECT 433.615 51.075 433.785 51.245 ;
+        RECT 434.075 51.075 434.245 51.245 ;
+        RECT 434.535 51.075 434.705 51.245 ;
+        RECT 434.995 51.075 435.165 51.245 ;
+        RECT 435.455 51.075 435.625 51.245 ;
+        RECT 435.915 51.075 436.085 51.245 ;
+        RECT 436.375 51.075 436.545 51.245 ;
+        RECT 436.835 51.075 437.005 51.245 ;
+        RECT 437.295 51.075 437.465 51.245 ;
+        RECT 437.755 51.075 437.925 51.245 ;
+        RECT 438.215 51.075 438.385 51.245 ;
+        RECT 438.675 51.075 438.845 51.245 ;
+        RECT 439.135 51.075 439.305 51.245 ;
+        RECT 439.595 51.075 439.765 51.245 ;
+        RECT 440.055 51.075 440.225 51.245 ;
+        RECT 440.515 51.075 440.685 51.245 ;
+        RECT 440.975 51.075 441.145 51.245 ;
+        RECT 441.435 51.075 441.605 51.245 ;
+        RECT 441.895 51.075 442.065 51.245 ;
+        RECT 442.355 51.075 442.525 51.245 ;
+        RECT 442.815 51.075 442.985 51.245 ;
+        RECT 443.275 51.075 443.445 51.245 ;
+        RECT 443.735 51.075 443.905 51.245 ;
+        RECT 444.195 51.075 444.365 51.245 ;
+        RECT 444.655 51.075 444.825 51.245 ;
+        RECT 445.115 51.075 445.285 51.245 ;
+        RECT 445.575 51.075 445.745 51.245 ;
+        RECT 446.035 51.075 446.205 51.245 ;
+        RECT 446.495 51.075 446.665 51.245 ;
+        RECT 446.955 51.075 447.125 51.245 ;
+        RECT 447.415 51.075 447.585 51.245 ;
+        RECT 447.875 51.075 448.045 51.245 ;
+        RECT 448.335 51.075 448.505 51.245 ;
+        RECT 448.795 51.075 448.965 51.245 ;
+        RECT 449.255 51.075 449.425 51.245 ;
+        RECT 449.715 51.075 449.885 51.245 ;
+        RECT 450.175 51.075 450.345 51.245 ;
+        RECT 450.635 51.075 450.805 51.245 ;
+        RECT 451.095 51.075 451.265 51.245 ;
+        RECT 451.555 51.075 451.725 51.245 ;
+        RECT 452.015 51.075 452.185 51.245 ;
+        RECT 452.475 51.075 452.645 51.245 ;
+        RECT 452.935 51.075 453.105 51.245 ;
+        RECT 453.395 51.075 453.565 51.245 ;
+        RECT 453.855 51.075 454.025 51.245 ;
+        RECT 454.315 51.075 454.485 51.245 ;
+        RECT 454.775 51.075 454.945 51.245 ;
+        RECT 455.235 51.075 455.405 51.245 ;
+        RECT 455.695 51.075 455.865 51.245 ;
+        RECT 456.155 51.075 456.325 51.245 ;
+        RECT 456.615 51.075 456.785 51.245 ;
+        RECT 457.075 51.075 457.245 51.245 ;
+        RECT 457.535 51.075 457.705 51.245 ;
+        RECT 457.995 51.075 458.165 51.245 ;
+        RECT 458.455 51.075 458.625 51.245 ;
+        RECT 458.915 51.075 459.085 51.245 ;
+        RECT 459.375 51.075 459.545 51.245 ;
+        RECT 459.835 51.075 460.005 51.245 ;
+        RECT 460.295 51.075 460.465 51.245 ;
+        RECT 460.755 51.075 460.925 51.245 ;
+        RECT 461.215 51.075 461.385 51.245 ;
+        RECT 461.675 51.075 461.845 51.245 ;
+        RECT 462.135 51.075 462.305 51.245 ;
+        RECT 462.595 51.075 462.765 51.245 ;
+        RECT 463.055 51.075 463.225 51.245 ;
+        RECT 463.515 51.075 463.685 51.245 ;
+        RECT 463.975 51.075 464.145 51.245 ;
+        RECT 464.435 51.075 464.605 51.245 ;
+        RECT 464.895 51.075 465.065 51.245 ;
+        RECT 465.355 51.075 465.525 51.245 ;
+        RECT 465.815 51.075 465.985 51.245 ;
+        RECT 466.275 51.075 466.445 51.245 ;
+        RECT 466.735 51.075 466.905 51.245 ;
+        RECT 467.195 51.075 467.365 51.245 ;
+        RECT 467.655 51.075 467.825 51.245 ;
+        RECT 468.115 51.075 468.285 51.245 ;
+        RECT 468.575 51.075 468.745 51.245 ;
+        RECT 469.035 51.075 469.205 51.245 ;
+        RECT 469.495 51.075 469.665 51.245 ;
+        RECT 469.955 51.075 470.125 51.245 ;
+        RECT 470.415 51.075 470.585 51.245 ;
+        RECT 470.875 51.075 471.045 51.245 ;
+        RECT 471.335 51.075 471.505 51.245 ;
+        RECT 471.795 51.075 471.965 51.245 ;
+        RECT 472.255 51.075 472.425 51.245 ;
+        RECT 472.715 51.075 472.885 51.245 ;
+        RECT 473.175 51.075 473.345 51.245 ;
+        RECT 473.635 51.075 473.805 51.245 ;
+        RECT 474.095 51.075 474.265 51.245 ;
+        RECT 474.555 51.075 474.725 51.245 ;
+        RECT 475.015 51.075 475.185 51.245 ;
+        RECT 475.475 51.075 475.645 51.245 ;
+        RECT 475.935 51.075 476.105 51.245 ;
+        RECT 476.395 51.075 476.565 51.245 ;
+        RECT 476.855 51.075 477.025 51.245 ;
+        RECT 477.315 51.075 477.485 51.245 ;
+        RECT 477.775 51.075 477.945 51.245 ;
+        RECT 478.235 51.075 478.405 51.245 ;
+        RECT 478.695 51.075 478.865 51.245 ;
+        RECT 479.155 51.075 479.325 51.245 ;
+        RECT 479.615 51.075 479.785 51.245 ;
+        RECT 480.075 51.075 480.245 51.245 ;
+        RECT 480.535 51.075 480.705 51.245 ;
+        RECT 480.995 51.075 481.165 51.245 ;
+        RECT 481.455 51.075 481.625 51.245 ;
+        RECT 481.915 51.075 482.085 51.245 ;
+        RECT 482.375 51.075 482.545 51.245 ;
+        RECT 482.835 51.075 483.005 51.245 ;
+        RECT 483.295 51.075 483.465 51.245 ;
+        RECT 483.755 51.075 483.925 51.245 ;
+        RECT 484.215 51.075 484.385 51.245 ;
+        RECT 484.675 51.075 484.845 51.245 ;
+        RECT 485.135 51.075 485.305 51.245 ;
+        RECT 485.595 51.075 485.765 51.245 ;
+        RECT 486.055 51.075 486.225 51.245 ;
+        RECT 486.515 51.075 486.685 51.245 ;
+        RECT 486.975 51.075 487.145 51.245 ;
+        RECT 487.435 51.075 487.605 51.245 ;
+        RECT 487.895 51.075 488.065 51.245 ;
+        RECT 488.355 51.075 488.525 51.245 ;
+        RECT 488.815 51.075 488.985 51.245 ;
+        RECT 489.275 51.075 489.445 51.245 ;
+        RECT 489.735 51.075 489.905 51.245 ;
+        RECT 490.195 51.075 490.365 51.245 ;
+        RECT 490.655 51.075 490.825 51.245 ;
+        RECT 491.115 51.075 491.285 51.245 ;
+        RECT 491.575 51.075 491.745 51.245 ;
+        RECT 492.035 51.075 492.205 51.245 ;
+        RECT 492.495 51.075 492.665 51.245 ;
+        RECT 492.955 51.075 493.125 51.245 ;
+        RECT 493.415 51.075 493.585 51.245 ;
+        RECT 493.875 51.075 494.045 51.245 ;
+        RECT 494.335 51.075 494.505 51.245 ;
+        RECT 494.795 51.075 494.965 51.245 ;
+        RECT 495.255 51.075 495.425 51.245 ;
+        RECT 495.715 51.075 495.885 51.245 ;
+        RECT 496.175 51.075 496.345 51.245 ;
+        RECT 496.635 51.075 496.805 51.245 ;
+        RECT 497.095 51.075 497.265 51.245 ;
+        RECT 497.555 51.075 497.725 51.245 ;
+        RECT 498.015 51.075 498.185 51.245 ;
+        RECT 498.475 51.075 498.645 51.245 ;
+        RECT 498.935 51.075 499.105 51.245 ;
+        RECT 499.395 51.075 499.565 51.245 ;
+        RECT 499.855 51.075 500.025 51.245 ;
+        RECT 500.315 51.075 500.485 51.245 ;
+        RECT 500.775 51.075 500.945 51.245 ;
+        RECT 501.235 51.075 501.405 51.245 ;
+        RECT 501.695 51.075 501.865 51.245 ;
+        RECT 502.155 51.075 502.325 51.245 ;
+        RECT 502.615 51.075 502.785 51.245 ;
+        RECT 503.075 51.075 503.245 51.245 ;
+        RECT 503.535 51.075 503.705 51.245 ;
+        RECT 503.995 51.075 504.165 51.245 ;
+        RECT 504.455 51.075 504.625 51.245 ;
+        RECT 504.915 51.075 505.085 51.245 ;
+        RECT 505.375 51.075 505.545 51.245 ;
+        RECT 505.835 51.075 506.005 51.245 ;
+        RECT 506.295 51.075 506.465 51.245 ;
+        RECT 506.755 51.075 506.925 51.245 ;
+        RECT 507.215 51.075 507.385 51.245 ;
+        RECT 507.675 51.075 507.845 51.245 ;
+        RECT 508.135 51.075 508.305 51.245 ;
+        RECT 508.595 51.075 508.765 51.245 ;
+        RECT 509.055 51.075 509.225 51.245 ;
+        RECT 509.515 51.075 509.685 51.245 ;
+        RECT 509.975 51.075 510.145 51.245 ;
+        RECT 510.435 51.075 510.605 51.245 ;
+        RECT 510.895 51.075 511.065 51.245 ;
+        RECT 511.355 51.075 511.525 51.245 ;
+        RECT 511.815 51.075 511.985 51.245 ;
+        RECT 512.275 51.075 512.445 51.245 ;
+        RECT 512.735 51.075 512.905 51.245 ;
+        RECT 513.195 51.075 513.365 51.245 ;
+        RECT 513.655 51.075 513.825 51.245 ;
+        RECT 514.115 51.075 514.285 51.245 ;
+        RECT 514.575 51.075 514.745 51.245 ;
+        RECT 515.035 51.075 515.205 51.245 ;
+        RECT 515.495 51.075 515.665 51.245 ;
+        RECT 515.955 51.075 516.125 51.245 ;
+        RECT 516.415 51.075 516.585 51.245 ;
+        RECT 516.875 51.075 517.045 51.245 ;
+        RECT 517.335 51.075 517.505 51.245 ;
+        RECT 517.795 51.075 517.965 51.245 ;
+        RECT 518.255 51.075 518.425 51.245 ;
+        RECT 518.715 51.075 518.885 51.245 ;
+        RECT 519.175 51.075 519.345 51.245 ;
+        RECT 519.635 51.075 519.805 51.245 ;
+        RECT 520.095 51.075 520.265 51.245 ;
+        RECT 520.555 51.075 520.725 51.245 ;
+        RECT 521.015 51.075 521.185 51.245 ;
+        RECT 521.475 51.075 521.645 51.245 ;
+        RECT 521.935 51.075 522.105 51.245 ;
+        RECT 522.395 51.075 522.565 51.245 ;
+        RECT 522.855 51.075 523.025 51.245 ;
+        RECT 523.315 51.075 523.485 51.245 ;
+        RECT 523.775 51.075 523.945 51.245 ;
+        RECT 524.235 51.075 524.405 51.245 ;
+        RECT 524.695 51.075 524.865 51.245 ;
+        RECT 525.155 51.075 525.325 51.245 ;
+        RECT 525.615 51.075 525.785 51.245 ;
+        RECT 526.075 51.075 526.245 51.245 ;
+        RECT 526.535 51.075 526.705 51.245 ;
+        RECT 526.995 51.075 527.165 51.245 ;
+        RECT 527.455 51.075 527.625 51.245 ;
+        RECT 527.915 51.075 528.085 51.245 ;
+        RECT 528.375 51.075 528.545 51.245 ;
+        RECT 528.835 51.075 529.005 51.245 ;
+        RECT 529.295 51.075 529.465 51.245 ;
+        RECT 529.755 51.075 529.925 51.245 ;
+        RECT 530.215 51.075 530.385 51.245 ;
+        RECT 530.675 51.075 530.845 51.245 ;
+        RECT 531.135 51.075 531.305 51.245 ;
+        RECT 531.595 51.075 531.765 51.245 ;
+        RECT 532.055 51.075 532.225 51.245 ;
+        RECT 532.515 51.075 532.685 51.245 ;
+        RECT 532.975 51.075 533.145 51.245 ;
+        RECT 533.435 51.075 533.605 51.245 ;
+        RECT 533.895 51.075 534.065 51.245 ;
+        RECT 534.355 51.075 534.525 51.245 ;
+        RECT 534.815 51.075 534.985 51.245 ;
+        RECT 535.275 51.075 535.445 51.245 ;
+        RECT 535.735 51.075 535.905 51.245 ;
+        RECT 536.195 51.075 536.365 51.245 ;
+        RECT 536.655 51.075 536.825 51.245 ;
+        RECT 537.115 51.075 537.285 51.245 ;
+        RECT 537.575 51.075 537.745 51.245 ;
+        RECT 538.035 51.075 538.205 51.245 ;
+        RECT 538.495 51.075 538.665 51.245 ;
+        RECT 538.955 51.075 539.125 51.245 ;
+        RECT 539.415 51.075 539.585 51.245 ;
+        RECT 539.875 51.075 540.045 51.245 ;
+        RECT 540.335 51.075 540.505 51.245 ;
+        RECT 540.795 51.075 540.965 51.245 ;
+        RECT 541.255 51.075 541.425 51.245 ;
+        RECT 541.715 51.075 541.885 51.245 ;
+        RECT 542.175 51.075 542.345 51.245 ;
+        RECT 542.635 51.075 542.805 51.245 ;
+        RECT 543.095 51.075 543.265 51.245 ;
+        RECT 543.555 51.075 543.725 51.245 ;
+        RECT 544.015 51.075 544.185 51.245 ;
+        RECT 544.475 51.075 544.645 51.245 ;
+        RECT 544.935 51.075 545.105 51.245 ;
+        RECT 545.395 51.075 545.565 51.245 ;
+        RECT 545.855 51.075 546.025 51.245 ;
+        RECT 546.315 51.075 546.485 51.245 ;
+        RECT 546.775 51.075 546.945 51.245 ;
+        RECT 547.235 51.075 547.405 51.245 ;
+        RECT 547.695 51.075 547.865 51.245 ;
+        RECT 548.155 51.075 548.325 51.245 ;
+        RECT 548.615 51.075 548.785 51.245 ;
+        RECT 549.075 51.075 549.245 51.245 ;
+        RECT 549.535 51.075 549.705 51.245 ;
+        RECT 549.995 51.075 550.165 51.245 ;
+        RECT 550.455 51.075 550.625 51.245 ;
+        RECT 550.915 51.075 551.085 51.245 ;
+        RECT 551.375 51.075 551.545 51.245 ;
+        RECT 551.835 51.075 552.005 51.245 ;
+        RECT 552.295 51.075 552.465 51.245 ;
+        RECT 552.755 51.075 552.925 51.245 ;
+        RECT 553.215 51.075 553.385 51.245 ;
+        RECT 553.675 51.075 553.845 51.245 ;
+        RECT 554.135 51.075 554.305 51.245 ;
+        RECT 554.595 51.075 554.765 51.245 ;
+        RECT 555.055 51.075 555.225 51.245 ;
+        RECT 555.515 51.075 555.685 51.245 ;
+        RECT 555.975 51.075 556.145 51.245 ;
+        RECT 556.435 51.075 556.605 51.245 ;
+        RECT 556.895 51.075 557.065 51.245 ;
+        RECT 557.355 51.075 557.525 51.245 ;
+        RECT 557.815 51.075 557.985 51.245 ;
+        RECT 558.275 51.075 558.445 51.245 ;
+        RECT 558.735 51.075 558.905 51.245 ;
+        RECT 559.195 51.075 559.365 51.245 ;
+        RECT 559.655 51.075 559.825 51.245 ;
+        RECT 560.115 51.075 560.285 51.245 ;
+        RECT 560.575 51.075 560.745 51.245 ;
+        RECT 561.035 51.075 561.205 51.245 ;
+        RECT 561.495 51.075 561.665 51.245 ;
+        RECT 561.955 51.075 562.125 51.245 ;
+        RECT 562.415 51.075 562.585 51.245 ;
+        RECT 562.875 51.075 563.045 51.245 ;
+        RECT 563.335 51.075 563.505 51.245 ;
+        RECT 563.795 51.075 563.965 51.245 ;
+        RECT 564.255 51.075 564.425 51.245 ;
+        RECT 564.715 51.075 564.885 51.245 ;
+        RECT 565.175 51.075 565.345 51.245 ;
+        RECT 565.635 51.075 565.805 51.245 ;
+        RECT 566.095 51.075 566.265 51.245 ;
+        RECT 566.555 51.075 566.725 51.245 ;
+        RECT 567.015 51.075 567.185 51.245 ;
+        RECT 567.475 51.075 567.645 51.245 ;
+        RECT 567.935 51.075 568.105 51.245 ;
+        RECT 568.395 51.075 568.565 51.245 ;
+        RECT 568.855 51.075 569.025 51.245 ;
+        RECT 569.315 51.075 569.485 51.245 ;
+        RECT 569.775 51.075 569.945 51.245 ;
+        RECT 570.235 51.075 570.405 51.245 ;
+        RECT 570.695 51.075 570.865 51.245 ;
+        RECT 571.155 51.075 571.325 51.245 ;
+        RECT 571.615 51.075 571.785 51.245 ;
+        RECT 572.075 51.075 572.245 51.245 ;
+        RECT 572.535 51.075 572.705 51.245 ;
+        RECT 572.995 51.075 573.165 51.245 ;
+        RECT 573.455 51.075 573.625 51.245 ;
+        RECT 573.915 51.075 574.085 51.245 ;
+        RECT 574.375 51.075 574.545 51.245 ;
+        RECT 574.835 51.075 575.005 51.245 ;
+        RECT 575.295 51.075 575.465 51.245 ;
+        RECT 575.755 51.075 575.925 51.245 ;
+        RECT 576.215 51.075 576.385 51.245 ;
+        RECT 576.675 51.075 576.845 51.245 ;
+        RECT 577.135 51.075 577.305 51.245 ;
+        RECT 577.595 51.075 577.765 51.245 ;
+        RECT 578.055 51.075 578.225 51.245 ;
+        RECT 578.515 51.075 578.685 51.245 ;
+        RECT 578.975 51.075 579.145 51.245 ;
+        RECT 579.435 51.075 579.605 51.245 ;
+        RECT 579.895 51.075 580.065 51.245 ;
+        RECT 580.355 51.075 580.525 51.245 ;
+        RECT 580.815 51.075 580.985 51.245 ;
+        RECT 581.275 51.075 581.445 51.245 ;
+        RECT 581.735 51.075 581.905 51.245 ;
+        RECT 582.195 51.075 582.365 51.245 ;
+        RECT 582.655 51.075 582.825 51.245 ;
+        RECT 583.115 51.075 583.285 51.245 ;
+        RECT 583.575 51.075 583.745 51.245 ;
+        RECT 584.035 51.075 584.205 51.245 ;
+        RECT 584.495 51.075 584.665 51.245 ;
+        RECT 584.955 51.075 585.125 51.245 ;
+        RECT 585.415 51.075 585.585 51.245 ;
+        RECT 585.875 51.075 586.045 51.245 ;
+        RECT 586.335 51.075 586.505 51.245 ;
+        RECT 586.795 51.075 586.965 51.245 ;
+        RECT 587.255 51.075 587.425 51.245 ;
+        RECT 587.715 51.075 587.885 51.245 ;
+        RECT 588.175 51.075 588.345 51.245 ;
+        RECT 588.635 51.075 588.805 51.245 ;
+        RECT 589.095 51.075 589.265 51.245 ;
+        RECT 589.555 51.075 589.725 51.245 ;
+        RECT 590.015 51.075 590.185 51.245 ;
+        RECT 590.475 51.075 590.645 51.245 ;
+        RECT 590.935 51.075 591.105 51.245 ;
+        RECT 591.395 51.075 591.565 51.245 ;
+        RECT 591.855 51.075 592.025 51.245 ;
+        RECT 592.315 51.075 592.485 51.245 ;
+        RECT 592.775 51.075 592.945 51.245 ;
+        RECT 593.235 51.075 593.405 51.245 ;
+        RECT 593.695 51.075 593.865 51.245 ;
+        RECT 594.155 51.075 594.325 51.245 ;
+        RECT 594.615 51.075 594.785 51.245 ;
+        RECT 595.075 51.075 595.245 51.245 ;
+        RECT 595.535 51.075 595.705 51.245 ;
+        RECT 595.995 51.075 596.165 51.245 ;
+        RECT 596.455 51.075 596.625 51.245 ;
+        RECT 596.915 51.075 597.085 51.245 ;
+        RECT 597.375 51.075 597.545 51.245 ;
+        RECT 597.835 51.075 598.005 51.245 ;
+        RECT 598.295 51.075 598.465 51.245 ;
+        RECT 598.755 51.075 598.925 51.245 ;
+        RECT 599.215 51.075 599.385 51.245 ;
+        RECT 599.675 51.075 599.845 51.245 ;
+        RECT 600.135 51.075 600.305 51.245 ;
+        RECT 600.595 51.075 600.765 51.245 ;
+        RECT 601.055 51.075 601.225 51.245 ;
+        RECT 601.515 51.075 601.685 51.245 ;
+        RECT 601.975 51.075 602.145 51.245 ;
+        RECT 602.435 51.075 602.605 51.245 ;
+        RECT 602.895 51.075 603.065 51.245 ;
+        RECT 603.355 51.075 603.525 51.245 ;
+        RECT 603.815 51.075 603.985 51.245 ;
+        RECT 604.275 51.075 604.445 51.245 ;
+        RECT 604.735 51.075 604.905 51.245 ;
+        RECT 605.195 51.075 605.365 51.245 ;
+        RECT 605.655 51.075 605.825 51.245 ;
+        RECT 606.115 51.075 606.285 51.245 ;
+        RECT 606.575 51.075 606.745 51.245 ;
+        RECT 607.035 51.075 607.205 51.245 ;
+        RECT 607.495 51.075 607.665 51.245 ;
+        RECT 607.955 51.075 608.125 51.245 ;
+        RECT 608.415 51.075 608.585 51.245 ;
+        RECT 608.875 51.075 609.045 51.245 ;
+        RECT 609.335 51.075 609.505 51.245 ;
+        RECT 609.795 51.075 609.965 51.245 ;
+        RECT 610.255 51.075 610.425 51.245 ;
+        RECT 610.715 51.075 610.885 51.245 ;
+        RECT 611.175 51.075 611.345 51.245 ;
+        RECT 611.635 51.075 611.805 51.245 ;
+        RECT 612.095 51.075 612.265 51.245 ;
+        RECT 612.555 51.075 612.725 51.245 ;
+        RECT 613.015 51.075 613.185 51.245 ;
+        RECT 613.475 51.075 613.645 51.245 ;
+        RECT 613.935 51.075 614.105 51.245 ;
+        RECT 614.395 51.075 614.565 51.245 ;
+        RECT 614.855 51.075 615.025 51.245 ;
+        RECT 615.315 51.075 615.485 51.245 ;
+        RECT 615.775 51.075 615.945 51.245 ;
+        RECT 616.235 51.075 616.405 51.245 ;
+        RECT 616.695 51.075 616.865 51.245 ;
+        RECT 617.155 51.075 617.325 51.245 ;
+        RECT 617.615 51.075 617.785 51.245 ;
+        RECT 618.075 51.075 618.245 51.245 ;
+        RECT 618.535 51.075 618.705 51.245 ;
+        RECT 618.995 51.075 619.165 51.245 ;
+        RECT 619.455 51.075 619.625 51.245 ;
+        RECT 619.915 51.075 620.085 51.245 ;
+        RECT 620.375 51.075 620.545 51.245 ;
+        RECT 620.835 51.075 621.005 51.245 ;
+        RECT 621.295 51.075 621.465 51.245 ;
+        RECT 621.755 51.075 621.925 51.245 ;
+        RECT 622.215 51.075 622.385 51.245 ;
+        RECT 622.675 51.075 622.845 51.245 ;
+        RECT 623.135 51.075 623.305 51.245 ;
+        RECT 623.595 51.075 623.765 51.245 ;
+        RECT 624.055 51.075 624.225 51.245 ;
+        RECT 624.515 51.075 624.685 51.245 ;
+        RECT 624.975 51.075 625.145 51.245 ;
+        RECT 625.435 51.075 625.605 51.245 ;
+        RECT 625.895 51.075 626.065 51.245 ;
+        RECT 626.355 51.075 626.525 51.245 ;
+        RECT 626.815 51.075 626.985 51.245 ;
+        RECT 627.275 51.075 627.445 51.245 ;
+        RECT 627.735 51.075 627.905 51.245 ;
+        RECT 628.195 51.075 628.365 51.245 ;
+        RECT 628.655 51.075 628.825 51.245 ;
+        RECT 629.115 51.075 629.285 51.245 ;
+        RECT 629.575 51.075 629.745 51.245 ;
+        RECT 630.035 51.075 630.205 51.245 ;
+        RECT 630.495 51.075 630.665 51.245 ;
+        RECT 630.955 51.075 631.125 51.245 ;
+        RECT 73.435 50.565 73.605 50.735 ;
+        RECT 72.975 49.885 73.145 50.055 ;
+        RECT 78.035 49.885 78.205 50.055 ;
+        RECT 78.955 49.885 79.125 50.055 ;
+        RECT 80.795 49.885 80.965 50.055 ;
+        RECT 85.855 49.885 86.025 50.055 ;
+        RECT 86.775 48.865 86.945 49.035 ;
+        RECT 91.375 49.545 91.545 49.715 ;
+        RECT 91.840 49.205 92.010 49.375 ;
+        RECT 92.300 50.225 92.470 50.395 ;
+        RECT 92.755 49.885 92.925 50.055 ;
+        RECT 93.700 50.225 93.870 50.395 ;
+        RECT 95.540 50.225 95.710 50.395 ;
+        RECT 94.160 49.205 94.330 49.375 ;
+        RECT 95.540 49.205 95.710 49.375 ;
+        RECT 105.175 49.885 105.345 50.055 ;
+        RECT 107.935 49.885 108.105 50.055 ;
+        RECT 108.855 49.885 109.025 50.055 ;
+        RECT 99.195 48.865 99.365 49.035 ;
+        RECT 113.455 49.885 113.625 50.055 ;
+        RECT 113.920 49.205 114.090 49.375 ;
+        RECT 114.380 50.225 114.550 50.395 ;
+        RECT 114.835 49.885 115.005 50.055 ;
+        RECT 115.780 50.225 115.950 50.395 ;
+        RECT 117.620 50.225 117.790 50.395 ;
+        RECT 116.240 49.205 116.410 49.375 ;
+        RECT 117.620 49.205 117.790 49.375 ;
+        RECT 120.355 48.865 120.525 49.035 ;
+        RECT 125.875 49.545 126.045 49.715 ;
+        RECT 126.340 49.205 126.510 49.375 ;
+        RECT 126.800 50.225 126.970 50.395 ;
+        RECT 127.255 49.885 127.425 50.055 ;
+        RECT 128.200 50.225 128.370 50.395 ;
+        RECT 130.040 50.225 130.210 50.395 ;
+        RECT 128.660 49.205 128.830 49.375 ;
+        RECT 130.040 49.205 130.210 49.375 ;
+        RECT 133.695 50.565 133.865 50.735 ;
+        RECT 141.515 49.885 141.685 50.055 ;
+        RECT 141.980 49.205 142.150 49.375 ;
+        RECT 142.440 50.225 142.610 50.395 ;
+        RECT 142.895 49.885 143.065 50.055 ;
+        RECT 143.840 50.225 144.010 50.395 ;
+        RECT 145.680 50.225 145.850 50.395 ;
+        RECT 144.300 49.205 144.470 49.375 ;
+        RECT 145.680 49.205 145.850 49.375 ;
+        RECT 153.935 49.545 154.105 49.715 ;
+        RECT 154.400 49.205 154.570 49.375 ;
+        RECT 154.860 50.225 155.030 50.395 ;
+        RECT 155.315 49.885 155.485 50.055 ;
+        RECT 156.260 50.225 156.430 50.395 ;
+        RECT 158.100 50.225 158.270 50.395 ;
+        RECT 156.720 49.205 156.890 49.375 ;
+        RECT 158.100 49.205 158.270 49.375 ;
+        RECT 169.575 49.885 169.745 50.055 ;
+        RECT 160.835 48.865 161.005 49.035 ;
+        RECT 170.040 49.205 170.210 49.375 ;
+        RECT 170.500 50.225 170.670 50.395 ;
+        RECT 170.955 49.885 171.125 50.055 ;
+        RECT 171.900 50.225 172.070 50.395 ;
+        RECT 173.740 50.225 173.910 50.395 ;
+        RECT 172.360 49.205 172.530 49.375 ;
+        RECT 173.740 49.205 173.910 49.375 ;
+        RECT 176.475 48.865 176.645 49.035 ;
+        RECT 181.995 49.885 182.165 50.055 ;
+        RECT 182.460 49.205 182.630 49.375 ;
+        RECT 182.920 50.225 183.090 50.395 ;
+        RECT 183.375 49.885 183.545 50.055 ;
+        RECT 184.320 50.225 184.490 50.395 ;
+        RECT 186.160 50.225 186.330 50.395 ;
+        RECT 184.780 49.205 184.950 49.375 ;
+        RECT 186.160 49.205 186.330 49.375 ;
+        RECT 188.895 48.865 189.065 49.035 ;
+        RECT 195.335 49.885 195.505 50.055 ;
+        RECT 194.415 49.205 194.585 49.375 ;
+        RECT 197.635 49.545 197.805 49.715 ;
+        RECT 198.100 49.205 198.270 49.375 ;
+        RECT 198.560 50.225 198.730 50.395 ;
+        RECT 199.015 49.885 199.185 50.055 ;
+        RECT 199.960 50.225 200.130 50.395 ;
+        RECT 201.800 50.225 201.970 50.395 ;
+        RECT 200.420 49.205 200.590 49.375 ;
+        RECT 201.800 49.205 201.970 49.375 ;
+        RECT 204.535 48.865 204.705 49.035 ;
+        RECT 210.975 49.885 211.145 50.055 ;
+        RECT 210.515 49.545 210.685 49.715 ;
+        RECT 212.360 49.545 212.530 49.715 ;
+        RECT 213.735 49.885 213.905 50.055 ;
+        RECT 214.655 49.885 214.825 50.055 ;
+        RECT 215.140 49.545 215.310 49.715 ;
+        RECT 230.755 50.225 230.925 50.395 ;
+        RECT 216.035 48.865 216.205 49.035 ;
+        RECT 230.295 49.885 230.465 50.055 ;
+        RECT 231.215 49.885 231.385 50.055 ;
+        RECT 232.135 48.865 232.305 49.035 ;
+        RECT 238.575 49.885 238.745 50.055 ;
+        RECT 238.115 49.545 238.285 49.715 ;
+        RECT 239.960 49.545 240.130 49.715 ;
+        RECT 241.335 49.885 241.505 50.055 ;
+        RECT 242.255 49.885 242.425 50.055 ;
+        RECT 242.740 49.545 242.910 49.715 ;
+        RECT 259.735 50.565 259.905 50.735 ;
+        RECT 253.755 49.885 253.925 50.055 ;
+        RECT 254.675 49.885 254.845 50.055 ;
+        RECT 243.635 48.865 243.805 49.035 ;
+        RECT 256.060 49.545 256.230 49.715 ;
+        RECT 256.975 49.885 257.145 50.055 ;
+        RECT 257.435 49.885 257.605 50.055 ;
+        RECT 258.840 49.545 259.010 49.715 ;
+        RECT 264.795 49.885 264.965 50.055 ;
+        RECT 265.715 49.205 265.885 49.375 ;
+        RECT 271.235 49.885 271.405 50.055 ;
+        RECT 270.315 49.545 270.485 49.715 ;
+        RECT 272.620 49.545 272.790 49.715 ;
+        RECT 273.535 49.885 273.705 50.055 ;
+        RECT 273.995 49.885 274.165 50.055 ;
+        RECT 275.400 49.545 275.570 49.715 ;
+        RECT 283.195 49.885 283.365 50.055 ;
+        RECT 284.115 49.885 284.285 50.055 ;
+        RECT 276.295 48.865 276.465 49.035 ;
+        RECT 284.575 49.545 284.745 49.715 ;
+        RECT 290.555 49.885 290.725 50.055 ;
+        RECT 290.095 49.545 290.265 49.715 ;
+        RECT 291.940 49.545 292.110 49.715 ;
+        RECT 293.315 49.885 293.485 50.055 ;
+        RECT 294.235 49.885 294.405 50.055 ;
+        RECT 294.720 49.545 294.890 49.715 ;
+        RECT 300.675 49.885 300.845 50.055 ;
+        RECT 303.435 49.885 303.605 50.055 ;
+        RECT 302.975 49.545 303.145 49.715 ;
+        RECT 314.935 50.565 315.105 50.735 ;
+        RECT 315.855 49.885 316.025 50.055 ;
+        RECT 317.235 49.885 317.405 50.055 ;
+        RECT 324.135 49.885 324.305 50.055 ;
+        RECT 323.675 49.545 323.845 49.715 ;
+        RECT 325.520 49.545 325.690 49.715 ;
+        RECT 326.895 49.885 327.065 50.055 ;
+        RECT 327.815 49.885 327.985 50.055 ;
+        RECT 328.300 49.545 328.470 49.715 ;
+        RECT 337.935 49.885 338.105 50.055 ;
+        RECT 349.435 50.565 349.605 50.735 ;
+        RECT 344.375 49.885 344.545 50.055 ;
+        RECT 343.455 49.545 343.625 49.715 ;
+        RECT 345.760 49.545 345.930 49.715 ;
+        RECT 346.705 49.885 346.875 50.055 ;
+        RECT 347.135 49.885 347.305 50.055 ;
+        RECT 354.955 50.565 355.125 50.735 ;
+        RECT 348.540 49.545 348.710 49.715 ;
+        RECT 355.875 49.885 356.045 50.055 ;
+        RECT 356.795 49.885 356.965 50.055 ;
+        RECT 367.375 49.885 367.545 50.055 ;
+        RECT 368.985 49.885 369.155 50.055 ;
+        RECT 375.195 50.565 375.365 50.735 ;
+        RECT 368.295 49.545 368.465 49.715 ;
+        RECT 374.735 49.885 374.905 50.055 ;
+        RECT 376.115 49.885 376.285 50.055 ;
+        RECT 382.555 48.865 382.725 49.035 ;
+        RECT 387.615 49.205 387.785 49.375 ;
+        RECT 394.975 48.865 395.145 49.035 ;
+        RECT 400.035 48.865 400.205 49.035 ;
+        RECT 405.095 48.865 405.265 49.035 ;
+        RECT 414.295 48.865 414.465 49.035 ;
+        RECT 429.015 48.865 429.185 49.035 ;
+        RECT 436.375 48.865 436.545 49.035 ;
+        RECT 451.095 48.865 451.265 49.035 ;
+        RECT 458.455 48.865 458.625 49.035 ;
+        RECT 469.495 48.865 469.665 49.035 ;
+        RECT 480.535 48.865 480.705 49.035 ;
+        RECT 487.895 48.865 488.065 49.035 ;
+        RECT 513.655 48.865 513.825 49.035 ;
+        RECT 521.015 48.865 521.185 49.035 ;
+        RECT 535.735 48.865 535.905 49.035 ;
+        RECT 543.095 48.865 543.265 49.035 ;
+        RECT 554.135 48.865 554.305 49.035 ;
+        RECT 564.715 48.865 564.885 49.035 ;
+        RECT 572.075 48.865 572.245 49.035 ;
+        RECT 597.835 48.865 598.005 49.035 ;
+        RECT 619.915 48.865 620.085 49.035 ;
+        RECT 624.975 48.865 625.145 49.035 ;
+        RECT 42.615 48.355 42.785 48.525 ;
+        RECT 43.075 48.355 43.245 48.525 ;
+        RECT 43.535 48.355 43.705 48.525 ;
+        RECT 43.995 48.355 44.165 48.525 ;
+        RECT 44.455 48.355 44.625 48.525 ;
+        RECT 44.915 48.355 45.085 48.525 ;
+        RECT 45.375 48.355 45.545 48.525 ;
+        RECT 45.835 48.355 46.005 48.525 ;
+        RECT 46.295 48.355 46.465 48.525 ;
+        RECT 46.755 48.355 46.925 48.525 ;
+        RECT 47.215 48.355 47.385 48.525 ;
+        RECT 47.675 48.355 47.845 48.525 ;
+        RECT 48.135 48.355 48.305 48.525 ;
+        RECT 48.595 48.355 48.765 48.525 ;
+        RECT 49.055 48.355 49.225 48.525 ;
+        RECT 49.515 48.355 49.685 48.525 ;
+        RECT 49.975 48.355 50.145 48.525 ;
+        RECT 50.435 48.355 50.605 48.525 ;
+        RECT 50.895 48.355 51.065 48.525 ;
+        RECT 51.355 48.355 51.525 48.525 ;
+        RECT 51.815 48.355 51.985 48.525 ;
+        RECT 52.275 48.355 52.445 48.525 ;
+        RECT 52.735 48.355 52.905 48.525 ;
+        RECT 53.195 48.355 53.365 48.525 ;
+        RECT 53.655 48.355 53.825 48.525 ;
+        RECT 54.115 48.355 54.285 48.525 ;
+        RECT 54.575 48.355 54.745 48.525 ;
+        RECT 55.035 48.355 55.205 48.525 ;
+        RECT 55.495 48.355 55.665 48.525 ;
+        RECT 55.955 48.355 56.125 48.525 ;
+        RECT 56.415 48.355 56.585 48.525 ;
+        RECT 56.875 48.355 57.045 48.525 ;
+        RECT 57.335 48.355 57.505 48.525 ;
+        RECT 57.795 48.355 57.965 48.525 ;
+        RECT 58.255 48.355 58.425 48.525 ;
+        RECT 58.715 48.355 58.885 48.525 ;
+        RECT 59.175 48.355 59.345 48.525 ;
+        RECT 59.635 48.355 59.805 48.525 ;
+        RECT 60.095 48.355 60.265 48.525 ;
+        RECT 60.555 48.355 60.725 48.525 ;
+        RECT 61.015 48.355 61.185 48.525 ;
+        RECT 61.475 48.355 61.645 48.525 ;
+        RECT 61.935 48.355 62.105 48.525 ;
+        RECT 62.395 48.355 62.565 48.525 ;
+        RECT 62.855 48.355 63.025 48.525 ;
+        RECT 63.315 48.355 63.485 48.525 ;
+        RECT 63.775 48.355 63.945 48.525 ;
+        RECT 64.235 48.355 64.405 48.525 ;
+        RECT 64.695 48.355 64.865 48.525 ;
+        RECT 65.155 48.355 65.325 48.525 ;
+        RECT 65.615 48.355 65.785 48.525 ;
+        RECT 66.075 48.355 66.245 48.525 ;
+        RECT 66.535 48.355 66.705 48.525 ;
+        RECT 66.995 48.355 67.165 48.525 ;
+        RECT 67.455 48.355 67.625 48.525 ;
+        RECT 67.915 48.355 68.085 48.525 ;
+        RECT 68.375 48.355 68.545 48.525 ;
+        RECT 68.835 48.355 69.005 48.525 ;
+        RECT 69.295 48.355 69.465 48.525 ;
+        RECT 69.755 48.355 69.925 48.525 ;
+        RECT 70.215 48.355 70.385 48.525 ;
+        RECT 70.675 48.355 70.845 48.525 ;
+        RECT 71.135 48.355 71.305 48.525 ;
+        RECT 71.595 48.355 71.765 48.525 ;
+        RECT 72.055 48.355 72.225 48.525 ;
+        RECT 72.515 48.355 72.685 48.525 ;
+        RECT 72.975 48.355 73.145 48.525 ;
+        RECT 73.435 48.355 73.605 48.525 ;
+        RECT 73.895 48.355 74.065 48.525 ;
+        RECT 74.355 48.355 74.525 48.525 ;
+        RECT 74.815 48.355 74.985 48.525 ;
+        RECT 75.275 48.355 75.445 48.525 ;
+        RECT 75.735 48.355 75.905 48.525 ;
+        RECT 76.195 48.355 76.365 48.525 ;
+        RECT 76.655 48.355 76.825 48.525 ;
+        RECT 77.115 48.355 77.285 48.525 ;
+        RECT 77.575 48.355 77.745 48.525 ;
+        RECT 78.035 48.355 78.205 48.525 ;
+        RECT 78.495 48.355 78.665 48.525 ;
+        RECT 78.955 48.355 79.125 48.525 ;
+        RECT 79.415 48.355 79.585 48.525 ;
+        RECT 79.875 48.355 80.045 48.525 ;
+        RECT 80.335 48.355 80.505 48.525 ;
+        RECT 80.795 48.355 80.965 48.525 ;
+        RECT 81.255 48.355 81.425 48.525 ;
+        RECT 81.715 48.355 81.885 48.525 ;
+        RECT 82.175 48.355 82.345 48.525 ;
+        RECT 82.635 48.355 82.805 48.525 ;
+        RECT 83.095 48.355 83.265 48.525 ;
+        RECT 83.555 48.355 83.725 48.525 ;
+        RECT 84.015 48.355 84.185 48.525 ;
+        RECT 84.475 48.355 84.645 48.525 ;
+        RECT 84.935 48.355 85.105 48.525 ;
+        RECT 85.395 48.355 85.565 48.525 ;
+        RECT 85.855 48.355 86.025 48.525 ;
+        RECT 86.315 48.355 86.485 48.525 ;
+        RECT 86.775 48.355 86.945 48.525 ;
+        RECT 87.235 48.355 87.405 48.525 ;
+        RECT 87.695 48.355 87.865 48.525 ;
+        RECT 88.155 48.355 88.325 48.525 ;
+        RECT 88.615 48.355 88.785 48.525 ;
+        RECT 89.075 48.355 89.245 48.525 ;
+        RECT 89.535 48.355 89.705 48.525 ;
+        RECT 89.995 48.355 90.165 48.525 ;
+        RECT 90.455 48.355 90.625 48.525 ;
+        RECT 90.915 48.355 91.085 48.525 ;
+        RECT 91.375 48.355 91.545 48.525 ;
+        RECT 91.835 48.355 92.005 48.525 ;
+        RECT 92.295 48.355 92.465 48.525 ;
+        RECT 92.755 48.355 92.925 48.525 ;
+        RECT 93.215 48.355 93.385 48.525 ;
+        RECT 93.675 48.355 93.845 48.525 ;
+        RECT 94.135 48.355 94.305 48.525 ;
+        RECT 94.595 48.355 94.765 48.525 ;
+        RECT 95.055 48.355 95.225 48.525 ;
+        RECT 95.515 48.355 95.685 48.525 ;
+        RECT 95.975 48.355 96.145 48.525 ;
+        RECT 96.435 48.355 96.605 48.525 ;
+        RECT 96.895 48.355 97.065 48.525 ;
+        RECT 97.355 48.355 97.525 48.525 ;
+        RECT 97.815 48.355 97.985 48.525 ;
+        RECT 98.275 48.355 98.445 48.525 ;
+        RECT 98.735 48.355 98.905 48.525 ;
+        RECT 99.195 48.355 99.365 48.525 ;
+        RECT 99.655 48.355 99.825 48.525 ;
+        RECT 100.115 48.355 100.285 48.525 ;
+        RECT 100.575 48.355 100.745 48.525 ;
+        RECT 101.035 48.355 101.205 48.525 ;
+        RECT 101.495 48.355 101.665 48.525 ;
+        RECT 101.955 48.355 102.125 48.525 ;
+        RECT 102.415 48.355 102.585 48.525 ;
+        RECT 102.875 48.355 103.045 48.525 ;
+        RECT 103.335 48.355 103.505 48.525 ;
+        RECT 103.795 48.355 103.965 48.525 ;
+        RECT 104.255 48.355 104.425 48.525 ;
+        RECT 104.715 48.355 104.885 48.525 ;
+        RECT 105.175 48.355 105.345 48.525 ;
+        RECT 105.635 48.355 105.805 48.525 ;
+        RECT 106.095 48.355 106.265 48.525 ;
+        RECT 106.555 48.355 106.725 48.525 ;
+        RECT 107.015 48.355 107.185 48.525 ;
+        RECT 107.475 48.355 107.645 48.525 ;
+        RECT 107.935 48.355 108.105 48.525 ;
+        RECT 108.395 48.355 108.565 48.525 ;
+        RECT 108.855 48.355 109.025 48.525 ;
+        RECT 109.315 48.355 109.485 48.525 ;
+        RECT 109.775 48.355 109.945 48.525 ;
+        RECT 110.235 48.355 110.405 48.525 ;
+        RECT 110.695 48.355 110.865 48.525 ;
+        RECT 111.155 48.355 111.325 48.525 ;
+        RECT 111.615 48.355 111.785 48.525 ;
+        RECT 112.075 48.355 112.245 48.525 ;
+        RECT 112.535 48.355 112.705 48.525 ;
+        RECT 112.995 48.355 113.165 48.525 ;
+        RECT 113.455 48.355 113.625 48.525 ;
+        RECT 113.915 48.355 114.085 48.525 ;
+        RECT 114.375 48.355 114.545 48.525 ;
+        RECT 114.835 48.355 115.005 48.525 ;
+        RECT 115.295 48.355 115.465 48.525 ;
+        RECT 115.755 48.355 115.925 48.525 ;
+        RECT 116.215 48.355 116.385 48.525 ;
+        RECT 116.675 48.355 116.845 48.525 ;
+        RECT 117.135 48.355 117.305 48.525 ;
+        RECT 117.595 48.355 117.765 48.525 ;
+        RECT 118.055 48.355 118.225 48.525 ;
+        RECT 118.515 48.355 118.685 48.525 ;
+        RECT 118.975 48.355 119.145 48.525 ;
+        RECT 119.435 48.355 119.605 48.525 ;
+        RECT 119.895 48.355 120.065 48.525 ;
+        RECT 120.355 48.355 120.525 48.525 ;
+        RECT 120.815 48.355 120.985 48.525 ;
+        RECT 121.275 48.355 121.445 48.525 ;
+        RECT 121.735 48.355 121.905 48.525 ;
+        RECT 122.195 48.355 122.365 48.525 ;
+        RECT 122.655 48.355 122.825 48.525 ;
+        RECT 123.115 48.355 123.285 48.525 ;
+        RECT 123.575 48.355 123.745 48.525 ;
+        RECT 124.035 48.355 124.205 48.525 ;
+        RECT 124.495 48.355 124.665 48.525 ;
+        RECT 124.955 48.355 125.125 48.525 ;
+        RECT 125.415 48.355 125.585 48.525 ;
+        RECT 125.875 48.355 126.045 48.525 ;
+        RECT 126.335 48.355 126.505 48.525 ;
+        RECT 126.795 48.355 126.965 48.525 ;
+        RECT 127.255 48.355 127.425 48.525 ;
+        RECT 127.715 48.355 127.885 48.525 ;
+        RECT 128.175 48.355 128.345 48.525 ;
+        RECT 128.635 48.355 128.805 48.525 ;
+        RECT 129.095 48.355 129.265 48.525 ;
+        RECT 129.555 48.355 129.725 48.525 ;
+        RECT 130.015 48.355 130.185 48.525 ;
+        RECT 130.475 48.355 130.645 48.525 ;
+        RECT 130.935 48.355 131.105 48.525 ;
+        RECT 131.395 48.355 131.565 48.525 ;
+        RECT 131.855 48.355 132.025 48.525 ;
+        RECT 132.315 48.355 132.485 48.525 ;
+        RECT 132.775 48.355 132.945 48.525 ;
+        RECT 133.235 48.355 133.405 48.525 ;
+        RECT 133.695 48.355 133.865 48.525 ;
+        RECT 134.155 48.355 134.325 48.525 ;
+        RECT 134.615 48.355 134.785 48.525 ;
+        RECT 135.075 48.355 135.245 48.525 ;
+        RECT 135.535 48.355 135.705 48.525 ;
+        RECT 135.995 48.355 136.165 48.525 ;
+        RECT 136.455 48.355 136.625 48.525 ;
+        RECT 136.915 48.355 137.085 48.525 ;
+        RECT 137.375 48.355 137.545 48.525 ;
+        RECT 137.835 48.355 138.005 48.525 ;
+        RECT 138.295 48.355 138.465 48.525 ;
+        RECT 138.755 48.355 138.925 48.525 ;
+        RECT 139.215 48.355 139.385 48.525 ;
+        RECT 139.675 48.355 139.845 48.525 ;
+        RECT 140.135 48.355 140.305 48.525 ;
+        RECT 140.595 48.355 140.765 48.525 ;
+        RECT 141.055 48.355 141.225 48.525 ;
+        RECT 141.515 48.355 141.685 48.525 ;
+        RECT 141.975 48.355 142.145 48.525 ;
+        RECT 142.435 48.355 142.605 48.525 ;
+        RECT 142.895 48.355 143.065 48.525 ;
+        RECT 143.355 48.355 143.525 48.525 ;
+        RECT 143.815 48.355 143.985 48.525 ;
+        RECT 144.275 48.355 144.445 48.525 ;
+        RECT 144.735 48.355 144.905 48.525 ;
+        RECT 145.195 48.355 145.365 48.525 ;
+        RECT 145.655 48.355 145.825 48.525 ;
+        RECT 146.115 48.355 146.285 48.525 ;
+        RECT 146.575 48.355 146.745 48.525 ;
+        RECT 147.035 48.355 147.205 48.525 ;
+        RECT 147.495 48.355 147.665 48.525 ;
+        RECT 147.955 48.355 148.125 48.525 ;
+        RECT 148.415 48.355 148.585 48.525 ;
+        RECT 148.875 48.355 149.045 48.525 ;
+        RECT 149.335 48.355 149.505 48.525 ;
+        RECT 149.795 48.355 149.965 48.525 ;
+        RECT 150.255 48.355 150.425 48.525 ;
+        RECT 150.715 48.355 150.885 48.525 ;
+        RECT 151.175 48.355 151.345 48.525 ;
+        RECT 151.635 48.355 151.805 48.525 ;
+        RECT 152.095 48.355 152.265 48.525 ;
+        RECT 152.555 48.355 152.725 48.525 ;
+        RECT 153.015 48.355 153.185 48.525 ;
+        RECT 153.475 48.355 153.645 48.525 ;
+        RECT 153.935 48.355 154.105 48.525 ;
+        RECT 154.395 48.355 154.565 48.525 ;
+        RECT 154.855 48.355 155.025 48.525 ;
+        RECT 155.315 48.355 155.485 48.525 ;
+        RECT 155.775 48.355 155.945 48.525 ;
+        RECT 156.235 48.355 156.405 48.525 ;
+        RECT 156.695 48.355 156.865 48.525 ;
+        RECT 157.155 48.355 157.325 48.525 ;
+        RECT 157.615 48.355 157.785 48.525 ;
+        RECT 158.075 48.355 158.245 48.525 ;
+        RECT 158.535 48.355 158.705 48.525 ;
+        RECT 158.995 48.355 159.165 48.525 ;
+        RECT 159.455 48.355 159.625 48.525 ;
+        RECT 159.915 48.355 160.085 48.525 ;
+        RECT 160.375 48.355 160.545 48.525 ;
+        RECT 160.835 48.355 161.005 48.525 ;
+        RECT 161.295 48.355 161.465 48.525 ;
+        RECT 161.755 48.355 161.925 48.525 ;
+        RECT 162.215 48.355 162.385 48.525 ;
+        RECT 162.675 48.355 162.845 48.525 ;
+        RECT 163.135 48.355 163.305 48.525 ;
+        RECT 163.595 48.355 163.765 48.525 ;
+        RECT 164.055 48.355 164.225 48.525 ;
+        RECT 164.515 48.355 164.685 48.525 ;
+        RECT 164.975 48.355 165.145 48.525 ;
+        RECT 165.435 48.355 165.605 48.525 ;
+        RECT 165.895 48.355 166.065 48.525 ;
+        RECT 166.355 48.355 166.525 48.525 ;
+        RECT 166.815 48.355 166.985 48.525 ;
+        RECT 167.275 48.355 167.445 48.525 ;
+        RECT 167.735 48.355 167.905 48.525 ;
+        RECT 168.195 48.355 168.365 48.525 ;
+        RECT 168.655 48.355 168.825 48.525 ;
+        RECT 169.115 48.355 169.285 48.525 ;
+        RECT 169.575 48.355 169.745 48.525 ;
+        RECT 170.035 48.355 170.205 48.525 ;
+        RECT 170.495 48.355 170.665 48.525 ;
+        RECT 170.955 48.355 171.125 48.525 ;
+        RECT 171.415 48.355 171.585 48.525 ;
+        RECT 171.875 48.355 172.045 48.525 ;
+        RECT 172.335 48.355 172.505 48.525 ;
+        RECT 172.795 48.355 172.965 48.525 ;
+        RECT 173.255 48.355 173.425 48.525 ;
+        RECT 173.715 48.355 173.885 48.525 ;
+        RECT 174.175 48.355 174.345 48.525 ;
+        RECT 174.635 48.355 174.805 48.525 ;
+        RECT 175.095 48.355 175.265 48.525 ;
+        RECT 175.555 48.355 175.725 48.525 ;
+        RECT 176.015 48.355 176.185 48.525 ;
+        RECT 176.475 48.355 176.645 48.525 ;
+        RECT 176.935 48.355 177.105 48.525 ;
+        RECT 177.395 48.355 177.565 48.525 ;
+        RECT 177.855 48.355 178.025 48.525 ;
+        RECT 178.315 48.355 178.485 48.525 ;
+        RECT 178.775 48.355 178.945 48.525 ;
+        RECT 179.235 48.355 179.405 48.525 ;
+        RECT 179.695 48.355 179.865 48.525 ;
+        RECT 180.155 48.355 180.325 48.525 ;
+        RECT 180.615 48.355 180.785 48.525 ;
+        RECT 181.075 48.355 181.245 48.525 ;
+        RECT 181.535 48.355 181.705 48.525 ;
+        RECT 181.995 48.355 182.165 48.525 ;
+        RECT 182.455 48.355 182.625 48.525 ;
+        RECT 182.915 48.355 183.085 48.525 ;
+        RECT 183.375 48.355 183.545 48.525 ;
+        RECT 183.835 48.355 184.005 48.525 ;
+        RECT 184.295 48.355 184.465 48.525 ;
+        RECT 184.755 48.355 184.925 48.525 ;
+        RECT 185.215 48.355 185.385 48.525 ;
+        RECT 185.675 48.355 185.845 48.525 ;
+        RECT 186.135 48.355 186.305 48.525 ;
+        RECT 186.595 48.355 186.765 48.525 ;
+        RECT 187.055 48.355 187.225 48.525 ;
+        RECT 187.515 48.355 187.685 48.525 ;
+        RECT 187.975 48.355 188.145 48.525 ;
+        RECT 188.435 48.355 188.605 48.525 ;
+        RECT 188.895 48.355 189.065 48.525 ;
+        RECT 189.355 48.355 189.525 48.525 ;
+        RECT 189.815 48.355 189.985 48.525 ;
+        RECT 190.275 48.355 190.445 48.525 ;
+        RECT 190.735 48.355 190.905 48.525 ;
+        RECT 191.195 48.355 191.365 48.525 ;
+        RECT 191.655 48.355 191.825 48.525 ;
+        RECT 192.115 48.355 192.285 48.525 ;
+        RECT 192.575 48.355 192.745 48.525 ;
+        RECT 193.035 48.355 193.205 48.525 ;
+        RECT 193.495 48.355 193.665 48.525 ;
+        RECT 193.955 48.355 194.125 48.525 ;
+        RECT 194.415 48.355 194.585 48.525 ;
+        RECT 194.875 48.355 195.045 48.525 ;
+        RECT 195.335 48.355 195.505 48.525 ;
+        RECT 195.795 48.355 195.965 48.525 ;
+        RECT 196.255 48.355 196.425 48.525 ;
+        RECT 196.715 48.355 196.885 48.525 ;
+        RECT 197.175 48.355 197.345 48.525 ;
+        RECT 197.635 48.355 197.805 48.525 ;
+        RECT 198.095 48.355 198.265 48.525 ;
+        RECT 198.555 48.355 198.725 48.525 ;
+        RECT 199.015 48.355 199.185 48.525 ;
+        RECT 199.475 48.355 199.645 48.525 ;
+        RECT 199.935 48.355 200.105 48.525 ;
+        RECT 200.395 48.355 200.565 48.525 ;
+        RECT 200.855 48.355 201.025 48.525 ;
+        RECT 201.315 48.355 201.485 48.525 ;
+        RECT 201.775 48.355 201.945 48.525 ;
+        RECT 202.235 48.355 202.405 48.525 ;
+        RECT 202.695 48.355 202.865 48.525 ;
+        RECT 203.155 48.355 203.325 48.525 ;
+        RECT 203.615 48.355 203.785 48.525 ;
+        RECT 204.075 48.355 204.245 48.525 ;
+        RECT 204.535 48.355 204.705 48.525 ;
+        RECT 204.995 48.355 205.165 48.525 ;
+        RECT 205.455 48.355 205.625 48.525 ;
+        RECT 205.915 48.355 206.085 48.525 ;
+        RECT 206.375 48.355 206.545 48.525 ;
+        RECT 206.835 48.355 207.005 48.525 ;
+        RECT 207.295 48.355 207.465 48.525 ;
+        RECT 207.755 48.355 207.925 48.525 ;
+        RECT 208.215 48.355 208.385 48.525 ;
+        RECT 208.675 48.355 208.845 48.525 ;
+        RECT 209.135 48.355 209.305 48.525 ;
+        RECT 209.595 48.355 209.765 48.525 ;
+        RECT 210.055 48.355 210.225 48.525 ;
+        RECT 210.515 48.355 210.685 48.525 ;
+        RECT 210.975 48.355 211.145 48.525 ;
+        RECT 211.435 48.355 211.605 48.525 ;
+        RECT 211.895 48.355 212.065 48.525 ;
+        RECT 212.355 48.355 212.525 48.525 ;
+        RECT 212.815 48.355 212.985 48.525 ;
+        RECT 213.275 48.355 213.445 48.525 ;
+        RECT 213.735 48.355 213.905 48.525 ;
+        RECT 214.195 48.355 214.365 48.525 ;
+        RECT 214.655 48.355 214.825 48.525 ;
+        RECT 215.115 48.355 215.285 48.525 ;
+        RECT 215.575 48.355 215.745 48.525 ;
+        RECT 216.035 48.355 216.205 48.525 ;
+        RECT 216.495 48.355 216.665 48.525 ;
+        RECT 216.955 48.355 217.125 48.525 ;
+        RECT 217.415 48.355 217.585 48.525 ;
+        RECT 217.875 48.355 218.045 48.525 ;
+        RECT 218.335 48.355 218.505 48.525 ;
+        RECT 218.795 48.355 218.965 48.525 ;
+        RECT 219.255 48.355 219.425 48.525 ;
+        RECT 219.715 48.355 219.885 48.525 ;
+        RECT 220.175 48.355 220.345 48.525 ;
+        RECT 220.635 48.355 220.805 48.525 ;
+        RECT 221.095 48.355 221.265 48.525 ;
+        RECT 221.555 48.355 221.725 48.525 ;
+        RECT 222.015 48.355 222.185 48.525 ;
+        RECT 222.475 48.355 222.645 48.525 ;
+        RECT 222.935 48.355 223.105 48.525 ;
+        RECT 223.395 48.355 223.565 48.525 ;
+        RECT 223.855 48.355 224.025 48.525 ;
+        RECT 224.315 48.355 224.485 48.525 ;
+        RECT 224.775 48.355 224.945 48.525 ;
+        RECT 225.235 48.355 225.405 48.525 ;
+        RECT 225.695 48.355 225.865 48.525 ;
+        RECT 226.155 48.355 226.325 48.525 ;
+        RECT 226.615 48.355 226.785 48.525 ;
+        RECT 227.075 48.355 227.245 48.525 ;
+        RECT 227.535 48.355 227.705 48.525 ;
+        RECT 227.995 48.355 228.165 48.525 ;
+        RECT 228.455 48.355 228.625 48.525 ;
+        RECT 228.915 48.355 229.085 48.525 ;
+        RECT 229.375 48.355 229.545 48.525 ;
+        RECT 229.835 48.355 230.005 48.525 ;
+        RECT 230.295 48.355 230.465 48.525 ;
+        RECT 230.755 48.355 230.925 48.525 ;
+        RECT 231.215 48.355 231.385 48.525 ;
+        RECT 231.675 48.355 231.845 48.525 ;
+        RECT 232.135 48.355 232.305 48.525 ;
+        RECT 232.595 48.355 232.765 48.525 ;
+        RECT 233.055 48.355 233.225 48.525 ;
+        RECT 233.515 48.355 233.685 48.525 ;
+        RECT 233.975 48.355 234.145 48.525 ;
+        RECT 234.435 48.355 234.605 48.525 ;
+        RECT 234.895 48.355 235.065 48.525 ;
+        RECT 235.355 48.355 235.525 48.525 ;
+        RECT 235.815 48.355 235.985 48.525 ;
+        RECT 236.275 48.355 236.445 48.525 ;
+        RECT 236.735 48.355 236.905 48.525 ;
+        RECT 237.195 48.355 237.365 48.525 ;
+        RECT 237.655 48.355 237.825 48.525 ;
+        RECT 238.115 48.355 238.285 48.525 ;
+        RECT 238.575 48.355 238.745 48.525 ;
+        RECT 239.035 48.355 239.205 48.525 ;
+        RECT 239.495 48.355 239.665 48.525 ;
+        RECT 239.955 48.355 240.125 48.525 ;
+        RECT 240.415 48.355 240.585 48.525 ;
+        RECT 240.875 48.355 241.045 48.525 ;
+        RECT 241.335 48.355 241.505 48.525 ;
+        RECT 241.795 48.355 241.965 48.525 ;
+        RECT 242.255 48.355 242.425 48.525 ;
+        RECT 242.715 48.355 242.885 48.525 ;
+        RECT 243.175 48.355 243.345 48.525 ;
+        RECT 243.635 48.355 243.805 48.525 ;
+        RECT 244.095 48.355 244.265 48.525 ;
+        RECT 244.555 48.355 244.725 48.525 ;
+        RECT 245.015 48.355 245.185 48.525 ;
+        RECT 245.475 48.355 245.645 48.525 ;
+        RECT 245.935 48.355 246.105 48.525 ;
+        RECT 246.395 48.355 246.565 48.525 ;
+        RECT 246.855 48.355 247.025 48.525 ;
+        RECT 247.315 48.355 247.485 48.525 ;
+        RECT 247.775 48.355 247.945 48.525 ;
+        RECT 248.235 48.355 248.405 48.525 ;
+        RECT 248.695 48.355 248.865 48.525 ;
+        RECT 249.155 48.355 249.325 48.525 ;
+        RECT 249.615 48.355 249.785 48.525 ;
+        RECT 250.075 48.355 250.245 48.525 ;
+        RECT 250.535 48.355 250.705 48.525 ;
+        RECT 250.995 48.355 251.165 48.525 ;
+        RECT 251.455 48.355 251.625 48.525 ;
+        RECT 251.915 48.355 252.085 48.525 ;
+        RECT 252.375 48.355 252.545 48.525 ;
+        RECT 252.835 48.355 253.005 48.525 ;
+        RECT 253.295 48.355 253.465 48.525 ;
+        RECT 253.755 48.355 253.925 48.525 ;
+        RECT 254.215 48.355 254.385 48.525 ;
+        RECT 254.675 48.355 254.845 48.525 ;
+        RECT 255.135 48.355 255.305 48.525 ;
+        RECT 255.595 48.355 255.765 48.525 ;
+        RECT 256.055 48.355 256.225 48.525 ;
+        RECT 256.515 48.355 256.685 48.525 ;
+        RECT 256.975 48.355 257.145 48.525 ;
+        RECT 257.435 48.355 257.605 48.525 ;
+        RECT 257.895 48.355 258.065 48.525 ;
+        RECT 258.355 48.355 258.525 48.525 ;
+        RECT 258.815 48.355 258.985 48.525 ;
+        RECT 259.275 48.355 259.445 48.525 ;
+        RECT 259.735 48.355 259.905 48.525 ;
+        RECT 260.195 48.355 260.365 48.525 ;
+        RECT 260.655 48.355 260.825 48.525 ;
+        RECT 261.115 48.355 261.285 48.525 ;
+        RECT 261.575 48.355 261.745 48.525 ;
+        RECT 262.035 48.355 262.205 48.525 ;
+        RECT 262.495 48.355 262.665 48.525 ;
+        RECT 262.955 48.355 263.125 48.525 ;
+        RECT 263.415 48.355 263.585 48.525 ;
+        RECT 263.875 48.355 264.045 48.525 ;
+        RECT 264.335 48.355 264.505 48.525 ;
+        RECT 264.795 48.355 264.965 48.525 ;
+        RECT 265.255 48.355 265.425 48.525 ;
+        RECT 265.715 48.355 265.885 48.525 ;
+        RECT 266.175 48.355 266.345 48.525 ;
+        RECT 266.635 48.355 266.805 48.525 ;
+        RECT 267.095 48.355 267.265 48.525 ;
+        RECT 267.555 48.355 267.725 48.525 ;
+        RECT 268.015 48.355 268.185 48.525 ;
+        RECT 268.475 48.355 268.645 48.525 ;
+        RECT 268.935 48.355 269.105 48.525 ;
+        RECT 269.395 48.355 269.565 48.525 ;
+        RECT 269.855 48.355 270.025 48.525 ;
+        RECT 270.315 48.355 270.485 48.525 ;
+        RECT 270.775 48.355 270.945 48.525 ;
+        RECT 271.235 48.355 271.405 48.525 ;
+        RECT 271.695 48.355 271.865 48.525 ;
+        RECT 272.155 48.355 272.325 48.525 ;
+        RECT 272.615 48.355 272.785 48.525 ;
+        RECT 273.075 48.355 273.245 48.525 ;
+        RECT 273.535 48.355 273.705 48.525 ;
+        RECT 273.995 48.355 274.165 48.525 ;
+        RECT 274.455 48.355 274.625 48.525 ;
+        RECT 274.915 48.355 275.085 48.525 ;
+        RECT 275.375 48.355 275.545 48.525 ;
+        RECT 275.835 48.355 276.005 48.525 ;
+        RECT 276.295 48.355 276.465 48.525 ;
+        RECT 276.755 48.355 276.925 48.525 ;
+        RECT 277.215 48.355 277.385 48.525 ;
+        RECT 277.675 48.355 277.845 48.525 ;
+        RECT 278.135 48.355 278.305 48.525 ;
+        RECT 278.595 48.355 278.765 48.525 ;
+        RECT 279.055 48.355 279.225 48.525 ;
+        RECT 279.515 48.355 279.685 48.525 ;
+        RECT 279.975 48.355 280.145 48.525 ;
+        RECT 280.435 48.355 280.605 48.525 ;
+        RECT 280.895 48.355 281.065 48.525 ;
+        RECT 281.355 48.355 281.525 48.525 ;
+        RECT 281.815 48.355 281.985 48.525 ;
+        RECT 282.275 48.355 282.445 48.525 ;
+        RECT 282.735 48.355 282.905 48.525 ;
+        RECT 283.195 48.355 283.365 48.525 ;
+        RECT 283.655 48.355 283.825 48.525 ;
+        RECT 284.115 48.355 284.285 48.525 ;
+        RECT 284.575 48.355 284.745 48.525 ;
+        RECT 285.035 48.355 285.205 48.525 ;
+        RECT 285.495 48.355 285.665 48.525 ;
+        RECT 285.955 48.355 286.125 48.525 ;
+        RECT 286.415 48.355 286.585 48.525 ;
+        RECT 286.875 48.355 287.045 48.525 ;
+        RECT 287.335 48.355 287.505 48.525 ;
+        RECT 287.795 48.355 287.965 48.525 ;
+        RECT 288.255 48.355 288.425 48.525 ;
+        RECT 288.715 48.355 288.885 48.525 ;
+        RECT 289.175 48.355 289.345 48.525 ;
+        RECT 289.635 48.355 289.805 48.525 ;
+        RECT 290.095 48.355 290.265 48.525 ;
+        RECT 290.555 48.355 290.725 48.525 ;
+        RECT 291.015 48.355 291.185 48.525 ;
+        RECT 291.475 48.355 291.645 48.525 ;
+        RECT 291.935 48.355 292.105 48.525 ;
+        RECT 292.395 48.355 292.565 48.525 ;
+        RECT 292.855 48.355 293.025 48.525 ;
+        RECT 293.315 48.355 293.485 48.525 ;
+        RECT 293.775 48.355 293.945 48.525 ;
+        RECT 294.235 48.355 294.405 48.525 ;
+        RECT 294.695 48.355 294.865 48.525 ;
+        RECT 295.155 48.355 295.325 48.525 ;
+        RECT 295.615 48.355 295.785 48.525 ;
+        RECT 296.075 48.355 296.245 48.525 ;
+        RECT 296.535 48.355 296.705 48.525 ;
+        RECT 296.995 48.355 297.165 48.525 ;
+        RECT 297.455 48.355 297.625 48.525 ;
+        RECT 297.915 48.355 298.085 48.525 ;
+        RECT 298.375 48.355 298.545 48.525 ;
+        RECT 298.835 48.355 299.005 48.525 ;
+        RECT 299.295 48.355 299.465 48.525 ;
+        RECT 299.755 48.355 299.925 48.525 ;
+        RECT 300.215 48.355 300.385 48.525 ;
+        RECT 300.675 48.355 300.845 48.525 ;
+        RECT 301.135 48.355 301.305 48.525 ;
+        RECT 301.595 48.355 301.765 48.525 ;
+        RECT 302.055 48.355 302.225 48.525 ;
+        RECT 302.515 48.355 302.685 48.525 ;
+        RECT 302.975 48.355 303.145 48.525 ;
+        RECT 303.435 48.355 303.605 48.525 ;
+        RECT 303.895 48.355 304.065 48.525 ;
+        RECT 304.355 48.355 304.525 48.525 ;
+        RECT 304.815 48.355 304.985 48.525 ;
+        RECT 305.275 48.355 305.445 48.525 ;
+        RECT 305.735 48.355 305.905 48.525 ;
+        RECT 306.195 48.355 306.365 48.525 ;
+        RECT 306.655 48.355 306.825 48.525 ;
+        RECT 307.115 48.355 307.285 48.525 ;
+        RECT 307.575 48.355 307.745 48.525 ;
+        RECT 308.035 48.355 308.205 48.525 ;
+        RECT 308.495 48.355 308.665 48.525 ;
+        RECT 308.955 48.355 309.125 48.525 ;
+        RECT 309.415 48.355 309.585 48.525 ;
+        RECT 309.875 48.355 310.045 48.525 ;
+        RECT 310.335 48.355 310.505 48.525 ;
+        RECT 310.795 48.355 310.965 48.525 ;
+        RECT 311.255 48.355 311.425 48.525 ;
+        RECT 311.715 48.355 311.885 48.525 ;
+        RECT 312.175 48.355 312.345 48.525 ;
+        RECT 312.635 48.355 312.805 48.525 ;
+        RECT 313.095 48.355 313.265 48.525 ;
+        RECT 313.555 48.355 313.725 48.525 ;
+        RECT 314.015 48.355 314.185 48.525 ;
+        RECT 314.475 48.355 314.645 48.525 ;
+        RECT 314.935 48.355 315.105 48.525 ;
+        RECT 315.395 48.355 315.565 48.525 ;
+        RECT 315.855 48.355 316.025 48.525 ;
+        RECT 316.315 48.355 316.485 48.525 ;
+        RECT 316.775 48.355 316.945 48.525 ;
+        RECT 317.235 48.355 317.405 48.525 ;
+        RECT 317.695 48.355 317.865 48.525 ;
+        RECT 318.155 48.355 318.325 48.525 ;
+        RECT 318.615 48.355 318.785 48.525 ;
+        RECT 319.075 48.355 319.245 48.525 ;
+        RECT 319.535 48.355 319.705 48.525 ;
+        RECT 319.995 48.355 320.165 48.525 ;
+        RECT 320.455 48.355 320.625 48.525 ;
+        RECT 320.915 48.355 321.085 48.525 ;
+        RECT 321.375 48.355 321.545 48.525 ;
+        RECT 321.835 48.355 322.005 48.525 ;
+        RECT 322.295 48.355 322.465 48.525 ;
+        RECT 322.755 48.355 322.925 48.525 ;
+        RECT 323.215 48.355 323.385 48.525 ;
+        RECT 323.675 48.355 323.845 48.525 ;
+        RECT 324.135 48.355 324.305 48.525 ;
+        RECT 324.595 48.355 324.765 48.525 ;
+        RECT 325.055 48.355 325.225 48.525 ;
+        RECT 325.515 48.355 325.685 48.525 ;
+        RECT 325.975 48.355 326.145 48.525 ;
+        RECT 326.435 48.355 326.605 48.525 ;
+        RECT 326.895 48.355 327.065 48.525 ;
+        RECT 327.355 48.355 327.525 48.525 ;
+        RECT 327.815 48.355 327.985 48.525 ;
+        RECT 328.275 48.355 328.445 48.525 ;
+        RECT 328.735 48.355 328.905 48.525 ;
+        RECT 329.195 48.355 329.365 48.525 ;
+        RECT 329.655 48.355 329.825 48.525 ;
+        RECT 330.115 48.355 330.285 48.525 ;
+        RECT 330.575 48.355 330.745 48.525 ;
+        RECT 331.035 48.355 331.205 48.525 ;
+        RECT 331.495 48.355 331.665 48.525 ;
+        RECT 331.955 48.355 332.125 48.525 ;
+        RECT 332.415 48.355 332.585 48.525 ;
+        RECT 332.875 48.355 333.045 48.525 ;
+        RECT 333.335 48.355 333.505 48.525 ;
+        RECT 333.795 48.355 333.965 48.525 ;
+        RECT 334.255 48.355 334.425 48.525 ;
+        RECT 334.715 48.355 334.885 48.525 ;
+        RECT 335.175 48.355 335.345 48.525 ;
+        RECT 335.635 48.355 335.805 48.525 ;
+        RECT 336.095 48.355 336.265 48.525 ;
+        RECT 336.555 48.355 336.725 48.525 ;
+        RECT 337.015 48.355 337.185 48.525 ;
+        RECT 337.475 48.355 337.645 48.525 ;
+        RECT 337.935 48.355 338.105 48.525 ;
+        RECT 338.395 48.355 338.565 48.525 ;
+        RECT 338.855 48.355 339.025 48.525 ;
+        RECT 339.315 48.355 339.485 48.525 ;
+        RECT 339.775 48.355 339.945 48.525 ;
+        RECT 340.235 48.355 340.405 48.525 ;
+        RECT 340.695 48.355 340.865 48.525 ;
+        RECT 341.155 48.355 341.325 48.525 ;
+        RECT 341.615 48.355 341.785 48.525 ;
+        RECT 342.075 48.355 342.245 48.525 ;
+        RECT 342.535 48.355 342.705 48.525 ;
+        RECT 342.995 48.355 343.165 48.525 ;
+        RECT 343.455 48.355 343.625 48.525 ;
+        RECT 343.915 48.355 344.085 48.525 ;
+        RECT 344.375 48.355 344.545 48.525 ;
+        RECT 344.835 48.355 345.005 48.525 ;
+        RECT 345.295 48.355 345.465 48.525 ;
+        RECT 345.755 48.355 345.925 48.525 ;
+        RECT 346.215 48.355 346.385 48.525 ;
+        RECT 346.675 48.355 346.845 48.525 ;
+        RECT 347.135 48.355 347.305 48.525 ;
+        RECT 347.595 48.355 347.765 48.525 ;
+        RECT 348.055 48.355 348.225 48.525 ;
+        RECT 348.515 48.355 348.685 48.525 ;
+        RECT 348.975 48.355 349.145 48.525 ;
+        RECT 349.435 48.355 349.605 48.525 ;
+        RECT 349.895 48.355 350.065 48.525 ;
+        RECT 350.355 48.355 350.525 48.525 ;
+        RECT 350.815 48.355 350.985 48.525 ;
+        RECT 351.275 48.355 351.445 48.525 ;
+        RECT 351.735 48.355 351.905 48.525 ;
+        RECT 352.195 48.355 352.365 48.525 ;
+        RECT 352.655 48.355 352.825 48.525 ;
+        RECT 353.115 48.355 353.285 48.525 ;
+        RECT 353.575 48.355 353.745 48.525 ;
+        RECT 354.035 48.355 354.205 48.525 ;
+        RECT 354.495 48.355 354.665 48.525 ;
+        RECT 354.955 48.355 355.125 48.525 ;
+        RECT 355.415 48.355 355.585 48.525 ;
+        RECT 355.875 48.355 356.045 48.525 ;
+        RECT 356.335 48.355 356.505 48.525 ;
+        RECT 356.795 48.355 356.965 48.525 ;
+        RECT 357.255 48.355 357.425 48.525 ;
+        RECT 357.715 48.355 357.885 48.525 ;
+        RECT 358.175 48.355 358.345 48.525 ;
+        RECT 358.635 48.355 358.805 48.525 ;
+        RECT 359.095 48.355 359.265 48.525 ;
+        RECT 359.555 48.355 359.725 48.525 ;
+        RECT 360.015 48.355 360.185 48.525 ;
+        RECT 360.475 48.355 360.645 48.525 ;
+        RECT 360.935 48.355 361.105 48.525 ;
+        RECT 361.395 48.355 361.565 48.525 ;
+        RECT 361.855 48.355 362.025 48.525 ;
+        RECT 362.315 48.355 362.485 48.525 ;
+        RECT 362.775 48.355 362.945 48.525 ;
+        RECT 363.235 48.355 363.405 48.525 ;
+        RECT 363.695 48.355 363.865 48.525 ;
+        RECT 364.155 48.355 364.325 48.525 ;
+        RECT 364.615 48.355 364.785 48.525 ;
+        RECT 365.075 48.355 365.245 48.525 ;
+        RECT 365.535 48.355 365.705 48.525 ;
+        RECT 365.995 48.355 366.165 48.525 ;
+        RECT 366.455 48.355 366.625 48.525 ;
+        RECT 366.915 48.355 367.085 48.525 ;
+        RECT 367.375 48.355 367.545 48.525 ;
+        RECT 367.835 48.355 368.005 48.525 ;
+        RECT 368.295 48.355 368.465 48.525 ;
+        RECT 368.755 48.355 368.925 48.525 ;
+        RECT 369.215 48.355 369.385 48.525 ;
+        RECT 369.675 48.355 369.845 48.525 ;
+        RECT 370.135 48.355 370.305 48.525 ;
+        RECT 370.595 48.355 370.765 48.525 ;
+        RECT 371.055 48.355 371.225 48.525 ;
+        RECT 371.515 48.355 371.685 48.525 ;
+        RECT 371.975 48.355 372.145 48.525 ;
+        RECT 372.435 48.355 372.605 48.525 ;
+        RECT 372.895 48.355 373.065 48.525 ;
+        RECT 373.355 48.355 373.525 48.525 ;
+        RECT 373.815 48.355 373.985 48.525 ;
+        RECT 374.275 48.355 374.445 48.525 ;
+        RECT 374.735 48.355 374.905 48.525 ;
+        RECT 375.195 48.355 375.365 48.525 ;
+        RECT 375.655 48.355 375.825 48.525 ;
+        RECT 376.115 48.355 376.285 48.525 ;
+        RECT 376.575 48.355 376.745 48.525 ;
+        RECT 377.035 48.355 377.205 48.525 ;
+        RECT 377.495 48.355 377.665 48.525 ;
+        RECT 377.955 48.355 378.125 48.525 ;
+        RECT 378.415 48.355 378.585 48.525 ;
+        RECT 378.875 48.355 379.045 48.525 ;
+        RECT 379.335 48.355 379.505 48.525 ;
+        RECT 379.795 48.355 379.965 48.525 ;
+        RECT 380.255 48.355 380.425 48.525 ;
+        RECT 380.715 48.355 380.885 48.525 ;
+        RECT 381.175 48.355 381.345 48.525 ;
+        RECT 381.635 48.355 381.805 48.525 ;
+        RECT 382.095 48.355 382.265 48.525 ;
+        RECT 382.555 48.355 382.725 48.525 ;
+        RECT 383.015 48.355 383.185 48.525 ;
+        RECT 383.475 48.355 383.645 48.525 ;
+        RECT 383.935 48.355 384.105 48.525 ;
+        RECT 384.395 48.355 384.565 48.525 ;
+        RECT 384.855 48.355 385.025 48.525 ;
+        RECT 385.315 48.355 385.485 48.525 ;
+        RECT 385.775 48.355 385.945 48.525 ;
+        RECT 386.235 48.355 386.405 48.525 ;
+        RECT 386.695 48.355 386.865 48.525 ;
+        RECT 387.155 48.355 387.325 48.525 ;
+        RECT 387.615 48.355 387.785 48.525 ;
+        RECT 388.075 48.355 388.245 48.525 ;
+        RECT 388.535 48.355 388.705 48.525 ;
+        RECT 388.995 48.355 389.165 48.525 ;
+        RECT 389.455 48.355 389.625 48.525 ;
+        RECT 389.915 48.355 390.085 48.525 ;
+        RECT 390.375 48.355 390.545 48.525 ;
+        RECT 390.835 48.355 391.005 48.525 ;
+        RECT 391.295 48.355 391.465 48.525 ;
+        RECT 391.755 48.355 391.925 48.525 ;
+        RECT 392.215 48.355 392.385 48.525 ;
+        RECT 392.675 48.355 392.845 48.525 ;
+        RECT 393.135 48.355 393.305 48.525 ;
+        RECT 393.595 48.355 393.765 48.525 ;
+        RECT 394.055 48.355 394.225 48.525 ;
+        RECT 394.515 48.355 394.685 48.525 ;
+        RECT 394.975 48.355 395.145 48.525 ;
+        RECT 395.435 48.355 395.605 48.525 ;
+        RECT 395.895 48.355 396.065 48.525 ;
+        RECT 396.355 48.355 396.525 48.525 ;
+        RECT 396.815 48.355 396.985 48.525 ;
+        RECT 397.275 48.355 397.445 48.525 ;
+        RECT 397.735 48.355 397.905 48.525 ;
+        RECT 398.195 48.355 398.365 48.525 ;
+        RECT 398.655 48.355 398.825 48.525 ;
+        RECT 399.115 48.355 399.285 48.525 ;
+        RECT 399.575 48.355 399.745 48.525 ;
+        RECT 400.035 48.355 400.205 48.525 ;
+        RECT 400.495 48.355 400.665 48.525 ;
+        RECT 400.955 48.355 401.125 48.525 ;
+        RECT 401.415 48.355 401.585 48.525 ;
+        RECT 401.875 48.355 402.045 48.525 ;
+        RECT 402.335 48.355 402.505 48.525 ;
+        RECT 402.795 48.355 402.965 48.525 ;
+        RECT 403.255 48.355 403.425 48.525 ;
+        RECT 403.715 48.355 403.885 48.525 ;
+        RECT 404.175 48.355 404.345 48.525 ;
+        RECT 404.635 48.355 404.805 48.525 ;
+        RECT 405.095 48.355 405.265 48.525 ;
+        RECT 405.555 48.355 405.725 48.525 ;
+        RECT 406.015 48.355 406.185 48.525 ;
+        RECT 406.475 48.355 406.645 48.525 ;
+        RECT 406.935 48.355 407.105 48.525 ;
+        RECT 407.395 48.355 407.565 48.525 ;
+        RECT 407.855 48.355 408.025 48.525 ;
+        RECT 408.315 48.355 408.485 48.525 ;
+        RECT 408.775 48.355 408.945 48.525 ;
+        RECT 409.235 48.355 409.405 48.525 ;
+        RECT 409.695 48.355 409.865 48.525 ;
+        RECT 410.155 48.355 410.325 48.525 ;
+        RECT 410.615 48.355 410.785 48.525 ;
+        RECT 411.075 48.355 411.245 48.525 ;
+        RECT 411.535 48.355 411.705 48.525 ;
+        RECT 411.995 48.355 412.165 48.525 ;
+        RECT 412.455 48.355 412.625 48.525 ;
+        RECT 412.915 48.355 413.085 48.525 ;
+        RECT 413.375 48.355 413.545 48.525 ;
+        RECT 413.835 48.355 414.005 48.525 ;
+        RECT 414.295 48.355 414.465 48.525 ;
+        RECT 414.755 48.355 414.925 48.525 ;
+        RECT 415.215 48.355 415.385 48.525 ;
+        RECT 415.675 48.355 415.845 48.525 ;
+        RECT 416.135 48.355 416.305 48.525 ;
+        RECT 416.595 48.355 416.765 48.525 ;
+        RECT 417.055 48.355 417.225 48.525 ;
+        RECT 417.515 48.355 417.685 48.525 ;
+        RECT 417.975 48.355 418.145 48.525 ;
+        RECT 418.435 48.355 418.605 48.525 ;
+        RECT 418.895 48.355 419.065 48.525 ;
+        RECT 419.355 48.355 419.525 48.525 ;
+        RECT 419.815 48.355 419.985 48.525 ;
+        RECT 420.275 48.355 420.445 48.525 ;
+        RECT 420.735 48.355 420.905 48.525 ;
+        RECT 421.195 48.355 421.365 48.525 ;
+        RECT 421.655 48.355 421.825 48.525 ;
+        RECT 422.115 48.355 422.285 48.525 ;
+        RECT 422.575 48.355 422.745 48.525 ;
+        RECT 423.035 48.355 423.205 48.525 ;
+        RECT 423.495 48.355 423.665 48.525 ;
+        RECT 423.955 48.355 424.125 48.525 ;
+        RECT 424.415 48.355 424.585 48.525 ;
+        RECT 424.875 48.355 425.045 48.525 ;
+        RECT 425.335 48.355 425.505 48.525 ;
+        RECT 425.795 48.355 425.965 48.525 ;
+        RECT 426.255 48.355 426.425 48.525 ;
+        RECT 426.715 48.355 426.885 48.525 ;
+        RECT 427.175 48.355 427.345 48.525 ;
+        RECT 427.635 48.355 427.805 48.525 ;
+        RECT 428.095 48.355 428.265 48.525 ;
+        RECT 428.555 48.355 428.725 48.525 ;
+        RECT 429.015 48.355 429.185 48.525 ;
+        RECT 429.475 48.355 429.645 48.525 ;
+        RECT 429.935 48.355 430.105 48.525 ;
+        RECT 430.395 48.355 430.565 48.525 ;
+        RECT 430.855 48.355 431.025 48.525 ;
+        RECT 431.315 48.355 431.485 48.525 ;
+        RECT 431.775 48.355 431.945 48.525 ;
+        RECT 432.235 48.355 432.405 48.525 ;
+        RECT 432.695 48.355 432.865 48.525 ;
+        RECT 433.155 48.355 433.325 48.525 ;
+        RECT 433.615 48.355 433.785 48.525 ;
+        RECT 434.075 48.355 434.245 48.525 ;
+        RECT 434.535 48.355 434.705 48.525 ;
+        RECT 434.995 48.355 435.165 48.525 ;
+        RECT 435.455 48.355 435.625 48.525 ;
+        RECT 435.915 48.355 436.085 48.525 ;
+        RECT 436.375 48.355 436.545 48.525 ;
+        RECT 436.835 48.355 437.005 48.525 ;
+        RECT 437.295 48.355 437.465 48.525 ;
+        RECT 437.755 48.355 437.925 48.525 ;
+        RECT 438.215 48.355 438.385 48.525 ;
+        RECT 438.675 48.355 438.845 48.525 ;
+        RECT 439.135 48.355 439.305 48.525 ;
+        RECT 439.595 48.355 439.765 48.525 ;
+        RECT 440.055 48.355 440.225 48.525 ;
+        RECT 440.515 48.355 440.685 48.525 ;
+        RECT 440.975 48.355 441.145 48.525 ;
+        RECT 441.435 48.355 441.605 48.525 ;
+        RECT 441.895 48.355 442.065 48.525 ;
+        RECT 442.355 48.355 442.525 48.525 ;
+        RECT 442.815 48.355 442.985 48.525 ;
+        RECT 443.275 48.355 443.445 48.525 ;
+        RECT 443.735 48.355 443.905 48.525 ;
+        RECT 444.195 48.355 444.365 48.525 ;
+        RECT 444.655 48.355 444.825 48.525 ;
+        RECT 445.115 48.355 445.285 48.525 ;
+        RECT 445.575 48.355 445.745 48.525 ;
+        RECT 446.035 48.355 446.205 48.525 ;
+        RECT 446.495 48.355 446.665 48.525 ;
+        RECT 446.955 48.355 447.125 48.525 ;
+        RECT 447.415 48.355 447.585 48.525 ;
+        RECT 447.875 48.355 448.045 48.525 ;
+        RECT 448.335 48.355 448.505 48.525 ;
+        RECT 448.795 48.355 448.965 48.525 ;
+        RECT 449.255 48.355 449.425 48.525 ;
+        RECT 449.715 48.355 449.885 48.525 ;
+        RECT 450.175 48.355 450.345 48.525 ;
+        RECT 450.635 48.355 450.805 48.525 ;
+        RECT 451.095 48.355 451.265 48.525 ;
+        RECT 451.555 48.355 451.725 48.525 ;
+        RECT 452.015 48.355 452.185 48.525 ;
+        RECT 452.475 48.355 452.645 48.525 ;
+        RECT 452.935 48.355 453.105 48.525 ;
+        RECT 453.395 48.355 453.565 48.525 ;
+        RECT 453.855 48.355 454.025 48.525 ;
+        RECT 454.315 48.355 454.485 48.525 ;
+        RECT 454.775 48.355 454.945 48.525 ;
+        RECT 455.235 48.355 455.405 48.525 ;
+        RECT 455.695 48.355 455.865 48.525 ;
+        RECT 456.155 48.355 456.325 48.525 ;
+        RECT 456.615 48.355 456.785 48.525 ;
+        RECT 457.075 48.355 457.245 48.525 ;
+        RECT 457.535 48.355 457.705 48.525 ;
+        RECT 457.995 48.355 458.165 48.525 ;
+        RECT 458.455 48.355 458.625 48.525 ;
+        RECT 458.915 48.355 459.085 48.525 ;
+        RECT 459.375 48.355 459.545 48.525 ;
+        RECT 459.835 48.355 460.005 48.525 ;
+        RECT 460.295 48.355 460.465 48.525 ;
+        RECT 460.755 48.355 460.925 48.525 ;
+        RECT 461.215 48.355 461.385 48.525 ;
+        RECT 461.675 48.355 461.845 48.525 ;
+        RECT 462.135 48.355 462.305 48.525 ;
+        RECT 462.595 48.355 462.765 48.525 ;
+        RECT 463.055 48.355 463.225 48.525 ;
+        RECT 463.515 48.355 463.685 48.525 ;
+        RECT 463.975 48.355 464.145 48.525 ;
+        RECT 464.435 48.355 464.605 48.525 ;
+        RECT 464.895 48.355 465.065 48.525 ;
+        RECT 465.355 48.355 465.525 48.525 ;
+        RECT 465.815 48.355 465.985 48.525 ;
+        RECT 466.275 48.355 466.445 48.525 ;
+        RECT 466.735 48.355 466.905 48.525 ;
+        RECT 467.195 48.355 467.365 48.525 ;
+        RECT 467.655 48.355 467.825 48.525 ;
+        RECT 468.115 48.355 468.285 48.525 ;
+        RECT 468.575 48.355 468.745 48.525 ;
+        RECT 469.035 48.355 469.205 48.525 ;
+        RECT 469.495 48.355 469.665 48.525 ;
+        RECT 469.955 48.355 470.125 48.525 ;
+        RECT 470.415 48.355 470.585 48.525 ;
+        RECT 470.875 48.355 471.045 48.525 ;
+        RECT 471.335 48.355 471.505 48.525 ;
+        RECT 471.795 48.355 471.965 48.525 ;
+        RECT 472.255 48.355 472.425 48.525 ;
+        RECT 472.715 48.355 472.885 48.525 ;
+        RECT 473.175 48.355 473.345 48.525 ;
+        RECT 473.635 48.355 473.805 48.525 ;
+        RECT 474.095 48.355 474.265 48.525 ;
+        RECT 474.555 48.355 474.725 48.525 ;
+        RECT 475.015 48.355 475.185 48.525 ;
+        RECT 475.475 48.355 475.645 48.525 ;
+        RECT 475.935 48.355 476.105 48.525 ;
+        RECT 476.395 48.355 476.565 48.525 ;
+        RECT 476.855 48.355 477.025 48.525 ;
+        RECT 477.315 48.355 477.485 48.525 ;
+        RECT 477.775 48.355 477.945 48.525 ;
+        RECT 478.235 48.355 478.405 48.525 ;
+        RECT 478.695 48.355 478.865 48.525 ;
+        RECT 479.155 48.355 479.325 48.525 ;
+        RECT 479.615 48.355 479.785 48.525 ;
+        RECT 480.075 48.355 480.245 48.525 ;
+        RECT 480.535 48.355 480.705 48.525 ;
+        RECT 480.995 48.355 481.165 48.525 ;
+        RECT 481.455 48.355 481.625 48.525 ;
+        RECT 481.915 48.355 482.085 48.525 ;
+        RECT 482.375 48.355 482.545 48.525 ;
+        RECT 482.835 48.355 483.005 48.525 ;
+        RECT 483.295 48.355 483.465 48.525 ;
+        RECT 483.755 48.355 483.925 48.525 ;
+        RECT 484.215 48.355 484.385 48.525 ;
+        RECT 484.675 48.355 484.845 48.525 ;
+        RECT 485.135 48.355 485.305 48.525 ;
+        RECT 485.595 48.355 485.765 48.525 ;
+        RECT 486.055 48.355 486.225 48.525 ;
+        RECT 486.515 48.355 486.685 48.525 ;
+        RECT 486.975 48.355 487.145 48.525 ;
+        RECT 487.435 48.355 487.605 48.525 ;
+        RECT 487.895 48.355 488.065 48.525 ;
+        RECT 488.355 48.355 488.525 48.525 ;
+        RECT 488.815 48.355 488.985 48.525 ;
+        RECT 489.275 48.355 489.445 48.525 ;
+        RECT 489.735 48.355 489.905 48.525 ;
+        RECT 490.195 48.355 490.365 48.525 ;
+        RECT 490.655 48.355 490.825 48.525 ;
+        RECT 491.115 48.355 491.285 48.525 ;
+        RECT 491.575 48.355 491.745 48.525 ;
+        RECT 492.035 48.355 492.205 48.525 ;
+        RECT 492.495 48.355 492.665 48.525 ;
+        RECT 492.955 48.355 493.125 48.525 ;
+        RECT 493.415 48.355 493.585 48.525 ;
+        RECT 493.875 48.355 494.045 48.525 ;
+        RECT 494.335 48.355 494.505 48.525 ;
+        RECT 494.795 48.355 494.965 48.525 ;
+        RECT 495.255 48.355 495.425 48.525 ;
+        RECT 495.715 48.355 495.885 48.525 ;
+        RECT 496.175 48.355 496.345 48.525 ;
+        RECT 496.635 48.355 496.805 48.525 ;
+        RECT 497.095 48.355 497.265 48.525 ;
+        RECT 497.555 48.355 497.725 48.525 ;
+        RECT 498.015 48.355 498.185 48.525 ;
+        RECT 498.475 48.355 498.645 48.525 ;
+        RECT 498.935 48.355 499.105 48.525 ;
+        RECT 499.395 48.355 499.565 48.525 ;
+        RECT 499.855 48.355 500.025 48.525 ;
+        RECT 500.315 48.355 500.485 48.525 ;
+        RECT 500.775 48.355 500.945 48.525 ;
+        RECT 501.235 48.355 501.405 48.525 ;
+        RECT 501.695 48.355 501.865 48.525 ;
+        RECT 502.155 48.355 502.325 48.525 ;
+        RECT 502.615 48.355 502.785 48.525 ;
+        RECT 503.075 48.355 503.245 48.525 ;
+        RECT 503.535 48.355 503.705 48.525 ;
+        RECT 503.995 48.355 504.165 48.525 ;
+        RECT 504.455 48.355 504.625 48.525 ;
+        RECT 504.915 48.355 505.085 48.525 ;
+        RECT 505.375 48.355 505.545 48.525 ;
+        RECT 505.835 48.355 506.005 48.525 ;
+        RECT 506.295 48.355 506.465 48.525 ;
+        RECT 506.755 48.355 506.925 48.525 ;
+        RECT 507.215 48.355 507.385 48.525 ;
+        RECT 507.675 48.355 507.845 48.525 ;
+        RECT 508.135 48.355 508.305 48.525 ;
+        RECT 508.595 48.355 508.765 48.525 ;
+        RECT 509.055 48.355 509.225 48.525 ;
+        RECT 509.515 48.355 509.685 48.525 ;
+        RECT 509.975 48.355 510.145 48.525 ;
+        RECT 510.435 48.355 510.605 48.525 ;
+        RECT 510.895 48.355 511.065 48.525 ;
+        RECT 511.355 48.355 511.525 48.525 ;
+        RECT 511.815 48.355 511.985 48.525 ;
+        RECT 512.275 48.355 512.445 48.525 ;
+        RECT 512.735 48.355 512.905 48.525 ;
+        RECT 513.195 48.355 513.365 48.525 ;
+        RECT 513.655 48.355 513.825 48.525 ;
+        RECT 514.115 48.355 514.285 48.525 ;
+        RECT 514.575 48.355 514.745 48.525 ;
+        RECT 515.035 48.355 515.205 48.525 ;
+        RECT 515.495 48.355 515.665 48.525 ;
+        RECT 515.955 48.355 516.125 48.525 ;
+        RECT 516.415 48.355 516.585 48.525 ;
+        RECT 516.875 48.355 517.045 48.525 ;
+        RECT 517.335 48.355 517.505 48.525 ;
+        RECT 517.795 48.355 517.965 48.525 ;
+        RECT 518.255 48.355 518.425 48.525 ;
+        RECT 518.715 48.355 518.885 48.525 ;
+        RECT 519.175 48.355 519.345 48.525 ;
+        RECT 519.635 48.355 519.805 48.525 ;
+        RECT 520.095 48.355 520.265 48.525 ;
+        RECT 520.555 48.355 520.725 48.525 ;
+        RECT 521.015 48.355 521.185 48.525 ;
+        RECT 521.475 48.355 521.645 48.525 ;
+        RECT 521.935 48.355 522.105 48.525 ;
+        RECT 522.395 48.355 522.565 48.525 ;
+        RECT 522.855 48.355 523.025 48.525 ;
+        RECT 523.315 48.355 523.485 48.525 ;
+        RECT 523.775 48.355 523.945 48.525 ;
+        RECT 524.235 48.355 524.405 48.525 ;
+        RECT 524.695 48.355 524.865 48.525 ;
+        RECT 525.155 48.355 525.325 48.525 ;
+        RECT 525.615 48.355 525.785 48.525 ;
+        RECT 526.075 48.355 526.245 48.525 ;
+        RECT 526.535 48.355 526.705 48.525 ;
+        RECT 526.995 48.355 527.165 48.525 ;
+        RECT 527.455 48.355 527.625 48.525 ;
+        RECT 527.915 48.355 528.085 48.525 ;
+        RECT 528.375 48.355 528.545 48.525 ;
+        RECT 528.835 48.355 529.005 48.525 ;
+        RECT 529.295 48.355 529.465 48.525 ;
+        RECT 529.755 48.355 529.925 48.525 ;
+        RECT 530.215 48.355 530.385 48.525 ;
+        RECT 530.675 48.355 530.845 48.525 ;
+        RECT 531.135 48.355 531.305 48.525 ;
+        RECT 531.595 48.355 531.765 48.525 ;
+        RECT 532.055 48.355 532.225 48.525 ;
+        RECT 532.515 48.355 532.685 48.525 ;
+        RECT 532.975 48.355 533.145 48.525 ;
+        RECT 533.435 48.355 533.605 48.525 ;
+        RECT 533.895 48.355 534.065 48.525 ;
+        RECT 534.355 48.355 534.525 48.525 ;
+        RECT 534.815 48.355 534.985 48.525 ;
+        RECT 535.275 48.355 535.445 48.525 ;
+        RECT 535.735 48.355 535.905 48.525 ;
+        RECT 536.195 48.355 536.365 48.525 ;
+        RECT 536.655 48.355 536.825 48.525 ;
+        RECT 537.115 48.355 537.285 48.525 ;
+        RECT 537.575 48.355 537.745 48.525 ;
+        RECT 538.035 48.355 538.205 48.525 ;
+        RECT 538.495 48.355 538.665 48.525 ;
+        RECT 538.955 48.355 539.125 48.525 ;
+        RECT 539.415 48.355 539.585 48.525 ;
+        RECT 539.875 48.355 540.045 48.525 ;
+        RECT 540.335 48.355 540.505 48.525 ;
+        RECT 540.795 48.355 540.965 48.525 ;
+        RECT 541.255 48.355 541.425 48.525 ;
+        RECT 541.715 48.355 541.885 48.525 ;
+        RECT 542.175 48.355 542.345 48.525 ;
+        RECT 542.635 48.355 542.805 48.525 ;
+        RECT 543.095 48.355 543.265 48.525 ;
+        RECT 543.555 48.355 543.725 48.525 ;
+        RECT 544.015 48.355 544.185 48.525 ;
+        RECT 544.475 48.355 544.645 48.525 ;
+        RECT 544.935 48.355 545.105 48.525 ;
+        RECT 545.395 48.355 545.565 48.525 ;
+        RECT 545.855 48.355 546.025 48.525 ;
+        RECT 546.315 48.355 546.485 48.525 ;
+        RECT 546.775 48.355 546.945 48.525 ;
+        RECT 547.235 48.355 547.405 48.525 ;
+        RECT 547.695 48.355 547.865 48.525 ;
+        RECT 548.155 48.355 548.325 48.525 ;
+        RECT 548.615 48.355 548.785 48.525 ;
+        RECT 549.075 48.355 549.245 48.525 ;
+        RECT 549.535 48.355 549.705 48.525 ;
+        RECT 549.995 48.355 550.165 48.525 ;
+        RECT 550.455 48.355 550.625 48.525 ;
+        RECT 550.915 48.355 551.085 48.525 ;
+        RECT 551.375 48.355 551.545 48.525 ;
+        RECT 551.835 48.355 552.005 48.525 ;
+        RECT 552.295 48.355 552.465 48.525 ;
+        RECT 552.755 48.355 552.925 48.525 ;
+        RECT 553.215 48.355 553.385 48.525 ;
+        RECT 553.675 48.355 553.845 48.525 ;
+        RECT 554.135 48.355 554.305 48.525 ;
+        RECT 554.595 48.355 554.765 48.525 ;
+        RECT 555.055 48.355 555.225 48.525 ;
+        RECT 555.515 48.355 555.685 48.525 ;
+        RECT 555.975 48.355 556.145 48.525 ;
+        RECT 556.435 48.355 556.605 48.525 ;
+        RECT 556.895 48.355 557.065 48.525 ;
+        RECT 557.355 48.355 557.525 48.525 ;
+        RECT 557.815 48.355 557.985 48.525 ;
+        RECT 558.275 48.355 558.445 48.525 ;
+        RECT 558.735 48.355 558.905 48.525 ;
+        RECT 559.195 48.355 559.365 48.525 ;
+        RECT 559.655 48.355 559.825 48.525 ;
+        RECT 560.115 48.355 560.285 48.525 ;
+        RECT 560.575 48.355 560.745 48.525 ;
+        RECT 561.035 48.355 561.205 48.525 ;
+        RECT 561.495 48.355 561.665 48.525 ;
+        RECT 561.955 48.355 562.125 48.525 ;
+        RECT 562.415 48.355 562.585 48.525 ;
+        RECT 562.875 48.355 563.045 48.525 ;
+        RECT 563.335 48.355 563.505 48.525 ;
+        RECT 563.795 48.355 563.965 48.525 ;
+        RECT 564.255 48.355 564.425 48.525 ;
+        RECT 564.715 48.355 564.885 48.525 ;
+        RECT 565.175 48.355 565.345 48.525 ;
+        RECT 565.635 48.355 565.805 48.525 ;
+        RECT 566.095 48.355 566.265 48.525 ;
+        RECT 566.555 48.355 566.725 48.525 ;
+        RECT 567.015 48.355 567.185 48.525 ;
+        RECT 567.475 48.355 567.645 48.525 ;
+        RECT 567.935 48.355 568.105 48.525 ;
+        RECT 568.395 48.355 568.565 48.525 ;
+        RECT 568.855 48.355 569.025 48.525 ;
+        RECT 569.315 48.355 569.485 48.525 ;
+        RECT 569.775 48.355 569.945 48.525 ;
+        RECT 570.235 48.355 570.405 48.525 ;
+        RECT 570.695 48.355 570.865 48.525 ;
+        RECT 571.155 48.355 571.325 48.525 ;
+        RECT 571.615 48.355 571.785 48.525 ;
+        RECT 572.075 48.355 572.245 48.525 ;
+        RECT 572.535 48.355 572.705 48.525 ;
+        RECT 572.995 48.355 573.165 48.525 ;
+        RECT 573.455 48.355 573.625 48.525 ;
+        RECT 573.915 48.355 574.085 48.525 ;
+        RECT 574.375 48.355 574.545 48.525 ;
+        RECT 574.835 48.355 575.005 48.525 ;
+        RECT 575.295 48.355 575.465 48.525 ;
+        RECT 575.755 48.355 575.925 48.525 ;
+        RECT 576.215 48.355 576.385 48.525 ;
+        RECT 576.675 48.355 576.845 48.525 ;
+        RECT 577.135 48.355 577.305 48.525 ;
+        RECT 577.595 48.355 577.765 48.525 ;
+        RECT 578.055 48.355 578.225 48.525 ;
+        RECT 578.515 48.355 578.685 48.525 ;
+        RECT 578.975 48.355 579.145 48.525 ;
+        RECT 579.435 48.355 579.605 48.525 ;
+        RECT 579.895 48.355 580.065 48.525 ;
+        RECT 580.355 48.355 580.525 48.525 ;
+        RECT 580.815 48.355 580.985 48.525 ;
+        RECT 581.275 48.355 581.445 48.525 ;
+        RECT 581.735 48.355 581.905 48.525 ;
+        RECT 582.195 48.355 582.365 48.525 ;
+        RECT 582.655 48.355 582.825 48.525 ;
+        RECT 583.115 48.355 583.285 48.525 ;
+        RECT 583.575 48.355 583.745 48.525 ;
+        RECT 584.035 48.355 584.205 48.525 ;
+        RECT 584.495 48.355 584.665 48.525 ;
+        RECT 584.955 48.355 585.125 48.525 ;
+        RECT 585.415 48.355 585.585 48.525 ;
+        RECT 585.875 48.355 586.045 48.525 ;
+        RECT 586.335 48.355 586.505 48.525 ;
+        RECT 586.795 48.355 586.965 48.525 ;
+        RECT 587.255 48.355 587.425 48.525 ;
+        RECT 587.715 48.355 587.885 48.525 ;
+        RECT 588.175 48.355 588.345 48.525 ;
+        RECT 588.635 48.355 588.805 48.525 ;
+        RECT 589.095 48.355 589.265 48.525 ;
+        RECT 589.555 48.355 589.725 48.525 ;
+        RECT 590.015 48.355 590.185 48.525 ;
+        RECT 590.475 48.355 590.645 48.525 ;
+        RECT 590.935 48.355 591.105 48.525 ;
+        RECT 591.395 48.355 591.565 48.525 ;
+        RECT 591.855 48.355 592.025 48.525 ;
+        RECT 592.315 48.355 592.485 48.525 ;
+        RECT 592.775 48.355 592.945 48.525 ;
+        RECT 593.235 48.355 593.405 48.525 ;
+        RECT 593.695 48.355 593.865 48.525 ;
+        RECT 594.155 48.355 594.325 48.525 ;
+        RECT 594.615 48.355 594.785 48.525 ;
+        RECT 595.075 48.355 595.245 48.525 ;
+        RECT 595.535 48.355 595.705 48.525 ;
+        RECT 595.995 48.355 596.165 48.525 ;
+        RECT 596.455 48.355 596.625 48.525 ;
+        RECT 596.915 48.355 597.085 48.525 ;
+        RECT 597.375 48.355 597.545 48.525 ;
+        RECT 597.835 48.355 598.005 48.525 ;
+        RECT 598.295 48.355 598.465 48.525 ;
+        RECT 598.755 48.355 598.925 48.525 ;
+        RECT 599.215 48.355 599.385 48.525 ;
+        RECT 599.675 48.355 599.845 48.525 ;
+        RECT 600.135 48.355 600.305 48.525 ;
+        RECT 600.595 48.355 600.765 48.525 ;
+        RECT 601.055 48.355 601.225 48.525 ;
+        RECT 601.515 48.355 601.685 48.525 ;
+        RECT 601.975 48.355 602.145 48.525 ;
+        RECT 602.435 48.355 602.605 48.525 ;
+        RECT 602.895 48.355 603.065 48.525 ;
+        RECT 603.355 48.355 603.525 48.525 ;
+        RECT 603.815 48.355 603.985 48.525 ;
+        RECT 604.275 48.355 604.445 48.525 ;
+        RECT 604.735 48.355 604.905 48.525 ;
+        RECT 605.195 48.355 605.365 48.525 ;
+        RECT 605.655 48.355 605.825 48.525 ;
+        RECT 606.115 48.355 606.285 48.525 ;
+        RECT 606.575 48.355 606.745 48.525 ;
+        RECT 607.035 48.355 607.205 48.525 ;
+        RECT 607.495 48.355 607.665 48.525 ;
+        RECT 607.955 48.355 608.125 48.525 ;
+        RECT 608.415 48.355 608.585 48.525 ;
+        RECT 608.875 48.355 609.045 48.525 ;
+        RECT 609.335 48.355 609.505 48.525 ;
+        RECT 609.795 48.355 609.965 48.525 ;
+        RECT 610.255 48.355 610.425 48.525 ;
+        RECT 610.715 48.355 610.885 48.525 ;
+        RECT 611.175 48.355 611.345 48.525 ;
+        RECT 611.635 48.355 611.805 48.525 ;
+        RECT 612.095 48.355 612.265 48.525 ;
+        RECT 612.555 48.355 612.725 48.525 ;
+        RECT 613.015 48.355 613.185 48.525 ;
+        RECT 613.475 48.355 613.645 48.525 ;
+        RECT 613.935 48.355 614.105 48.525 ;
+        RECT 614.395 48.355 614.565 48.525 ;
+        RECT 614.855 48.355 615.025 48.525 ;
+        RECT 615.315 48.355 615.485 48.525 ;
+        RECT 615.775 48.355 615.945 48.525 ;
+        RECT 616.235 48.355 616.405 48.525 ;
+        RECT 616.695 48.355 616.865 48.525 ;
+        RECT 617.155 48.355 617.325 48.525 ;
+        RECT 617.615 48.355 617.785 48.525 ;
+        RECT 618.075 48.355 618.245 48.525 ;
+        RECT 618.535 48.355 618.705 48.525 ;
+        RECT 618.995 48.355 619.165 48.525 ;
+        RECT 619.455 48.355 619.625 48.525 ;
+        RECT 619.915 48.355 620.085 48.525 ;
+        RECT 620.375 48.355 620.545 48.525 ;
+        RECT 620.835 48.355 621.005 48.525 ;
+        RECT 621.295 48.355 621.465 48.525 ;
+        RECT 621.755 48.355 621.925 48.525 ;
+        RECT 622.215 48.355 622.385 48.525 ;
+        RECT 622.675 48.355 622.845 48.525 ;
+        RECT 623.135 48.355 623.305 48.525 ;
+        RECT 623.595 48.355 623.765 48.525 ;
+        RECT 624.055 48.355 624.225 48.525 ;
+        RECT 624.515 48.355 624.685 48.525 ;
+        RECT 624.975 48.355 625.145 48.525 ;
+        RECT 625.435 48.355 625.605 48.525 ;
+        RECT 625.895 48.355 626.065 48.525 ;
+        RECT 626.355 48.355 626.525 48.525 ;
+        RECT 626.815 48.355 626.985 48.525 ;
+        RECT 627.275 48.355 627.445 48.525 ;
+        RECT 627.735 48.355 627.905 48.525 ;
+        RECT 628.195 48.355 628.365 48.525 ;
+        RECT 628.655 48.355 628.825 48.525 ;
+        RECT 629.115 48.355 629.285 48.525 ;
+        RECT 629.575 48.355 629.745 48.525 ;
+        RECT 630.035 48.355 630.205 48.525 ;
+        RECT 630.495 48.355 630.665 48.525 ;
+        RECT 630.955 48.355 631.125 48.525 ;
+        RECT 72.515 46.825 72.685 46.995 ;
+        RECT 72.975 46.145 73.145 46.315 ;
+        RECT 77.575 47.165 77.745 47.335 ;
+        RECT 78.035 46.825 78.205 46.995 ;
+        RECT 80.335 46.485 80.505 46.655 ;
+        RECT 85.395 46.825 85.565 46.995 ;
+        RECT 86.315 46.145 86.485 46.315 ;
+        RECT 94.595 47.165 94.765 47.335 ;
+        RECT 90.915 46.825 91.085 46.995 ;
+        RECT 93.215 46.825 93.385 46.995 ;
+        RECT 103.335 46.825 103.505 46.995 ;
+        RECT 106.095 46.825 106.265 46.995 ;
+        RECT 114.835 47.165 115.005 47.335 ;
+        RECT 111.615 46.825 111.785 46.995 ;
+        RECT 113.915 46.825 114.085 46.995 ;
+        RECT 104.715 46.145 104.885 46.315 ;
+        RECT 118.975 46.485 119.145 46.655 ;
+        RECT 120.355 47.505 120.525 47.675 ;
+        RECT 119.710 46.825 119.880 46.995 ;
+        RECT 120.815 47.165 120.985 47.335 ;
+        RECT 122.655 46.825 122.825 46.995 ;
+        RECT 128.180 47.505 128.350 47.675 ;
+        RECT 127.750 47.165 127.920 47.335 ;
+        RECT 129.095 47.165 129.265 47.335 ;
+        RECT 128.640 46.485 128.810 46.655 ;
+        RECT 130.500 47.505 130.670 47.675 ;
+        RECT 130.040 46.485 130.210 46.655 ;
+        RECT 131.880 47.505 132.050 47.675 ;
+        RECT 131.880 46.485 132.050 46.655 ;
+        RECT 134.615 47.505 134.785 47.675 ;
+        RECT 149.795 47.845 149.965 48.015 ;
+        RECT 146.120 47.165 146.290 47.335 ;
+        RECT 144.735 46.825 144.905 46.995 ;
+        RECT 145.195 46.825 145.365 46.995 ;
+        RECT 147.035 46.825 147.205 46.995 ;
+        RECT 147.495 46.825 147.665 46.995 ;
+        RECT 148.900 47.165 149.070 47.335 ;
+        RECT 155.780 47.505 155.950 47.675 ;
+        RECT 155.315 47.165 155.485 47.335 ;
+        RECT 156.695 46.825 156.865 46.995 ;
+        RECT 156.240 46.485 156.410 46.655 ;
+        RECT 158.100 47.505 158.270 47.675 ;
+        RECT 157.640 46.485 157.810 46.655 ;
+        RECT 159.480 47.505 159.650 47.675 ;
+        RECT 159.480 46.485 159.650 46.655 ;
+        RECT 169.580 47.505 169.750 47.675 ;
+        RECT 162.215 46.145 162.385 46.315 ;
+        RECT 169.115 46.825 169.285 46.995 ;
+        RECT 170.465 47.165 170.635 47.335 ;
+        RECT 170.040 46.485 170.210 46.655 ;
+        RECT 171.900 47.505 172.070 47.675 ;
+        RECT 171.440 46.485 171.610 46.655 ;
+        RECT 173.280 47.505 173.450 47.675 ;
+        RECT 173.280 46.485 173.450 46.655 ;
+        RECT 185.220 47.505 185.390 47.675 ;
+        RECT 184.755 46.825 184.925 46.995 ;
+        RECT 186.135 47.165 186.305 47.335 ;
+        RECT 185.680 46.485 185.850 46.655 ;
+        RECT 187.540 47.505 187.710 47.675 ;
+        RECT 187.080 46.485 187.250 46.655 ;
+        RECT 188.920 47.505 189.090 47.675 ;
+        RECT 188.920 46.485 189.090 46.655 ;
+        RECT 191.655 47.505 191.825 47.675 ;
+        RECT 197.640 47.505 197.810 47.675 ;
+        RECT 197.175 46.825 197.345 46.995 ;
+        RECT 198.555 46.825 198.725 46.995 ;
+        RECT 198.100 46.485 198.270 46.655 ;
+        RECT 199.960 47.505 200.130 47.675 ;
+        RECT 199.500 46.485 199.670 46.655 ;
+        RECT 201.340 47.505 201.510 47.675 ;
+        RECT 201.340 46.485 201.510 46.655 ;
+        RECT 205.455 46.485 205.625 46.655 ;
+        RECT 211.435 46.485 211.605 46.655 ;
+        RECT 212.815 47.845 212.985 48.015 ;
+        RECT 214.655 47.505 214.825 47.675 ;
+        RECT 212.170 46.825 212.340 46.995 ;
+        RECT 213.130 46.825 213.300 46.995 ;
+        RECT 219.255 47.505 219.425 47.675 ;
+        RECT 222.935 47.165 223.105 47.335 ;
+        RECT 220.175 46.825 220.345 46.995 ;
+        RECT 220.635 46.825 220.805 46.995 ;
+        RECT 230.755 46.485 230.925 46.655 ;
+        RECT 232.135 47.845 232.305 48.015 ;
+        RECT 231.490 47.165 231.660 47.335 ;
+        RECT 232.595 47.165 232.765 47.335 ;
+        RECT 234.435 47.165 234.605 47.335 ;
+        RECT 239.495 47.165 239.665 47.335 ;
+        RECT 240.415 46.825 240.585 46.995 ;
+        RECT 241.800 47.165 241.970 47.335 ;
+        RECT 242.745 46.825 242.915 46.995 ;
+        RECT 243.175 46.825 243.345 46.995 ;
+        RECT 244.580 47.165 244.750 47.335 ;
+        RECT 245.475 47.165 245.645 47.335 ;
+        RECT 250.535 46.825 250.705 46.995 ;
+        RECT 251.915 47.505 252.085 47.675 ;
+        RECT 252.375 47.165 252.545 47.335 ;
+        RECT 258.355 46.485 258.525 46.655 ;
+        RECT 259.275 46.825 259.445 46.995 ;
+        RECT 261.115 46.825 261.285 46.995 ;
+        RECT 268.475 47.845 268.645 48.015 ;
+        RECT 267.555 46.825 267.725 46.995 ;
+        RECT 274.455 46.825 274.625 46.995 ;
+        RECT 275.835 46.825 276.005 46.995 ;
+        RECT 273.535 46.145 273.705 46.315 ;
+        RECT 284.115 46.825 284.285 46.995 ;
+        RECT 287.335 46.825 287.505 46.995 ;
+        RECT 288.255 46.825 288.425 46.995 ;
+        RECT 290.095 46.825 290.265 46.995 ;
+        RECT 291.015 46.825 291.185 46.995 ;
+        RECT 285.495 46.145 285.665 46.315 ;
+        RECT 297.915 47.165 298.085 47.335 ;
+        RECT 298.375 46.825 298.545 46.995 ;
+        RECT 304.815 46.825 304.985 46.995 ;
+        RECT 306.195 46.825 306.365 46.995 ;
+        RECT 311.255 46.825 311.425 46.995 ;
+        RECT 314.015 46.825 314.185 46.995 ;
+        RECT 303.895 46.145 304.065 46.315 ;
+        RECT 325.055 46.825 325.225 46.995 ;
+        RECT 326.435 46.825 326.605 46.995 ;
+        RECT 312.635 46.145 312.805 46.315 ;
+        RECT 332.875 46.825 333.045 46.995 ;
+        RECT 333.795 46.825 333.965 46.995 ;
+        RECT 324.135 46.145 324.305 46.315 ;
+        RECT 335.175 46.485 335.345 46.655 ;
+        RECT 340.695 46.825 340.865 46.995 ;
+        RECT 342.075 46.825 342.245 46.995 ;
+        RECT 353.115 46.825 353.285 46.995 ;
+        RECT 354.035 46.825 354.205 46.995 ;
+        RECT 339.775 46.145 339.945 46.315 ;
+        RECT 360.935 46.825 361.105 46.995 ;
+        RECT 361.855 46.825 362.025 46.995 ;
+        RECT 352.195 46.145 352.365 46.315 ;
+        RECT 370.595 47.165 370.765 47.335 ;
+        RECT 368.755 46.825 368.925 46.995 ;
+        RECT 369.675 46.825 369.845 46.995 ;
+        RECT 360.015 46.145 360.185 46.315 ;
+        RECT 390.835 47.505 391.005 47.675 ;
+        RECT 385.775 46.825 385.945 46.995 ;
+        RECT 400.955 46.825 401.125 46.995 ;
+        RECT 408.775 46.825 408.945 46.995 ;
+        RECT 413.835 46.825 414.005 46.995 ;
+        RECT 418.895 46.825 419.065 46.995 ;
+        RECT 423.955 46.825 424.125 46.995 ;
+        RECT 429.015 46.825 429.185 46.995 ;
+        RECT 441.895 46.825 442.065 46.995 ;
+        RECT 446.955 46.825 447.125 46.995 ;
+        RECT 452.015 46.825 452.185 46.995 ;
+        RECT 457.075 46.825 457.245 46.995 ;
+        RECT 469.955 46.825 470.125 46.995 ;
+        RECT 475.015 46.825 475.185 46.995 ;
+        RECT 480.075 46.825 480.245 46.995 ;
+        RECT 485.135 46.825 485.305 46.995 ;
+        RECT 492.955 46.825 493.125 46.995 ;
+        RECT 498.015 46.825 498.185 46.995 ;
+        RECT 503.075 46.825 503.245 46.995 ;
+        RECT 508.135 46.825 508.305 46.995 ;
+        RECT 521.015 46.825 521.185 46.995 ;
+        RECT 526.075 46.825 526.245 46.995 ;
+        RECT 531.135 46.825 531.305 46.995 ;
+        RECT 536.195 46.825 536.365 46.995 ;
+        RECT 541.255 46.825 541.425 46.995 ;
+        RECT 549.075 46.825 549.245 46.995 ;
+        RECT 554.135 46.825 554.305 46.995 ;
+        RECT 559.195 46.825 559.365 46.995 ;
+        RECT 564.255 46.825 564.425 46.995 ;
+        RECT 569.315 46.825 569.485 46.995 ;
+        RECT 577.135 46.825 577.305 46.995 ;
+        RECT 582.195 46.825 582.365 46.995 ;
+        RECT 587.255 46.825 587.425 46.995 ;
+        RECT 592.315 46.825 592.485 46.995 ;
+        RECT 597.375 46.825 597.545 46.995 ;
+        RECT 605.195 46.825 605.365 46.995 ;
+        RECT 610.255 46.825 610.425 46.995 ;
+        RECT 615.315 46.825 615.485 46.995 ;
+        RECT 620.375 46.825 620.545 46.995 ;
+        RECT 625.435 46.825 625.605 46.995 ;
+        RECT 42.615 45.635 42.785 45.805 ;
+        RECT 43.075 45.635 43.245 45.805 ;
+        RECT 43.535 45.635 43.705 45.805 ;
+        RECT 43.995 45.635 44.165 45.805 ;
+        RECT 44.455 45.635 44.625 45.805 ;
+        RECT 44.915 45.635 45.085 45.805 ;
+        RECT 45.375 45.635 45.545 45.805 ;
+        RECT 45.835 45.635 46.005 45.805 ;
+        RECT 46.295 45.635 46.465 45.805 ;
+        RECT 46.755 45.635 46.925 45.805 ;
+        RECT 47.215 45.635 47.385 45.805 ;
+        RECT 47.675 45.635 47.845 45.805 ;
+        RECT 48.135 45.635 48.305 45.805 ;
+        RECT 48.595 45.635 48.765 45.805 ;
+        RECT 49.055 45.635 49.225 45.805 ;
+        RECT 49.515 45.635 49.685 45.805 ;
+        RECT 49.975 45.635 50.145 45.805 ;
+        RECT 50.435 45.635 50.605 45.805 ;
+        RECT 50.895 45.635 51.065 45.805 ;
+        RECT 51.355 45.635 51.525 45.805 ;
+        RECT 51.815 45.635 51.985 45.805 ;
+        RECT 52.275 45.635 52.445 45.805 ;
+        RECT 52.735 45.635 52.905 45.805 ;
+        RECT 53.195 45.635 53.365 45.805 ;
+        RECT 53.655 45.635 53.825 45.805 ;
+        RECT 54.115 45.635 54.285 45.805 ;
+        RECT 54.575 45.635 54.745 45.805 ;
+        RECT 55.035 45.635 55.205 45.805 ;
+        RECT 55.495 45.635 55.665 45.805 ;
+        RECT 55.955 45.635 56.125 45.805 ;
+        RECT 56.415 45.635 56.585 45.805 ;
+        RECT 56.875 45.635 57.045 45.805 ;
+        RECT 57.335 45.635 57.505 45.805 ;
+        RECT 57.795 45.635 57.965 45.805 ;
+        RECT 58.255 45.635 58.425 45.805 ;
+        RECT 58.715 45.635 58.885 45.805 ;
+        RECT 59.175 45.635 59.345 45.805 ;
+        RECT 59.635 45.635 59.805 45.805 ;
+        RECT 60.095 45.635 60.265 45.805 ;
+        RECT 60.555 45.635 60.725 45.805 ;
+        RECT 61.015 45.635 61.185 45.805 ;
+        RECT 61.475 45.635 61.645 45.805 ;
+        RECT 61.935 45.635 62.105 45.805 ;
+        RECT 62.395 45.635 62.565 45.805 ;
+        RECT 62.855 45.635 63.025 45.805 ;
+        RECT 63.315 45.635 63.485 45.805 ;
+        RECT 63.775 45.635 63.945 45.805 ;
+        RECT 64.235 45.635 64.405 45.805 ;
+        RECT 64.695 45.635 64.865 45.805 ;
+        RECT 65.155 45.635 65.325 45.805 ;
+        RECT 65.615 45.635 65.785 45.805 ;
+        RECT 66.075 45.635 66.245 45.805 ;
+        RECT 66.535 45.635 66.705 45.805 ;
+        RECT 66.995 45.635 67.165 45.805 ;
+        RECT 67.455 45.635 67.625 45.805 ;
+        RECT 67.915 45.635 68.085 45.805 ;
+        RECT 68.375 45.635 68.545 45.805 ;
+        RECT 68.835 45.635 69.005 45.805 ;
+        RECT 69.295 45.635 69.465 45.805 ;
+        RECT 69.755 45.635 69.925 45.805 ;
+        RECT 70.215 45.635 70.385 45.805 ;
+        RECT 70.675 45.635 70.845 45.805 ;
+        RECT 71.135 45.635 71.305 45.805 ;
+        RECT 71.595 45.635 71.765 45.805 ;
+        RECT 72.055 45.635 72.225 45.805 ;
+        RECT 72.515 45.635 72.685 45.805 ;
+        RECT 72.975 45.635 73.145 45.805 ;
+        RECT 73.435 45.635 73.605 45.805 ;
+        RECT 73.895 45.635 74.065 45.805 ;
+        RECT 74.355 45.635 74.525 45.805 ;
+        RECT 74.815 45.635 74.985 45.805 ;
+        RECT 75.275 45.635 75.445 45.805 ;
+        RECT 75.735 45.635 75.905 45.805 ;
+        RECT 76.195 45.635 76.365 45.805 ;
+        RECT 76.655 45.635 76.825 45.805 ;
+        RECT 77.115 45.635 77.285 45.805 ;
+        RECT 77.575 45.635 77.745 45.805 ;
+        RECT 78.035 45.635 78.205 45.805 ;
+        RECT 78.495 45.635 78.665 45.805 ;
+        RECT 78.955 45.635 79.125 45.805 ;
+        RECT 79.415 45.635 79.585 45.805 ;
+        RECT 79.875 45.635 80.045 45.805 ;
+        RECT 80.335 45.635 80.505 45.805 ;
+        RECT 80.795 45.635 80.965 45.805 ;
+        RECT 81.255 45.635 81.425 45.805 ;
+        RECT 81.715 45.635 81.885 45.805 ;
+        RECT 82.175 45.635 82.345 45.805 ;
+        RECT 82.635 45.635 82.805 45.805 ;
+        RECT 83.095 45.635 83.265 45.805 ;
+        RECT 83.555 45.635 83.725 45.805 ;
+        RECT 84.015 45.635 84.185 45.805 ;
+        RECT 84.475 45.635 84.645 45.805 ;
+        RECT 84.935 45.635 85.105 45.805 ;
+        RECT 85.395 45.635 85.565 45.805 ;
+        RECT 85.855 45.635 86.025 45.805 ;
+        RECT 86.315 45.635 86.485 45.805 ;
+        RECT 86.775 45.635 86.945 45.805 ;
+        RECT 87.235 45.635 87.405 45.805 ;
+        RECT 87.695 45.635 87.865 45.805 ;
+        RECT 88.155 45.635 88.325 45.805 ;
+        RECT 88.615 45.635 88.785 45.805 ;
+        RECT 89.075 45.635 89.245 45.805 ;
+        RECT 89.535 45.635 89.705 45.805 ;
+        RECT 89.995 45.635 90.165 45.805 ;
+        RECT 90.455 45.635 90.625 45.805 ;
+        RECT 90.915 45.635 91.085 45.805 ;
+        RECT 91.375 45.635 91.545 45.805 ;
+        RECT 91.835 45.635 92.005 45.805 ;
+        RECT 92.295 45.635 92.465 45.805 ;
+        RECT 92.755 45.635 92.925 45.805 ;
+        RECT 93.215 45.635 93.385 45.805 ;
+        RECT 93.675 45.635 93.845 45.805 ;
+        RECT 94.135 45.635 94.305 45.805 ;
+        RECT 94.595 45.635 94.765 45.805 ;
+        RECT 95.055 45.635 95.225 45.805 ;
+        RECT 95.515 45.635 95.685 45.805 ;
+        RECT 95.975 45.635 96.145 45.805 ;
+        RECT 96.435 45.635 96.605 45.805 ;
+        RECT 96.895 45.635 97.065 45.805 ;
+        RECT 97.355 45.635 97.525 45.805 ;
+        RECT 97.815 45.635 97.985 45.805 ;
+        RECT 98.275 45.635 98.445 45.805 ;
+        RECT 98.735 45.635 98.905 45.805 ;
+        RECT 99.195 45.635 99.365 45.805 ;
+        RECT 99.655 45.635 99.825 45.805 ;
+        RECT 100.115 45.635 100.285 45.805 ;
+        RECT 100.575 45.635 100.745 45.805 ;
+        RECT 101.035 45.635 101.205 45.805 ;
+        RECT 101.495 45.635 101.665 45.805 ;
+        RECT 101.955 45.635 102.125 45.805 ;
+        RECT 102.415 45.635 102.585 45.805 ;
+        RECT 102.875 45.635 103.045 45.805 ;
+        RECT 103.335 45.635 103.505 45.805 ;
+        RECT 103.795 45.635 103.965 45.805 ;
+        RECT 104.255 45.635 104.425 45.805 ;
+        RECT 104.715 45.635 104.885 45.805 ;
+        RECT 105.175 45.635 105.345 45.805 ;
+        RECT 105.635 45.635 105.805 45.805 ;
+        RECT 106.095 45.635 106.265 45.805 ;
+        RECT 106.555 45.635 106.725 45.805 ;
+        RECT 107.015 45.635 107.185 45.805 ;
+        RECT 107.475 45.635 107.645 45.805 ;
+        RECT 107.935 45.635 108.105 45.805 ;
+        RECT 108.395 45.635 108.565 45.805 ;
+        RECT 108.855 45.635 109.025 45.805 ;
+        RECT 109.315 45.635 109.485 45.805 ;
+        RECT 109.775 45.635 109.945 45.805 ;
+        RECT 110.235 45.635 110.405 45.805 ;
+        RECT 110.695 45.635 110.865 45.805 ;
+        RECT 111.155 45.635 111.325 45.805 ;
+        RECT 111.615 45.635 111.785 45.805 ;
+        RECT 112.075 45.635 112.245 45.805 ;
+        RECT 112.535 45.635 112.705 45.805 ;
+        RECT 112.995 45.635 113.165 45.805 ;
+        RECT 113.455 45.635 113.625 45.805 ;
+        RECT 113.915 45.635 114.085 45.805 ;
+        RECT 114.375 45.635 114.545 45.805 ;
+        RECT 114.835 45.635 115.005 45.805 ;
+        RECT 115.295 45.635 115.465 45.805 ;
+        RECT 115.755 45.635 115.925 45.805 ;
+        RECT 116.215 45.635 116.385 45.805 ;
+        RECT 116.675 45.635 116.845 45.805 ;
+        RECT 117.135 45.635 117.305 45.805 ;
+        RECT 117.595 45.635 117.765 45.805 ;
+        RECT 118.055 45.635 118.225 45.805 ;
+        RECT 118.515 45.635 118.685 45.805 ;
+        RECT 118.975 45.635 119.145 45.805 ;
+        RECT 119.435 45.635 119.605 45.805 ;
+        RECT 119.895 45.635 120.065 45.805 ;
+        RECT 120.355 45.635 120.525 45.805 ;
+        RECT 120.815 45.635 120.985 45.805 ;
+        RECT 121.275 45.635 121.445 45.805 ;
+        RECT 121.735 45.635 121.905 45.805 ;
+        RECT 122.195 45.635 122.365 45.805 ;
+        RECT 122.655 45.635 122.825 45.805 ;
+        RECT 123.115 45.635 123.285 45.805 ;
+        RECT 123.575 45.635 123.745 45.805 ;
+        RECT 124.035 45.635 124.205 45.805 ;
+        RECT 124.495 45.635 124.665 45.805 ;
+        RECT 124.955 45.635 125.125 45.805 ;
+        RECT 125.415 45.635 125.585 45.805 ;
+        RECT 125.875 45.635 126.045 45.805 ;
+        RECT 126.335 45.635 126.505 45.805 ;
+        RECT 126.795 45.635 126.965 45.805 ;
+        RECT 127.255 45.635 127.425 45.805 ;
+        RECT 127.715 45.635 127.885 45.805 ;
+        RECT 128.175 45.635 128.345 45.805 ;
+        RECT 128.635 45.635 128.805 45.805 ;
+        RECT 129.095 45.635 129.265 45.805 ;
+        RECT 129.555 45.635 129.725 45.805 ;
+        RECT 130.015 45.635 130.185 45.805 ;
+        RECT 130.475 45.635 130.645 45.805 ;
+        RECT 130.935 45.635 131.105 45.805 ;
+        RECT 131.395 45.635 131.565 45.805 ;
+        RECT 131.855 45.635 132.025 45.805 ;
+        RECT 132.315 45.635 132.485 45.805 ;
+        RECT 132.775 45.635 132.945 45.805 ;
+        RECT 133.235 45.635 133.405 45.805 ;
+        RECT 133.695 45.635 133.865 45.805 ;
+        RECT 134.155 45.635 134.325 45.805 ;
+        RECT 134.615 45.635 134.785 45.805 ;
+        RECT 135.075 45.635 135.245 45.805 ;
+        RECT 135.535 45.635 135.705 45.805 ;
+        RECT 135.995 45.635 136.165 45.805 ;
+        RECT 136.455 45.635 136.625 45.805 ;
+        RECT 136.915 45.635 137.085 45.805 ;
+        RECT 137.375 45.635 137.545 45.805 ;
+        RECT 137.835 45.635 138.005 45.805 ;
+        RECT 138.295 45.635 138.465 45.805 ;
+        RECT 138.755 45.635 138.925 45.805 ;
+        RECT 139.215 45.635 139.385 45.805 ;
+        RECT 139.675 45.635 139.845 45.805 ;
+        RECT 140.135 45.635 140.305 45.805 ;
+        RECT 140.595 45.635 140.765 45.805 ;
+        RECT 141.055 45.635 141.225 45.805 ;
+        RECT 141.515 45.635 141.685 45.805 ;
+        RECT 141.975 45.635 142.145 45.805 ;
+        RECT 142.435 45.635 142.605 45.805 ;
+        RECT 142.895 45.635 143.065 45.805 ;
+        RECT 143.355 45.635 143.525 45.805 ;
+        RECT 143.815 45.635 143.985 45.805 ;
+        RECT 144.275 45.635 144.445 45.805 ;
+        RECT 144.735 45.635 144.905 45.805 ;
+        RECT 145.195 45.635 145.365 45.805 ;
+        RECT 145.655 45.635 145.825 45.805 ;
+        RECT 146.115 45.635 146.285 45.805 ;
+        RECT 146.575 45.635 146.745 45.805 ;
+        RECT 147.035 45.635 147.205 45.805 ;
+        RECT 147.495 45.635 147.665 45.805 ;
+        RECT 147.955 45.635 148.125 45.805 ;
+        RECT 148.415 45.635 148.585 45.805 ;
+        RECT 148.875 45.635 149.045 45.805 ;
+        RECT 149.335 45.635 149.505 45.805 ;
+        RECT 149.795 45.635 149.965 45.805 ;
+        RECT 150.255 45.635 150.425 45.805 ;
+        RECT 150.715 45.635 150.885 45.805 ;
+        RECT 151.175 45.635 151.345 45.805 ;
+        RECT 151.635 45.635 151.805 45.805 ;
+        RECT 152.095 45.635 152.265 45.805 ;
+        RECT 152.555 45.635 152.725 45.805 ;
+        RECT 153.015 45.635 153.185 45.805 ;
+        RECT 153.475 45.635 153.645 45.805 ;
+        RECT 153.935 45.635 154.105 45.805 ;
+        RECT 154.395 45.635 154.565 45.805 ;
+        RECT 154.855 45.635 155.025 45.805 ;
+        RECT 155.315 45.635 155.485 45.805 ;
+        RECT 155.775 45.635 155.945 45.805 ;
+        RECT 156.235 45.635 156.405 45.805 ;
+        RECT 156.695 45.635 156.865 45.805 ;
+        RECT 157.155 45.635 157.325 45.805 ;
+        RECT 157.615 45.635 157.785 45.805 ;
+        RECT 158.075 45.635 158.245 45.805 ;
+        RECT 158.535 45.635 158.705 45.805 ;
+        RECT 158.995 45.635 159.165 45.805 ;
+        RECT 159.455 45.635 159.625 45.805 ;
+        RECT 159.915 45.635 160.085 45.805 ;
+        RECT 160.375 45.635 160.545 45.805 ;
+        RECT 160.835 45.635 161.005 45.805 ;
+        RECT 161.295 45.635 161.465 45.805 ;
+        RECT 161.755 45.635 161.925 45.805 ;
+        RECT 162.215 45.635 162.385 45.805 ;
+        RECT 162.675 45.635 162.845 45.805 ;
+        RECT 163.135 45.635 163.305 45.805 ;
+        RECT 163.595 45.635 163.765 45.805 ;
+        RECT 164.055 45.635 164.225 45.805 ;
+        RECT 164.515 45.635 164.685 45.805 ;
+        RECT 164.975 45.635 165.145 45.805 ;
+        RECT 165.435 45.635 165.605 45.805 ;
+        RECT 165.895 45.635 166.065 45.805 ;
+        RECT 166.355 45.635 166.525 45.805 ;
+        RECT 166.815 45.635 166.985 45.805 ;
+        RECT 167.275 45.635 167.445 45.805 ;
+        RECT 167.735 45.635 167.905 45.805 ;
+        RECT 168.195 45.635 168.365 45.805 ;
+        RECT 168.655 45.635 168.825 45.805 ;
+        RECT 169.115 45.635 169.285 45.805 ;
+        RECT 169.575 45.635 169.745 45.805 ;
+        RECT 170.035 45.635 170.205 45.805 ;
+        RECT 170.495 45.635 170.665 45.805 ;
+        RECT 170.955 45.635 171.125 45.805 ;
+        RECT 171.415 45.635 171.585 45.805 ;
+        RECT 171.875 45.635 172.045 45.805 ;
+        RECT 172.335 45.635 172.505 45.805 ;
+        RECT 172.795 45.635 172.965 45.805 ;
+        RECT 173.255 45.635 173.425 45.805 ;
+        RECT 173.715 45.635 173.885 45.805 ;
+        RECT 174.175 45.635 174.345 45.805 ;
+        RECT 174.635 45.635 174.805 45.805 ;
+        RECT 175.095 45.635 175.265 45.805 ;
+        RECT 175.555 45.635 175.725 45.805 ;
+        RECT 176.015 45.635 176.185 45.805 ;
+        RECT 176.475 45.635 176.645 45.805 ;
+        RECT 176.935 45.635 177.105 45.805 ;
+        RECT 177.395 45.635 177.565 45.805 ;
+        RECT 177.855 45.635 178.025 45.805 ;
+        RECT 178.315 45.635 178.485 45.805 ;
+        RECT 178.775 45.635 178.945 45.805 ;
+        RECT 179.235 45.635 179.405 45.805 ;
+        RECT 179.695 45.635 179.865 45.805 ;
+        RECT 180.155 45.635 180.325 45.805 ;
+        RECT 180.615 45.635 180.785 45.805 ;
+        RECT 181.075 45.635 181.245 45.805 ;
+        RECT 181.535 45.635 181.705 45.805 ;
+        RECT 181.995 45.635 182.165 45.805 ;
+        RECT 182.455 45.635 182.625 45.805 ;
+        RECT 182.915 45.635 183.085 45.805 ;
+        RECT 183.375 45.635 183.545 45.805 ;
+        RECT 183.835 45.635 184.005 45.805 ;
+        RECT 184.295 45.635 184.465 45.805 ;
+        RECT 184.755 45.635 184.925 45.805 ;
+        RECT 185.215 45.635 185.385 45.805 ;
+        RECT 185.675 45.635 185.845 45.805 ;
+        RECT 186.135 45.635 186.305 45.805 ;
+        RECT 186.595 45.635 186.765 45.805 ;
+        RECT 187.055 45.635 187.225 45.805 ;
+        RECT 187.515 45.635 187.685 45.805 ;
+        RECT 187.975 45.635 188.145 45.805 ;
+        RECT 188.435 45.635 188.605 45.805 ;
+        RECT 188.895 45.635 189.065 45.805 ;
+        RECT 189.355 45.635 189.525 45.805 ;
+        RECT 189.815 45.635 189.985 45.805 ;
+        RECT 190.275 45.635 190.445 45.805 ;
+        RECT 190.735 45.635 190.905 45.805 ;
+        RECT 191.195 45.635 191.365 45.805 ;
+        RECT 191.655 45.635 191.825 45.805 ;
+        RECT 192.115 45.635 192.285 45.805 ;
+        RECT 192.575 45.635 192.745 45.805 ;
+        RECT 193.035 45.635 193.205 45.805 ;
+        RECT 193.495 45.635 193.665 45.805 ;
+        RECT 193.955 45.635 194.125 45.805 ;
+        RECT 194.415 45.635 194.585 45.805 ;
+        RECT 194.875 45.635 195.045 45.805 ;
+        RECT 195.335 45.635 195.505 45.805 ;
+        RECT 195.795 45.635 195.965 45.805 ;
+        RECT 196.255 45.635 196.425 45.805 ;
+        RECT 196.715 45.635 196.885 45.805 ;
+        RECT 197.175 45.635 197.345 45.805 ;
+        RECT 197.635 45.635 197.805 45.805 ;
+        RECT 198.095 45.635 198.265 45.805 ;
+        RECT 198.555 45.635 198.725 45.805 ;
+        RECT 199.015 45.635 199.185 45.805 ;
+        RECT 199.475 45.635 199.645 45.805 ;
+        RECT 199.935 45.635 200.105 45.805 ;
+        RECT 200.395 45.635 200.565 45.805 ;
+        RECT 200.855 45.635 201.025 45.805 ;
+        RECT 201.315 45.635 201.485 45.805 ;
+        RECT 201.775 45.635 201.945 45.805 ;
+        RECT 202.235 45.635 202.405 45.805 ;
+        RECT 202.695 45.635 202.865 45.805 ;
+        RECT 203.155 45.635 203.325 45.805 ;
+        RECT 203.615 45.635 203.785 45.805 ;
+        RECT 204.075 45.635 204.245 45.805 ;
+        RECT 204.535 45.635 204.705 45.805 ;
+        RECT 204.995 45.635 205.165 45.805 ;
+        RECT 205.455 45.635 205.625 45.805 ;
+        RECT 205.915 45.635 206.085 45.805 ;
+        RECT 206.375 45.635 206.545 45.805 ;
+        RECT 206.835 45.635 207.005 45.805 ;
+        RECT 207.295 45.635 207.465 45.805 ;
+        RECT 207.755 45.635 207.925 45.805 ;
+        RECT 208.215 45.635 208.385 45.805 ;
+        RECT 208.675 45.635 208.845 45.805 ;
+        RECT 209.135 45.635 209.305 45.805 ;
+        RECT 209.595 45.635 209.765 45.805 ;
+        RECT 210.055 45.635 210.225 45.805 ;
+        RECT 210.515 45.635 210.685 45.805 ;
+        RECT 210.975 45.635 211.145 45.805 ;
+        RECT 211.435 45.635 211.605 45.805 ;
+        RECT 211.895 45.635 212.065 45.805 ;
+        RECT 212.355 45.635 212.525 45.805 ;
+        RECT 212.815 45.635 212.985 45.805 ;
+        RECT 213.275 45.635 213.445 45.805 ;
+        RECT 213.735 45.635 213.905 45.805 ;
+        RECT 214.195 45.635 214.365 45.805 ;
+        RECT 214.655 45.635 214.825 45.805 ;
+        RECT 215.115 45.635 215.285 45.805 ;
+        RECT 215.575 45.635 215.745 45.805 ;
+        RECT 216.035 45.635 216.205 45.805 ;
+        RECT 216.495 45.635 216.665 45.805 ;
+        RECT 216.955 45.635 217.125 45.805 ;
+        RECT 217.415 45.635 217.585 45.805 ;
+        RECT 217.875 45.635 218.045 45.805 ;
+        RECT 218.335 45.635 218.505 45.805 ;
+        RECT 218.795 45.635 218.965 45.805 ;
+        RECT 219.255 45.635 219.425 45.805 ;
+        RECT 219.715 45.635 219.885 45.805 ;
+        RECT 220.175 45.635 220.345 45.805 ;
+        RECT 220.635 45.635 220.805 45.805 ;
+        RECT 221.095 45.635 221.265 45.805 ;
+        RECT 221.555 45.635 221.725 45.805 ;
+        RECT 222.015 45.635 222.185 45.805 ;
+        RECT 222.475 45.635 222.645 45.805 ;
+        RECT 222.935 45.635 223.105 45.805 ;
+        RECT 223.395 45.635 223.565 45.805 ;
+        RECT 223.855 45.635 224.025 45.805 ;
+        RECT 224.315 45.635 224.485 45.805 ;
+        RECT 224.775 45.635 224.945 45.805 ;
+        RECT 225.235 45.635 225.405 45.805 ;
+        RECT 225.695 45.635 225.865 45.805 ;
+        RECT 226.155 45.635 226.325 45.805 ;
+        RECT 226.615 45.635 226.785 45.805 ;
+        RECT 227.075 45.635 227.245 45.805 ;
+        RECT 227.535 45.635 227.705 45.805 ;
+        RECT 227.995 45.635 228.165 45.805 ;
+        RECT 228.455 45.635 228.625 45.805 ;
+        RECT 228.915 45.635 229.085 45.805 ;
+        RECT 229.375 45.635 229.545 45.805 ;
+        RECT 229.835 45.635 230.005 45.805 ;
+        RECT 230.295 45.635 230.465 45.805 ;
+        RECT 230.755 45.635 230.925 45.805 ;
+        RECT 231.215 45.635 231.385 45.805 ;
+        RECT 231.675 45.635 231.845 45.805 ;
+        RECT 232.135 45.635 232.305 45.805 ;
+        RECT 232.595 45.635 232.765 45.805 ;
+        RECT 233.055 45.635 233.225 45.805 ;
+        RECT 233.515 45.635 233.685 45.805 ;
+        RECT 233.975 45.635 234.145 45.805 ;
+        RECT 234.435 45.635 234.605 45.805 ;
+        RECT 234.895 45.635 235.065 45.805 ;
+        RECT 235.355 45.635 235.525 45.805 ;
+        RECT 235.815 45.635 235.985 45.805 ;
+        RECT 236.275 45.635 236.445 45.805 ;
+        RECT 236.735 45.635 236.905 45.805 ;
+        RECT 237.195 45.635 237.365 45.805 ;
+        RECT 237.655 45.635 237.825 45.805 ;
+        RECT 238.115 45.635 238.285 45.805 ;
+        RECT 238.575 45.635 238.745 45.805 ;
+        RECT 239.035 45.635 239.205 45.805 ;
+        RECT 239.495 45.635 239.665 45.805 ;
+        RECT 239.955 45.635 240.125 45.805 ;
+        RECT 240.415 45.635 240.585 45.805 ;
+        RECT 240.875 45.635 241.045 45.805 ;
+        RECT 241.335 45.635 241.505 45.805 ;
+        RECT 241.795 45.635 241.965 45.805 ;
+        RECT 242.255 45.635 242.425 45.805 ;
+        RECT 242.715 45.635 242.885 45.805 ;
+        RECT 243.175 45.635 243.345 45.805 ;
+        RECT 243.635 45.635 243.805 45.805 ;
+        RECT 244.095 45.635 244.265 45.805 ;
+        RECT 244.555 45.635 244.725 45.805 ;
+        RECT 245.015 45.635 245.185 45.805 ;
+        RECT 245.475 45.635 245.645 45.805 ;
+        RECT 245.935 45.635 246.105 45.805 ;
+        RECT 246.395 45.635 246.565 45.805 ;
+        RECT 246.855 45.635 247.025 45.805 ;
+        RECT 247.315 45.635 247.485 45.805 ;
+        RECT 247.775 45.635 247.945 45.805 ;
+        RECT 248.235 45.635 248.405 45.805 ;
+        RECT 248.695 45.635 248.865 45.805 ;
+        RECT 249.155 45.635 249.325 45.805 ;
+        RECT 249.615 45.635 249.785 45.805 ;
+        RECT 250.075 45.635 250.245 45.805 ;
+        RECT 250.535 45.635 250.705 45.805 ;
+        RECT 250.995 45.635 251.165 45.805 ;
+        RECT 251.455 45.635 251.625 45.805 ;
+        RECT 251.915 45.635 252.085 45.805 ;
+        RECT 252.375 45.635 252.545 45.805 ;
+        RECT 252.835 45.635 253.005 45.805 ;
+        RECT 253.295 45.635 253.465 45.805 ;
+        RECT 253.755 45.635 253.925 45.805 ;
+        RECT 254.215 45.635 254.385 45.805 ;
+        RECT 254.675 45.635 254.845 45.805 ;
+        RECT 255.135 45.635 255.305 45.805 ;
+        RECT 255.595 45.635 255.765 45.805 ;
+        RECT 256.055 45.635 256.225 45.805 ;
+        RECT 256.515 45.635 256.685 45.805 ;
+        RECT 256.975 45.635 257.145 45.805 ;
+        RECT 257.435 45.635 257.605 45.805 ;
+        RECT 257.895 45.635 258.065 45.805 ;
+        RECT 258.355 45.635 258.525 45.805 ;
+        RECT 258.815 45.635 258.985 45.805 ;
+        RECT 259.275 45.635 259.445 45.805 ;
+        RECT 259.735 45.635 259.905 45.805 ;
+        RECT 260.195 45.635 260.365 45.805 ;
+        RECT 260.655 45.635 260.825 45.805 ;
+        RECT 261.115 45.635 261.285 45.805 ;
+        RECT 261.575 45.635 261.745 45.805 ;
+        RECT 262.035 45.635 262.205 45.805 ;
+        RECT 262.495 45.635 262.665 45.805 ;
+        RECT 262.955 45.635 263.125 45.805 ;
+        RECT 263.415 45.635 263.585 45.805 ;
+        RECT 263.875 45.635 264.045 45.805 ;
+        RECT 264.335 45.635 264.505 45.805 ;
+        RECT 264.795 45.635 264.965 45.805 ;
+        RECT 265.255 45.635 265.425 45.805 ;
+        RECT 265.715 45.635 265.885 45.805 ;
+        RECT 266.175 45.635 266.345 45.805 ;
+        RECT 266.635 45.635 266.805 45.805 ;
+        RECT 267.095 45.635 267.265 45.805 ;
+        RECT 267.555 45.635 267.725 45.805 ;
+        RECT 268.015 45.635 268.185 45.805 ;
+        RECT 268.475 45.635 268.645 45.805 ;
+        RECT 268.935 45.635 269.105 45.805 ;
+        RECT 269.395 45.635 269.565 45.805 ;
+        RECT 269.855 45.635 270.025 45.805 ;
+        RECT 270.315 45.635 270.485 45.805 ;
+        RECT 270.775 45.635 270.945 45.805 ;
+        RECT 271.235 45.635 271.405 45.805 ;
+        RECT 271.695 45.635 271.865 45.805 ;
+        RECT 272.155 45.635 272.325 45.805 ;
+        RECT 272.615 45.635 272.785 45.805 ;
+        RECT 273.075 45.635 273.245 45.805 ;
+        RECT 273.535 45.635 273.705 45.805 ;
+        RECT 273.995 45.635 274.165 45.805 ;
+        RECT 274.455 45.635 274.625 45.805 ;
+        RECT 274.915 45.635 275.085 45.805 ;
+        RECT 275.375 45.635 275.545 45.805 ;
+        RECT 275.835 45.635 276.005 45.805 ;
+        RECT 276.295 45.635 276.465 45.805 ;
+        RECT 276.755 45.635 276.925 45.805 ;
+        RECT 277.215 45.635 277.385 45.805 ;
+        RECT 277.675 45.635 277.845 45.805 ;
+        RECT 278.135 45.635 278.305 45.805 ;
+        RECT 278.595 45.635 278.765 45.805 ;
+        RECT 279.055 45.635 279.225 45.805 ;
+        RECT 279.515 45.635 279.685 45.805 ;
+        RECT 279.975 45.635 280.145 45.805 ;
+        RECT 280.435 45.635 280.605 45.805 ;
+        RECT 280.895 45.635 281.065 45.805 ;
+        RECT 281.355 45.635 281.525 45.805 ;
+        RECT 281.815 45.635 281.985 45.805 ;
+        RECT 282.275 45.635 282.445 45.805 ;
+        RECT 282.735 45.635 282.905 45.805 ;
+        RECT 283.195 45.635 283.365 45.805 ;
+        RECT 283.655 45.635 283.825 45.805 ;
+        RECT 284.115 45.635 284.285 45.805 ;
+        RECT 284.575 45.635 284.745 45.805 ;
+        RECT 285.035 45.635 285.205 45.805 ;
+        RECT 285.495 45.635 285.665 45.805 ;
+        RECT 285.955 45.635 286.125 45.805 ;
+        RECT 286.415 45.635 286.585 45.805 ;
+        RECT 286.875 45.635 287.045 45.805 ;
+        RECT 287.335 45.635 287.505 45.805 ;
+        RECT 287.795 45.635 287.965 45.805 ;
+        RECT 288.255 45.635 288.425 45.805 ;
+        RECT 288.715 45.635 288.885 45.805 ;
+        RECT 289.175 45.635 289.345 45.805 ;
+        RECT 289.635 45.635 289.805 45.805 ;
+        RECT 290.095 45.635 290.265 45.805 ;
+        RECT 290.555 45.635 290.725 45.805 ;
+        RECT 291.015 45.635 291.185 45.805 ;
+        RECT 291.475 45.635 291.645 45.805 ;
+        RECT 291.935 45.635 292.105 45.805 ;
+        RECT 292.395 45.635 292.565 45.805 ;
+        RECT 292.855 45.635 293.025 45.805 ;
+        RECT 293.315 45.635 293.485 45.805 ;
+        RECT 293.775 45.635 293.945 45.805 ;
+        RECT 294.235 45.635 294.405 45.805 ;
+        RECT 294.695 45.635 294.865 45.805 ;
+        RECT 295.155 45.635 295.325 45.805 ;
+        RECT 295.615 45.635 295.785 45.805 ;
+        RECT 296.075 45.635 296.245 45.805 ;
+        RECT 296.535 45.635 296.705 45.805 ;
+        RECT 296.995 45.635 297.165 45.805 ;
+        RECT 297.455 45.635 297.625 45.805 ;
+        RECT 297.915 45.635 298.085 45.805 ;
+        RECT 298.375 45.635 298.545 45.805 ;
+        RECT 298.835 45.635 299.005 45.805 ;
+        RECT 299.295 45.635 299.465 45.805 ;
+        RECT 299.755 45.635 299.925 45.805 ;
+        RECT 300.215 45.635 300.385 45.805 ;
+        RECT 300.675 45.635 300.845 45.805 ;
+        RECT 301.135 45.635 301.305 45.805 ;
+        RECT 301.595 45.635 301.765 45.805 ;
+        RECT 302.055 45.635 302.225 45.805 ;
+        RECT 302.515 45.635 302.685 45.805 ;
+        RECT 302.975 45.635 303.145 45.805 ;
+        RECT 303.435 45.635 303.605 45.805 ;
+        RECT 303.895 45.635 304.065 45.805 ;
+        RECT 304.355 45.635 304.525 45.805 ;
+        RECT 304.815 45.635 304.985 45.805 ;
+        RECT 305.275 45.635 305.445 45.805 ;
+        RECT 305.735 45.635 305.905 45.805 ;
+        RECT 306.195 45.635 306.365 45.805 ;
+        RECT 306.655 45.635 306.825 45.805 ;
+        RECT 307.115 45.635 307.285 45.805 ;
+        RECT 307.575 45.635 307.745 45.805 ;
+        RECT 308.035 45.635 308.205 45.805 ;
+        RECT 308.495 45.635 308.665 45.805 ;
+        RECT 308.955 45.635 309.125 45.805 ;
+        RECT 309.415 45.635 309.585 45.805 ;
+        RECT 309.875 45.635 310.045 45.805 ;
+        RECT 310.335 45.635 310.505 45.805 ;
+        RECT 310.795 45.635 310.965 45.805 ;
+        RECT 311.255 45.635 311.425 45.805 ;
+        RECT 311.715 45.635 311.885 45.805 ;
+        RECT 312.175 45.635 312.345 45.805 ;
+        RECT 312.635 45.635 312.805 45.805 ;
+        RECT 313.095 45.635 313.265 45.805 ;
+        RECT 313.555 45.635 313.725 45.805 ;
+        RECT 314.015 45.635 314.185 45.805 ;
+        RECT 314.475 45.635 314.645 45.805 ;
+        RECT 314.935 45.635 315.105 45.805 ;
+        RECT 315.395 45.635 315.565 45.805 ;
+        RECT 315.855 45.635 316.025 45.805 ;
+        RECT 316.315 45.635 316.485 45.805 ;
+        RECT 316.775 45.635 316.945 45.805 ;
+        RECT 317.235 45.635 317.405 45.805 ;
+        RECT 317.695 45.635 317.865 45.805 ;
+        RECT 318.155 45.635 318.325 45.805 ;
+        RECT 318.615 45.635 318.785 45.805 ;
+        RECT 319.075 45.635 319.245 45.805 ;
+        RECT 319.535 45.635 319.705 45.805 ;
+        RECT 319.995 45.635 320.165 45.805 ;
+        RECT 320.455 45.635 320.625 45.805 ;
+        RECT 320.915 45.635 321.085 45.805 ;
+        RECT 321.375 45.635 321.545 45.805 ;
+        RECT 321.835 45.635 322.005 45.805 ;
+        RECT 322.295 45.635 322.465 45.805 ;
+        RECT 322.755 45.635 322.925 45.805 ;
+        RECT 323.215 45.635 323.385 45.805 ;
+        RECT 323.675 45.635 323.845 45.805 ;
+        RECT 324.135 45.635 324.305 45.805 ;
+        RECT 324.595 45.635 324.765 45.805 ;
+        RECT 325.055 45.635 325.225 45.805 ;
+        RECT 325.515 45.635 325.685 45.805 ;
+        RECT 325.975 45.635 326.145 45.805 ;
+        RECT 326.435 45.635 326.605 45.805 ;
+        RECT 326.895 45.635 327.065 45.805 ;
+        RECT 327.355 45.635 327.525 45.805 ;
+        RECT 327.815 45.635 327.985 45.805 ;
+        RECT 328.275 45.635 328.445 45.805 ;
+        RECT 328.735 45.635 328.905 45.805 ;
+        RECT 329.195 45.635 329.365 45.805 ;
+        RECT 329.655 45.635 329.825 45.805 ;
+        RECT 330.115 45.635 330.285 45.805 ;
+        RECT 330.575 45.635 330.745 45.805 ;
+        RECT 331.035 45.635 331.205 45.805 ;
+        RECT 331.495 45.635 331.665 45.805 ;
+        RECT 331.955 45.635 332.125 45.805 ;
+        RECT 332.415 45.635 332.585 45.805 ;
+        RECT 332.875 45.635 333.045 45.805 ;
+        RECT 333.335 45.635 333.505 45.805 ;
+        RECT 333.795 45.635 333.965 45.805 ;
+        RECT 334.255 45.635 334.425 45.805 ;
+        RECT 334.715 45.635 334.885 45.805 ;
+        RECT 335.175 45.635 335.345 45.805 ;
+        RECT 335.635 45.635 335.805 45.805 ;
+        RECT 336.095 45.635 336.265 45.805 ;
+        RECT 336.555 45.635 336.725 45.805 ;
+        RECT 337.015 45.635 337.185 45.805 ;
+        RECT 337.475 45.635 337.645 45.805 ;
+        RECT 337.935 45.635 338.105 45.805 ;
+        RECT 338.395 45.635 338.565 45.805 ;
+        RECT 338.855 45.635 339.025 45.805 ;
+        RECT 339.315 45.635 339.485 45.805 ;
+        RECT 339.775 45.635 339.945 45.805 ;
+        RECT 340.235 45.635 340.405 45.805 ;
+        RECT 340.695 45.635 340.865 45.805 ;
+        RECT 341.155 45.635 341.325 45.805 ;
+        RECT 341.615 45.635 341.785 45.805 ;
+        RECT 342.075 45.635 342.245 45.805 ;
+        RECT 342.535 45.635 342.705 45.805 ;
+        RECT 342.995 45.635 343.165 45.805 ;
+        RECT 343.455 45.635 343.625 45.805 ;
+        RECT 343.915 45.635 344.085 45.805 ;
+        RECT 344.375 45.635 344.545 45.805 ;
+        RECT 344.835 45.635 345.005 45.805 ;
+        RECT 345.295 45.635 345.465 45.805 ;
+        RECT 345.755 45.635 345.925 45.805 ;
+        RECT 346.215 45.635 346.385 45.805 ;
+        RECT 346.675 45.635 346.845 45.805 ;
+        RECT 347.135 45.635 347.305 45.805 ;
+        RECT 347.595 45.635 347.765 45.805 ;
+        RECT 348.055 45.635 348.225 45.805 ;
+        RECT 348.515 45.635 348.685 45.805 ;
+        RECT 348.975 45.635 349.145 45.805 ;
+        RECT 349.435 45.635 349.605 45.805 ;
+        RECT 349.895 45.635 350.065 45.805 ;
+        RECT 350.355 45.635 350.525 45.805 ;
+        RECT 350.815 45.635 350.985 45.805 ;
+        RECT 351.275 45.635 351.445 45.805 ;
+        RECT 351.735 45.635 351.905 45.805 ;
+        RECT 352.195 45.635 352.365 45.805 ;
+        RECT 352.655 45.635 352.825 45.805 ;
+        RECT 353.115 45.635 353.285 45.805 ;
+        RECT 353.575 45.635 353.745 45.805 ;
+        RECT 354.035 45.635 354.205 45.805 ;
+        RECT 354.495 45.635 354.665 45.805 ;
+        RECT 354.955 45.635 355.125 45.805 ;
+        RECT 355.415 45.635 355.585 45.805 ;
+        RECT 355.875 45.635 356.045 45.805 ;
+        RECT 356.335 45.635 356.505 45.805 ;
+        RECT 356.795 45.635 356.965 45.805 ;
+        RECT 357.255 45.635 357.425 45.805 ;
+        RECT 357.715 45.635 357.885 45.805 ;
+        RECT 358.175 45.635 358.345 45.805 ;
+        RECT 358.635 45.635 358.805 45.805 ;
+        RECT 359.095 45.635 359.265 45.805 ;
+        RECT 359.555 45.635 359.725 45.805 ;
+        RECT 360.015 45.635 360.185 45.805 ;
+        RECT 360.475 45.635 360.645 45.805 ;
+        RECT 360.935 45.635 361.105 45.805 ;
+        RECT 361.395 45.635 361.565 45.805 ;
+        RECT 361.855 45.635 362.025 45.805 ;
+        RECT 362.315 45.635 362.485 45.805 ;
+        RECT 362.775 45.635 362.945 45.805 ;
+        RECT 363.235 45.635 363.405 45.805 ;
+        RECT 363.695 45.635 363.865 45.805 ;
+        RECT 364.155 45.635 364.325 45.805 ;
+        RECT 364.615 45.635 364.785 45.805 ;
+        RECT 365.075 45.635 365.245 45.805 ;
+        RECT 365.535 45.635 365.705 45.805 ;
+        RECT 365.995 45.635 366.165 45.805 ;
+        RECT 366.455 45.635 366.625 45.805 ;
+        RECT 366.915 45.635 367.085 45.805 ;
+        RECT 367.375 45.635 367.545 45.805 ;
+        RECT 367.835 45.635 368.005 45.805 ;
+        RECT 368.295 45.635 368.465 45.805 ;
+        RECT 368.755 45.635 368.925 45.805 ;
+        RECT 369.215 45.635 369.385 45.805 ;
+        RECT 369.675 45.635 369.845 45.805 ;
+        RECT 370.135 45.635 370.305 45.805 ;
+        RECT 370.595 45.635 370.765 45.805 ;
+        RECT 371.055 45.635 371.225 45.805 ;
+        RECT 371.515 45.635 371.685 45.805 ;
+        RECT 371.975 45.635 372.145 45.805 ;
+        RECT 372.435 45.635 372.605 45.805 ;
+        RECT 372.895 45.635 373.065 45.805 ;
+        RECT 373.355 45.635 373.525 45.805 ;
+        RECT 373.815 45.635 373.985 45.805 ;
+        RECT 374.275 45.635 374.445 45.805 ;
+        RECT 374.735 45.635 374.905 45.805 ;
+        RECT 375.195 45.635 375.365 45.805 ;
+        RECT 375.655 45.635 375.825 45.805 ;
+        RECT 376.115 45.635 376.285 45.805 ;
+        RECT 376.575 45.635 376.745 45.805 ;
+        RECT 377.035 45.635 377.205 45.805 ;
+        RECT 377.495 45.635 377.665 45.805 ;
+        RECT 377.955 45.635 378.125 45.805 ;
+        RECT 378.415 45.635 378.585 45.805 ;
+        RECT 378.875 45.635 379.045 45.805 ;
+        RECT 379.335 45.635 379.505 45.805 ;
+        RECT 379.795 45.635 379.965 45.805 ;
+        RECT 380.255 45.635 380.425 45.805 ;
+        RECT 380.715 45.635 380.885 45.805 ;
+        RECT 381.175 45.635 381.345 45.805 ;
+        RECT 381.635 45.635 381.805 45.805 ;
+        RECT 382.095 45.635 382.265 45.805 ;
+        RECT 382.555 45.635 382.725 45.805 ;
+        RECT 383.015 45.635 383.185 45.805 ;
+        RECT 383.475 45.635 383.645 45.805 ;
+        RECT 383.935 45.635 384.105 45.805 ;
+        RECT 384.395 45.635 384.565 45.805 ;
+        RECT 384.855 45.635 385.025 45.805 ;
+        RECT 385.315 45.635 385.485 45.805 ;
+        RECT 385.775 45.635 385.945 45.805 ;
+        RECT 386.235 45.635 386.405 45.805 ;
+        RECT 386.695 45.635 386.865 45.805 ;
+        RECT 387.155 45.635 387.325 45.805 ;
+        RECT 387.615 45.635 387.785 45.805 ;
+        RECT 388.075 45.635 388.245 45.805 ;
+        RECT 388.535 45.635 388.705 45.805 ;
+        RECT 388.995 45.635 389.165 45.805 ;
+        RECT 389.455 45.635 389.625 45.805 ;
+        RECT 389.915 45.635 390.085 45.805 ;
+        RECT 390.375 45.635 390.545 45.805 ;
+        RECT 390.835 45.635 391.005 45.805 ;
+        RECT 391.295 45.635 391.465 45.805 ;
+        RECT 391.755 45.635 391.925 45.805 ;
+        RECT 392.215 45.635 392.385 45.805 ;
+        RECT 392.675 45.635 392.845 45.805 ;
+        RECT 393.135 45.635 393.305 45.805 ;
+        RECT 393.595 45.635 393.765 45.805 ;
+        RECT 394.055 45.635 394.225 45.805 ;
+        RECT 394.515 45.635 394.685 45.805 ;
+        RECT 394.975 45.635 395.145 45.805 ;
+        RECT 395.435 45.635 395.605 45.805 ;
+        RECT 395.895 45.635 396.065 45.805 ;
+        RECT 396.355 45.635 396.525 45.805 ;
+        RECT 396.815 45.635 396.985 45.805 ;
+        RECT 397.275 45.635 397.445 45.805 ;
+        RECT 397.735 45.635 397.905 45.805 ;
+        RECT 398.195 45.635 398.365 45.805 ;
+        RECT 398.655 45.635 398.825 45.805 ;
+        RECT 399.115 45.635 399.285 45.805 ;
+        RECT 399.575 45.635 399.745 45.805 ;
+        RECT 400.035 45.635 400.205 45.805 ;
+        RECT 400.495 45.635 400.665 45.805 ;
+        RECT 400.955 45.635 401.125 45.805 ;
+        RECT 401.415 45.635 401.585 45.805 ;
+        RECT 401.875 45.635 402.045 45.805 ;
+        RECT 402.335 45.635 402.505 45.805 ;
+        RECT 402.795 45.635 402.965 45.805 ;
+        RECT 403.255 45.635 403.425 45.805 ;
+        RECT 403.715 45.635 403.885 45.805 ;
+        RECT 404.175 45.635 404.345 45.805 ;
+        RECT 404.635 45.635 404.805 45.805 ;
+        RECT 405.095 45.635 405.265 45.805 ;
+        RECT 405.555 45.635 405.725 45.805 ;
+        RECT 406.015 45.635 406.185 45.805 ;
+        RECT 406.475 45.635 406.645 45.805 ;
+        RECT 406.935 45.635 407.105 45.805 ;
+        RECT 407.395 45.635 407.565 45.805 ;
+        RECT 407.855 45.635 408.025 45.805 ;
+        RECT 408.315 45.635 408.485 45.805 ;
+        RECT 408.775 45.635 408.945 45.805 ;
+        RECT 409.235 45.635 409.405 45.805 ;
+        RECT 409.695 45.635 409.865 45.805 ;
+        RECT 410.155 45.635 410.325 45.805 ;
+        RECT 410.615 45.635 410.785 45.805 ;
+        RECT 411.075 45.635 411.245 45.805 ;
+        RECT 411.535 45.635 411.705 45.805 ;
+        RECT 411.995 45.635 412.165 45.805 ;
+        RECT 412.455 45.635 412.625 45.805 ;
+        RECT 412.915 45.635 413.085 45.805 ;
+        RECT 413.375 45.635 413.545 45.805 ;
+        RECT 413.835 45.635 414.005 45.805 ;
+        RECT 414.295 45.635 414.465 45.805 ;
+        RECT 414.755 45.635 414.925 45.805 ;
+        RECT 415.215 45.635 415.385 45.805 ;
+        RECT 415.675 45.635 415.845 45.805 ;
+        RECT 416.135 45.635 416.305 45.805 ;
+        RECT 416.595 45.635 416.765 45.805 ;
+        RECT 417.055 45.635 417.225 45.805 ;
+        RECT 417.515 45.635 417.685 45.805 ;
+        RECT 417.975 45.635 418.145 45.805 ;
+        RECT 418.435 45.635 418.605 45.805 ;
+        RECT 418.895 45.635 419.065 45.805 ;
+        RECT 419.355 45.635 419.525 45.805 ;
+        RECT 419.815 45.635 419.985 45.805 ;
+        RECT 420.275 45.635 420.445 45.805 ;
+        RECT 420.735 45.635 420.905 45.805 ;
+        RECT 421.195 45.635 421.365 45.805 ;
+        RECT 421.655 45.635 421.825 45.805 ;
+        RECT 422.115 45.635 422.285 45.805 ;
+        RECT 422.575 45.635 422.745 45.805 ;
+        RECT 423.035 45.635 423.205 45.805 ;
+        RECT 423.495 45.635 423.665 45.805 ;
+        RECT 423.955 45.635 424.125 45.805 ;
+        RECT 424.415 45.635 424.585 45.805 ;
+        RECT 424.875 45.635 425.045 45.805 ;
+        RECT 425.335 45.635 425.505 45.805 ;
+        RECT 425.795 45.635 425.965 45.805 ;
+        RECT 426.255 45.635 426.425 45.805 ;
+        RECT 426.715 45.635 426.885 45.805 ;
+        RECT 427.175 45.635 427.345 45.805 ;
+        RECT 427.635 45.635 427.805 45.805 ;
+        RECT 428.095 45.635 428.265 45.805 ;
+        RECT 428.555 45.635 428.725 45.805 ;
+        RECT 429.015 45.635 429.185 45.805 ;
+        RECT 429.475 45.635 429.645 45.805 ;
+        RECT 429.935 45.635 430.105 45.805 ;
+        RECT 430.395 45.635 430.565 45.805 ;
+        RECT 430.855 45.635 431.025 45.805 ;
+        RECT 431.315 45.635 431.485 45.805 ;
+        RECT 431.775 45.635 431.945 45.805 ;
+        RECT 432.235 45.635 432.405 45.805 ;
+        RECT 432.695 45.635 432.865 45.805 ;
+        RECT 433.155 45.635 433.325 45.805 ;
+        RECT 433.615 45.635 433.785 45.805 ;
+        RECT 434.075 45.635 434.245 45.805 ;
+        RECT 434.535 45.635 434.705 45.805 ;
+        RECT 434.995 45.635 435.165 45.805 ;
+        RECT 435.455 45.635 435.625 45.805 ;
+        RECT 435.915 45.635 436.085 45.805 ;
+        RECT 436.375 45.635 436.545 45.805 ;
+        RECT 436.835 45.635 437.005 45.805 ;
+        RECT 437.295 45.635 437.465 45.805 ;
+        RECT 437.755 45.635 437.925 45.805 ;
+        RECT 438.215 45.635 438.385 45.805 ;
+        RECT 438.675 45.635 438.845 45.805 ;
+        RECT 439.135 45.635 439.305 45.805 ;
+        RECT 439.595 45.635 439.765 45.805 ;
+        RECT 440.055 45.635 440.225 45.805 ;
+        RECT 440.515 45.635 440.685 45.805 ;
+        RECT 440.975 45.635 441.145 45.805 ;
+        RECT 441.435 45.635 441.605 45.805 ;
+        RECT 441.895 45.635 442.065 45.805 ;
+        RECT 442.355 45.635 442.525 45.805 ;
+        RECT 442.815 45.635 442.985 45.805 ;
+        RECT 443.275 45.635 443.445 45.805 ;
+        RECT 443.735 45.635 443.905 45.805 ;
+        RECT 444.195 45.635 444.365 45.805 ;
+        RECT 444.655 45.635 444.825 45.805 ;
+        RECT 445.115 45.635 445.285 45.805 ;
+        RECT 445.575 45.635 445.745 45.805 ;
+        RECT 446.035 45.635 446.205 45.805 ;
+        RECT 446.495 45.635 446.665 45.805 ;
+        RECT 446.955 45.635 447.125 45.805 ;
+        RECT 447.415 45.635 447.585 45.805 ;
+        RECT 447.875 45.635 448.045 45.805 ;
+        RECT 448.335 45.635 448.505 45.805 ;
+        RECT 448.795 45.635 448.965 45.805 ;
+        RECT 449.255 45.635 449.425 45.805 ;
+        RECT 449.715 45.635 449.885 45.805 ;
+        RECT 450.175 45.635 450.345 45.805 ;
+        RECT 450.635 45.635 450.805 45.805 ;
+        RECT 451.095 45.635 451.265 45.805 ;
+        RECT 451.555 45.635 451.725 45.805 ;
+        RECT 452.015 45.635 452.185 45.805 ;
+        RECT 452.475 45.635 452.645 45.805 ;
+        RECT 452.935 45.635 453.105 45.805 ;
+        RECT 453.395 45.635 453.565 45.805 ;
+        RECT 453.855 45.635 454.025 45.805 ;
+        RECT 454.315 45.635 454.485 45.805 ;
+        RECT 454.775 45.635 454.945 45.805 ;
+        RECT 455.235 45.635 455.405 45.805 ;
+        RECT 455.695 45.635 455.865 45.805 ;
+        RECT 456.155 45.635 456.325 45.805 ;
+        RECT 456.615 45.635 456.785 45.805 ;
+        RECT 457.075 45.635 457.245 45.805 ;
+        RECT 457.535 45.635 457.705 45.805 ;
+        RECT 457.995 45.635 458.165 45.805 ;
+        RECT 458.455 45.635 458.625 45.805 ;
+        RECT 458.915 45.635 459.085 45.805 ;
+        RECT 459.375 45.635 459.545 45.805 ;
+        RECT 459.835 45.635 460.005 45.805 ;
+        RECT 460.295 45.635 460.465 45.805 ;
+        RECT 460.755 45.635 460.925 45.805 ;
+        RECT 461.215 45.635 461.385 45.805 ;
+        RECT 461.675 45.635 461.845 45.805 ;
+        RECT 462.135 45.635 462.305 45.805 ;
+        RECT 462.595 45.635 462.765 45.805 ;
+        RECT 463.055 45.635 463.225 45.805 ;
+        RECT 463.515 45.635 463.685 45.805 ;
+        RECT 463.975 45.635 464.145 45.805 ;
+        RECT 464.435 45.635 464.605 45.805 ;
+        RECT 464.895 45.635 465.065 45.805 ;
+        RECT 465.355 45.635 465.525 45.805 ;
+        RECT 465.815 45.635 465.985 45.805 ;
+        RECT 466.275 45.635 466.445 45.805 ;
+        RECT 466.735 45.635 466.905 45.805 ;
+        RECT 467.195 45.635 467.365 45.805 ;
+        RECT 467.655 45.635 467.825 45.805 ;
+        RECT 468.115 45.635 468.285 45.805 ;
+        RECT 468.575 45.635 468.745 45.805 ;
+        RECT 469.035 45.635 469.205 45.805 ;
+        RECT 469.495 45.635 469.665 45.805 ;
+        RECT 469.955 45.635 470.125 45.805 ;
+        RECT 470.415 45.635 470.585 45.805 ;
+        RECT 470.875 45.635 471.045 45.805 ;
+        RECT 471.335 45.635 471.505 45.805 ;
+        RECT 471.795 45.635 471.965 45.805 ;
+        RECT 472.255 45.635 472.425 45.805 ;
+        RECT 472.715 45.635 472.885 45.805 ;
+        RECT 473.175 45.635 473.345 45.805 ;
+        RECT 473.635 45.635 473.805 45.805 ;
+        RECT 474.095 45.635 474.265 45.805 ;
+        RECT 474.555 45.635 474.725 45.805 ;
+        RECT 475.015 45.635 475.185 45.805 ;
+        RECT 475.475 45.635 475.645 45.805 ;
+        RECT 475.935 45.635 476.105 45.805 ;
+        RECT 476.395 45.635 476.565 45.805 ;
+        RECT 476.855 45.635 477.025 45.805 ;
+        RECT 477.315 45.635 477.485 45.805 ;
+        RECT 477.775 45.635 477.945 45.805 ;
+        RECT 478.235 45.635 478.405 45.805 ;
+        RECT 478.695 45.635 478.865 45.805 ;
+        RECT 479.155 45.635 479.325 45.805 ;
+        RECT 479.615 45.635 479.785 45.805 ;
+        RECT 480.075 45.635 480.245 45.805 ;
+        RECT 480.535 45.635 480.705 45.805 ;
+        RECT 480.995 45.635 481.165 45.805 ;
+        RECT 481.455 45.635 481.625 45.805 ;
+        RECT 481.915 45.635 482.085 45.805 ;
+        RECT 482.375 45.635 482.545 45.805 ;
+        RECT 482.835 45.635 483.005 45.805 ;
+        RECT 483.295 45.635 483.465 45.805 ;
+        RECT 483.755 45.635 483.925 45.805 ;
+        RECT 484.215 45.635 484.385 45.805 ;
+        RECT 484.675 45.635 484.845 45.805 ;
+        RECT 485.135 45.635 485.305 45.805 ;
+        RECT 485.595 45.635 485.765 45.805 ;
+        RECT 486.055 45.635 486.225 45.805 ;
+        RECT 486.515 45.635 486.685 45.805 ;
+        RECT 486.975 45.635 487.145 45.805 ;
+        RECT 487.435 45.635 487.605 45.805 ;
+        RECT 487.895 45.635 488.065 45.805 ;
+        RECT 488.355 45.635 488.525 45.805 ;
+        RECT 488.815 45.635 488.985 45.805 ;
+        RECT 489.275 45.635 489.445 45.805 ;
+        RECT 489.735 45.635 489.905 45.805 ;
+        RECT 490.195 45.635 490.365 45.805 ;
+        RECT 490.655 45.635 490.825 45.805 ;
+        RECT 491.115 45.635 491.285 45.805 ;
+        RECT 491.575 45.635 491.745 45.805 ;
+        RECT 492.035 45.635 492.205 45.805 ;
+        RECT 492.495 45.635 492.665 45.805 ;
+        RECT 492.955 45.635 493.125 45.805 ;
+        RECT 493.415 45.635 493.585 45.805 ;
+        RECT 493.875 45.635 494.045 45.805 ;
+        RECT 494.335 45.635 494.505 45.805 ;
+        RECT 494.795 45.635 494.965 45.805 ;
+        RECT 495.255 45.635 495.425 45.805 ;
+        RECT 495.715 45.635 495.885 45.805 ;
+        RECT 496.175 45.635 496.345 45.805 ;
+        RECT 496.635 45.635 496.805 45.805 ;
+        RECT 497.095 45.635 497.265 45.805 ;
+        RECT 497.555 45.635 497.725 45.805 ;
+        RECT 498.015 45.635 498.185 45.805 ;
+        RECT 498.475 45.635 498.645 45.805 ;
+        RECT 498.935 45.635 499.105 45.805 ;
+        RECT 499.395 45.635 499.565 45.805 ;
+        RECT 499.855 45.635 500.025 45.805 ;
+        RECT 500.315 45.635 500.485 45.805 ;
+        RECT 500.775 45.635 500.945 45.805 ;
+        RECT 501.235 45.635 501.405 45.805 ;
+        RECT 501.695 45.635 501.865 45.805 ;
+        RECT 502.155 45.635 502.325 45.805 ;
+        RECT 502.615 45.635 502.785 45.805 ;
+        RECT 503.075 45.635 503.245 45.805 ;
+        RECT 503.535 45.635 503.705 45.805 ;
+        RECT 503.995 45.635 504.165 45.805 ;
+        RECT 504.455 45.635 504.625 45.805 ;
+        RECT 504.915 45.635 505.085 45.805 ;
+        RECT 505.375 45.635 505.545 45.805 ;
+        RECT 505.835 45.635 506.005 45.805 ;
+        RECT 506.295 45.635 506.465 45.805 ;
+        RECT 506.755 45.635 506.925 45.805 ;
+        RECT 507.215 45.635 507.385 45.805 ;
+        RECT 507.675 45.635 507.845 45.805 ;
+        RECT 508.135 45.635 508.305 45.805 ;
+        RECT 508.595 45.635 508.765 45.805 ;
+        RECT 509.055 45.635 509.225 45.805 ;
+        RECT 509.515 45.635 509.685 45.805 ;
+        RECT 509.975 45.635 510.145 45.805 ;
+        RECT 510.435 45.635 510.605 45.805 ;
+        RECT 510.895 45.635 511.065 45.805 ;
+        RECT 511.355 45.635 511.525 45.805 ;
+        RECT 511.815 45.635 511.985 45.805 ;
+        RECT 512.275 45.635 512.445 45.805 ;
+        RECT 512.735 45.635 512.905 45.805 ;
+        RECT 513.195 45.635 513.365 45.805 ;
+        RECT 513.655 45.635 513.825 45.805 ;
+        RECT 514.115 45.635 514.285 45.805 ;
+        RECT 514.575 45.635 514.745 45.805 ;
+        RECT 515.035 45.635 515.205 45.805 ;
+        RECT 515.495 45.635 515.665 45.805 ;
+        RECT 515.955 45.635 516.125 45.805 ;
+        RECT 516.415 45.635 516.585 45.805 ;
+        RECT 516.875 45.635 517.045 45.805 ;
+        RECT 517.335 45.635 517.505 45.805 ;
+        RECT 517.795 45.635 517.965 45.805 ;
+        RECT 518.255 45.635 518.425 45.805 ;
+        RECT 518.715 45.635 518.885 45.805 ;
+        RECT 519.175 45.635 519.345 45.805 ;
+        RECT 519.635 45.635 519.805 45.805 ;
+        RECT 520.095 45.635 520.265 45.805 ;
+        RECT 520.555 45.635 520.725 45.805 ;
+        RECT 521.015 45.635 521.185 45.805 ;
+        RECT 521.475 45.635 521.645 45.805 ;
+        RECT 521.935 45.635 522.105 45.805 ;
+        RECT 522.395 45.635 522.565 45.805 ;
+        RECT 522.855 45.635 523.025 45.805 ;
+        RECT 523.315 45.635 523.485 45.805 ;
+        RECT 523.775 45.635 523.945 45.805 ;
+        RECT 524.235 45.635 524.405 45.805 ;
+        RECT 524.695 45.635 524.865 45.805 ;
+        RECT 525.155 45.635 525.325 45.805 ;
+        RECT 525.615 45.635 525.785 45.805 ;
+        RECT 526.075 45.635 526.245 45.805 ;
+        RECT 526.535 45.635 526.705 45.805 ;
+        RECT 526.995 45.635 527.165 45.805 ;
+        RECT 527.455 45.635 527.625 45.805 ;
+        RECT 527.915 45.635 528.085 45.805 ;
+        RECT 528.375 45.635 528.545 45.805 ;
+        RECT 528.835 45.635 529.005 45.805 ;
+        RECT 529.295 45.635 529.465 45.805 ;
+        RECT 529.755 45.635 529.925 45.805 ;
+        RECT 530.215 45.635 530.385 45.805 ;
+        RECT 530.675 45.635 530.845 45.805 ;
+        RECT 531.135 45.635 531.305 45.805 ;
+        RECT 531.595 45.635 531.765 45.805 ;
+        RECT 532.055 45.635 532.225 45.805 ;
+        RECT 532.515 45.635 532.685 45.805 ;
+        RECT 532.975 45.635 533.145 45.805 ;
+        RECT 533.435 45.635 533.605 45.805 ;
+        RECT 533.895 45.635 534.065 45.805 ;
+        RECT 534.355 45.635 534.525 45.805 ;
+        RECT 534.815 45.635 534.985 45.805 ;
+        RECT 535.275 45.635 535.445 45.805 ;
+        RECT 535.735 45.635 535.905 45.805 ;
+        RECT 536.195 45.635 536.365 45.805 ;
+        RECT 536.655 45.635 536.825 45.805 ;
+        RECT 537.115 45.635 537.285 45.805 ;
+        RECT 537.575 45.635 537.745 45.805 ;
+        RECT 538.035 45.635 538.205 45.805 ;
+        RECT 538.495 45.635 538.665 45.805 ;
+        RECT 538.955 45.635 539.125 45.805 ;
+        RECT 539.415 45.635 539.585 45.805 ;
+        RECT 539.875 45.635 540.045 45.805 ;
+        RECT 540.335 45.635 540.505 45.805 ;
+        RECT 540.795 45.635 540.965 45.805 ;
+        RECT 541.255 45.635 541.425 45.805 ;
+        RECT 541.715 45.635 541.885 45.805 ;
+        RECT 542.175 45.635 542.345 45.805 ;
+        RECT 542.635 45.635 542.805 45.805 ;
+        RECT 543.095 45.635 543.265 45.805 ;
+        RECT 543.555 45.635 543.725 45.805 ;
+        RECT 544.015 45.635 544.185 45.805 ;
+        RECT 544.475 45.635 544.645 45.805 ;
+        RECT 544.935 45.635 545.105 45.805 ;
+        RECT 545.395 45.635 545.565 45.805 ;
+        RECT 545.855 45.635 546.025 45.805 ;
+        RECT 546.315 45.635 546.485 45.805 ;
+        RECT 546.775 45.635 546.945 45.805 ;
+        RECT 547.235 45.635 547.405 45.805 ;
+        RECT 547.695 45.635 547.865 45.805 ;
+        RECT 548.155 45.635 548.325 45.805 ;
+        RECT 548.615 45.635 548.785 45.805 ;
+        RECT 549.075 45.635 549.245 45.805 ;
+        RECT 549.535 45.635 549.705 45.805 ;
+        RECT 549.995 45.635 550.165 45.805 ;
+        RECT 550.455 45.635 550.625 45.805 ;
+        RECT 550.915 45.635 551.085 45.805 ;
+        RECT 551.375 45.635 551.545 45.805 ;
+        RECT 551.835 45.635 552.005 45.805 ;
+        RECT 552.295 45.635 552.465 45.805 ;
+        RECT 552.755 45.635 552.925 45.805 ;
+        RECT 553.215 45.635 553.385 45.805 ;
+        RECT 553.675 45.635 553.845 45.805 ;
+        RECT 554.135 45.635 554.305 45.805 ;
+        RECT 554.595 45.635 554.765 45.805 ;
+        RECT 555.055 45.635 555.225 45.805 ;
+        RECT 555.515 45.635 555.685 45.805 ;
+        RECT 555.975 45.635 556.145 45.805 ;
+        RECT 556.435 45.635 556.605 45.805 ;
+        RECT 556.895 45.635 557.065 45.805 ;
+        RECT 557.355 45.635 557.525 45.805 ;
+        RECT 557.815 45.635 557.985 45.805 ;
+        RECT 558.275 45.635 558.445 45.805 ;
+        RECT 558.735 45.635 558.905 45.805 ;
+        RECT 559.195 45.635 559.365 45.805 ;
+        RECT 559.655 45.635 559.825 45.805 ;
+        RECT 560.115 45.635 560.285 45.805 ;
+        RECT 560.575 45.635 560.745 45.805 ;
+        RECT 561.035 45.635 561.205 45.805 ;
+        RECT 561.495 45.635 561.665 45.805 ;
+        RECT 561.955 45.635 562.125 45.805 ;
+        RECT 562.415 45.635 562.585 45.805 ;
+        RECT 562.875 45.635 563.045 45.805 ;
+        RECT 563.335 45.635 563.505 45.805 ;
+        RECT 563.795 45.635 563.965 45.805 ;
+        RECT 564.255 45.635 564.425 45.805 ;
+        RECT 564.715 45.635 564.885 45.805 ;
+        RECT 565.175 45.635 565.345 45.805 ;
+        RECT 565.635 45.635 565.805 45.805 ;
+        RECT 566.095 45.635 566.265 45.805 ;
+        RECT 566.555 45.635 566.725 45.805 ;
+        RECT 567.015 45.635 567.185 45.805 ;
+        RECT 567.475 45.635 567.645 45.805 ;
+        RECT 567.935 45.635 568.105 45.805 ;
+        RECT 568.395 45.635 568.565 45.805 ;
+        RECT 568.855 45.635 569.025 45.805 ;
+        RECT 569.315 45.635 569.485 45.805 ;
+        RECT 569.775 45.635 569.945 45.805 ;
+        RECT 570.235 45.635 570.405 45.805 ;
+        RECT 570.695 45.635 570.865 45.805 ;
+        RECT 571.155 45.635 571.325 45.805 ;
+        RECT 571.615 45.635 571.785 45.805 ;
+        RECT 572.075 45.635 572.245 45.805 ;
+        RECT 572.535 45.635 572.705 45.805 ;
+        RECT 572.995 45.635 573.165 45.805 ;
+        RECT 573.455 45.635 573.625 45.805 ;
+        RECT 573.915 45.635 574.085 45.805 ;
+        RECT 574.375 45.635 574.545 45.805 ;
+        RECT 574.835 45.635 575.005 45.805 ;
+        RECT 575.295 45.635 575.465 45.805 ;
+        RECT 575.755 45.635 575.925 45.805 ;
+        RECT 576.215 45.635 576.385 45.805 ;
+        RECT 576.675 45.635 576.845 45.805 ;
+        RECT 577.135 45.635 577.305 45.805 ;
+        RECT 577.595 45.635 577.765 45.805 ;
+        RECT 578.055 45.635 578.225 45.805 ;
+        RECT 578.515 45.635 578.685 45.805 ;
+        RECT 578.975 45.635 579.145 45.805 ;
+        RECT 579.435 45.635 579.605 45.805 ;
+        RECT 579.895 45.635 580.065 45.805 ;
+        RECT 580.355 45.635 580.525 45.805 ;
+        RECT 580.815 45.635 580.985 45.805 ;
+        RECT 581.275 45.635 581.445 45.805 ;
+        RECT 581.735 45.635 581.905 45.805 ;
+        RECT 582.195 45.635 582.365 45.805 ;
+        RECT 582.655 45.635 582.825 45.805 ;
+        RECT 583.115 45.635 583.285 45.805 ;
+        RECT 583.575 45.635 583.745 45.805 ;
+        RECT 584.035 45.635 584.205 45.805 ;
+        RECT 584.495 45.635 584.665 45.805 ;
+        RECT 584.955 45.635 585.125 45.805 ;
+        RECT 585.415 45.635 585.585 45.805 ;
+        RECT 585.875 45.635 586.045 45.805 ;
+        RECT 586.335 45.635 586.505 45.805 ;
+        RECT 586.795 45.635 586.965 45.805 ;
+        RECT 587.255 45.635 587.425 45.805 ;
+        RECT 587.715 45.635 587.885 45.805 ;
+        RECT 588.175 45.635 588.345 45.805 ;
+        RECT 588.635 45.635 588.805 45.805 ;
+        RECT 589.095 45.635 589.265 45.805 ;
+        RECT 589.555 45.635 589.725 45.805 ;
+        RECT 590.015 45.635 590.185 45.805 ;
+        RECT 590.475 45.635 590.645 45.805 ;
+        RECT 590.935 45.635 591.105 45.805 ;
+        RECT 591.395 45.635 591.565 45.805 ;
+        RECT 591.855 45.635 592.025 45.805 ;
+        RECT 592.315 45.635 592.485 45.805 ;
+        RECT 592.775 45.635 592.945 45.805 ;
+        RECT 593.235 45.635 593.405 45.805 ;
+        RECT 593.695 45.635 593.865 45.805 ;
+        RECT 594.155 45.635 594.325 45.805 ;
+        RECT 594.615 45.635 594.785 45.805 ;
+        RECT 595.075 45.635 595.245 45.805 ;
+        RECT 595.535 45.635 595.705 45.805 ;
+        RECT 595.995 45.635 596.165 45.805 ;
+        RECT 596.455 45.635 596.625 45.805 ;
+        RECT 596.915 45.635 597.085 45.805 ;
+        RECT 597.375 45.635 597.545 45.805 ;
+        RECT 597.835 45.635 598.005 45.805 ;
+        RECT 598.295 45.635 598.465 45.805 ;
+        RECT 598.755 45.635 598.925 45.805 ;
+        RECT 599.215 45.635 599.385 45.805 ;
+        RECT 599.675 45.635 599.845 45.805 ;
+        RECT 600.135 45.635 600.305 45.805 ;
+        RECT 600.595 45.635 600.765 45.805 ;
+        RECT 601.055 45.635 601.225 45.805 ;
+        RECT 601.515 45.635 601.685 45.805 ;
+        RECT 601.975 45.635 602.145 45.805 ;
+        RECT 602.435 45.635 602.605 45.805 ;
+        RECT 602.895 45.635 603.065 45.805 ;
+        RECT 603.355 45.635 603.525 45.805 ;
+        RECT 603.815 45.635 603.985 45.805 ;
+        RECT 604.275 45.635 604.445 45.805 ;
+        RECT 604.735 45.635 604.905 45.805 ;
+        RECT 605.195 45.635 605.365 45.805 ;
+        RECT 605.655 45.635 605.825 45.805 ;
+        RECT 606.115 45.635 606.285 45.805 ;
+        RECT 606.575 45.635 606.745 45.805 ;
+        RECT 607.035 45.635 607.205 45.805 ;
+        RECT 607.495 45.635 607.665 45.805 ;
+        RECT 607.955 45.635 608.125 45.805 ;
+        RECT 608.415 45.635 608.585 45.805 ;
+        RECT 608.875 45.635 609.045 45.805 ;
+        RECT 609.335 45.635 609.505 45.805 ;
+        RECT 609.795 45.635 609.965 45.805 ;
+        RECT 610.255 45.635 610.425 45.805 ;
+        RECT 610.715 45.635 610.885 45.805 ;
+        RECT 611.175 45.635 611.345 45.805 ;
+        RECT 611.635 45.635 611.805 45.805 ;
+        RECT 612.095 45.635 612.265 45.805 ;
+        RECT 612.555 45.635 612.725 45.805 ;
+        RECT 613.015 45.635 613.185 45.805 ;
+        RECT 613.475 45.635 613.645 45.805 ;
+        RECT 613.935 45.635 614.105 45.805 ;
+        RECT 614.395 45.635 614.565 45.805 ;
+        RECT 614.855 45.635 615.025 45.805 ;
+        RECT 615.315 45.635 615.485 45.805 ;
+        RECT 615.775 45.635 615.945 45.805 ;
+        RECT 616.235 45.635 616.405 45.805 ;
+        RECT 616.695 45.635 616.865 45.805 ;
+        RECT 617.155 45.635 617.325 45.805 ;
+        RECT 617.615 45.635 617.785 45.805 ;
+        RECT 618.075 45.635 618.245 45.805 ;
+        RECT 618.535 45.635 618.705 45.805 ;
+        RECT 618.995 45.635 619.165 45.805 ;
+        RECT 619.455 45.635 619.625 45.805 ;
+        RECT 619.915 45.635 620.085 45.805 ;
+        RECT 620.375 45.635 620.545 45.805 ;
+        RECT 620.835 45.635 621.005 45.805 ;
+        RECT 621.295 45.635 621.465 45.805 ;
+        RECT 621.755 45.635 621.925 45.805 ;
+        RECT 622.215 45.635 622.385 45.805 ;
+        RECT 622.675 45.635 622.845 45.805 ;
+        RECT 623.135 45.635 623.305 45.805 ;
+        RECT 623.595 45.635 623.765 45.805 ;
+        RECT 624.055 45.635 624.225 45.805 ;
+        RECT 624.515 45.635 624.685 45.805 ;
+        RECT 624.975 45.635 625.145 45.805 ;
+        RECT 625.435 45.635 625.605 45.805 ;
+        RECT 625.895 45.635 626.065 45.805 ;
+        RECT 626.355 45.635 626.525 45.805 ;
+        RECT 626.815 45.635 626.985 45.805 ;
+        RECT 627.275 45.635 627.445 45.805 ;
+        RECT 627.735 45.635 627.905 45.805 ;
+        RECT 628.195 45.635 628.365 45.805 ;
+        RECT 628.655 45.635 628.825 45.805 ;
+        RECT 629.115 45.635 629.285 45.805 ;
+        RECT 629.575 45.635 629.745 45.805 ;
+        RECT 630.035 45.635 630.205 45.805 ;
+        RECT 630.495 45.635 630.665 45.805 ;
+        RECT 630.955 45.635 631.125 45.805 ;
+        RECT 78.495 44.785 78.665 44.955 ;
+        RECT 81.255 44.785 81.425 44.955 ;
+        RECT 79.415 44.445 79.585 44.615 ;
+        RECT 86.735 44.445 86.905 44.615 ;
+        RECT 87.235 44.445 87.405 44.615 ;
+        RECT 95.515 44.785 95.685 44.955 ;
+        RECT 94.135 44.445 94.305 44.615 ;
+        RECT 101.495 45.125 101.665 45.295 ;
+        RECT 100.575 44.445 100.745 44.615 ;
+        RECT 106.095 44.785 106.265 44.955 ;
+        RECT 109.775 44.785 109.945 44.955 ;
+        RECT 107.935 44.105 108.105 44.275 ;
+        RECT 115.295 44.445 115.465 44.615 ;
+        RECT 107.475 43.425 107.645 43.595 ;
+        RECT 118.515 45.125 118.685 45.295 ;
+        RECT 117.135 44.105 117.305 44.275 ;
+        RECT 123.115 44.445 123.285 44.615 ;
+        RECT 128.635 44.785 128.805 44.955 ;
+        RECT 116.675 43.425 116.845 43.595 ;
+        RECT 123.575 43.425 123.745 43.595 ;
+        RECT 129.370 44.105 129.540 44.275 ;
+        RECT 130.475 44.105 130.645 44.275 ;
+        RECT 136.915 44.445 137.085 44.615 ;
+        RECT 130.015 43.765 130.185 43.935 ;
+        RECT 131.855 43.425 132.025 43.595 ;
+        RECT 137.835 43.765 138.005 43.935 ;
+        RECT 143.355 44.445 143.525 44.615 ;
+        RECT 150.255 45.125 150.425 45.295 ;
+        RECT 144.275 43.425 144.445 43.595 ;
+        RECT 148.875 44.445 149.045 44.615 ;
+        RECT 151.175 44.445 151.345 44.615 ;
+        RECT 157.155 44.445 157.325 44.615 ;
+        RECT 157.620 43.765 157.790 43.935 ;
+        RECT 158.080 44.785 158.250 44.955 ;
+        RECT 158.535 44.105 158.705 44.275 ;
+        RECT 159.480 44.785 159.650 44.955 ;
+        RECT 161.320 44.785 161.490 44.955 ;
+        RECT 159.940 43.765 160.110 43.935 ;
+        RECT 161.320 43.765 161.490 43.935 ;
+        RECT 164.055 43.425 164.225 43.595 ;
+        RECT 174.635 44.445 174.805 44.615 ;
+        RECT 174.175 44.105 174.345 44.275 ;
+        RECT 176.020 44.105 176.190 44.275 ;
+        RECT 177.395 44.445 177.565 44.615 ;
+        RECT 178.315 44.445 178.485 44.615 ;
+        RECT 178.800 44.105 178.970 44.275 ;
+        RECT 186.135 44.445 186.305 44.615 ;
+        RECT 179.235 43.765 179.405 43.935 ;
+        RECT 186.600 43.765 186.770 43.935 ;
+        RECT 187.060 44.785 187.230 44.955 ;
+        RECT 187.515 44.445 187.685 44.615 ;
+        RECT 188.460 44.785 188.630 44.955 ;
+        RECT 190.300 44.785 190.470 44.955 ;
+        RECT 188.920 43.765 189.090 43.935 ;
+        RECT 190.300 43.765 190.470 43.935 ;
+        RECT 193.035 45.125 193.205 45.295 ;
+        RECT 206.375 44.445 206.545 44.615 ;
+        RECT 204.075 44.105 204.245 44.275 ;
+        RECT 208.215 44.445 208.385 44.615 ;
+        RECT 208.675 44.105 208.845 44.275 ;
+        RECT 214.655 44.445 214.825 44.615 ;
+        RECT 215.115 44.445 215.285 44.615 ;
+        RECT 215.780 44.445 215.950 44.615 ;
+        RECT 217.875 44.445 218.045 44.615 ;
+        RECT 222.935 45.125 223.105 45.295 ;
+        RECT 222.045 44.445 222.215 44.615 ;
+        RECT 228.455 44.445 228.625 44.615 ;
+        RECT 229.835 44.445 230.005 44.615 ;
+        RECT 228.915 43.765 229.085 43.935 ;
+        RECT 230.755 44.105 230.925 44.275 ;
+        RECT 237.195 45.125 237.365 45.295 ;
+        RECT 236.275 44.445 236.445 44.615 ;
+        RECT 242.715 44.445 242.885 44.615 ;
+        RECT 243.635 44.445 243.805 44.615 ;
+        RECT 249.615 44.785 249.785 44.955 ;
+        RECT 245.475 44.105 245.645 44.275 ;
+        RECT 250.535 44.445 250.705 44.615 ;
+        RECT 256.975 44.785 257.145 44.955 ;
+        RECT 258.355 45.125 258.525 45.295 ;
+        RECT 250.995 43.425 251.165 43.595 ;
+        RECT 257.895 44.445 258.065 44.615 ;
+        RECT 263.875 44.445 264.045 44.615 ;
+        RECT 264.795 43.425 264.965 43.595 ;
+        RECT 271.235 44.445 271.405 44.615 ;
+        RECT 277.215 45.125 277.385 45.295 ;
+        RECT 272.155 43.765 272.325 43.935 ;
+        RECT 278.135 44.445 278.305 44.615 ;
+        RECT 279.055 44.445 279.225 44.615 ;
+        RECT 286.875 44.445 287.045 44.615 ;
+        RECT 288.485 44.445 288.655 44.615 ;
+        RECT 294.235 45.125 294.405 45.295 ;
+        RECT 287.795 44.105 287.965 44.275 ;
+        RECT 293.315 44.445 293.485 44.615 ;
+        RECT 301.135 44.445 301.305 44.615 ;
+        RECT 302.515 44.445 302.685 44.615 ;
+        RECT 302.055 44.105 302.225 44.275 ;
+        RECT 307.575 44.445 307.745 44.615 ;
+        RECT 308.495 43.425 308.665 43.595 ;
+        RECT 315.395 44.445 315.565 44.615 ;
+        RECT 316.775 44.445 316.945 44.615 ;
+        RECT 316.315 44.105 316.485 44.275 ;
+        RECT 321.835 44.445 322.005 44.615 ;
+        RECT 328.735 45.125 328.905 45.295 ;
+        RECT 322.755 43.425 322.925 43.595 ;
+        RECT 329.655 44.445 329.825 44.615 ;
+        RECT 330.575 44.445 330.745 44.615 ;
+        RECT 336.095 44.445 336.265 44.615 ;
+        RECT 337.015 43.425 337.185 43.595 ;
+        RECT 345.295 44.445 345.465 44.615 ;
+        RECT 345.755 44.105 345.925 44.275 ;
+        RECT 348.055 44.445 348.225 44.615 ;
+        RECT 348.975 44.445 349.145 44.615 ;
+        RECT 350.815 44.445 350.985 44.615 ;
+        RECT 351.735 44.445 351.905 44.615 ;
+        RECT 357.255 45.125 357.425 45.295 ;
+        RECT 358.175 44.445 358.345 44.615 ;
+        RECT 359.095 44.445 359.265 44.615 ;
+        RECT 364.615 44.445 364.785 44.615 ;
+        RECT 371.515 45.125 371.685 45.295 ;
+        RECT 365.075 43.765 365.245 43.935 ;
+        RECT 372.435 44.445 372.605 44.615 ;
+        RECT 373.355 44.445 373.525 44.615 ;
+        RECT 379.795 43.425 379.965 43.595 ;
+        RECT 386.235 44.445 386.405 44.615 ;
+        RECT 391.295 43.765 391.465 43.935 ;
+        RECT 502.615 43.425 502.785 43.595 ;
+        RECT 586.795 43.425 586.965 43.595 ;
+        RECT 42.615 42.915 42.785 43.085 ;
+        RECT 43.075 42.915 43.245 43.085 ;
+        RECT 43.535 42.915 43.705 43.085 ;
+        RECT 43.995 42.915 44.165 43.085 ;
+        RECT 44.455 42.915 44.625 43.085 ;
+        RECT 44.915 42.915 45.085 43.085 ;
+        RECT 45.375 42.915 45.545 43.085 ;
+        RECT 45.835 42.915 46.005 43.085 ;
+        RECT 46.295 42.915 46.465 43.085 ;
+        RECT 46.755 42.915 46.925 43.085 ;
+        RECT 47.215 42.915 47.385 43.085 ;
+        RECT 47.675 42.915 47.845 43.085 ;
+        RECT 48.135 42.915 48.305 43.085 ;
+        RECT 48.595 42.915 48.765 43.085 ;
+        RECT 49.055 42.915 49.225 43.085 ;
+        RECT 49.515 42.915 49.685 43.085 ;
+        RECT 49.975 42.915 50.145 43.085 ;
+        RECT 50.435 42.915 50.605 43.085 ;
+        RECT 50.895 42.915 51.065 43.085 ;
+        RECT 51.355 42.915 51.525 43.085 ;
+        RECT 51.815 42.915 51.985 43.085 ;
+        RECT 52.275 42.915 52.445 43.085 ;
+        RECT 52.735 42.915 52.905 43.085 ;
+        RECT 53.195 42.915 53.365 43.085 ;
+        RECT 53.655 42.915 53.825 43.085 ;
+        RECT 54.115 42.915 54.285 43.085 ;
+        RECT 54.575 42.915 54.745 43.085 ;
+        RECT 55.035 42.915 55.205 43.085 ;
+        RECT 55.495 42.915 55.665 43.085 ;
+        RECT 55.955 42.915 56.125 43.085 ;
+        RECT 56.415 42.915 56.585 43.085 ;
+        RECT 56.875 42.915 57.045 43.085 ;
+        RECT 57.335 42.915 57.505 43.085 ;
+        RECT 57.795 42.915 57.965 43.085 ;
+        RECT 58.255 42.915 58.425 43.085 ;
+        RECT 58.715 42.915 58.885 43.085 ;
+        RECT 59.175 42.915 59.345 43.085 ;
+        RECT 59.635 42.915 59.805 43.085 ;
+        RECT 60.095 42.915 60.265 43.085 ;
+        RECT 60.555 42.915 60.725 43.085 ;
+        RECT 61.015 42.915 61.185 43.085 ;
+        RECT 61.475 42.915 61.645 43.085 ;
+        RECT 61.935 42.915 62.105 43.085 ;
+        RECT 62.395 42.915 62.565 43.085 ;
+        RECT 62.855 42.915 63.025 43.085 ;
+        RECT 63.315 42.915 63.485 43.085 ;
+        RECT 63.775 42.915 63.945 43.085 ;
+        RECT 64.235 42.915 64.405 43.085 ;
+        RECT 64.695 42.915 64.865 43.085 ;
+        RECT 65.155 42.915 65.325 43.085 ;
+        RECT 65.615 42.915 65.785 43.085 ;
+        RECT 66.075 42.915 66.245 43.085 ;
+        RECT 66.535 42.915 66.705 43.085 ;
+        RECT 66.995 42.915 67.165 43.085 ;
+        RECT 67.455 42.915 67.625 43.085 ;
+        RECT 67.915 42.915 68.085 43.085 ;
+        RECT 68.375 42.915 68.545 43.085 ;
+        RECT 68.835 42.915 69.005 43.085 ;
+        RECT 69.295 42.915 69.465 43.085 ;
+        RECT 69.755 42.915 69.925 43.085 ;
+        RECT 70.215 42.915 70.385 43.085 ;
+        RECT 70.675 42.915 70.845 43.085 ;
+        RECT 71.135 42.915 71.305 43.085 ;
+        RECT 71.595 42.915 71.765 43.085 ;
+        RECT 72.055 42.915 72.225 43.085 ;
+        RECT 72.515 42.915 72.685 43.085 ;
+        RECT 72.975 42.915 73.145 43.085 ;
+        RECT 73.435 42.915 73.605 43.085 ;
+        RECT 73.895 42.915 74.065 43.085 ;
+        RECT 74.355 42.915 74.525 43.085 ;
+        RECT 74.815 42.915 74.985 43.085 ;
+        RECT 75.275 42.915 75.445 43.085 ;
+        RECT 75.735 42.915 75.905 43.085 ;
+        RECT 76.195 42.915 76.365 43.085 ;
+        RECT 76.655 42.915 76.825 43.085 ;
+        RECT 77.115 42.915 77.285 43.085 ;
+        RECT 77.575 42.915 77.745 43.085 ;
+        RECT 78.035 42.915 78.205 43.085 ;
+        RECT 78.495 42.915 78.665 43.085 ;
+        RECT 78.955 42.915 79.125 43.085 ;
+        RECT 79.415 42.915 79.585 43.085 ;
+        RECT 79.875 42.915 80.045 43.085 ;
+        RECT 80.335 42.915 80.505 43.085 ;
+        RECT 80.795 42.915 80.965 43.085 ;
+        RECT 81.255 42.915 81.425 43.085 ;
+        RECT 81.715 42.915 81.885 43.085 ;
+        RECT 82.175 42.915 82.345 43.085 ;
+        RECT 82.635 42.915 82.805 43.085 ;
+        RECT 83.095 42.915 83.265 43.085 ;
+        RECT 83.555 42.915 83.725 43.085 ;
+        RECT 84.015 42.915 84.185 43.085 ;
+        RECT 84.475 42.915 84.645 43.085 ;
+        RECT 84.935 42.915 85.105 43.085 ;
+        RECT 85.395 42.915 85.565 43.085 ;
+        RECT 85.855 42.915 86.025 43.085 ;
+        RECT 86.315 42.915 86.485 43.085 ;
+        RECT 86.775 42.915 86.945 43.085 ;
+        RECT 87.235 42.915 87.405 43.085 ;
+        RECT 87.695 42.915 87.865 43.085 ;
+        RECT 88.155 42.915 88.325 43.085 ;
+        RECT 88.615 42.915 88.785 43.085 ;
+        RECT 89.075 42.915 89.245 43.085 ;
+        RECT 89.535 42.915 89.705 43.085 ;
+        RECT 89.995 42.915 90.165 43.085 ;
+        RECT 90.455 42.915 90.625 43.085 ;
+        RECT 90.915 42.915 91.085 43.085 ;
+        RECT 91.375 42.915 91.545 43.085 ;
+        RECT 91.835 42.915 92.005 43.085 ;
+        RECT 92.295 42.915 92.465 43.085 ;
+        RECT 92.755 42.915 92.925 43.085 ;
+        RECT 93.215 42.915 93.385 43.085 ;
+        RECT 93.675 42.915 93.845 43.085 ;
+        RECT 94.135 42.915 94.305 43.085 ;
+        RECT 94.595 42.915 94.765 43.085 ;
+        RECT 95.055 42.915 95.225 43.085 ;
+        RECT 95.515 42.915 95.685 43.085 ;
+        RECT 95.975 42.915 96.145 43.085 ;
+        RECT 96.435 42.915 96.605 43.085 ;
+        RECT 96.895 42.915 97.065 43.085 ;
+        RECT 97.355 42.915 97.525 43.085 ;
+        RECT 97.815 42.915 97.985 43.085 ;
+        RECT 98.275 42.915 98.445 43.085 ;
+        RECT 98.735 42.915 98.905 43.085 ;
+        RECT 99.195 42.915 99.365 43.085 ;
+        RECT 99.655 42.915 99.825 43.085 ;
+        RECT 100.115 42.915 100.285 43.085 ;
+        RECT 100.575 42.915 100.745 43.085 ;
+        RECT 101.035 42.915 101.205 43.085 ;
+        RECT 101.495 42.915 101.665 43.085 ;
+        RECT 101.955 42.915 102.125 43.085 ;
+        RECT 102.415 42.915 102.585 43.085 ;
+        RECT 102.875 42.915 103.045 43.085 ;
+        RECT 103.335 42.915 103.505 43.085 ;
+        RECT 103.795 42.915 103.965 43.085 ;
+        RECT 104.255 42.915 104.425 43.085 ;
+        RECT 104.715 42.915 104.885 43.085 ;
+        RECT 105.175 42.915 105.345 43.085 ;
+        RECT 105.635 42.915 105.805 43.085 ;
+        RECT 106.095 42.915 106.265 43.085 ;
+        RECT 106.555 42.915 106.725 43.085 ;
+        RECT 107.015 42.915 107.185 43.085 ;
+        RECT 107.475 42.915 107.645 43.085 ;
+        RECT 107.935 42.915 108.105 43.085 ;
+        RECT 108.395 42.915 108.565 43.085 ;
+        RECT 108.855 42.915 109.025 43.085 ;
+        RECT 109.315 42.915 109.485 43.085 ;
+        RECT 109.775 42.915 109.945 43.085 ;
+        RECT 110.235 42.915 110.405 43.085 ;
+        RECT 110.695 42.915 110.865 43.085 ;
+        RECT 111.155 42.915 111.325 43.085 ;
+        RECT 111.615 42.915 111.785 43.085 ;
+        RECT 112.075 42.915 112.245 43.085 ;
+        RECT 112.535 42.915 112.705 43.085 ;
+        RECT 112.995 42.915 113.165 43.085 ;
+        RECT 113.455 42.915 113.625 43.085 ;
+        RECT 113.915 42.915 114.085 43.085 ;
+        RECT 114.375 42.915 114.545 43.085 ;
+        RECT 114.835 42.915 115.005 43.085 ;
+        RECT 115.295 42.915 115.465 43.085 ;
+        RECT 115.755 42.915 115.925 43.085 ;
+        RECT 116.215 42.915 116.385 43.085 ;
+        RECT 116.675 42.915 116.845 43.085 ;
+        RECT 117.135 42.915 117.305 43.085 ;
+        RECT 117.595 42.915 117.765 43.085 ;
+        RECT 118.055 42.915 118.225 43.085 ;
+        RECT 118.515 42.915 118.685 43.085 ;
+        RECT 118.975 42.915 119.145 43.085 ;
+        RECT 119.435 42.915 119.605 43.085 ;
+        RECT 119.895 42.915 120.065 43.085 ;
+        RECT 120.355 42.915 120.525 43.085 ;
+        RECT 120.815 42.915 120.985 43.085 ;
+        RECT 121.275 42.915 121.445 43.085 ;
+        RECT 121.735 42.915 121.905 43.085 ;
+        RECT 122.195 42.915 122.365 43.085 ;
+        RECT 122.655 42.915 122.825 43.085 ;
+        RECT 123.115 42.915 123.285 43.085 ;
+        RECT 123.575 42.915 123.745 43.085 ;
+        RECT 124.035 42.915 124.205 43.085 ;
+        RECT 124.495 42.915 124.665 43.085 ;
+        RECT 124.955 42.915 125.125 43.085 ;
+        RECT 125.415 42.915 125.585 43.085 ;
+        RECT 125.875 42.915 126.045 43.085 ;
+        RECT 126.335 42.915 126.505 43.085 ;
+        RECT 126.795 42.915 126.965 43.085 ;
+        RECT 127.255 42.915 127.425 43.085 ;
+        RECT 127.715 42.915 127.885 43.085 ;
+        RECT 128.175 42.915 128.345 43.085 ;
+        RECT 128.635 42.915 128.805 43.085 ;
+        RECT 129.095 42.915 129.265 43.085 ;
+        RECT 129.555 42.915 129.725 43.085 ;
+        RECT 130.015 42.915 130.185 43.085 ;
+        RECT 130.475 42.915 130.645 43.085 ;
+        RECT 130.935 42.915 131.105 43.085 ;
+        RECT 131.395 42.915 131.565 43.085 ;
+        RECT 131.855 42.915 132.025 43.085 ;
+        RECT 132.315 42.915 132.485 43.085 ;
+        RECT 132.775 42.915 132.945 43.085 ;
+        RECT 133.235 42.915 133.405 43.085 ;
+        RECT 133.695 42.915 133.865 43.085 ;
+        RECT 134.155 42.915 134.325 43.085 ;
+        RECT 134.615 42.915 134.785 43.085 ;
+        RECT 135.075 42.915 135.245 43.085 ;
+        RECT 135.535 42.915 135.705 43.085 ;
+        RECT 135.995 42.915 136.165 43.085 ;
+        RECT 136.455 42.915 136.625 43.085 ;
+        RECT 136.915 42.915 137.085 43.085 ;
+        RECT 137.375 42.915 137.545 43.085 ;
+        RECT 137.835 42.915 138.005 43.085 ;
+        RECT 138.295 42.915 138.465 43.085 ;
+        RECT 138.755 42.915 138.925 43.085 ;
+        RECT 139.215 42.915 139.385 43.085 ;
+        RECT 139.675 42.915 139.845 43.085 ;
+        RECT 140.135 42.915 140.305 43.085 ;
+        RECT 140.595 42.915 140.765 43.085 ;
+        RECT 141.055 42.915 141.225 43.085 ;
+        RECT 141.515 42.915 141.685 43.085 ;
+        RECT 141.975 42.915 142.145 43.085 ;
+        RECT 142.435 42.915 142.605 43.085 ;
+        RECT 142.895 42.915 143.065 43.085 ;
+        RECT 143.355 42.915 143.525 43.085 ;
+        RECT 143.815 42.915 143.985 43.085 ;
+        RECT 144.275 42.915 144.445 43.085 ;
+        RECT 144.735 42.915 144.905 43.085 ;
+        RECT 145.195 42.915 145.365 43.085 ;
+        RECT 145.655 42.915 145.825 43.085 ;
+        RECT 146.115 42.915 146.285 43.085 ;
+        RECT 146.575 42.915 146.745 43.085 ;
+        RECT 147.035 42.915 147.205 43.085 ;
+        RECT 147.495 42.915 147.665 43.085 ;
+        RECT 147.955 42.915 148.125 43.085 ;
+        RECT 148.415 42.915 148.585 43.085 ;
+        RECT 148.875 42.915 149.045 43.085 ;
+        RECT 149.335 42.915 149.505 43.085 ;
+        RECT 149.795 42.915 149.965 43.085 ;
+        RECT 150.255 42.915 150.425 43.085 ;
+        RECT 150.715 42.915 150.885 43.085 ;
+        RECT 151.175 42.915 151.345 43.085 ;
+        RECT 151.635 42.915 151.805 43.085 ;
+        RECT 152.095 42.915 152.265 43.085 ;
+        RECT 152.555 42.915 152.725 43.085 ;
+        RECT 153.015 42.915 153.185 43.085 ;
+        RECT 153.475 42.915 153.645 43.085 ;
+        RECT 153.935 42.915 154.105 43.085 ;
+        RECT 154.395 42.915 154.565 43.085 ;
+        RECT 154.855 42.915 155.025 43.085 ;
+        RECT 155.315 42.915 155.485 43.085 ;
+        RECT 155.775 42.915 155.945 43.085 ;
+        RECT 156.235 42.915 156.405 43.085 ;
+        RECT 156.695 42.915 156.865 43.085 ;
+        RECT 157.155 42.915 157.325 43.085 ;
+        RECT 157.615 42.915 157.785 43.085 ;
+        RECT 158.075 42.915 158.245 43.085 ;
+        RECT 158.535 42.915 158.705 43.085 ;
+        RECT 158.995 42.915 159.165 43.085 ;
+        RECT 159.455 42.915 159.625 43.085 ;
+        RECT 159.915 42.915 160.085 43.085 ;
+        RECT 160.375 42.915 160.545 43.085 ;
+        RECT 160.835 42.915 161.005 43.085 ;
+        RECT 161.295 42.915 161.465 43.085 ;
+        RECT 161.755 42.915 161.925 43.085 ;
+        RECT 162.215 42.915 162.385 43.085 ;
+        RECT 162.675 42.915 162.845 43.085 ;
+        RECT 163.135 42.915 163.305 43.085 ;
+        RECT 163.595 42.915 163.765 43.085 ;
+        RECT 164.055 42.915 164.225 43.085 ;
+        RECT 164.515 42.915 164.685 43.085 ;
+        RECT 164.975 42.915 165.145 43.085 ;
+        RECT 165.435 42.915 165.605 43.085 ;
+        RECT 165.895 42.915 166.065 43.085 ;
+        RECT 166.355 42.915 166.525 43.085 ;
+        RECT 166.815 42.915 166.985 43.085 ;
+        RECT 167.275 42.915 167.445 43.085 ;
+        RECT 167.735 42.915 167.905 43.085 ;
+        RECT 168.195 42.915 168.365 43.085 ;
+        RECT 168.655 42.915 168.825 43.085 ;
+        RECT 169.115 42.915 169.285 43.085 ;
+        RECT 169.575 42.915 169.745 43.085 ;
+        RECT 170.035 42.915 170.205 43.085 ;
+        RECT 170.495 42.915 170.665 43.085 ;
+        RECT 170.955 42.915 171.125 43.085 ;
+        RECT 171.415 42.915 171.585 43.085 ;
+        RECT 171.875 42.915 172.045 43.085 ;
+        RECT 172.335 42.915 172.505 43.085 ;
+        RECT 172.795 42.915 172.965 43.085 ;
+        RECT 173.255 42.915 173.425 43.085 ;
+        RECT 173.715 42.915 173.885 43.085 ;
+        RECT 174.175 42.915 174.345 43.085 ;
+        RECT 174.635 42.915 174.805 43.085 ;
+        RECT 175.095 42.915 175.265 43.085 ;
+        RECT 175.555 42.915 175.725 43.085 ;
+        RECT 176.015 42.915 176.185 43.085 ;
+        RECT 176.475 42.915 176.645 43.085 ;
+        RECT 176.935 42.915 177.105 43.085 ;
+        RECT 177.395 42.915 177.565 43.085 ;
+        RECT 177.855 42.915 178.025 43.085 ;
+        RECT 178.315 42.915 178.485 43.085 ;
+        RECT 178.775 42.915 178.945 43.085 ;
+        RECT 179.235 42.915 179.405 43.085 ;
+        RECT 179.695 42.915 179.865 43.085 ;
+        RECT 180.155 42.915 180.325 43.085 ;
+        RECT 180.615 42.915 180.785 43.085 ;
+        RECT 181.075 42.915 181.245 43.085 ;
+        RECT 181.535 42.915 181.705 43.085 ;
+        RECT 181.995 42.915 182.165 43.085 ;
+        RECT 182.455 42.915 182.625 43.085 ;
+        RECT 182.915 42.915 183.085 43.085 ;
+        RECT 183.375 42.915 183.545 43.085 ;
+        RECT 183.835 42.915 184.005 43.085 ;
+        RECT 184.295 42.915 184.465 43.085 ;
+        RECT 184.755 42.915 184.925 43.085 ;
+        RECT 185.215 42.915 185.385 43.085 ;
+        RECT 185.675 42.915 185.845 43.085 ;
+        RECT 186.135 42.915 186.305 43.085 ;
+        RECT 186.595 42.915 186.765 43.085 ;
+        RECT 187.055 42.915 187.225 43.085 ;
+        RECT 187.515 42.915 187.685 43.085 ;
+        RECT 187.975 42.915 188.145 43.085 ;
+        RECT 188.435 42.915 188.605 43.085 ;
+        RECT 188.895 42.915 189.065 43.085 ;
+        RECT 189.355 42.915 189.525 43.085 ;
+        RECT 189.815 42.915 189.985 43.085 ;
+        RECT 190.275 42.915 190.445 43.085 ;
+        RECT 190.735 42.915 190.905 43.085 ;
+        RECT 191.195 42.915 191.365 43.085 ;
+        RECT 191.655 42.915 191.825 43.085 ;
+        RECT 192.115 42.915 192.285 43.085 ;
+        RECT 192.575 42.915 192.745 43.085 ;
+        RECT 193.035 42.915 193.205 43.085 ;
+        RECT 193.495 42.915 193.665 43.085 ;
+        RECT 193.955 42.915 194.125 43.085 ;
+        RECT 194.415 42.915 194.585 43.085 ;
+        RECT 194.875 42.915 195.045 43.085 ;
+        RECT 195.335 42.915 195.505 43.085 ;
+        RECT 195.795 42.915 195.965 43.085 ;
+        RECT 196.255 42.915 196.425 43.085 ;
+        RECT 196.715 42.915 196.885 43.085 ;
+        RECT 197.175 42.915 197.345 43.085 ;
+        RECT 197.635 42.915 197.805 43.085 ;
+        RECT 198.095 42.915 198.265 43.085 ;
+        RECT 198.555 42.915 198.725 43.085 ;
+        RECT 199.015 42.915 199.185 43.085 ;
+        RECT 199.475 42.915 199.645 43.085 ;
+        RECT 199.935 42.915 200.105 43.085 ;
+        RECT 200.395 42.915 200.565 43.085 ;
+        RECT 200.855 42.915 201.025 43.085 ;
+        RECT 201.315 42.915 201.485 43.085 ;
+        RECT 201.775 42.915 201.945 43.085 ;
+        RECT 202.235 42.915 202.405 43.085 ;
+        RECT 202.695 42.915 202.865 43.085 ;
+        RECT 203.155 42.915 203.325 43.085 ;
+        RECT 203.615 42.915 203.785 43.085 ;
+        RECT 204.075 42.915 204.245 43.085 ;
+        RECT 204.535 42.915 204.705 43.085 ;
+        RECT 204.995 42.915 205.165 43.085 ;
+        RECT 205.455 42.915 205.625 43.085 ;
+        RECT 205.915 42.915 206.085 43.085 ;
+        RECT 206.375 42.915 206.545 43.085 ;
+        RECT 206.835 42.915 207.005 43.085 ;
+        RECT 207.295 42.915 207.465 43.085 ;
+        RECT 207.755 42.915 207.925 43.085 ;
+        RECT 208.215 42.915 208.385 43.085 ;
+        RECT 208.675 42.915 208.845 43.085 ;
+        RECT 209.135 42.915 209.305 43.085 ;
+        RECT 209.595 42.915 209.765 43.085 ;
+        RECT 210.055 42.915 210.225 43.085 ;
+        RECT 210.515 42.915 210.685 43.085 ;
+        RECT 210.975 42.915 211.145 43.085 ;
+        RECT 211.435 42.915 211.605 43.085 ;
+        RECT 211.895 42.915 212.065 43.085 ;
+        RECT 212.355 42.915 212.525 43.085 ;
+        RECT 212.815 42.915 212.985 43.085 ;
+        RECT 213.275 42.915 213.445 43.085 ;
+        RECT 213.735 42.915 213.905 43.085 ;
+        RECT 214.195 42.915 214.365 43.085 ;
+        RECT 214.655 42.915 214.825 43.085 ;
+        RECT 215.115 42.915 215.285 43.085 ;
+        RECT 215.575 42.915 215.745 43.085 ;
+        RECT 216.035 42.915 216.205 43.085 ;
+        RECT 216.495 42.915 216.665 43.085 ;
+        RECT 216.955 42.915 217.125 43.085 ;
+        RECT 217.415 42.915 217.585 43.085 ;
+        RECT 217.875 42.915 218.045 43.085 ;
+        RECT 218.335 42.915 218.505 43.085 ;
+        RECT 218.795 42.915 218.965 43.085 ;
+        RECT 219.255 42.915 219.425 43.085 ;
+        RECT 219.715 42.915 219.885 43.085 ;
+        RECT 220.175 42.915 220.345 43.085 ;
+        RECT 220.635 42.915 220.805 43.085 ;
+        RECT 221.095 42.915 221.265 43.085 ;
+        RECT 221.555 42.915 221.725 43.085 ;
+        RECT 222.015 42.915 222.185 43.085 ;
+        RECT 222.475 42.915 222.645 43.085 ;
+        RECT 222.935 42.915 223.105 43.085 ;
+        RECT 223.395 42.915 223.565 43.085 ;
+        RECT 223.855 42.915 224.025 43.085 ;
+        RECT 224.315 42.915 224.485 43.085 ;
+        RECT 224.775 42.915 224.945 43.085 ;
+        RECT 225.235 42.915 225.405 43.085 ;
+        RECT 225.695 42.915 225.865 43.085 ;
+        RECT 226.155 42.915 226.325 43.085 ;
+        RECT 226.615 42.915 226.785 43.085 ;
+        RECT 227.075 42.915 227.245 43.085 ;
+        RECT 227.535 42.915 227.705 43.085 ;
+        RECT 227.995 42.915 228.165 43.085 ;
+        RECT 228.455 42.915 228.625 43.085 ;
+        RECT 228.915 42.915 229.085 43.085 ;
+        RECT 229.375 42.915 229.545 43.085 ;
+        RECT 229.835 42.915 230.005 43.085 ;
+        RECT 230.295 42.915 230.465 43.085 ;
+        RECT 230.755 42.915 230.925 43.085 ;
+        RECT 231.215 42.915 231.385 43.085 ;
+        RECT 231.675 42.915 231.845 43.085 ;
+        RECT 232.135 42.915 232.305 43.085 ;
+        RECT 232.595 42.915 232.765 43.085 ;
+        RECT 233.055 42.915 233.225 43.085 ;
+        RECT 233.515 42.915 233.685 43.085 ;
+        RECT 233.975 42.915 234.145 43.085 ;
+        RECT 234.435 42.915 234.605 43.085 ;
+        RECT 234.895 42.915 235.065 43.085 ;
+        RECT 235.355 42.915 235.525 43.085 ;
+        RECT 235.815 42.915 235.985 43.085 ;
+        RECT 236.275 42.915 236.445 43.085 ;
+        RECT 236.735 42.915 236.905 43.085 ;
+        RECT 237.195 42.915 237.365 43.085 ;
+        RECT 237.655 42.915 237.825 43.085 ;
+        RECT 238.115 42.915 238.285 43.085 ;
+        RECT 238.575 42.915 238.745 43.085 ;
+        RECT 239.035 42.915 239.205 43.085 ;
+        RECT 239.495 42.915 239.665 43.085 ;
+        RECT 239.955 42.915 240.125 43.085 ;
+        RECT 240.415 42.915 240.585 43.085 ;
+        RECT 240.875 42.915 241.045 43.085 ;
+        RECT 241.335 42.915 241.505 43.085 ;
+        RECT 241.795 42.915 241.965 43.085 ;
+        RECT 242.255 42.915 242.425 43.085 ;
+        RECT 242.715 42.915 242.885 43.085 ;
+        RECT 243.175 42.915 243.345 43.085 ;
+        RECT 243.635 42.915 243.805 43.085 ;
+        RECT 244.095 42.915 244.265 43.085 ;
+        RECT 244.555 42.915 244.725 43.085 ;
+        RECT 245.015 42.915 245.185 43.085 ;
+        RECT 245.475 42.915 245.645 43.085 ;
+        RECT 245.935 42.915 246.105 43.085 ;
+        RECT 246.395 42.915 246.565 43.085 ;
+        RECT 246.855 42.915 247.025 43.085 ;
+        RECT 247.315 42.915 247.485 43.085 ;
+        RECT 247.775 42.915 247.945 43.085 ;
+        RECT 248.235 42.915 248.405 43.085 ;
+        RECT 248.695 42.915 248.865 43.085 ;
+        RECT 249.155 42.915 249.325 43.085 ;
+        RECT 249.615 42.915 249.785 43.085 ;
+        RECT 250.075 42.915 250.245 43.085 ;
+        RECT 250.535 42.915 250.705 43.085 ;
+        RECT 250.995 42.915 251.165 43.085 ;
+        RECT 251.455 42.915 251.625 43.085 ;
+        RECT 251.915 42.915 252.085 43.085 ;
+        RECT 252.375 42.915 252.545 43.085 ;
+        RECT 252.835 42.915 253.005 43.085 ;
+        RECT 253.295 42.915 253.465 43.085 ;
+        RECT 253.755 42.915 253.925 43.085 ;
+        RECT 254.215 42.915 254.385 43.085 ;
+        RECT 254.675 42.915 254.845 43.085 ;
+        RECT 255.135 42.915 255.305 43.085 ;
+        RECT 255.595 42.915 255.765 43.085 ;
+        RECT 256.055 42.915 256.225 43.085 ;
+        RECT 256.515 42.915 256.685 43.085 ;
+        RECT 256.975 42.915 257.145 43.085 ;
+        RECT 257.435 42.915 257.605 43.085 ;
+        RECT 257.895 42.915 258.065 43.085 ;
+        RECT 258.355 42.915 258.525 43.085 ;
+        RECT 258.815 42.915 258.985 43.085 ;
+        RECT 259.275 42.915 259.445 43.085 ;
+        RECT 259.735 42.915 259.905 43.085 ;
+        RECT 260.195 42.915 260.365 43.085 ;
+        RECT 260.655 42.915 260.825 43.085 ;
+        RECT 261.115 42.915 261.285 43.085 ;
+        RECT 261.575 42.915 261.745 43.085 ;
+        RECT 262.035 42.915 262.205 43.085 ;
+        RECT 262.495 42.915 262.665 43.085 ;
+        RECT 262.955 42.915 263.125 43.085 ;
+        RECT 263.415 42.915 263.585 43.085 ;
+        RECT 263.875 42.915 264.045 43.085 ;
+        RECT 264.335 42.915 264.505 43.085 ;
+        RECT 264.795 42.915 264.965 43.085 ;
+        RECT 265.255 42.915 265.425 43.085 ;
+        RECT 265.715 42.915 265.885 43.085 ;
+        RECT 266.175 42.915 266.345 43.085 ;
+        RECT 266.635 42.915 266.805 43.085 ;
+        RECT 267.095 42.915 267.265 43.085 ;
+        RECT 267.555 42.915 267.725 43.085 ;
+        RECT 268.015 42.915 268.185 43.085 ;
+        RECT 268.475 42.915 268.645 43.085 ;
+        RECT 268.935 42.915 269.105 43.085 ;
+        RECT 269.395 42.915 269.565 43.085 ;
+        RECT 269.855 42.915 270.025 43.085 ;
+        RECT 270.315 42.915 270.485 43.085 ;
+        RECT 270.775 42.915 270.945 43.085 ;
+        RECT 271.235 42.915 271.405 43.085 ;
+        RECT 271.695 42.915 271.865 43.085 ;
+        RECT 272.155 42.915 272.325 43.085 ;
+        RECT 272.615 42.915 272.785 43.085 ;
+        RECT 273.075 42.915 273.245 43.085 ;
+        RECT 273.535 42.915 273.705 43.085 ;
+        RECT 273.995 42.915 274.165 43.085 ;
+        RECT 274.455 42.915 274.625 43.085 ;
+        RECT 274.915 42.915 275.085 43.085 ;
+        RECT 275.375 42.915 275.545 43.085 ;
+        RECT 275.835 42.915 276.005 43.085 ;
+        RECT 276.295 42.915 276.465 43.085 ;
+        RECT 276.755 42.915 276.925 43.085 ;
+        RECT 277.215 42.915 277.385 43.085 ;
+        RECT 277.675 42.915 277.845 43.085 ;
+        RECT 278.135 42.915 278.305 43.085 ;
+        RECT 278.595 42.915 278.765 43.085 ;
+        RECT 279.055 42.915 279.225 43.085 ;
+        RECT 279.515 42.915 279.685 43.085 ;
+        RECT 279.975 42.915 280.145 43.085 ;
+        RECT 280.435 42.915 280.605 43.085 ;
+        RECT 280.895 42.915 281.065 43.085 ;
+        RECT 281.355 42.915 281.525 43.085 ;
+        RECT 281.815 42.915 281.985 43.085 ;
+        RECT 282.275 42.915 282.445 43.085 ;
+        RECT 282.735 42.915 282.905 43.085 ;
+        RECT 283.195 42.915 283.365 43.085 ;
+        RECT 283.655 42.915 283.825 43.085 ;
+        RECT 284.115 42.915 284.285 43.085 ;
+        RECT 284.575 42.915 284.745 43.085 ;
+        RECT 285.035 42.915 285.205 43.085 ;
+        RECT 285.495 42.915 285.665 43.085 ;
+        RECT 285.955 42.915 286.125 43.085 ;
+        RECT 286.415 42.915 286.585 43.085 ;
+        RECT 286.875 42.915 287.045 43.085 ;
+        RECT 287.335 42.915 287.505 43.085 ;
+        RECT 287.795 42.915 287.965 43.085 ;
+        RECT 288.255 42.915 288.425 43.085 ;
+        RECT 288.715 42.915 288.885 43.085 ;
+        RECT 289.175 42.915 289.345 43.085 ;
+        RECT 289.635 42.915 289.805 43.085 ;
+        RECT 290.095 42.915 290.265 43.085 ;
+        RECT 290.555 42.915 290.725 43.085 ;
+        RECT 291.015 42.915 291.185 43.085 ;
+        RECT 291.475 42.915 291.645 43.085 ;
+        RECT 291.935 42.915 292.105 43.085 ;
+        RECT 292.395 42.915 292.565 43.085 ;
+        RECT 292.855 42.915 293.025 43.085 ;
+        RECT 293.315 42.915 293.485 43.085 ;
+        RECT 293.775 42.915 293.945 43.085 ;
+        RECT 294.235 42.915 294.405 43.085 ;
+        RECT 294.695 42.915 294.865 43.085 ;
+        RECT 295.155 42.915 295.325 43.085 ;
+        RECT 295.615 42.915 295.785 43.085 ;
+        RECT 296.075 42.915 296.245 43.085 ;
+        RECT 296.535 42.915 296.705 43.085 ;
+        RECT 296.995 42.915 297.165 43.085 ;
+        RECT 297.455 42.915 297.625 43.085 ;
+        RECT 297.915 42.915 298.085 43.085 ;
+        RECT 298.375 42.915 298.545 43.085 ;
+        RECT 298.835 42.915 299.005 43.085 ;
+        RECT 299.295 42.915 299.465 43.085 ;
+        RECT 299.755 42.915 299.925 43.085 ;
+        RECT 300.215 42.915 300.385 43.085 ;
+        RECT 300.675 42.915 300.845 43.085 ;
+        RECT 301.135 42.915 301.305 43.085 ;
+        RECT 301.595 42.915 301.765 43.085 ;
+        RECT 302.055 42.915 302.225 43.085 ;
+        RECT 302.515 42.915 302.685 43.085 ;
+        RECT 302.975 42.915 303.145 43.085 ;
+        RECT 303.435 42.915 303.605 43.085 ;
+        RECT 303.895 42.915 304.065 43.085 ;
+        RECT 304.355 42.915 304.525 43.085 ;
+        RECT 304.815 42.915 304.985 43.085 ;
+        RECT 305.275 42.915 305.445 43.085 ;
+        RECT 305.735 42.915 305.905 43.085 ;
+        RECT 306.195 42.915 306.365 43.085 ;
+        RECT 306.655 42.915 306.825 43.085 ;
+        RECT 307.115 42.915 307.285 43.085 ;
+        RECT 307.575 42.915 307.745 43.085 ;
+        RECT 308.035 42.915 308.205 43.085 ;
+        RECT 308.495 42.915 308.665 43.085 ;
+        RECT 308.955 42.915 309.125 43.085 ;
+        RECT 309.415 42.915 309.585 43.085 ;
+        RECT 309.875 42.915 310.045 43.085 ;
+        RECT 310.335 42.915 310.505 43.085 ;
+        RECT 310.795 42.915 310.965 43.085 ;
+        RECT 311.255 42.915 311.425 43.085 ;
+        RECT 311.715 42.915 311.885 43.085 ;
+        RECT 312.175 42.915 312.345 43.085 ;
+        RECT 312.635 42.915 312.805 43.085 ;
+        RECT 313.095 42.915 313.265 43.085 ;
+        RECT 313.555 42.915 313.725 43.085 ;
+        RECT 314.015 42.915 314.185 43.085 ;
+        RECT 314.475 42.915 314.645 43.085 ;
+        RECT 314.935 42.915 315.105 43.085 ;
+        RECT 315.395 42.915 315.565 43.085 ;
+        RECT 315.855 42.915 316.025 43.085 ;
+        RECT 316.315 42.915 316.485 43.085 ;
+        RECT 316.775 42.915 316.945 43.085 ;
+        RECT 317.235 42.915 317.405 43.085 ;
+        RECT 317.695 42.915 317.865 43.085 ;
+        RECT 318.155 42.915 318.325 43.085 ;
+        RECT 318.615 42.915 318.785 43.085 ;
+        RECT 319.075 42.915 319.245 43.085 ;
+        RECT 319.535 42.915 319.705 43.085 ;
+        RECT 319.995 42.915 320.165 43.085 ;
+        RECT 320.455 42.915 320.625 43.085 ;
+        RECT 320.915 42.915 321.085 43.085 ;
+        RECT 321.375 42.915 321.545 43.085 ;
+        RECT 321.835 42.915 322.005 43.085 ;
+        RECT 322.295 42.915 322.465 43.085 ;
+        RECT 322.755 42.915 322.925 43.085 ;
+        RECT 323.215 42.915 323.385 43.085 ;
+        RECT 323.675 42.915 323.845 43.085 ;
+        RECT 324.135 42.915 324.305 43.085 ;
+        RECT 324.595 42.915 324.765 43.085 ;
+        RECT 325.055 42.915 325.225 43.085 ;
+        RECT 325.515 42.915 325.685 43.085 ;
+        RECT 325.975 42.915 326.145 43.085 ;
+        RECT 326.435 42.915 326.605 43.085 ;
+        RECT 326.895 42.915 327.065 43.085 ;
+        RECT 327.355 42.915 327.525 43.085 ;
+        RECT 327.815 42.915 327.985 43.085 ;
+        RECT 328.275 42.915 328.445 43.085 ;
+        RECT 328.735 42.915 328.905 43.085 ;
+        RECT 329.195 42.915 329.365 43.085 ;
+        RECT 329.655 42.915 329.825 43.085 ;
+        RECT 330.115 42.915 330.285 43.085 ;
+        RECT 330.575 42.915 330.745 43.085 ;
+        RECT 331.035 42.915 331.205 43.085 ;
+        RECT 331.495 42.915 331.665 43.085 ;
+        RECT 331.955 42.915 332.125 43.085 ;
+        RECT 332.415 42.915 332.585 43.085 ;
+        RECT 332.875 42.915 333.045 43.085 ;
+        RECT 333.335 42.915 333.505 43.085 ;
+        RECT 333.795 42.915 333.965 43.085 ;
+        RECT 334.255 42.915 334.425 43.085 ;
+        RECT 334.715 42.915 334.885 43.085 ;
+        RECT 335.175 42.915 335.345 43.085 ;
+        RECT 335.635 42.915 335.805 43.085 ;
+        RECT 336.095 42.915 336.265 43.085 ;
+        RECT 336.555 42.915 336.725 43.085 ;
+        RECT 337.015 42.915 337.185 43.085 ;
+        RECT 337.475 42.915 337.645 43.085 ;
+        RECT 337.935 42.915 338.105 43.085 ;
+        RECT 338.395 42.915 338.565 43.085 ;
+        RECT 338.855 42.915 339.025 43.085 ;
+        RECT 339.315 42.915 339.485 43.085 ;
+        RECT 339.775 42.915 339.945 43.085 ;
+        RECT 340.235 42.915 340.405 43.085 ;
+        RECT 340.695 42.915 340.865 43.085 ;
+        RECT 341.155 42.915 341.325 43.085 ;
+        RECT 341.615 42.915 341.785 43.085 ;
+        RECT 342.075 42.915 342.245 43.085 ;
+        RECT 342.535 42.915 342.705 43.085 ;
+        RECT 342.995 42.915 343.165 43.085 ;
+        RECT 343.455 42.915 343.625 43.085 ;
+        RECT 343.915 42.915 344.085 43.085 ;
+        RECT 344.375 42.915 344.545 43.085 ;
+        RECT 344.835 42.915 345.005 43.085 ;
+        RECT 345.295 42.915 345.465 43.085 ;
+        RECT 345.755 42.915 345.925 43.085 ;
+        RECT 346.215 42.915 346.385 43.085 ;
+        RECT 346.675 42.915 346.845 43.085 ;
+        RECT 347.135 42.915 347.305 43.085 ;
+        RECT 347.595 42.915 347.765 43.085 ;
+        RECT 348.055 42.915 348.225 43.085 ;
+        RECT 348.515 42.915 348.685 43.085 ;
+        RECT 348.975 42.915 349.145 43.085 ;
+        RECT 349.435 42.915 349.605 43.085 ;
+        RECT 349.895 42.915 350.065 43.085 ;
+        RECT 350.355 42.915 350.525 43.085 ;
+        RECT 350.815 42.915 350.985 43.085 ;
+        RECT 351.275 42.915 351.445 43.085 ;
+        RECT 351.735 42.915 351.905 43.085 ;
+        RECT 352.195 42.915 352.365 43.085 ;
+        RECT 352.655 42.915 352.825 43.085 ;
+        RECT 353.115 42.915 353.285 43.085 ;
+        RECT 353.575 42.915 353.745 43.085 ;
+        RECT 354.035 42.915 354.205 43.085 ;
+        RECT 354.495 42.915 354.665 43.085 ;
+        RECT 354.955 42.915 355.125 43.085 ;
+        RECT 355.415 42.915 355.585 43.085 ;
+        RECT 355.875 42.915 356.045 43.085 ;
+        RECT 356.335 42.915 356.505 43.085 ;
+        RECT 356.795 42.915 356.965 43.085 ;
+        RECT 357.255 42.915 357.425 43.085 ;
+        RECT 357.715 42.915 357.885 43.085 ;
+        RECT 358.175 42.915 358.345 43.085 ;
+        RECT 358.635 42.915 358.805 43.085 ;
+        RECT 359.095 42.915 359.265 43.085 ;
+        RECT 359.555 42.915 359.725 43.085 ;
+        RECT 360.015 42.915 360.185 43.085 ;
+        RECT 360.475 42.915 360.645 43.085 ;
+        RECT 360.935 42.915 361.105 43.085 ;
+        RECT 361.395 42.915 361.565 43.085 ;
+        RECT 361.855 42.915 362.025 43.085 ;
+        RECT 362.315 42.915 362.485 43.085 ;
+        RECT 362.775 42.915 362.945 43.085 ;
+        RECT 363.235 42.915 363.405 43.085 ;
+        RECT 363.695 42.915 363.865 43.085 ;
+        RECT 364.155 42.915 364.325 43.085 ;
+        RECT 364.615 42.915 364.785 43.085 ;
+        RECT 365.075 42.915 365.245 43.085 ;
+        RECT 365.535 42.915 365.705 43.085 ;
+        RECT 365.995 42.915 366.165 43.085 ;
+        RECT 366.455 42.915 366.625 43.085 ;
+        RECT 366.915 42.915 367.085 43.085 ;
+        RECT 367.375 42.915 367.545 43.085 ;
+        RECT 367.835 42.915 368.005 43.085 ;
+        RECT 368.295 42.915 368.465 43.085 ;
+        RECT 368.755 42.915 368.925 43.085 ;
+        RECT 369.215 42.915 369.385 43.085 ;
+        RECT 369.675 42.915 369.845 43.085 ;
+        RECT 370.135 42.915 370.305 43.085 ;
+        RECT 370.595 42.915 370.765 43.085 ;
+        RECT 371.055 42.915 371.225 43.085 ;
+        RECT 371.515 42.915 371.685 43.085 ;
+        RECT 371.975 42.915 372.145 43.085 ;
+        RECT 372.435 42.915 372.605 43.085 ;
+        RECT 372.895 42.915 373.065 43.085 ;
+        RECT 373.355 42.915 373.525 43.085 ;
+        RECT 373.815 42.915 373.985 43.085 ;
+        RECT 374.275 42.915 374.445 43.085 ;
+        RECT 374.735 42.915 374.905 43.085 ;
+        RECT 375.195 42.915 375.365 43.085 ;
+        RECT 375.655 42.915 375.825 43.085 ;
+        RECT 376.115 42.915 376.285 43.085 ;
+        RECT 376.575 42.915 376.745 43.085 ;
+        RECT 377.035 42.915 377.205 43.085 ;
+        RECT 377.495 42.915 377.665 43.085 ;
+        RECT 377.955 42.915 378.125 43.085 ;
+        RECT 378.415 42.915 378.585 43.085 ;
+        RECT 378.875 42.915 379.045 43.085 ;
+        RECT 379.335 42.915 379.505 43.085 ;
+        RECT 379.795 42.915 379.965 43.085 ;
+        RECT 380.255 42.915 380.425 43.085 ;
+        RECT 380.715 42.915 380.885 43.085 ;
+        RECT 381.175 42.915 381.345 43.085 ;
+        RECT 381.635 42.915 381.805 43.085 ;
+        RECT 382.095 42.915 382.265 43.085 ;
+        RECT 382.555 42.915 382.725 43.085 ;
+        RECT 383.015 42.915 383.185 43.085 ;
+        RECT 383.475 42.915 383.645 43.085 ;
+        RECT 383.935 42.915 384.105 43.085 ;
+        RECT 384.395 42.915 384.565 43.085 ;
+        RECT 384.855 42.915 385.025 43.085 ;
+        RECT 385.315 42.915 385.485 43.085 ;
+        RECT 385.775 42.915 385.945 43.085 ;
+        RECT 386.235 42.915 386.405 43.085 ;
+        RECT 386.695 42.915 386.865 43.085 ;
+        RECT 387.155 42.915 387.325 43.085 ;
+        RECT 387.615 42.915 387.785 43.085 ;
+        RECT 388.075 42.915 388.245 43.085 ;
+        RECT 388.535 42.915 388.705 43.085 ;
+        RECT 388.995 42.915 389.165 43.085 ;
+        RECT 389.455 42.915 389.625 43.085 ;
+        RECT 389.915 42.915 390.085 43.085 ;
+        RECT 390.375 42.915 390.545 43.085 ;
+        RECT 390.835 42.915 391.005 43.085 ;
+        RECT 391.295 42.915 391.465 43.085 ;
+        RECT 391.755 42.915 391.925 43.085 ;
+        RECT 392.215 42.915 392.385 43.085 ;
+        RECT 392.675 42.915 392.845 43.085 ;
+        RECT 393.135 42.915 393.305 43.085 ;
+        RECT 393.595 42.915 393.765 43.085 ;
+        RECT 394.055 42.915 394.225 43.085 ;
+        RECT 394.515 42.915 394.685 43.085 ;
+        RECT 394.975 42.915 395.145 43.085 ;
+        RECT 395.435 42.915 395.605 43.085 ;
+        RECT 395.895 42.915 396.065 43.085 ;
+        RECT 396.355 42.915 396.525 43.085 ;
+        RECT 396.815 42.915 396.985 43.085 ;
+        RECT 397.275 42.915 397.445 43.085 ;
+        RECT 397.735 42.915 397.905 43.085 ;
+        RECT 398.195 42.915 398.365 43.085 ;
+        RECT 398.655 42.915 398.825 43.085 ;
+        RECT 399.115 42.915 399.285 43.085 ;
+        RECT 399.575 42.915 399.745 43.085 ;
+        RECT 400.035 42.915 400.205 43.085 ;
+        RECT 400.495 42.915 400.665 43.085 ;
+        RECT 400.955 42.915 401.125 43.085 ;
+        RECT 401.415 42.915 401.585 43.085 ;
+        RECT 401.875 42.915 402.045 43.085 ;
+        RECT 402.335 42.915 402.505 43.085 ;
+        RECT 402.795 42.915 402.965 43.085 ;
+        RECT 403.255 42.915 403.425 43.085 ;
+        RECT 403.715 42.915 403.885 43.085 ;
+        RECT 404.175 42.915 404.345 43.085 ;
+        RECT 404.635 42.915 404.805 43.085 ;
+        RECT 405.095 42.915 405.265 43.085 ;
+        RECT 405.555 42.915 405.725 43.085 ;
+        RECT 406.015 42.915 406.185 43.085 ;
+        RECT 406.475 42.915 406.645 43.085 ;
+        RECT 406.935 42.915 407.105 43.085 ;
+        RECT 407.395 42.915 407.565 43.085 ;
+        RECT 407.855 42.915 408.025 43.085 ;
+        RECT 408.315 42.915 408.485 43.085 ;
+        RECT 408.775 42.915 408.945 43.085 ;
+        RECT 409.235 42.915 409.405 43.085 ;
+        RECT 409.695 42.915 409.865 43.085 ;
+        RECT 410.155 42.915 410.325 43.085 ;
+        RECT 410.615 42.915 410.785 43.085 ;
+        RECT 411.075 42.915 411.245 43.085 ;
+        RECT 411.535 42.915 411.705 43.085 ;
+        RECT 411.995 42.915 412.165 43.085 ;
+        RECT 412.455 42.915 412.625 43.085 ;
+        RECT 412.915 42.915 413.085 43.085 ;
+        RECT 413.375 42.915 413.545 43.085 ;
+        RECT 413.835 42.915 414.005 43.085 ;
+        RECT 414.295 42.915 414.465 43.085 ;
+        RECT 414.755 42.915 414.925 43.085 ;
+        RECT 415.215 42.915 415.385 43.085 ;
+        RECT 415.675 42.915 415.845 43.085 ;
+        RECT 416.135 42.915 416.305 43.085 ;
+        RECT 416.595 42.915 416.765 43.085 ;
+        RECT 417.055 42.915 417.225 43.085 ;
+        RECT 417.515 42.915 417.685 43.085 ;
+        RECT 417.975 42.915 418.145 43.085 ;
+        RECT 418.435 42.915 418.605 43.085 ;
+        RECT 418.895 42.915 419.065 43.085 ;
+        RECT 419.355 42.915 419.525 43.085 ;
+        RECT 419.815 42.915 419.985 43.085 ;
+        RECT 420.275 42.915 420.445 43.085 ;
+        RECT 420.735 42.915 420.905 43.085 ;
+        RECT 421.195 42.915 421.365 43.085 ;
+        RECT 421.655 42.915 421.825 43.085 ;
+        RECT 422.115 42.915 422.285 43.085 ;
+        RECT 422.575 42.915 422.745 43.085 ;
+        RECT 423.035 42.915 423.205 43.085 ;
+        RECT 423.495 42.915 423.665 43.085 ;
+        RECT 423.955 42.915 424.125 43.085 ;
+        RECT 424.415 42.915 424.585 43.085 ;
+        RECT 424.875 42.915 425.045 43.085 ;
+        RECT 425.335 42.915 425.505 43.085 ;
+        RECT 425.795 42.915 425.965 43.085 ;
+        RECT 426.255 42.915 426.425 43.085 ;
+        RECT 426.715 42.915 426.885 43.085 ;
+        RECT 427.175 42.915 427.345 43.085 ;
+        RECT 427.635 42.915 427.805 43.085 ;
+        RECT 428.095 42.915 428.265 43.085 ;
+        RECT 428.555 42.915 428.725 43.085 ;
+        RECT 429.015 42.915 429.185 43.085 ;
+        RECT 429.475 42.915 429.645 43.085 ;
+        RECT 429.935 42.915 430.105 43.085 ;
+        RECT 430.395 42.915 430.565 43.085 ;
+        RECT 430.855 42.915 431.025 43.085 ;
+        RECT 431.315 42.915 431.485 43.085 ;
+        RECT 431.775 42.915 431.945 43.085 ;
+        RECT 432.235 42.915 432.405 43.085 ;
+        RECT 432.695 42.915 432.865 43.085 ;
+        RECT 433.155 42.915 433.325 43.085 ;
+        RECT 433.615 42.915 433.785 43.085 ;
+        RECT 434.075 42.915 434.245 43.085 ;
+        RECT 434.535 42.915 434.705 43.085 ;
+        RECT 434.995 42.915 435.165 43.085 ;
+        RECT 435.455 42.915 435.625 43.085 ;
+        RECT 435.915 42.915 436.085 43.085 ;
+        RECT 436.375 42.915 436.545 43.085 ;
+        RECT 436.835 42.915 437.005 43.085 ;
+        RECT 437.295 42.915 437.465 43.085 ;
+        RECT 437.755 42.915 437.925 43.085 ;
+        RECT 438.215 42.915 438.385 43.085 ;
+        RECT 438.675 42.915 438.845 43.085 ;
+        RECT 439.135 42.915 439.305 43.085 ;
+        RECT 439.595 42.915 439.765 43.085 ;
+        RECT 440.055 42.915 440.225 43.085 ;
+        RECT 440.515 42.915 440.685 43.085 ;
+        RECT 440.975 42.915 441.145 43.085 ;
+        RECT 441.435 42.915 441.605 43.085 ;
+        RECT 441.895 42.915 442.065 43.085 ;
+        RECT 442.355 42.915 442.525 43.085 ;
+        RECT 442.815 42.915 442.985 43.085 ;
+        RECT 443.275 42.915 443.445 43.085 ;
+        RECT 443.735 42.915 443.905 43.085 ;
+        RECT 444.195 42.915 444.365 43.085 ;
+        RECT 444.655 42.915 444.825 43.085 ;
+        RECT 445.115 42.915 445.285 43.085 ;
+        RECT 445.575 42.915 445.745 43.085 ;
+        RECT 446.035 42.915 446.205 43.085 ;
+        RECT 446.495 42.915 446.665 43.085 ;
+        RECT 446.955 42.915 447.125 43.085 ;
+        RECT 447.415 42.915 447.585 43.085 ;
+        RECT 447.875 42.915 448.045 43.085 ;
+        RECT 448.335 42.915 448.505 43.085 ;
+        RECT 448.795 42.915 448.965 43.085 ;
+        RECT 449.255 42.915 449.425 43.085 ;
+        RECT 449.715 42.915 449.885 43.085 ;
+        RECT 450.175 42.915 450.345 43.085 ;
+        RECT 450.635 42.915 450.805 43.085 ;
+        RECT 451.095 42.915 451.265 43.085 ;
+        RECT 451.555 42.915 451.725 43.085 ;
+        RECT 452.015 42.915 452.185 43.085 ;
+        RECT 452.475 42.915 452.645 43.085 ;
+        RECT 452.935 42.915 453.105 43.085 ;
+        RECT 453.395 42.915 453.565 43.085 ;
+        RECT 453.855 42.915 454.025 43.085 ;
+        RECT 454.315 42.915 454.485 43.085 ;
+        RECT 454.775 42.915 454.945 43.085 ;
+        RECT 455.235 42.915 455.405 43.085 ;
+        RECT 455.695 42.915 455.865 43.085 ;
+        RECT 456.155 42.915 456.325 43.085 ;
+        RECT 456.615 42.915 456.785 43.085 ;
+        RECT 457.075 42.915 457.245 43.085 ;
+        RECT 457.535 42.915 457.705 43.085 ;
+        RECT 457.995 42.915 458.165 43.085 ;
+        RECT 458.455 42.915 458.625 43.085 ;
+        RECT 458.915 42.915 459.085 43.085 ;
+        RECT 459.375 42.915 459.545 43.085 ;
+        RECT 459.835 42.915 460.005 43.085 ;
+        RECT 460.295 42.915 460.465 43.085 ;
+        RECT 460.755 42.915 460.925 43.085 ;
+        RECT 461.215 42.915 461.385 43.085 ;
+        RECT 461.675 42.915 461.845 43.085 ;
+        RECT 462.135 42.915 462.305 43.085 ;
+        RECT 462.595 42.915 462.765 43.085 ;
+        RECT 463.055 42.915 463.225 43.085 ;
+        RECT 463.515 42.915 463.685 43.085 ;
+        RECT 463.975 42.915 464.145 43.085 ;
+        RECT 464.435 42.915 464.605 43.085 ;
+        RECT 464.895 42.915 465.065 43.085 ;
+        RECT 465.355 42.915 465.525 43.085 ;
+        RECT 465.815 42.915 465.985 43.085 ;
+        RECT 466.275 42.915 466.445 43.085 ;
+        RECT 466.735 42.915 466.905 43.085 ;
+        RECT 467.195 42.915 467.365 43.085 ;
+        RECT 467.655 42.915 467.825 43.085 ;
+        RECT 468.115 42.915 468.285 43.085 ;
+        RECT 468.575 42.915 468.745 43.085 ;
+        RECT 469.035 42.915 469.205 43.085 ;
+        RECT 469.495 42.915 469.665 43.085 ;
+        RECT 469.955 42.915 470.125 43.085 ;
+        RECT 470.415 42.915 470.585 43.085 ;
+        RECT 470.875 42.915 471.045 43.085 ;
+        RECT 471.335 42.915 471.505 43.085 ;
+        RECT 471.795 42.915 471.965 43.085 ;
+        RECT 472.255 42.915 472.425 43.085 ;
+        RECT 472.715 42.915 472.885 43.085 ;
+        RECT 473.175 42.915 473.345 43.085 ;
+        RECT 473.635 42.915 473.805 43.085 ;
+        RECT 474.095 42.915 474.265 43.085 ;
+        RECT 474.555 42.915 474.725 43.085 ;
+        RECT 475.015 42.915 475.185 43.085 ;
+        RECT 475.475 42.915 475.645 43.085 ;
+        RECT 475.935 42.915 476.105 43.085 ;
+        RECT 476.395 42.915 476.565 43.085 ;
+        RECT 476.855 42.915 477.025 43.085 ;
+        RECT 477.315 42.915 477.485 43.085 ;
+        RECT 477.775 42.915 477.945 43.085 ;
+        RECT 478.235 42.915 478.405 43.085 ;
+        RECT 478.695 42.915 478.865 43.085 ;
+        RECT 479.155 42.915 479.325 43.085 ;
+        RECT 479.615 42.915 479.785 43.085 ;
+        RECT 480.075 42.915 480.245 43.085 ;
+        RECT 480.535 42.915 480.705 43.085 ;
+        RECT 480.995 42.915 481.165 43.085 ;
+        RECT 481.455 42.915 481.625 43.085 ;
+        RECT 481.915 42.915 482.085 43.085 ;
+        RECT 482.375 42.915 482.545 43.085 ;
+        RECT 482.835 42.915 483.005 43.085 ;
+        RECT 483.295 42.915 483.465 43.085 ;
+        RECT 483.755 42.915 483.925 43.085 ;
+        RECT 484.215 42.915 484.385 43.085 ;
+        RECT 484.675 42.915 484.845 43.085 ;
+        RECT 485.135 42.915 485.305 43.085 ;
+        RECT 485.595 42.915 485.765 43.085 ;
+        RECT 486.055 42.915 486.225 43.085 ;
+        RECT 486.515 42.915 486.685 43.085 ;
+        RECT 486.975 42.915 487.145 43.085 ;
+        RECT 487.435 42.915 487.605 43.085 ;
+        RECT 487.895 42.915 488.065 43.085 ;
+        RECT 488.355 42.915 488.525 43.085 ;
+        RECT 488.815 42.915 488.985 43.085 ;
+        RECT 489.275 42.915 489.445 43.085 ;
+        RECT 489.735 42.915 489.905 43.085 ;
+        RECT 490.195 42.915 490.365 43.085 ;
+        RECT 490.655 42.915 490.825 43.085 ;
+        RECT 491.115 42.915 491.285 43.085 ;
+        RECT 491.575 42.915 491.745 43.085 ;
+        RECT 492.035 42.915 492.205 43.085 ;
+        RECT 492.495 42.915 492.665 43.085 ;
+        RECT 492.955 42.915 493.125 43.085 ;
+        RECT 493.415 42.915 493.585 43.085 ;
+        RECT 493.875 42.915 494.045 43.085 ;
+        RECT 494.335 42.915 494.505 43.085 ;
+        RECT 494.795 42.915 494.965 43.085 ;
+        RECT 495.255 42.915 495.425 43.085 ;
+        RECT 495.715 42.915 495.885 43.085 ;
+        RECT 496.175 42.915 496.345 43.085 ;
+        RECT 496.635 42.915 496.805 43.085 ;
+        RECT 497.095 42.915 497.265 43.085 ;
+        RECT 497.555 42.915 497.725 43.085 ;
+        RECT 498.015 42.915 498.185 43.085 ;
+        RECT 498.475 42.915 498.645 43.085 ;
+        RECT 498.935 42.915 499.105 43.085 ;
+        RECT 499.395 42.915 499.565 43.085 ;
+        RECT 499.855 42.915 500.025 43.085 ;
+        RECT 500.315 42.915 500.485 43.085 ;
+        RECT 500.775 42.915 500.945 43.085 ;
+        RECT 501.235 42.915 501.405 43.085 ;
+        RECT 501.695 42.915 501.865 43.085 ;
+        RECT 502.155 42.915 502.325 43.085 ;
+        RECT 502.615 42.915 502.785 43.085 ;
+        RECT 503.075 42.915 503.245 43.085 ;
+        RECT 503.535 42.915 503.705 43.085 ;
+        RECT 503.995 42.915 504.165 43.085 ;
+        RECT 504.455 42.915 504.625 43.085 ;
+        RECT 504.915 42.915 505.085 43.085 ;
+        RECT 505.375 42.915 505.545 43.085 ;
+        RECT 505.835 42.915 506.005 43.085 ;
+        RECT 506.295 42.915 506.465 43.085 ;
+        RECT 506.755 42.915 506.925 43.085 ;
+        RECT 507.215 42.915 507.385 43.085 ;
+        RECT 507.675 42.915 507.845 43.085 ;
+        RECT 508.135 42.915 508.305 43.085 ;
+        RECT 508.595 42.915 508.765 43.085 ;
+        RECT 509.055 42.915 509.225 43.085 ;
+        RECT 509.515 42.915 509.685 43.085 ;
+        RECT 509.975 42.915 510.145 43.085 ;
+        RECT 510.435 42.915 510.605 43.085 ;
+        RECT 510.895 42.915 511.065 43.085 ;
+        RECT 511.355 42.915 511.525 43.085 ;
+        RECT 511.815 42.915 511.985 43.085 ;
+        RECT 512.275 42.915 512.445 43.085 ;
+        RECT 512.735 42.915 512.905 43.085 ;
+        RECT 513.195 42.915 513.365 43.085 ;
+        RECT 513.655 42.915 513.825 43.085 ;
+        RECT 514.115 42.915 514.285 43.085 ;
+        RECT 514.575 42.915 514.745 43.085 ;
+        RECT 515.035 42.915 515.205 43.085 ;
+        RECT 515.495 42.915 515.665 43.085 ;
+        RECT 515.955 42.915 516.125 43.085 ;
+        RECT 516.415 42.915 516.585 43.085 ;
+        RECT 516.875 42.915 517.045 43.085 ;
+        RECT 517.335 42.915 517.505 43.085 ;
+        RECT 517.795 42.915 517.965 43.085 ;
+        RECT 518.255 42.915 518.425 43.085 ;
+        RECT 518.715 42.915 518.885 43.085 ;
+        RECT 519.175 42.915 519.345 43.085 ;
+        RECT 519.635 42.915 519.805 43.085 ;
+        RECT 520.095 42.915 520.265 43.085 ;
+        RECT 520.555 42.915 520.725 43.085 ;
+        RECT 521.015 42.915 521.185 43.085 ;
+        RECT 521.475 42.915 521.645 43.085 ;
+        RECT 521.935 42.915 522.105 43.085 ;
+        RECT 522.395 42.915 522.565 43.085 ;
+        RECT 522.855 42.915 523.025 43.085 ;
+        RECT 523.315 42.915 523.485 43.085 ;
+        RECT 523.775 42.915 523.945 43.085 ;
+        RECT 524.235 42.915 524.405 43.085 ;
+        RECT 524.695 42.915 524.865 43.085 ;
+        RECT 525.155 42.915 525.325 43.085 ;
+        RECT 525.615 42.915 525.785 43.085 ;
+        RECT 526.075 42.915 526.245 43.085 ;
+        RECT 526.535 42.915 526.705 43.085 ;
+        RECT 526.995 42.915 527.165 43.085 ;
+        RECT 527.455 42.915 527.625 43.085 ;
+        RECT 527.915 42.915 528.085 43.085 ;
+        RECT 528.375 42.915 528.545 43.085 ;
+        RECT 528.835 42.915 529.005 43.085 ;
+        RECT 529.295 42.915 529.465 43.085 ;
+        RECT 529.755 42.915 529.925 43.085 ;
+        RECT 530.215 42.915 530.385 43.085 ;
+        RECT 530.675 42.915 530.845 43.085 ;
+        RECT 531.135 42.915 531.305 43.085 ;
+        RECT 531.595 42.915 531.765 43.085 ;
+        RECT 532.055 42.915 532.225 43.085 ;
+        RECT 532.515 42.915 532.685 43.085 ;
+        RECT 532.975 42.915 533.145 43.085 ;
+        RECT 533.435 42.915 533.605 43.085 ;
+        RECT 533.895 42.915 534.065 43.085 ;
+        RECT 534.355 42.915 534.525 43.085 ;
+        RECT 534.815 42.915 534.985 43.085 ;
+        RECT 535.275 42.915 535.445 43.085 ;
+        RECT 535.735 42.915 535.905 43.085 ;
+        RECT 536.195 42.915 536.365 43.085 ;
+        RECT 536.655 42.915 536.825 43.085 ;
+        RECT 537.115 42.915 537.285 43.085 ;
+        RECT 537.575 42.915 537.745 43.085 ;
+        RECT 538.035 42.915 538.205 43.085 ;
+        RECT 538.495 42.915 538.665 43.085 ;
+        RECT 538.955 42.915 539.125 43.085 ;
+        RECT 539.415 42.915 539.585 43.085 ;
+        RECT 539.875 42.915 540.045 43.085 ;
+        RECT 540.335 42.915 540.505 43.085 ;
+        RECT 540.795 42.915 540.965 43.085 ;
+        RECT 541.255 42.915 541.425 43.085 ;
+        RECT 541.715 42.915 541.885 43.085 ;
+        RECT 542.175 42.915 542.345 43.085 ;
+        RECT 542.635 42.915 542.805 43.085 ;
+        RECT 543.095 42.915 543.265 43.085 ;
+        RECT 543.555 42.915 543.725 43.085 ;
+        RECT 544.015 42.915 544.185 43.085 ;
+        RECT 544.475 42.915 544.645 43.085 ;
+        RECT 544.935 42.915 545.105 43.085 ;
+        RECT 545.395 42.915 545.565 43.085 ;
+        RECT 545.855 42.915 546.025 43.085 ;
+        RECT 546.315 42.915 546.485 43.085 ;
+        RECT 546.775 42.915 546.945 43.085 ;
+        RECT 547.235 42.915 547.405 43.085 ;
+        RECT 547.695 42.915 547.865 43.085 ;
+        RECT 548.155 42.915 548.325 43.085 ;
+        RECT 548.615 42.915 548.785 43.085 ;
+        RECT 549.075 42.915 549.245 43.085 ;
+        RECT 549.535 42.915 549.705 43.085 ;
+        RECT 549.995 42.915 550.165 43.085 ;
+        RECT 550.455 42.915 550.625 43.085 ;
+        RECT 550.915 42.915 551.085 43.085 ;
+        RECT 551.375 42.915 551.545 43.085 ;
+        RECT 551.835 42.915 552.005 43.085 ;
+        RECT 552.295 42.915 552.465 43.085 ;
+        RECT 552.755 42.915 552.925 43.085 ;
+        RECT 553.215 42.915 553.385 43.085 ;
+        RECT 553.675 42.915 553.845 43.085 ;
+        RECT 554.135 42.915 554.305 43.085 ;
+        RECT 554.595 42.915 554.765 43.085 ;
+        RECT 555.055 42.915 555.225 43.085 ;
+        RECT 555.515 42.915 555.685 43.085 ;
+        RECT 555.975 42.915 556.145 43.085 ;
+        RECT 556.435 42.915 556.605 43.085 ;
+        RECT 556.895 42.915 557.065 43.085 ;
+        RECT 557.355 42.915 557.525 43.085 ;
+        RECT 557.815 42.915 557.985 43.085 ;
+        RECT 558.275 42.915 558.445 43.085 ;
+        RECT 558.735 42.915 558.905 43.085 ;
+        RECT 559.195 42.915 559.365 43.085 ;
+        RECT 559.655 42.915 559.825 43.085 ;
+        RECT 560.115 42.915 560.285 43.085 ;
+        RECT 560.575 42.915 560.745 43.085 ;
+        RECT 561.035 42.915 561.205 43.085 ;
+        RECT 561.495 42.915 561.665 43.085 ;
+        RECT 561.955 42.915 562.125 43.085 ;
+        RECT 562.415 42.915 562.585 43.085 ;
+        RECT 562.875 42.915 563.045 43.085 ;
+        RECT 563.335 42.915 563.505 43.085 ;
+        RECT 563.795 42.915 563.965 43.085 ;
+        RECT 564.255 42.915 564.425 43.085 ;
+        RECT 564.715 42.915 564.885 43.085 ;
+        RECT 565.175 42.915 565.345 43.085 ;
+        RECT 565.635 42.915 565.805 43.085 ;
+        RECT 566.095 42.915 566.265 43.085 ;
+        RECT 566.555 42.915 566.725 43.085 ;
+        RECT 567.015 42.915 567.185 43.085 ;
+        RECT 567.475 42.915 567.645 43.085 ;
+        RECT 567.935 42.915 568.105 43.085 ;
+        RECT 568.395 42.915 568.565 43.085 ;
+        RECT 568.855 42.915 569.025 43.085 ;
+        RECT 569.315 42.915 569.485 43.085 ;
+        RECT 569.775 42.915 569.945 43.085 ;
+        RECT 570.235 42.915 570.405 43.085 ;
+        RECT 570.695 42.915 570.865 43.085 ;
+        RECT 571.155 42.915 571.325 43.085 ;
+        RECT 571.615 42.915 571.785 43.085 ;
+        RECT 572.075 42.915 572.245 43.085 ;
+        RECT 572.535 42.915 572.705 43.085 ;
+        RECT 572.995 42.915 573.165 43.085 ;
+        RECT 573.455 42.915 573.625 43.085 ;
+        RECT 573.915 42.915 574.085 43.085 ;
+        RECT 574.375 42.915 574.545 43.085 ;
+        RECT 574.835 42.915 575.005 43.085 ;
+        RECT 575.295 42.915 575.465 43.085 ;
+        RECT 575.755 42.915 575.925 43.085 ;
+        RECT 576.215 42.915 576.385 43.085 ;
+        RECT 576.675 42.915 576.845 43.085 ;
+        RECT 577.135 42.915 577.305 43.085 ;
+        RECT 577.595 42.915 577.765 43.085 ;
+        RECT 578.055 42.915 578.225 43.085 ;
+        RECT 578.515 42.915 578.685 43.085 ;
+        RECT 578.975 42.915 579.145 43.085 ;
+        RECT 579.435 42.915 579.605 43.085 ;
+        RECT 579.895 42.915 580.065 43.085 ;
+        RECT 580.355 42.915 580.525 43.085 ;
+        RECT 580.815 42.915 580.985 43.085 ;
+        RECT 581.275 42.915 581.445 43.085 ;
+        RECT 581.735 42.915 581.905 43.085 ;
+        RECT 582.195 42.915 582.365 43.085 ;
+        RECT 582.655 42.915 582.825 43.085 ;
+        RECT 583.115 42.915 583.285 43.085 ;
+        RECT 583.575 42.915 583.745 43.085 ;
+        RECT 584.035 42.915 584.205 43.085 ;
+        RECT 584.495 42.915 584.665 43.085 ;
+        RECT 584.955 42.915 585.125 43.085 ;
+        RECT 585.415 42.915 585.585 43.085 ;
+        RECT 585.875 42.915 586.045 43.085 ;
+        RECT 586.335 42.915 586.505 43.085 ;
+        RECT 586.795 42.915 586.965 43.085 ;
+        RECT 587.255 42.915 587.425 43.085 ;
+        RECT 587.715 42.915 587.885 43.085 ;
+        RECT 588.175 42.915 588.345 43.085 ;
+        RECT 588.635 42.915 588.805 43.085 ;
+        RECT 589.095 42.915 589.265 43.085 ;
+        RECT 589.555 42.915 589.725 43.085 ;
+        RECT 590.015 42.915 590.185 43.085 ;
+        RECT 590.475 42.915 590.645 43.085 ;
+        RECT 590.935 42.915 591.105 43.085 ;
+        RECT 591.395 42.915 591.565 43.085 ;
+        RECT 591.855 42.915 592.025 43.085 ;
+        RECT 592.315 42.915 592.485 43.085 ;
+        RECT 592.775 42.915 592.945 43.085 ;
+        RECT 593.235 42.915 593.405 43.085 ;
+        RECT 593.695 42.915 593.865 43.085 ;
+        RECT 594.155 42.915 594.325 43.085 ;
+        RECT 594.615 42.915 594.785 43.085 ;
+        RECT 595.075 42.915 595.245 43.085 ;
+        RECT 595.535 42.915 595.705 43.085 ;
+        RECT 595.995 42.915 596.165 43.085 ;
+        RECT 596.455 42.915 596.625 43.085 ;
+        RECT 596.915 42.915 597.085 43.085 ;
+        RECT 597.375 42.915 597.545 43.085 ;
+        RECT 597.835 42.915 598.005 43.085 ;
+        RECT 598.295 42.915 598.465 43.085 ;
+        RECT 598.755 42.915 598.925 43.085 ;
+        RECT 599.215 42.915 599.385 43.085 ;
+        RECT 599.675 42.915 599.845 43.085 ;
+        RECT 600.135 42.915 600.305 43.085 ;
+        RECT 600.595 42.915 600.765 43.085 ;
+        RECT 601.055 42.915 601.225 43.085 ;
+        RECT 601.515 42.915 601.685 43.085 ;
+        RECT 601.975 42.915 602.145 43.085 ;
+        RECT 602.435 42.915 602.605 43.085 ;
+        RECT 602.895 42.915 603.065 43.085 ;
+        RECT 603.355 42.915 603.525 43.085 ;
+        RECT 603.815 42.915 603.985 43.085 ;
+        RECT 604.275 42.915 604.445 43.085 ;
+        RECT 604.735 42.915 604.905 43.085 ;
+        RECT 605.195 42.915 605.365 43.085 ;
+        RECT 605.655 42.915 605.825 43.085 ;
+        RECT 606.115 42.915 606.285 43.085 ;
+        RECT 606.575 42.915 606.745 43.085 ;
+        RECT 607.035 42.915 607.205 43.085 ;
+        RECT 607.495 42.915 607.665 43.085 ;
+        RECT 607.955 42.915 608.125 43.085 ;
+        RECT 608.415 42.915 608.585 43.085 ;
+        RECT 608.875 42.915 609.045 43.085 ;
+        RECT 609.335 42.915 609.505 43.085 ;
+        RECT 609.795 42.915 609.965 43.085 ;
+        RECT 610.255 42.915 610.425 43.085 ;
+        RECT 610.715 42.915 610.885 43.085 ;
+        RECT 611.175 42.915 611.345 43.085 ;
+        RECT 611.635 42.915 611.805 43.085 ;
+        RECT 612.095 42.915 612.265 43.085 ;
+        RECT 612.555 42.915 612.725 43.085 ;
+        RECT 613.015 42.915 613.185 43.085 ;
+        RECT 613.475 42.915 613.645 43.085 ;
+        RECT 613.935 42.915 614.105 43.085 ;
+        RECT 614.395 42.915 614.565 43.085 ;
+        RECT 614.855 42.915 615.025 43.085 ;
+        RECT 615.315 42.915 615.485 43.085 ;
+        RECT 615.775 42.915 615.945 43.085 ;
+        RECT 616.235 42.915 616.405 43.085 ;
+        RECT 616.695 42.915 616.865 43.085 ;
+        RECT 617.155 42.915 617.325 43.085 ;
+        RECT 617.615 42.915 617.785 43.085 ;
+        RECT 618.075 42.915 618.245 43.085 ;
+        RECT 618.535 42.915 618.705 43.085 ;
+        RECT 618.995 42.915 619.165 43.085 ;
+        RECT 619.455 42.915 619.625 43.085 ;
+        RECT 619.915 42.915 620.085 43.085 ;
+        RECT 620.375 42.915 620.545 43.085 ;
+        RECT 620.835 42.915 621.005 43.085 ;
+        RECT 621.295 42.915 621.465 43.085 ;
+        RECT 621.755 42.915 621.925 43.085 ;
+        RECT 622.215 42.915 622.385 43.085 ;
+        RECT 622.675 42.915 622.845 43.085 ;
+        RECT 623.135 42.915 623.305 43.085 ;
+        RECT 623.595 42.915 623.765 43.085 ;
+        RECT 624.055 42.915 624.225 43.085 ;
+        RECT 624.515 42.915 624.685 43.085 ;
+        RECT 624.975 42.915 625.145 43.085 ;
+        RECT 625.435 42.915 625.605 43.085 ;
+        RECT 625.895 42.915 626.065 43.085 ;
+        RECT 626.355 42.915 626.525 43.085 ;
+        RECT 626.815 42.915 626.985 43.085 ;
+        RECT 627.275 42.915 627.445 43.085 ;
+        RECT 627.735 42.915 627.905 43.085 ;
+        RECT 628.195 42.915 628.365 43.085 ;
+        RECT 628.655 42.915 628.825 43.085 ;
+        RECT 629.115 42.915 629.285 43.085 ;
+        RECT 629.575 42.915 629.745 43.085 ;
+        RECT 630.035 42.915 630.205 43.085 ;
+        RECT 630.495 42.915 630.665 43.085 ;
+        RECT 630.955 42.915 631.125 43.085 ;
+        RECT 215.115 42.405 215.285 42.575 ;
+        RECT 155.775 42.065 155.945 42.235 ;
+        RECT 163.135 41.725 163.305 41.895 ;
+        RECT 175.095 41.725 175.265 41.895 ;
+        RECT 221.555 42.405 221.725 42.575 ;
+        RECT 224.775 42.065 224.945 42.235 ;
+        RECT 224.315 41.725 224.485 41.895 ;
+        RECT 258.355 40.705 258.525 40.875 ;
+        RECT 42.615 619.555 42.785 619.725 ;
+        RECT 43.075 619.555 43.245 619.725 ;
+        RECT 43.535 619.555 43.705 619.725 ;
+        RECT 43.995 619.555 44.165 619.725 ;
+        RECT 44.455 619.555 44.625 619.725 ;
+        RECT 44.915 619.555 45.085 619.725 ;
+        RECT 45.375 619.555 45.545 619.725 ;
+        RECT 45.835 619.555 46.005 619.725 ;
+        RECT 46.295 619.555 46.465 619.725 ;
+        RECT 46.755 619.555 46.925 619.725 ;
+        RECT 47.215 619.555 47.385 619.725 ;
+        RECT 47.675 619.555 47.845 619.725 ;
+        RECT 48.135 619.555 48.305 619.725 ;
+        RECT 48.595 619.555 48.765 619.725 ;
+        RECT 49.055 619.555 49.225 619.725 ;
+        RECT 49.515 619.555 49.685 619.725 ;
+        RECT 49.975 619.555 50.145 619.725 ;
+        RECT 50.435 619.555 50.605 619.725 ;
+        RECT 50.895 619.555 51.065 619.725 ;
+        RECT 51.355 619.555 51.525 619.725 ;
+        RECT 51.815 619.555 51.985 619.725 ;
+        RECT 52.275 619.555 52.445 619.725 ;
+        RECT 52.735 619.555 52.905 619.725 ;
+        RECT 53.195 619.555 53.365 619.725 ;
+        RECT 53.655 619.555 53.825 619.725 ;
+        RECT 42.615 616.835 42.785 617.005 ;
+        RECT 43.075 616.835 43.245 617.005 ;
+        RECT 43.535 616.835 43.705 617.005 ;
+        RECT 43.995 616.835 44.165 617.005 ;
+        RECT 44.455 616.835 44.625 617.005 ;
+        RECT 44.915 616.835 45.085 617.005 ;
+        RECT 45.375 616.835 45.545 617.005 ;
+        RECT 45.835 616.835 46.005 617.005 ;
+        RECT 46.295 616.835 46.465 617.005 ;
+        RECT 46.755 616.835 46.925 617.005 ;
+        RECT 47.215 616.835 47.385 617.005 ;
+        RECT 47.675 616.835 47.845 617.005 ;
+        RECT 48.135 616.835 48.305 617.005 ;
+        RECT 48.595 616.835 48.765 617.005 ;
+        RECT 49.055 616.835 49.225 617.005 ;
+        RECT 49.515 616.835 49.685 617.005 ;
+        RECT 49.975 616.835 50.145 617.005 ;
+        RECT 50.435 616.835 50.605 617.005 ;
+        RECT 50.895 616.835 51.065 617.005 ;
+        RECT 51.355 616.835 51.525 617.005 ;
+        RECT 51.815 616.835 51.985 617.005 ;
+        RECT 52.275 616.835 52.445 617.005 ;
+        RECT 52.735 616.835 52.905 617.005 ;
+        RECT 53.195 616.835 53.365 617.005 ;
+        RECT 53.655 616.835 53.825 617.005 ;
+        RECT 42.615 614.115 42.785 614.285 ;
+        RECT 43.075 614.115 43.245 614.285 ;
+        RECT 43.535 614.115 43.705 614.285 ;
+        RECT 43.995 614.115 44.165 614.285 ;
+        RECT 44.455 614.115 44.625 614.285 ;
+        RECT 44.915 614.115 45.085 614.285 ;
+        RECT 45.375 614.115 45.545 614.285 ;
+        RECT 45.835 614.115 46.005 614.285 ;
+        RECT 46.295 614.115 46.465 614.285 ;
+        RECT 46.755 614.115 46.925 614.285 ;
+        RECT 47.215 614.115 47.385 614.285 ;
+        RECT 47.675 614.115 47.845 614.285 ;
+        RECT 48.135 614.115 48.305 614.285 ;
+        RECT 48.595 614.115 48.765 614.285 ;
+        RECT 49.055 614.115 49.225 614.285 ;
+        RECT 49.515 614.115 49.685 614.285 ;
+        RECT 49.975 614.115 50.145 614.285 ;
+        RECT 50.435 614.115 50.605 614.285 ;
+        RECT 50.895 614.115 51.065 614.285 ;
+        RECT 51.355 614.115 51.525 614.285 ;
+        RECT 51.815 614.115 51.985 614.285 ;
+        RECT 52.275 614.115 52.445 614.285 ;
+        RECT 52.735 614.115 52.905 614.285 ;
+        RECT 53.195 614.115 53.365 614.285 ;
+        RECT 53.655 614.115 53.825 614.285 ;
+        RECT 42.615 611.395 42.785 611.565 ;
+        RECT 43.075 611.395 43.245 611.565 ;
+        RECT 43.535 611.395 43.705 611.565 ;
+        RECT 43.995 611.395 44.165 611.565 ;
+        RECT 44.455 611.395 44.625 611.565 ;
+        RECT 44.915 611.395 45.085 611.565 ;
+        RECT 45.375 611.395 45.545 611.565 ;
+        RECT 45.835 611.395 46.005 611.565 ;
+        RECT 46.295 611.395 46.465 611.565 ;
+        RECT 46.755 611.395 46.925 611.565 ;
+        RECT 47.215 611.395 47.385 611.565 ;
+        RECT 47.675 611.395 47.845 611.565 ;
+        RECT 48.135 611.395 48.305 611.565 ;
+        RECT 48.595 611.395 48.765 611.565 ;
+        RECT 49.055 611.395 49.225 611.565 ;
+        RECT 49.515 611.395 49.685 611.565 ;
+        RECT 49.975 611.395 50.145 611.565 ;
+        RECT 50.435 611.395 50.605 611.565 ;
+        RECT 50.895 611.395 51.065 611.565 ;
+        RECT 51.355 611.395 51.525 611.565 ;
+        RECT 51.815 611.395 51.985 611.565 ;
+        RECT 52.275 611.395 52.445 611.565 ;
+        RECT 52.735 611.395 52.905 611.565 ;
+        RECT 53.195 611.395 53.365 611.565 ;
+        RECT 53.655 611.395 53.825 611.565 ;
+        RECT 42.615 608.675 42.785 608.845 ;
+        RECT 43.075 608.675 43.245 608.845 ;
+        RECT 43.535 608.675 43.705 608.845 ;
+        RECT 43.995 608.675 44.165 608.845 ;
+        RECT 44.455 608.675 44.625 608.845 ;
+        RECT 44.915 608.675 45.085 608.845 ;
+        RECT 45.375 608.675 45.545 608.845 ;
+        RECT 45.835 608.675 46.005 608.845 ;
+        RECT 46.295 608.675 46.465 608.845 ;
+        RECT 46.755 608.675 46.925 608.845 ;
+        RECT 47.215 608.675 47.385 608.845 ;
+        RECT 47.675 608.675 47.845 608.845 ;
+        RECT 48.135 608.675 48.305 608.845 ;
+        RECT 48.595 608.675 48.765 608.845 ;
+        RECT 49.055 608.675 49.225 608.845 ;
+        RECT 49.515 608.675 49.685 608.845 ;
+        RECT 49.975 608.675 50.145 608.845 ;
+        RECT 50.435 608.675 50.605 608.845 ;
+        RECT 50.895 608.675 51.065 608.845 ;
+        RECT 51.355 608.675 51.525 608.845 ;
+        RECT 51.815 608.675 51.985 608.845 ;
+        RECT 52.275 608.675 52.445 608.845 ;
+        RECT 52.735 608.675 52.905 608.845 ;
+        RECT 53.195 608.675 53.365 608.845 ;
+        RECT 53.655 608.675 53.825 608.845 ;
+        RECT 42.615 605.955 42.785 606.125 ;
+        RECT 43.075 605.955 43.245 606.125 ;
+        RECT 43.535 605.955 43.705 606.125 ;
+        RECT 43.995 605.955 44.165 606.125 ;
+        RECT 44.455 605.955 44.625 606.125 ;
+        RECT 44.915 605.955 45.085 606.125 ;
+        RECT 45.375 605.955 45.545 606.125 ;
+        RECT 45.835 605.955 46.005 606.125 ;
+        RECT 46.295 605.955 46.465 606.125 ;
+        RECT 46.755 605.955 46.925 606.125 ;
+        RECT 47.215 605.955 47.385 606.125 ;
+        RECT 47.675 605.955 47.845 606.125 ;
+        RECT 48.135 605.955 48.305 606.125 ;
+        RECT 48.595 605.955 48.765 606.125 ;
+        RECT 49.055 605.955 49.225 606.125 ;
+        RECT 49.515 605.955 49.685 606.125 ;
+        RECT 49.975 605.955 50.145 606.125 ;
+        RECT 50.435 605.955 50.605 606.125 ;
+        RECT 50.895 605.955 51.065 606.125 ;
+        RECT 51.355 605.955 51.525 606.125 ;
+        RECT 51.815 605.955 51.985 606.125 ;
+        RECT 52.275 605.955 52.445 606.125 ;
+        RECT 52.735 605.955 52.905 606.125 ;
+        RECT 53.195 605.955 53.365 606.125 ;
+        RECT 53.655 605.955 53.825 606.125 ;
+        RECT 42.615 603.235 42.785 603.405 ;
+        RECT 43.075 603.235 43.245 603.405 ;
+        RECT 43.535 603.235 43.705 603.405 ;
+        RECT 43.995 603.235 44.165 603.405 ;
+        RECT 44.455 603.235 44.625 603.405 ;
+        RECT 44.915 603.235 45.085 603.405 ;
+        RECT 45.375 603.235 45.545 603.405 ;
+        RECT 45.835 603.235 46.005 603.405 ;
+        RECT 46.295 603.235 46.465 603.405 ;
+        RECT 46.755 603.235 46.925 603.405 ;
+        RECT 47.215 603.235 47.385 603.405 ;
+        RECT 47.675 603.235 47.845 603.405 ;
+        RECT 48.135 603.235 48.305 603.405 ;
+        RECT 48.595 603.235 48.765 603.405 ;
+        RECT 49.055 603.235 49.225 603.405 ;
+        RECT 49.515 603.235 49.685 603.405 ;
+        RECT 49.975 603.235 50.145 603.405 ;
+        RECT 50.435 603.235 50.605 603.405 ;
+        RECT 50.895 603.235 51.065 603.405 ;
+        RECT 51.355 603.235 51.525 603.405 ;
+        RECT 51.815 603.235 51.985 603.405 ;
+        RECT 52.275 603.235 52.445 603.405 ;
+        RECT 52.735 603.235 52.905 603.405 ;
+        RECT 53.195 603.235 53.365 603.405 ;
+        RECT 53.655 603.235 53.825 603.405 ;
+        RECT 42.615 600.515 42.785 600.685 ;
+        RECT 43.075 600.515 43.245 600.685 ;
+        RECT 43.535 600.515 43.705 600.685 ;
+        RECT 43.995 600.515 44.165 600.685 ;
+        RECT 44.455 600.515 44.625 600.685 ;
+        RECT 44.915 600.515 45.085 600.685 ;
+        RECT 45.375 600.515 45.545 600.685 ;
+        RECT 45.835 600.515 46.005 600.685 ;
+        RECT 46.295 600.515 46.465 600.685 ;
+        RECT 46.755 600.515 46.925 600.685 ;
+        RECT 47.215 600.515 47.385 600.685 ;
+        RECT 47.675 600.515 47.845 600.685 ;
+        RECT 48.135 600.515 48.305 600.685 ;
+        RECT 48.595 600.515 48.765 600.685 ;
+        RECT 49.055 600.515 49.225 600.685 ;
+        RECT 49.515 600.515 49.685 600.685 ;
+        RECT 49.975 600.515 50.145 600.685 ;
+        RECT 50.435 600.515 50.605 600.685 ;
+        RECT 50.895 600.515 51.065 600.685 ;
+        RECT 51.355 600.515 51.525 600.685 ;
+        RECT 51.815 600.515 51.985 600.685 ;
+        RECT 52.275 600.515 52.445 600.685 ;
+        RECT 52.735 600.515 52.905 600.685 ;
+        RECT 53.195 600.515 53.365 600.685 ;
+        RECT 53.655 600.515 53.825 600.685 ;
+        RECT 42.615 597.795 42.785 597.965 ;
+        RECT 43.075 597.795 43.245 597.965 ;
+        RECT 43.535 597.795 43.705 597.965 ;
+        RECT 43.995 597.795 44.165 597.965 ;
+        RECT 44.455 597.795 44.625 597.965 ;
+        RECT 44.915 597.795 45.085 597.965 ;
+        RECT 45.375 597.795 45.545 597.965 ;
+        RECT 45.835 597.795 46.005 597.965 ;
+        RECT 46.295 597.795 46.465 597.965 ;
+        RECT 46.755 597.795 46.925 597.965 ;
+        RECT 47.215 597.795 47.385 597.965 ;
+        RECT 47.675 597.795 47.845 597.965 ;
+        RECT 48.135 597.795 48.305 597.965 ;
+        RECT 48.595 597.795 48.765 597.965 ;
+        RECT 49.055 597.795 49.225 597.965 ;
+        RECT 49.515 597.795 49.685 597.965 ;
+        RECT 49.975 597.795 50.145 597.965 ;
+        RECT 50.435 597.795 50.605 597.965 ;
+        RECT 50.895 597.795 51.065 597.965 ;
+        RECT 51.355 597.795 51.525 597.965 ;
+        RECT 51.815 597.795 51.985 597.965 ;
+        RECT 52.275 597.795 52.445 597.965 ;
+        RECT 52.735 597.795 52.905 597.965 ;
+        RECT 53.195 597.795 53.365 597.965 ;
+        RECT 53.655 597.795 53.825 597.965 ;
+        RECT 42.615 595.075 42.785 595.245 ;
+        RECT 43.075 595.075 43.245 595.245 ;
+        RECT 43.535 595.075 43.705 595.245 ;
+        RECT 43.995 595.075 44.165 595.245 ;
+        RECT 44.455 595.075 44.625 595.245 ;
+        RECT 44.915 595.075 45.085 595.245 ;
+        RECT 45.375 595.075 45.545 595.245 ;
+        RECT 45.835 595.075 46.005 595.245 ;
+        RECT 46.295 595.075 46.465 595.245 ;
+        RECT 46.755 595.075 46.925 595.245 ;
+        RECT 47.215 595.075 47.385 595.245 ;
+        RECT 47.675 595.075 47.845 595.245 ;
+        RECT 48.135 595.075 48.305 595.245 ;
+        RECT 48.595 595.075 48.765 595.245 ;
+        RECT 49.055 595.075 49.225 595.245 ;
+        RECT 49.515 595.075 49.685 595.245 ;
+        RECT 49.975 595.075 50.145 595.245 ;
+        RECT 50.435 595.075 50.605 595.245 ;
+        RECT 50.895 595.075 51.065 595.245 ;
+        RECT 51.355 595.075 51.525 595.245 ;
+        RECT 51.815 595.075 51.985 595.245 ;
+        RECT 52.275 595.075 52.445 595.245 ;
+        RECT 52.735 595.075 52.905 595.245 ;
+        RECT 53.195 595.075 53.365 595.245 ;
+        RECT 53.655 595.075 53.825 595.245 ;
+        RECT 42.615 592.355 42.785 592.525 ;
+        RECT 43.075 592.355 43.245 592.525 ;
+        RECT 43.535 592.355 43.705 592.525 ;
+        RECT 43.995 592.355 44.165 592.525 ;
+        RECT 44.455 592.355 44.625 592.525 ;
+        RECT 44.915 592.355 45.085 592.525 ;
+        RECT 45.375 592.355 45.545 592.525 ;
+        RECT 45.835 592.355 46.005 592.525 ;
+        RECT 46.295 592.355 46.465 592.525 ;
+        RECT 46.755 592.355 46.925 592.525 ;
+        RECT 47.215 592.355 47.385 592.525 ;
+        RECT 47.675 592.355 47.845 592.525 ;
+        RECT 48.135 592.355 48.305 592.525 ;
+        RECT 48.595 592.355 48.765 592.525 ;
+        RECT 49.055 592.355 49.225 592.525 ;
+        RECT 49.515 592.355 49.685 592.525 ;
+        RECT 49.975 592.355 50.145 592.525 ;
+        RECT 50.435 592.355 50.605 592.525 ;
+        RECT 50.895 592.355 51.065 592.525 ;
+        RECT 51.355 592.355 51.525 592.525 ;
+        RECT 51.815 592.355 51.985 592.525 ;
+        RECT 52.275 592.355 52.445 592.525 ;
+        RECT 52.735 592.355 52.905 592.525 ;
+        RECT 53.195 592.355 53.365 592.525 ;
+        RECT 53.655 592.355 53.825 592.525 ;
+        RECT 42.615 589.635 42.785 589.805 ;
+        RECT 43.075 589.635 43.245 589.805 ;
+        RECT 43.535 589.635 43.705 589.805 ;
+        RECT 43.995 589.635 44.165 589.805 ;
+        RECT 44.455 589.635 44.625 589.805 ;
+        RECT 44.915 589.635 45.085 589.805 ;
+        RECT 45.375 589.635 45.545 589.805 ;
+        RECT 45.835 589.635 46.005 589.805 ;
+        RECT 46.295 589.635 46.465 589.805 ;
+        RECT 46.755 589.635 46.925 589.805 ;
+        RECT 47.215 589.635 47.385 589.805 ;
+        RECT 47.675 589.635 47.845 589.805 ;
+        RECT 48.135 589.635 48.305 589.805 ;
+        RECT 48.595 589.635 48.765 589.805 ;
+        RECT 49.055 589.635 49.225 589.805 ;
+        RECT 49.515 589.635 49.685 589.805 ;
+        RECT 49.975 589.635 50.145 589.805 ;
+        RECT 50.435 589.635 50.605 589.805 ;
+        RECT 50.895 589.635 51.065 589.805 ;
+        RECT 51.355 589.635 51.525 589.805 ;
+        RECT 51.815 589.635 51.985 589.805 ;
+        RECT 52.275 589.635 52.445 589.805 ;
+        RECT 52.735 589.635 52.905 589.805 ;
+        RECT 53.195 589.635 53.365 589.805 ;
+        RECT 53.655 589.635 53.825 589.805 ;
+        RECT 42.615 586.915 42.785 587.085 ;
+        RECT 43.075 586.915 43.245 587.085 ;
+        RECT 43.535 586.915 43.705 587.085 ;
+        RECT 43.995 586.915 44.165 587.085 ;
+        RECT 44.455 586.915 44.625 587.085 ;
+        RECT 44.915 586.915 45.085 587.085 ;
+        RECT 45.375 586.915 45.545 587.085 ;
+        RECT 45.835 586.915 46.005 587.085 ;
+        RECT 46.295 586.915 46.465 587.085 ;
+        RECT 46.755 586.915 46.925 587.085 ;
+        RECT 47.215 586.915 47.385 587.085 ;
+        RECT 47.675 586.915 47.845 587.085 ;
+        RECT 48.135 586.915 48.305 587.085 ;
+        RECT 48.595 586.915 48.765 587.085 ;
+        RECT 49.055 586.915 49.225 587.085 ;
+        RECT 49.515 586.915 49.685 587.085 ;
+        RECT 49.975 586.915 50.145 587.085 ;
+        RECT 50.435 586.915 50.605 587.085 ;
+        RECT 50.895 586.915 51.065 587.085 ;
+        RECT 51.355 586.915 51.525 587.085 ;
+        RECT 51.815 586.915 51.985 587.085 ;
+        RECT 52.275 586.915 52.445 587.085 ;
+        RECT 52.735 586.915 52.905 587.085 ;
+        RECT 53.195 586.915 53.365 587.085 ;
+        RECT 53.655 586.915 53.825 587.085 ;
+        RECT 42.615 584.195 42.785 584.365 ;
+        RECT 43.075 584.195 43.245 584.365 ;
+        RECT 43.535 584.195 43.705 584.365 ;
+        RECT 43.995 584.195 44.165 584.365 ;
+        RECT 44.455 584.195 44.625 584.365 ;
+        RECT 44.915 584.195 45.085 584.365 ;
+        RECT 45.375 584.195 45.545 584.365 ;
+        RECT 45.835 584.195 46.005 584.365 ;
+        RECT 46.295 584.195 46.465 584.365 ;
+        RECT 46.755 584.195 46.925 584.365 ;
+        RECT 47.215 584.195 47.385 584.365 ;
+        RECT 47.675 584.195 47.845 584.365 ;
+        RECT 48.135 584.195 48.305 584.365 ;
+        RECT 48.595 584.195 48.765 584.365 ;
+        RECT 49.055 584.195 49.225 584.365 ;
+        RECT 49.515 584.195 49.685 584.365 ;
+        RECT 49.975 584.195 50.145 584.365 ;
+        RECT 50.435 584.195 50.605 584.365 ;
+        RECT 50.895 584.195 51.065 584.365 ;
+        RECT 51.355 584.195 51.525 584.365 ;
+        RECT 51.815 584.195 51.985 584.365 ;
+        RECT 52.275 584.195 52.445 584.365 ;
+        RECT 52.735 584.195 52.905 584.365 ;
+        RECT 53.195 584.195 53.365 584.365 ;
+        RECT 53.655 584.195 53.825 584.365 ;
+        RECT 42.615 581.475 42.785 581.645 ;
+        RECT 43.075 581.475 43.245 581.645 ;
+        RECT 43.535 581.475 43.705 581.645 ;
+        RECT 43.995 581.475 44.165 581.645 ;
+        RECT 44.455 581.475 44.625 581.645 ;
+        RECT 44.915 581.475 45.085 581.645 ;
+        RECT 45.375 581.475 45.545 581.645 ;
+        RECT 45.835 581.475 46.005 581.645 ;
+        RECT 46.295 581.475 46.465 581.645 ;
+        RECT 46.755 581.475 46.925 581.645 ;
+        RECT 47.215 581.475 47.385 581.645 ;
+        RECT 47.675 581.475 47.845 581.645 ;
+        RECT 48.135 581.475 48.305 581.645 ;
+        RECT 48.595 581.475 48.765 581.645 ;
+        RECT 49.055 581.475 49.225 581.645 ;
+        RECT 49.515 581.475 49.685 581.645 ;
+        RECT 49.975 581.475 50.145 581.645 ;
+        RECT 50.435 581.475 50.605 581.645 ;
+        RECT 50.895 581.475 51.065 581.645 ;
+        RECT 51.355 581.475 51.525 581.645 ;
+        RECT 51.815 581.475 51.985 581.645 ;
+        RECT 52.275 581.475 52.445 581.645 ;
+        RECT 52.735 581.475 52.905 581.645 ;
+        RECT 53.195 581.475 53.365 581.645 ;
+        RECT 53.655 581.475 53.825 581.645 ;
+        RECT 42.615 578.755 42.785 578.925 ;
+        RECT 43.075 578.755 43.245 578.925 ;
+        RECT 43.535 578.755 43.705 578.925 ;
+        RECT 43.995 578.755 44.165 578.925 ;
+        RECT 44.455 578.755 44.625 578.925 ;
+        RECT 44.915 578.755 45.085 578.925 ;
+        RECT 45.375 578.755 45.545 578.925 ;
+        RECT 45.835 578.755 46.005 578.925 ;
+        RECT 46.295 578.755 46.465 578.925 ;
+        RECT 46.755 578.755 46.925 578.925 ;
+        RECT 47.215 578.755 47.385 578.925 ;
+        RECT 47.675 578.755 47.845 578.925 ;
+        RECT 48.135 578.755 48.305 578.925 ;
+        RECT 48.595 578.755 48.765 578.925 ;
+        RECT 49.055 578.755 49.225 578.925 ;
+        RECT 49.515 578.755 49.685 578.925 ;
+        RECT 49.975 578.755 50.145 578.925 ;
+        RECT 50.435 578.755 50.605 578.925 ;
+        RECT 50.895 578.755 51.065 578.925 ;
+        RECT 51.355 578.755 51.525 578.925 ;
+        RECT 51.815 578.755 51.985 578.925 ;
+        RECT 52.275 578.755 52.445 578.925 ;
+        RECT 52.735 578.755 52.905 578.925 ;
+        RECT 53.195 578.755 53.365 578.925 ;
+        RECT 53.655 578.755 53.825 578.925 ;
+        RECT 42.615 576.035 42.785 576.205 ;
+        RECT 43.075 576.035 43.245 576.205 ;
+        RECT 43.535 576.035 43.705 576.205 ;
+        RECT 43.995 576.035 44.165 576.205 ;
+        RECT 44.455 576.035 44.625 576.205 ;
+        RECT 44.915 576.035 45.085 576.205 ;
+        RECT 45.375 576.035 45.545 576.205 ;
+        RECT 45.835 576.035 46.005 576.205 ;
+        RECT 46.295 576.035 46.465 576.205 ;
+        RECT 46.755 576.035 46.925 576.205 ;
+        RECT 47.215 576.035 47.385 576.205 ;
+        RECT 47.675 576.035 47.845 576.205 ;
+        RECT 48.135 576.035 48.305 576.205 ;
+        RECT 48.595 576.035 48.765 576.205 ;
+        RECT 49.055 576.035 49.225 576.205 ;
+        RECT 49.515 576.035 49.685 576.205 ;
+        RECT 49.975 576.035 50.145 576.205 ;
+        RECT 50.435 576.035 50.605 576.205 ;
+        RECT 50.895 576.035 51.065 576.205 ;
+        RECT 51.355 576.035 51.525 576.205 ;
+        RECT 51.815 576.035 51.985 576.205 ;
+        RECT 52.275 576.035 52.445 576.205 ;
+        RECT 52.735 576.035 52.905 576.205 ;
+        RECT 53.195 576.035 53.365 576.205 ;
+        RECT 53.655 576.035 53.825 576.205 ;
+        RECT 42.615 573.315 42.785 573.485 ;
+        RECT 43.075 573.315 43.245 573.485 ;
+        RECT 43.535 573.315 43.705 573.485 ;
+        RECT 43.995 573.315 44.165 573.485 ;
+        RECT 44.455 573.315 44.625 573.485 ;
+        RECT 44.915 573.315 45.085 573.485 ;
+        RECT 45.375 573.315 45.545 573.485 ;
+        RECT 45.835 573.315 46.005 573.485 ;
+        RECT 46.295 573.315 46.465 573.485 ;
+        RECT 46.755 573.315 46.925 573.485 ;
+        RECT 47.215 573.315 47.385 573.485 ;
+        RECT 47.675 573.315 47.845 573.485 ;
+        RECT 48.135 573.315 48.305 573.485 ;
+        RECT 48.595 573.315 48.765 573.485 ;
+        RECT 49.055 573.315 49.225 573.485 ;
+        RECT 49.515 573.315 49.685 573.485 ;
+        RECT 49.975 573.315 50.145 573.485 ;
+        RECT 50.435 573.315 50.605 573.485 ;
+        RECT 50.895 573.315 51.065 573.485 ;
+        RECT 51.355 573.315 51.525 573.485 ;
+        RECT 51.815 573.315 51.985 573.485 ;
+        RECT 52.275 573.315 52.445 573.485 ;
+        RECT 52.735 573.315 52.905 573.485 ;
+        RECT 53.195 573.315 53.365 573.485 ;
+        RECT 53.655 573.315 53.825 573.485 ;
+        RECT 42.615 570.595 42.785 570.765 ;
+        RECT 43.075 570.595 43.245 570.765 ;
+        RECT 43.535 570.595 43.705 570.765 ;
+        RECT 43.995 570.595 44.165 570.765 ;
+        RECT 44.455 570.595 44.625 570.765 ;
+        RECT 44.915 570.595 45.085 570.765 ;
+        RECT 45.375 570.595 45.545 570.765 ;
+        RECT 45.835 570.595 46.005 570.765 ;
+        RECT 46.295 570.595 46.465 570.765 ;
+        RECT 46.755 570.595 46.925 570.765 ;
+        RECT 47.215 570.595 47.385 570.765 ;
+        RECT 47.675 570.595 47.845 570.765 ;
+        RECT 48.135 570.595 48.305 570.765 ;
+        RECT 48.595 570.595 48.765 570.765 ;
+        RECT 49.055 570.595 49.225 570.765 ;
+        RECT 49.515 570.595 49.685 570.765 ;
+        RECT 49.975 570.595 50.145 570.765 ;
+        RECT 50.435 570.595 50.605 570.765 ;
+        RECT 50.895 570.595 51.065 570.765 ;
+        RECT 51.355 570.595 51.525 570.765 ;
+        RECT 51.815 570.595 51.985 570.765 ;
+        RECT 52.275 570.595 52.445 570.765 ;
+        RECT 52.735 570.595 52.905 570.765 ;
+        RECT 53.195 570.595 53.365 570.765 ;
+        RECT 53.655 570.595 53.825 570.765 ;
+        RECT 42.615 567.875 42.785 568.045 ;
+        RECT 43.075 567.875 43.245 568.045 ;
+        RECT 43.535 567.875 43.705 568.045 ;
+        RECT 43.995 567.875 44.165 568.045 ;
+        RECT 44.455 567.875 44.625 568.045 ;
+        RECT 44.915 567.875 45.085 568.045 ;
+        RECT 45.375 567.875 45.545 568.045 ;
+        RECT 45.835 567.875 46.005 568.045 ;
+        RECT 46.295 567.875 46.465 568.045 ;
+        RECT 46.755 567.875 46.925 568.045 ;
+        RECT 47.215 567.875 47.385 568.045 ;
+        RECT 47.675 567.875 47.845 568.045 ;
+        RECT 48.135 567.875 48.305 568.045 ;
+        RECT 48.595 567.875 48.765 568.045 ;
+        RECT 49.055 567.875 49.225 568.045 ;
+        RECT 49.515 567.875 49.685 568.045 ;
+        RECT 49.975 567.875 50.145 568.045 ;
+        RECT 50.435 567.875 50.605 568.045 ;
+        RECT 50.895 567.875 51.065 568.045 ;
+        RECT 51.355 567.875 51.525 568.045 ;
+        RECT 51.815 567.875 51.985 568.045 ;
+        RECT 52.275 567.875 52.445 568.045 ;
+        RECT 52.735 567.875 52.905 568.045 ;
+        RECT 53.195 567.875 53.365 568.045 ;
+        RECT 53.655 567.875 53.825 568.045 ;
+        RECT 42.615 565.155 42.785 565.325 ;
+        RECT 43.075 565.155 43.245 565.325 ;
+        RECT 43.535 565.155 43.705 565.325 ;
+        RECT 43.995 565.155 44.165 565.325 ;
+        RECT 44.455 565.155 44.625 565.325 ;
+        RECT 44.915 565.155 45.085 565.325 ;
+        RECT 45.375 565.155 45.545 565.325 ;
+        RECT 45.835 565.155 46.005 565.325 ;
+        RECT 46.295 565.155 46.465 565.325 ;
+        RECT 46.755 565.155 46.925 565.325 ;
+        RECT 47.215 565.155 47.385 565.325 ;
+        RECT 47.675 565.155 47.845 565.325 ;
+        RECT 48.135 565.155 48.305 565.325 ;
+        RECT 48.595 565.155 48.765 565.325 ;
+        RECT 49.055 565.155 49.225 565.325 ;
+        RECT 49.515 565.155 49.685 565.325 ;
+        RECT 49.975 565.155 50.145 565.325 ;
+        RECT 50.435 565.155 50.605 565.325 ;
+        RECT 50.895 565.155 51.065 565.325 ;
+        RECT 51.355 565.155 51.525 565.325 ;
+        RECT 51.815 565.155 51.985 565.325 ;
+        RECT 52.275 565.155 52.445 565.325 ;
+        RECT 52.735 565.155 52.905 565.325 ;
+        RECT 53.195 565.155 53.365 565.325 ;
+        RECT 53.655 565.155 53.825 565.325 ;
+        RECT 42.615 562.435 42.785 562.605 ;
+        RECT 43.075 562.435 43.245 562.605 ;
+        RECT 43.535 562.435 43.705 562.605 ;
+        RECT 43.995 562.435 44.165 562.605 ;
+        RECT 44.455 562.435 44.625 562.605 ;
+        RECT 44.915 562.435 45.085 562.605 ;
+        RECT 45.375 562.435 45.545 562.605 ;
+        RECT 45.835 562.435 46.005 562.605 ;
+        RECT 46.295 562.435 46.465 562.605 ;
+        RECT 46.755 562.435 46.925 562.605 ;
+        RECT 47.215 562.435 47.385 562.605 ;
+        RECT 47.675 562.435 47.845 562.605 ;
+        RECT 48.135 562.435 48.305 562.605 ;
+        RECT 48.595 562.435 48.765 562.605 ;
+        RECT 49.055 562.435 49.225 562.605 ;
+        RECT 49.515 562.435 49.685 562.605 ;
+        RECT 49.975 562.435 50.145 562.605 ;
+        RECT 50.435 562.435 50.605 562.605 ;
+        RECT 50.895 562.435 51.065 562.605 ;
+        RECT 51.355 562.435 51.525 562.605 ;
+        RECT 51.815 562.435 51.985 562.605 ;
+        RECT 52.275 562.435 52.445 562.605 ;
+        RECT 52.735 562.435 52.905 562.605 ;
+        RECT 53.195 562.435 53.365 562.605 ;
+        RECT 53.655 562.435 53.825 562.605 ;
+        RECT 42.615 559.715 42.785 559.885 ;
+        RECT 43.075 559.715 43.245 559.885 ;
+        RECT 43.535 559.715 43.705 559.885 ;
+        RECT 43.995 559.715 44.165 559.885 ;
+        RECT 44.455 559.715 44.625 559.885 ;
+        RECT 44.915 559.715 45.085 559.885 ;
+        RECT 45.375 559.715 45.545 559.885 ;
+        RECT 45.835 559.715 46.005 559.885 ;
+        RECT 46.295 559.715 46.465 559.885 ;
+        RECT 46.755 559.715 46.925 559.885 ;
+        RECT 47.215 559.715 47.385 559.885 ;
+        RECT 47.675 559.715 47.845 559.885 ;
+        RECT 48.135 559.715 48.305 559.885 ;
+        RECT 48.595 559.715 48.765 559.885 ;
+        RECT 49.055 559.715 49.225 559.885 ;
+        RECT 49.515 559.715 49.685 559.885 ;
+        RECT 49.975 559.715 50.145 559.885 ;
+        RECT 50.435 559.715 50.605 559.885 ;
+        RECT 50.895 559.715 51.065 559.885 ;
+        RECT 51.355 559.715 51.525 559.885 ;
+        RECT 51.815 559.715 51.985 559.885 ;
+        RECT 52.275 559.715 52.445 559.885 ;
+        RECT 52.735 559.715 52.905 559.885 ;
+        RECT 53.195 559.715 53.365 559.885 ;
+        RECT 53.655 559.715 53.825 559.885 ;
+        RECT 42.615 556.995 42.785 557.165 ;
+        RECT 43.075 556.995 43.245 557.165 ;
+        RECT 43.535 556.995 43.705 557.165 ;
+        RECT 43.995 556.995 44.165 557.165 ;
+        RECT 44.455 556.995 44.625 557.165 ;
+        RECT 44.915 556.995 45.085 557.165 ;
+        RECT 45.375 556.995 45.545 557.165 ;
+        RECT 45.835 556.995 46.005 557.165 ;
+        RECT 46.295 556.995 46.465 557.165 ;
+        RECT 46.755 556.995 46.925 557.165 ;
+        RECT 47.215 556.995 47.385 557.165 ;
+        RECT 47.675 556.995 47.845 557.165 ;
+        RECT 48.135 556.995 48.305 557.165 ;
+        RECT 48.595 556.995 48.765 557.165 ;
+        RECT 49.055 556.995 49.225 557.165 ;
+        RECT 49.515 556.995 49.685 557.165 ;
+        RECT 49.975 556.995 50.145 557.165 ;
+        RECT 50.435 556.995 50.605 557.165 ;
+        RECT 50.895 556.995 51.065 557.165 ;
+        RECT 51.355 556.995 51.525 557.165 ;
+        RECT 51.815 556.995 51.985 557.165 ;
+        RECT 52.275 556.995 52.445 557.165 ;
+        RECT 52.735 556.995 52.905 557.165 ;
+        RECT 53.195 556.995 53.365 557.165 ;
+        RECT 53.655 556.995 53.825 557.165 ;
+        RECT 42.615 554.275 42.785 554.445 ;
+        RECT 43.075 554.275 43.245 554.445 ;
+        RECT 43.535 554.275 43.705 554.445 ;
+        RECT 43.995 554.275 44.165 554.445 ;
+        RECT 44.455 554.275 44.625 554.445 ;
+        RECT 44.915 554.275 45.085 554.445 ;
+        RECT 45.375 554.275 45.545 554.445 ;
+        RECT 45.835 554.275 46.005 554.445 ;
+        RECT 46.295 554.275 46.465 554.445 ;
+        RECT 46.755 554.275 46.925 554.445 ;
+        RECT 47.215 554.275 47.385 554.445 ;
+        RECT 47.675 554.275 47.845 554.445 ;
+        RECT 48.135 554.275 48.305 554.445 ;
+        RECT 48.595 554.275 48.765 554.445 ;
+        RECT 49.055 554.275 49.225 554.445 ;
+        RECT 49.515 554.275 49.685 554.445 ;
+        RECT 49.975 554.275 50.145 554.445 ;
+        RECT 50.435 554.275 50.605 554.445 ;
+        RECT 50.895 554.275 51.065 554.445 ;
+        RECT 51.355 554.275 51.525 554.445 ;
+        RECT 51.815 554.275 51.985 554.445 ;
+        RECT 52.275 554.275 52.445 554.445 ;
+        RECT 52.735 554.275 52.905 554.445 ;
+        RECT 53.195 554.275 53.365 554.445 ;
+        RECT 53.655 554.275 53.825 554.445 ;
+        RECT 42.615 551.555 42.785 551.725 ;
+        RECT 43.075 551.555 43.245 551.725 ;
+        RECT 43.535 551.555 43.705 551.725 ;
+        RECT 43.995 551.555 44.165 551.725 ;
+        RECT 44.455 551.555 44.625 551.725 ;
+        RECT 44.915 551.555 45.085 551.725 ;
+        RECT 45.375 551.555 45.545 551.725 ;
+        RECT 45.835 551.555 46.005 551.725 ;
+        RECT 46.295 551.555 46.465 551.725 ;
+        RECT 46.755 551.555 46.925 551.725 ;
+        RECT 47.215 551.555 47.385 551.725 ;
+        RECT 47.675 551.555 47.845 551.725 ;
+        RECT 48.135 551.555 48.305 551.725 ;
+        RECT 48.595 551.555 48.765 551.725 ;
+        RECT 49.055 551.555 49.225 551.725 ;
+        RECT 49.515 551.555 49.685 551.725 ;
+        RECT 49.975 551.555 50.145 551.725 ;
+        RECT 50.435 551.555 50.605 551.725 ;
+        RECT 50.895 551.555 51.065 551.725 ;
+        RECT 51.355 551.555 51.525 551.725 ;
+        RECT 51.815 551.555 51.985 551.725 ;
+        RECT 52.275 551.555 52.445 551.725 ;
+        RECT 52.735 551.555 52.905 551.725 ;
+        RECT 53.195 551.555 53.365 551.725 ;
+        RECT 53.655 551.555 53.825 551.725 ;
+        RECT 42.615 548.835 42.785 549.005 ;
+        RECT 43.075 548.835 43.245 549.005 ;
+        RECT 43.535 548.835 43.705 549.005 ;
+        RECT 43.995 548.835 44.165 549.005 ;
+        RECT 44.455 548.835 44.625 549.005 ;
+        RECT 44.915 548.835 45.085 549.005 ;
+        RECT 45.375 548.835 45.545 549.005 ;
+        RECT 45.835 548.835 46.005 549.005 ;
+        RECT 46.295 548.835 46.465 549.005 ;
+        RECT 46.755 548.835 46.925 549.005 ;
+        RECT 47.215 548.835 47.385 549.005 ;
+        RECT 47.675 548.835 47.845 549.005 ;
+        RECT 48.135 548.835 48.305 549.005 ;
+        RECT 48.595 548.835 48.765 549.005 ;
+        RECT 49.055 548.835 49.225 549.005 ;
+        RECT 49.515 548.835 49.685 549.005 ;
+        RECT 49.975 548.835 50.145 549.005 ;
+        RECT 50.435 548.835 50.605 549.005 ;
+        RECT 50.895 548.835 51.065 549.005 ;
+        RECT 51.355 548.835 51.525 549.005 ;
+        RECT 51.815 548.835 51.985 549.005 ;
+        RECT 52.275 548.835 52.445 549.005 ;
+        RECT 52.735 548.835 52.905 549.005 ;
+        RECT 53.195 548.835 53.365 549.005 ;
+        RECT 53.655 548.835 53.825 549.005 ;
+        RECT 42.615 546.115 42.785 546.285 ;
+        RECT 43.075 546.115 43.245 546.285 ;
+        RECT 43.535 546.115 43.705 546.285 ;
+        RECT 43.995 546.115 44.165 546.285 ;
+        RECT 44.455 546.115 44.625 546.285 ;
+        RECT 44.915 546.115 45.085 546.285 ;
+        RECT 45.375 546.115 45.545 546.285 ;
+        RECT 45.835 546.115 46.005 546.285 ;
+        RECT 46.295 546.115 46.465 546.285 ;
+        RECT 46.755 546.115 46.925 546.285 ;
+        RECT 47.215 546.115 47.385 546.285 ;
+        RECT 47.675 546.115 47.845 546.285 ;
+        RECT 48.135 546.115 48.305 546.285 ;
+        RECT 48.595 546.115 48.765 546.285 ;
+        RECT 49.055 546.115 49.225 546.285 ;
+        RECT 49.515 546.115 49.685 546.285 ;
+        RECT 49.975 546.115 50.145 546.285 ;
+        RECT 50.435 546.115 50.605 546.285 ;
+        RECT 50.895 546.115 51.065 546.285 ;
+        RECT 51.355 546.115 51.525 546.285 ;
+        RECT 51.815 546.115 51.985 546.285 ;
+        RECT 52.275 546.115 52.445 546.285 ;
+        RECT 52.735 546.115 52.905 546.285 ;
+        RECT 53.195 546.115 53.365 546.285 ;
+        RECT 53.655 546.115 53.825 546.285 ;
+        RECT 42.615 543.395 42.785 543.565 ;
+        RECT 43.075 543.395 43.245 543.565 ;
+        RECT 43.535 543.395 43.705 543.565 ;
+        RECT 43.995 543.395 44.165 543.565 ;
+        RECT 44.455 543.395 44.625 543.565 ;
+        RECT 44.915 543.395 45.085 543.565 ;
+        RECT 45.375 543.395 45.545 543.565 ;
+        RECT 45.835 543.395 46.005 543.565 ;
+        RECT 46.295 543.395 46.465 543.565 ;
+        RECT 46.755 543.395 46.925 543.565 ;
+        RECT 47.215 543.395 47.385 543.565 ;
+        RECT 47.675 543.395 47.845 543.565 ;
+        RECT 48.135 543.395 48.305 543.565 ;
+        RECT 48.595 543.395 48.765 543.565 ;
+        RECT 49.055 543.395 49.225 543.565 ;
+        RECT 49.515 543.395 49.685 543.565 ;
+        RECT 49.975 543.395 50.145 543.565 ;
+        RECT 50.435 543.395 50.605 543.565 ;
+        RECT 50.895 543.395 51.065 543.565 ;
+        RECT 51.355 543.395 51.525 543.565 ;
+        RECT 51.815 543.395 51.985 543.565 ;
+        RECT 52.275 543.395 52.445 543.565 ;
+        RECT 52.735 543.395 52.905 543.565 ;
+        RECT 53.195 543.395 53.365 543.565 ;
+        RECT 53.655 543.395 53.825 543.565 ;
+        RECT 42.615 540.675 42.785 540.845 ;
+        RECT 43.075 540.675 43.245 540.845 ;
+        RECT 43.535 540.675 43.705 540.845 ;
+        RECT 43.995 540.675 44.165 540.845 ;
+        RECT 44.455 540.675 44.625 540.845 ;
+        RECT 44.915 540.675 45.085 540.845 ;
+        RECT 45.375 540.675 45.545 540.845 ;
+        RECT 45.835 540.675 46.005 540.845 ;
+        RECT 46.295 540.675 46.465 540.845 ;
+        RECT 46.755 540.675 46.925 540.845 ;
+        RECT 47.215 540.675 47.385 540.845 ;
+        RECT 47.675 540.675 47.845 540.845 ;
+        RECT 48.135 540.675 48.305 540.845 ;
+        RECT 48.595 540.675 48.765 540.845 ;
+        RECT 49.055 540.675 49.225 540.845 ;
+        RECT 49.515 540.675 49.685 540.845 ;
+        RECT 49.975 540.675 50.145 540.845 ;
+        RECT 50.435 540.675 50.605 540.845 ;
+        RECT 50.895 540.675 51.065 540.845 ;
+        RECT 51.355 540.675 51.525 540.845 ;
+        RECT 51.815 540.675 51.985 540.845 ;
+        RECT 52.275 540.675 52.445 540.845 ;
+        RECT 52.735 540.675 52.905 540.845 ;
+        RECT 53.195 540.675 53.365 540.845 ;
+        RECT 53.655 540.675 53.825 540.845 ;
+        RECT 42.615 537.955 42.785 538.125 ;
+        RECT 43.075 537.955 43.245 538.125 ;
+        RECT 43.535 537.955 43.705 538.125 ;
+        RECT 43.995 537.955 44.165 538.125 ;
+        RECT 44.455 537.955 44.625 538.125 ;
+        RECT 44.915 537.955 45.085 538.125 ;
+        RECT 45.375 537.955 45.545 538.125 ;
+        RECT 45.835 537.955 46.005 538.125 ;
+        RECT 46.295 537.955 46.465 538.125 ;
+        RECT 46.755 537.955 46.925 538.125 ;
+        RECT 47.215 537.955 47.385 538.125 ;
+        RECT 47.675 537.955 47.845 538.125 ;
+        RECT 48.135 537.955 48.305 538.125 ;
+        RECT 48.595 537.955 48.765 538.125 ;
+        RECT 49.055 537.955 49.225 538.125 ;
+        RECT 49.515 537.955 49.685 538.125 ;
+        RECT 49.975 537.955 50.145 538.125 ;
+        RECT 50.435 537.955 50.605 538.125 ;
+        RECT 50.895 537.955 51.065 538.125 ;
+        RECT 51.355 537.955 51.525 538.125 ;
+        RECT 51.815 537.955 51.985 538.125 ;
+        RECT 52.275 537.955 52.445 538.125 ;
+        RECT 52.735 537.955 52.905 538.125 ;
+        RECT 53.195 537.955 53.365 538.125 ;
+        RECT 53.655 537.955 53.825 538.125 ;
+        RECT 42.615 535.235 42.785 535.405 ;
+        RECT 43.075 535.235 43.245 535.405 ;
+        RECT 43.535 535.235 43.705 535.405 ;
+        RECT 43.995 535.235 44.165 535.405 ;
+        RECT 44.455 535.235 44.625 535.405 ;
+        RECT 44.915 535.235 45.085 535.405 ;
+        RECT 45.375 535.235 45.545 535.405 ;
+        RECT 45.835 535.235 46.005 535.405 ;
+        RECT 46.295 535.235 46.465 535.405 ;
+        RECT 46.755 535.235 46.925 535.405 ;
+        RECT 47.215 535.235 47.385 535.405 ;
+        RECT 47.675 535.235 47.845 535.405 ;
+        RECT 48.135 535.235 48.305 535.405 ;
+        RECT 48.595 535.235 48.765 535.405 ;
+        RECT 49.055 535.235 49.225 535.405 ;
+        RECT 49.515 535.235 49.685 535.405 ;
+        RECT 49.975 535.235 50.145 535.405 ;
+        RECT 50.435 535.235 50.605 535.405 ;
+        RECT 50.895 535.235 51.065 535.405 ;
+        RECT 51.355 535.235 51.525 535.405 ;
+        RECT 51.815 535.235 51.985 535.405 ;
+        RECT 52.275 535.235 52.445 535.405 ;
+        RECT 52.735 535.235 52.905 535.405 ;
+        RECT 53.195 535.235 53.365 535.405 ;
+        RECT 53.655 535.235 53.825 535.405 ;
+        RECT 42.615 532.515 42.785 532.685 ;
+        RECT 43.075 532.515 43.245 532.685 ;
+        RECT 43.535 532.515 43.705 532.685 ;
+        RECT 43.995 532.515 44.165 532.685 ;
+        RECT 44.455 532.515 44.625 532.685 ;
+        RECT 44.915 532.515 45.085 532.685 ;
+        RECT 45.375 532.515 45.545 532.685 ;
+        RECT 45.835 532.515 46.005 532.685 ;
+        RECT 46.295 532.515 46.465 532.685 ;
+        RECT 46.755 532.515 46.925 532.685 ;
+        RECT 47.215 532.515 47.385 532.685 ;
+        RECT 47.675 532.515 47.845 532.685 ;
+        RECT 48.135 532.515 48.305 532.685 ;
+        RECT 48.595 532.515 48.765 532.685 ;
+        RECT 49.055 532.515 49.225 532.685 ;
+        RECT 49.515 532.515 49.685 532.685 ;
+        RECT 49.975 532.515 50.145 532.685 ;
+        RECT 50.435 532.515 50.605 532.685 ;
+        RECT 50.895 532.515 51.065 532.685 ;
+        RECT 51.355 532.515 51.525 532.685 ;
+        RECT 51.815 532.515 51.985 532.685 ;
+        RECT 52.275 532.515 52.445 532.685 ;
+        RECT 52.735 532.515 52.905 532.685 ;
+        RECT 53.195 532.515 53.365 532.685 ;
+        RECT 53.655 532.515 53.825 532.685 ;
+        RECT 42.615 529.795 42.785 529.965 ;
+        RECT 43.075 529.795 43.245 529.965 ;
+        RECT 43.535 529.795 43.705 529.965 ;
+        RECT 43.995 529.795 44.165 529.965 ;
+        RECT 44.455 529.795 44.625 529.965 ;
+        RECT 44.915 529.795 45.085 529.965 ;
+        RECT 45.375 529.795 45.545 529.965 ;
+        RECT 45.835 529.795 46.005 529.965 ;
+        RECT 46.295 529.795 46.465 529.965 ;
+        RECT 46.755 529.795 46.925 529.965 ;
+        RECT 47.215 529.795 47.385 529.965 ;
+        RECT 47.675 529.795 47.845 529.965 ;
+        RECT 48.135 529.795 48.305 529.965 ;
+        RECT 48.595 529.795 48.765 529.965 ;
+        RECT 49.055 529.795 49.225 529.965 ;
+        RECT 49.515 529.795 49.685 529.965 ;
+        RECT 49.975 529.795 50.145 529.965 ;
+        RECT 50.435 529.795 50.605 529.965 ;
+        RECT 50.895 529.795 51.065 529.965 ;
+        RECT 51.355 529.795 51.525 529.965 ;
+        RECT 51.815 529.795 51.985 529.965 ;
+        RECT 52.275 529.795 52.445 529.965 ;
+        RECT 52.735 529.795 52.905 529.965 ;
+        RECT 53.195 529.795 53.365 529.965 ;
+        RECT 53.655 529.795 53.825 529.965 ;
+        RECT 42.615 527.075 42.785 527.245 ;
+        RECT 43.075 527.075 43.245 527.245 ;
+        RECT 43.535 527.075 43.705 527.245 ;
+        RECT 43.995 527.075 44.165 527.245 ;
+        RECT 44.455 527.075 44.625 527.245 ;
+        RECT 44.915 527.075 45.085 527.245 ;
+        RECT 45.375 527.075 45.545 527.245 ;
+        RECT 45.835 527.075 46.005 527.245 ;
+        RECT 46.295 527.075 46.465 527.245 ;
+        RECT 46.755 527.075 46.925 527.245 ;
+        RECT 47.215 527.075 47.385 527.245 ;
+        RECT 47.675 527.075 47.845 527.245 ;
+        RECT 48.135 527.075 48.305 527.245 ;
+        RECT 48.595 527.075 48.765 527.245 ;
+        RECT 49.055 527.075 49.225 527.245 ;
+        RECT 49.515 527.075 49.685 527.245 ;
+        RECT 49.975 527.075 50.145 527.245 ;
+        RECT 50.435 527.075 50.605 527.245 ;
+        RECT 50.895 527.075 51.065 527.245 ;
+        RECT 51.355 527.075 51.525 527.245 ;
+        RECT 51.815 527.075 51.985 527.245 ;
+        RECT 52.275 527.075 52.445 527.245 ;
+        RECT 52.735 527.075 52.905 527.245 ;
+        RECT 53.195 527.075 53.365 527.245 ;
+        RECT 53.655 527.075 53.825 527.245 ;
+        RECT 42.615 524.355 42.785 524.525 ;
+        RECT 43.075 524.355 43.245 524.525 ;
+        RECT 43.535 524.355 43.705 524.525 ;
+        RECT 43.995 524.355 44.165 524.525 ;
+        RECT 44.455 524.355 44.625 524.525 ;
+        RECT 44.915 524.355 45.085 524.525 ;
+        RECT 45.375 524.355 45.545 524.525 ;
+        RECT 45.835 524.355 46.005 524.525 ;
+        RECT 46.295 524.355 46.465 524.525 ;
+        RECT 46.755 524.355 46.925 524.525 ;
+        RECT 47.215 524.355 47.385 524.525 ;
+        RECT 47.675 524.355 47.845 524.525 ;
+        RECT 48.135 524.355 48.305 524.525 ;
+        RECT 48.595 524.355 48.765 524.525 ;
+        RECT 49.055 524.355 49.225 524.525 ;
+        RECT 49.515 524.355 49.685 524.525 ;
+        RECT 49.975 524.355 50.145 524.525 ;
+        RECT 50.435 524.355 50.605 524.525 ;
+        RECT 50.895 524.355 51.065 524.525 ;
+        RECT 51.355 524.355 51.525 524.525 ;
+        RECT 51.815 524.355 51.985 524.525 ;
+        RECT 52.275 524.355 52.445 524.525 ;
+        RECT 52.735 524.355 52.905 524.525 ;
+        RECT 53.195 524.355 53.365 524.525 ;
+        RECT 53.655 524.355 53.825 524.525 ;
+        RECT 42.615 521.635 42.785 521.805 ;
+        RECT 43.075 521.635 43.245 521.805 ;
+        RECT 43.535 521.635 43.705 521.805 ;
+        RECT 43.995 521.635 44.165 521.805 ;
+        RECT 44.455 521.635 44.625 521.805 ;
+        RECT 44.915 521.635 45.085 521.805 ;
+        RECT 45.375 521.635 45.545 521.805 ;
+        RECT 45.835 521.635 46.005 521.805 ;
+        RECT 46.295 521.635 46.465 521.805 ;
+        RECT 46.755 521.635 46.925 521.805 ;
+        RECT 47.215 521.635 47.385 521.805 ;
+        RECT 47.675 521.635 47.845 521.805 ;
+        RECT 48.135 521.635 48.305 521.805 ;
+        RECT 48.595 521.635 48.765 521.805 ;
+        RECT 49.055 521.635 49.225 521.805 ;
+        RECT 49.515 521.635 49.685 521.805 ;
+        RECT 49.975 521.635 50.145 521.805 ;
+        RECT 50.435 521.635 50.605 521.805 ;
+        RECT 50.895 521.635 51.065 521.805 ;
+        RECT 51.355 521.635 51.525 521.805 ;
+        RECT 51.815 521.635 51.985 521.805 ;
+        RECT 52.275 521.635 52.445 521.805 ;
+        RECT 52.735 521.635 52.905 521.805 ;
+        RECT 53.195 521.635 53.365 521.805 ;
+        RECT 53.655 521.635 53.825 521.805 ;
+        RECT 42.615 518.915 42.785 519.085 ;
+        RECT 43.075 518.915 43.245 519.085 ;
+        RECT 43.535 518.915 43.705 519.085 ;
+        RECT 43.995 518.915 44.165 519.085 ;
+        RECT 44.455 518.915 44.625 519.085 ;
+        RECT 44.915 518.915 45.085 519.085 ;
+        RECT 45.375 518.915 45.545 519.085 ;
+        RECT 45.835 518.915 46.005 519.085 ;
+        RECT 46.295 518.915 46.465 519.085 ;
+        RECT 46.755 518.915 46.925 519.085 ;
+        RECT 47.215 518.915 47.385 519.085 ;
+        RECT 47.675 518.915 47.845 519.085 ;
+        RECT 48.135 518.915 48.305 519.085 ;
+        RECT 48.595 518.915 48.765 519.085 ;
+        RECT 49.055 518.915 49.225 519.085 ;
+        RECT 49.515 518.915 49.685 519.085 ;
+        RECT 49.975 518.915 50.145 519.085 ;
+        RECT 50.435 518.915 50.605 519.085 ;
+        RECT 50.895 518.915 51.065 519.085 ;
+        RECT 51.355 518.915 51.525 519.085 ;
+        RECT 51.815 518.915 51.985 519.085 ;
+        RECT 52.275 518.915 52.445 519.085 ;
+        RECT 52.735 518.915 52.905 519.085 ;
+        RECT 53.195 518.915 53.365 519.085 ;
+        RECT 53.655 518.915 53.825 519.085 ;
+        RECT 42.615 516.195 42.785 516.365 ;
+        RECT 43.075 516.195 43.245 516.365 ;
+        RECT 43.535 516.195 43.705 516.365 ;
+        RECT 43.995 516.195 44.165 516.365 ;
+        RECT 44.455 516.195 44.625 516.365 ;
+        RECT 44.915 516.195 45.085 516.365 ;
+        RECT 45.375 516.195 45.545 516.365 ;
+        RECT 45.835 516.195 46.005 516.365 ;
+        RECT 46.295 516.195 46.465 516.365 ;
+        RECT 46.755 516.195 46.925 516.365 ;
+        RECT 47.215 516.195 47.385 516.365 ;
+        RECT 47.675 516.195 47.845 516.365 ;
+        RECT 48.135 516.195 48.305 516.365 ;
+        RECT 48.595 516.195 48.765 516.365 ;
+        RECT 49.055 516.195 49.225 516.365 ;
+        RECT 49.515 516.195 49.685 516.365 ;
+        RECT 49.975 516.195 50.145 516.365 ;
+        RECT 50.435 516.195 50.605 516.365 ;
+        RECT 50.895 516.195 51.065 516.365 ;
+        RECT 51.355 516.195 51.525 516.365 ;
+        RECT 51.815 516.195 51.985 516.365 ;
+        RECT 52.275 516.195 52.445 516.365 ;
+        RECT 52.735 516.195 52.905 516.365 ;
+        RECT 53.195 516.195 53.365 516.365 ;
+        RECT 53.655 516.195 53.825 516.365 ;
+        RECT 42.615 513.475 42.785 513.645 ;
+        RECT 43.075 513.475 43.245 513.645 ;
+        RECT 43.535 513.475 43.705 513.645 ;
+        RECT 43.995 513.475 44.165 513.645 ;
+        RECT 44.455 513.475 44.625 513.645 ;
+        RECT 44.915 513.475 45.085 513.645 ;
+        RECT 45.375 513.475 45.545 513.645 ;
+        RECT 45.835 513.475 46.005 513.645 ;
+        RECT 46.295 513.475 46.465 513.645 ;
+        RECT 46.755 513.475 46.925 513.645 ;
+        RECT 47.215 513.475 47.385 513.645 ;
+        RECT 47.675 513.475 47.845 513.645 ;
+        RECT 48.135 513.475 48.305 513.645 ;
+        RECT 48.595 513.475 48.765 513.645 ;
+        RECT 49.055 513.475 49.225 513.645 ;
+        RECT 49.515 513.475 49.685 513.645 ;
+        RECT 49.975 513.475 50.145 513.645 ;
+        RECT 50.435 513.475 50.605 513.645 ;
+        RECT 50.895 513.475 51.065 513.645 ;
+        RECT 51.355 513.475 51.525 513.645 ;
+        RECT 51.815 513.475 51.985 513.645 ;
+        RECT 52.275 513.475 52.445 513.645 ;
+        RECT 52.735 513.475 52.905 513.645 ;
+        RECT 53.195 513.475 53.365 513.645 ;
+        RECT 53.655 513.475 53.825 513.645 ;
+        RECT 42.615 510.755 42.785 510.925 ;
+        RECT 43.075 510.755 43.245 510.925 ;
+        RECT 43.535 510.755 43.705 510.925 ;
+        RECT 43.995 510.755 44.165 510.925 ;
+        RECT 44.455 510.755 44.625 510.925 ;
+        RECT 44.915 510.755 45.085 510.925 ;
+        RECT 45.375 510.755 45.545 510.925 ;
+        RECT 45.835 510.755 46.005 510.925 ;
+        RECT 46.295 510.755 46.465 510.925 ;
+        RECT 46.755 510.755 46.925 510.925 ;
+        RECT 47.215 510.755 47.385 510.925 ;
+        RECT 47.675 510.755 47.845 510.925 ;
+        RECT 48.135 510.755 48.305 510.925 ;
+        RECT 48.595 510.755 48.765 510.925 ;
+        RECT 49.055 510.755 49.225 510.925 ;
+        RECT 49.515 510.755 49.685 510.925 ;
+        RECT 49.975 510.755 50.145 510.925 ;
+        RECT 50.435 510.755 50.605 510.925 ;
+        RECT 50.895 510.755 51.065 510.925 ;
+        RECT 51.355 510.755 51.525 510.925 ;
+        RECT 51.815 510.755 51.985 510.925 ;
+        RECT 52.275 510.755 52.445 510.925 ;
+        RECT 52.735 510.755 52.905 510.925 ;
+        RECT 53.195 510.755 53.365 510.925 ;
+        RECT 53.655 510.755 53.825 510.925 ;
+        RECT 42.615 508.035 42.785 508.205 ;
+        RECT 43.075 508.035 43.245 508.205 ;
+        RECT 43.535 508.035 43.705 508.205 ;
+        RECT 43.995 508.035 44.165 508.205 ;
+        RECT 44.455 508.035 44.625 508.205 ;
+        RECT 44.915 508.035 45.085 508.205 ;
+        RECT 45.375 508.035 45.545 508.205 ;
+        RECT 45.835 508.035 46.005 508.205 ;
+        RECT 46.295 508.035 46.465 508.205 ;
+        RECT 46.755 508.035 46.925 508.205 ;
+        RECT 47.215 508.035 47.385 508.205 ;
+        RECT 47.675 508.035 47.845 508.205 ;
+        RECT 48.135 508.035 48.305 508.205 ;
+        RECT 48.595 508.035 48.765 508.205 ;
+        RECT 49.055 508.035 49.225 508.205 ;
+        RECT 49.515 508.035 49.685 508.205 ;
+        RECT 49.975 508.035 50.145 508.205 ;
+        RECT 50.435 508.035 50.605 508.205 ;
+        RECT 50.895 508.035 51.065 508.205 ;
+        RECT 51.355 508.035 51.525 508.205 ;
+        RECT 51.815 508.035 51.985 508.205 ;
+        RECT 52.275 508.035 52.445 508.205 ;
+        RECT 52.735 508.035 52.905 508.205 ;
+        RECT 53.195 508.035 53.365 508.205 ;
+        RECT 53.655 508.035 53.825 508.205 ;
+        RECT 42.615 505.315 42.785 505.485 ;
+        RECT 43.075 505.315 43.245 505.485 ;
+        RECT 43.535 505.315 43.705 505.485 ;
+        RECT 43.995 505.315 44.165 505.485 ;
+        RECT 44.455 505.315 44.625 505.485 ;
+        RECT 44.915 505.315 45.085 505.485 ;
+        RECT 45.375 505.315 45.545 505.485 ;
+        RECT 45.835 505.315 46.005 505.485 ;
+        RECT 46.295 505.315 46.465 505.485 ;
+        RECT 46.755 505.315 46.925 505.485 ;
+        RECT 47.215 505.315 47.385 505.485 ;
+        RECT 47.675 505.315 47.845 505.485 ;
+        RECT 48.135 505.315 48.305 505.485 ;
+        RECT 48.595 505.315 48.765 505.485 ;
+        RECT 49.055 505.315 49.225 505.485 ;
+        RECT 49.515 505.315 49.685 505.485 ;
+        RECT 49.975 505.315 50.145 505.485 ;
+        RECT 50.435 505.315 50.605 505.485 ;
+        RECT 50.895 505.315 51.065 505.485 ;
+        RECT 51.355 505.315 51.525 505.485 ;
+        RECT 51.815 505.315 51.985 505.485 ;
+        RECT 52.275 505.315 52.445 505.485 ;
+        RECT 52.735 505.315 52.905 505.485 ;
+        RECT 53.195 505.315 53.365 505.485 ;
+        RECT 53.655 505.315 53.825 505.485 ;
+        RECT 42.615 502.595 42.785 502.765 ;
+        RECT 43.075 502.595 43.245 502.765 ;
+        RECT 43.535 502.595 43.705 502.765 ;
+        RECT 43.995 502.595 44.165 502.765 ;
+        RECT 44.455 502.595 44.625 502.765 ;
+        RECT 44.915 502.595 45.085 502.765 ;
+        RECT 45.375 502.595 45.545 502.765 ;
+        RECT 45.835 502.595 46.005 502.765 ;
+        RECT 46.295 502.595 46.465 502.765 ;
+        RECT 46.755 502.595 46.925 502.765 ;
+        RECT 47.215 502.595 47.385 502.765 ;
+        RECT 47.675 502.595 47.845 502.765 ;
+        RECT 48.135 502.595 48.305 502.765 ;
+        RECT 48.595 502.595 48.765 502.765 ;
+        RECT 49.055 502.595 49.225 502.765 ;
+        RECT 49.515 502.595 49.685 502.765 ;
+        RECT 49.975 502.595 50.145 502.765 ;
+        RECT 50.435 502.595 50.605 502.765 ;
+        RECT 50.895 502.595 51.065 502.765 ;
+        RECT 51.355 502.595 51.525 502.765 ;
+        RECT 51.815 502.595 51.985 502.765 ;
+        RECT 52.275 502.595 52.445 502.765 ;
+        RECT 52.735 502.595 52.905 502.765 ;
+        RECT 53.195 502.595 53.365 502.765 ;
+        RECT 53.655 502.595 53.825 502.765 ;
+        RECT 42.615 499.875 42.785 500.045 ;
+        RECT 43.075 499.875 43.245 500.045 ;
+        RECT 43.535 499.875 43.705 500.045 ;
+        RECT 43.995 499.875 44.165 500.045 ;
+        RECT 44.455 499.875 44.625 500.045 ;
+        RECT 44.915 499.875 45.085 500.045 ;
+        RECT 45.375 499.875 45.545 500.045 ;
+        RECT 45.835 499.875 46.005 500.045 ;
+        RECT 46.295 499.875 46.465 500.045 ;
+        RECT 46.755 499.875 46.925 500.045 ;
+        RECT 47.215 499.875 47.385 500.045 ;
+        RECT 47.675 499.875 47.845 500.045 ;
+        RECT 48.135 499.875 48.305 500.045 ;
+        RECT 48.595 499.875 48.765 500.045 ;
+        RECT 49.055 499.875 49.225 500.045 ;
+        RECT 49.515 499.875 49.685 500.045 ;
+        RECT 49.975 499.875 50.145 500.045 ;
+        RECT 50.435 499.875 50.605 500.045 ;
+        RECT 50.895 499.875 51.065 500.045 ;
+        RECT 51.355 499.875 51.525 500.045 ;
+        RECT 51.815 499.875 51.985 500.045 ;
+        RECT 52.275 499.875 52.445 500.045 ;
+        RECT 52.735 499.875 52.905 500.045 ;
+        RECT 53.195 499.875 53.365 500.045 ;
+        RECT 53.655 499.875 53.825 500.045 ;
+        RECT 42.615 497.155 42.785 497.325 ;
+        RECT 43.075 497.155 43.245 497.325 ;
+        RECT 43.535 497.155 43.705 497.325 ;
+        RECT 43.995 497.155 44.165 497.325 ;
+        RECT 44.455 497.155 44.625 497.325 ;
+        RECT 44.915 497.155 45.085 497.325 ;
+        RECT 45.375 497.155 45.545 497.325 ;
+        RECT 45.835 497.155 46.005 497.325 ;
+        RECT 46.295 497.155 46.465 497.325 ;
+        RECT 46.755 497.155 46.925 497.325 ;
+        RECT 47.215 497.155 47.385 497.325 ;
+        RECT 47.675 497.155 47.845 497.325 ;
+        RECT 48.135 497.155 48.305 497.325 ;
+        RECT 48.595 497.155 48.765 497.325 ;
+        RECT 49.055 497.155 49.225 497.325 ;
+        RECT 49.515 497.155 49.685 497.325 ;
+        RECT 49.975 497.155 50.145 497.325 ;
+        RECT 50.435 497.155 50.605 497.325 ;
+        RECT 50.895 497.155 51.065 497.325 ;
+        RECT 51.355 497.155 51.525 497.325 ;
+        RECT 51.815 497.155 51.985 497.325 ;
+        RECT 52.275 497.155 52.445 497.325 ;
+        RECT 52.735 497.155 52.905 497.325 ;
+        RECT 53.195 497.155 53.365 497.325 ;
+        RECT 53.655 497.155 53.825 497.325 ;
+        RECT 42.615 494.435 42.785 494.605 ;
+        RECT 43.075 494.435 43.245 494.605 ;
+        RECT 43.535 494.435 43.705 494.605 ;
+        RECT 43.995 494.435 44.165 494.605 ;
+        RECT 44.455 494.435 44.625 494.605 ;
+        RECT 44.915 494.435 45.085 494.605 ;
+        RECT 45.375 494.435 45.545 494.605 ;
+        RECT 45.835 494.435 46.005 494.605 ;
+        RECT 46.295 494.435 46.465 494.605 ;
+        RECT 46.755 494.435 46.925 494.605 ;
+        RECT 47.215 494.435 47.385 494.605 ;
+        RECT 47.675 494.435 47.845 494.605 ;
+        RECT 48.135 494.435 48.305 494.605 ;
+        RECT 48.595 494.435 48.765 494.605 ;
+        RECT 49.055 494.435 49.225 494.605 ;
+        RECT 49.515 494.435 49.685 494.605 ;
+        RECT 49.975 494.435 50.145 494.605 ;
+        RECT 50.435 494.435 50.605 494.605 ;
+        RECT 50.895 494.435 51.065 494.605 ;
+        RECT 51.355 494.435 51.525 494.605 ;
+        RECT 51.815 494.435 51.985 494.605 ;
+        RECT 52.275 494.435 52.445 494.605 ;
+        RECT 52.735 494.435 52.905 494.605 ;
+        RECT 53.195 494.435 53.365 494.605 ;
+        RECT 53.655 494.435 53.825 494.605 ;
+        RECT 42.615 491.715 42.785 491.885 ;
+        RECT 43.075 491.715 43.245 491.885 ;
+        RECT 43.535 491.715 43.705 491.885 ;
+        RECT 43.995 491.715 44.165 491.885 ;
+        RECT 44.455 491.715 44.625 491.885 ;
+        RECT 44.915 491.715 45.085 491.885 ;
+        RECT 45.375 491.715 45.545 491.885 ;
+        RECT 45.835 491.715 46.005 491.885 ;
+        RECT 46.295 491.715 46.465 491.885 ;
+        RECT 46.755 491.715 46.925 491.885 ;
+        RECT 47.215 491.715 47.385 491.885 ;
+        RECT 47.675 491.715 47.845 491.885 ;
+        RECT 48.135 491.715 48.305 491.885 ;
+        RECT 48.595 491.715 48.765 491.885 ;
+        RECT 49.055 491.715 49.225 491.885 ;
+        RECT 49.515 491.715 49.685 491.885 ;
+        RECT 49.975 491.715 50.145 491.885 ;
+        RECT 50.435 491.715 50.605 491.885 ;
+        RECT 50.895 491.715 51.065 491.885 ;
+        RECT 51.355 491.715 51.525 491.885 ;
+        RECT 51.815 491.715 51.985 491.885 ;
+        RECT 52.275 491.715 52.445 491.885 ;
+        RECT 52.735 491.715 52.905 491.885 ;
+        RECT 53.195 491.715 53.365 491.885 ;
+        RECT 53.655 491.715 53.825 491.885 ;
+        RECT 42.615 488.995 42.785 489.165 ;
+        RECT 43.075 488.995 43.245 489.165 ;
+        RECT 43.535 488.995 43.705 489.165 ;
+        RECT 43.995 488.995 44.165 489.165 ;
+        RECT 44.455 488.995 44.625 489.165 ;
+        RECT 44.915 488.995 45.085 489.165 ;
+        RECT 45.375 488.995 45.545 489.165 ;
+        RECT 45.835 488.995 46.005 489.165 ;
+        RECT 46.295 488.995 46.465 489.165 ;
+        RECT 46.755 488.995 46.925 489.165 ;
+        RECT 47.215 488.995 47.385 489.165 ;
+        RECT 47.675 488.995 47.845 489.165 ;
+        RECT 48.135 488.995 48.305 489.165 ;
+        RECT 48.595 488.995 48.765 489.165 ;
+        RECT 49.055 488.995 49.225 489.165 ;
+        RECT 49.515 488.995 49.685 489.165 ;
+        RECT 49.975 488.995 50.145 489.165 ;
+        RECT 50.435 488.995 50.605 489.165 ;
+        RECT 50.895 488.995 51.065 489.165 ;
+        RECT 51.355 488.995 51.525 489.165 ;
+        RECT 51.815 488.995 51.985 489.165 ;
+        RECT 52.275 488.995 52.445 489.165 ;
+        RECT 52.735 488.995 52.905 489.165 ;
+        RECT 53.195 488.995 53.365 489.165 ;
+        RECT 53.655 488.995 53.825 489.165 ;
+        RECT 42.615 486.275 42.785 486.445 ;
+        RECT 43.075 486.275 43.245 486.445 ;
+        RECT 43.535 486.275 43.705 486.445 ;
+        RECT 43.995 486.275 44.165 486.445 ;
+        RECT 44.455 486.275 44.625 486.445 ;
+        RECT 44.915 486.275 45.085 486.445 ;
+        RECT 45.375 486.275 45.545 486.445 ;
+        RECT 45.835 486.275 46.005 486.445 ;
+        RECT 46.295 486.275 46.465 486.445 ;
+        RECT 46.755 486.275 46.925 486.445 ;
+        RECT 47.215 486.275 47.385 486.445 ;
+        RECT 47.675 486.275 47.845 486.445 ;
+        RECT 48.135 486.275 48.305 486.445 ;
+        RECT 48.595 486.275 48.765 486.445 ;
+        RECT 49.055 486.275 49.225 486.445 ;
+        RECT 49.515 486.275 49.685 486.445 ;
+        RECT 49.975 486.275 50.145 486.445 ;
+        RECT 50.435 486.275 50.605 486.445 ;
+        RECT 50.895 486.275 51.065 486.445 ;
+        RECT 51.355 486.275 51.525 486.445 ;
+        RECT 51.815 486.275 51.985 486.445 ;
+        RECT 52.275 486.275 52.445 486.445 ;
+        RECT 52.735 486.275 52.905 486.445 ;
+        RECT 53.195 486.275 53.365 486.445 ;
+        RECT 53.655 486.275 53.825 486.445 ;
+        RECT 42.615 483.555 42.785 483.725 ;
+        RECT 43.075 483.555 43.245 483.725 ;
+        RECT 43.535 483.555 43.705 483.725 ;
+        RECT 43.995 483.555 44.165 483.725 ;
+        RECT 44.455 483.555 44.625 483.725 ;
+        RECT 44.915 483.555 45.085 483.725 ;
+        RECT 45.375 483.555 45.545 483.725 ;
+        RECT 45.835 483.555 46.005 483.725 ;
+        RECT 46.295 483.555 46.465 483.725 ;
+        RECT 46.755 483.555 46.925 483.725 ;
+        RECT 47.215 483.555 47.385 483.725 ;
+        RECT 47.675 483.555 47.845 483.725 ;
+        RECT 48.135 483.555 48.305 483.725 ;
+        RECT 48.595 483.555 48.765 483.725 ;
+        RECT 49.055 483.555 49.225 483.725 ;
+        RECT 49.515 483.555 49.685 483.725 ;
+        RECT 49.975 483.555 50.145 483.725 ;
+        RECT 50.435 483.555 50.605 483.725 ;
+        RECT 50.895 483.555 51.065 483.725 ;
+        RECT 51.355 483.555 51.525 483.725 ;
+        RECT 51.815 483.555 51.985 483.725 ;
+        RECT 52.275 483.555 52.445 483.725 ;
+        RECT 52.735 483.555 52.905 483.725 ;
+        RECT 53.195 483.555 53.365 483.725 ;
+        RECT 53.655 483.555 53.825 483.725 ;
+        RECT 42.615 480.835 42.785 481.005 ;
+        RECT 43.075 480.835 43.245 481.005 ;
+        RECT 43.535 480.835 43.705 481.005 ;
+        RECT 43.995 480.835 44.165 481.005 ;
+        RECT 44.455 480.835 44.625 481.005 ;
+        RECT 44.915 480.835 45.085 481.005 ;
+        RECT 45.375 480.835 45.545 481.005 ;
+        RECT 45.835 480.835 46.005 481.005 ;
+        RECT 46.295 480.835 46.465 481.005 ;
+        RECT 46.755 480.835 46.925 481.005 ;
+        RECT 47.215 480.835 47.385 481.005 ;
+        RECT 47.675 480.835 47.845 481.005 ;
+        RECT 48.135 480.835 48.305 481.005 ;
+        RECT 48.595 480.835 48.765 481.005 ;
+        RECT 49.055 480.835 49.225 481.005 ;
+        RECT 49.515 480.835 49.685 481.005 ;
+        RECT 49.975 480.835 50.145 481.005 ;
+        RECT 50.435 480.835 50.605 481.005 ;
+        RECT 50.895 480.835 51.065 481.005 ;
+        RECT 51.355 480.835 51.525 481.005 ;
+        RECT 51.815 480.835 51.985 481.005 ;
+        RECT 52.275 480.835 52.445 481.005 ;
+        RECT 52.735 480.835 52.905 481.005 ;
+        RECT 53.195 480.835 53.365 481.005 ;
+        RECT 53.655 480.835 53.825 481.005 ;
+        RECT 42.615 478.115 42.785 478.285 ;
+        RECT 43.075 478.115 43.245 478.285 ;
+        RECT 43.535 478.115 43.705 478.285 ;
+        RECT 43.995 478.115 44.165 478.285 ;
+        RECT 44.455 478.115 44.625 478.285 ;
+        RECT 44.915 478.115 45.085 478.285 ;
+        RECT 45.375 478.115 45.545 478.285 ;
+        RECT 45.835 478.115 46.005 478.285 ;
+        RECT 46.295 478.115 46.465 478.285 ;
+        RECT 46.755 478.115 46.925 478.285 ;
+        RECT 47.215 478.115 47.385 478.285 ;
+        RECT 47.675 478.115 47.845 478.285 ;
+        RECT 48.135 478.115 48.305 478.285 ;
+        RECT 48.595 478.115 48.765 478.285 ;
+        RECT 49.055 478.115 49.225 478.285 ;
+        RECT 49.515 478.115 49.685 478.285 ;
+        RECT 49.975 478.115 50.145 478.285 ;
+        RECT 50.435 478.115 50.605 478.285 ;
+        RECT 50.895 478.115 51.065 478.285 ;
+        RECT 51.355 478.115 51.525 478.285 ;
+        RECT 51.815 478.115 51.985 478.285 ;
+        RECT 52.275 478.115 52.445 478.285 ;
+        RECT 52.735 478.115 52.905 478.285 ;
+        RECT 53.195 478.115 53.365 478.285 ;
+        RECT 53.655 478.115 53.825 478.285 ;
+        RECT 42.615 475.395 42.785 475.565 ;
+        RECT 43.075 475.395 43.245 475.565 ;
+        RECT 43.535 475.395 43.705 475.565 ;
+        RECT 43.995 475.395 44.165 475.565 ;
+        RECT 44.455 475.395 44.625 475.565 ;
+        RECT 44.915 475.395 45.085 475.565 ;
+        RECT 45.375 475.395 45.545 475.565 ;
+        RECT 45.835 475.395 46.005 475.565 ;
+        RECT 46.295 475.395 46.465 475.565 ;
+        RECT 46.755 475.395 46.925 475.565 ;
+        RECT 47.215 475.395 47.385 475.565 ;
+        RECT 47.675 475.395 47.845 475.565 ;
+        RECT 48.135 475.395 48.305 475.565 ;
+        RECT 48.595 475.395 48.765 475.565 ;
+        RECT 49.055 475.395 49.225 475.565 ;
+        RECT 49.515 475.395 49.685 475.565 ;
+        RECT 49.975 475.395 50.145 475.565 ;
+        RECT 50.435 475.395 50.605 475.565 ;
+        RECT 50.895 475.395 51.065 475.565 ;
+        RECT 51.355 475.395 51.525 475.565 ;
+        RECT 51.815 475.395 51.985 475.565 ;
+        RECT 52.275 475.395 52.445 475.565 ;
+        RECT 52.735 475.395 52.905 475.565 ;
+        RECT 53.195 475.395 53.365 475.565 ;
+        RECT 53.655 475.395 53.825 475.565 ;
+        RECT 42.615 472.675 42.785 472.845 ;
+        RECT 43.075 472.675 43.245 472.845 ;
+        RECT 43.535 472.675 43.705 472.845 ;
+        RECT 43.995 472.675 44.165 472.845 ;
+        RECT 44.455 472.675 44.625 472.845 ;
+        RECT 44.915 472.675 45.085 472.845 ;
+        RECT 45.375 472.675 45.545 472.845 ;
+        RECT 45.835 472.675 46.005 472.845 ;
+        RECT 46.295 472.675 46.465 472.845 ;
+        RECT 46.755 472.675 46.925 472.845 ;
+        RECT 47.215 472.675 47.385 472.845 ;
+        RECT 47.675 472.675 47.845 472.845 ;
+        RECT 48.135 472.675 48.305 472.845 ;
+        RECT 48.595 472.675 48.765 472.845 ;
+        RECT 49.055 472.675 49.225 472.845 ;
+        RECT 49.515 472.675 49.685 472.845 ;
+        RECT 49.975 472.675 50.145 472.845 ;
+        RECT 50.435 472.675 50.605 472.845 ;
+        RECT 50.895 472.675 51.065 472.845 ;
+        RECT 51.355 472.675 51.525 472.845 ;
+        RECT 51.815 472.675 51.985 472.845 ;
+        RECT 52.275 472.675 52.445 472.845 ;
+        RECT 52.735 472.675 52.905 472.845 ;
+        RECT 53.195 472.675 53.365 472.845 ;
+        RECT 53.655 472.675 53.825 472.845 ;
+        RECT 42.615 469.955 42.785 470.125 ;
+        RECT 43.075 469.955 43.245 470.125 ;
+        RECT 43.535 469.955 43.705 470.125 ;
+        RECT 43.995 469.955 44.165 470.125 ;
+        RECT 44.455 469.955 44.625 470.125 ;
+        RECT 44.915 469.955 45.085 470.125 ;
+        RECT 45.375 469.955 45.545 470.125 ;
+        RECT 45.835 469.955 46.005 470.125 ;
+        RECT 46.295 469.955 46.465 470.125 ;
+        RECT 46.755 469.955 46.925 470.125 ;
+        RECT 47.215 469.955 47.385 470.125 ;
+        RECT 47.675 469.955 47.845 470.125 ;
+        RECT 48.135 469.955 48.305 470.125 ;
+        RECT 48.595 469.955 48.765 470.125 ;
+        RECT 49.055 469.955 49.225 470.125 ;
+        RECT 49.515 469.955 49.685 470.125 ;
+        RECT 49.975 469.955 50.145 470.125 ;
+        RECT 50.435 469.955 50.605 470.125 ;
+        RECT 50.895 469.955 51.065 470.125 ;
+        RECT 51.355 469.955 51.525 470.125 ;
+        RECT 51.815 469.955 51.985 470.125 ;
+        RECT 52.275 469.955 52.445 470.125 ;
+        RECT 52.735 469.955 52.905 470.125 ;
+        RECT 53.195 469.955 53.365 470.125 ;
+        RECT 53.655 469.955 53.825 470.125 ;
+        RECT 42.615 467.235 42.785 467.405 ;
+        RECT 43.075 467.235 43.245 467.405 ;
+        RECT 43.535 467.235 43.705 467.405 ;
+        RECT 43.995 467.235 44.165 467.405 ;
+        RECT 44.455 467.235 44.625 467.405 ;
+        RECT 44.915 467.235 45.085 467.405 ;
+        RECT 45.375 467.235 45.545 467.405 ;
+        RECT 45.835 467.235 46.005 467.405 ;
+        RECT 46.295 467.235 46.465 467.405 ;
+        RECT 46.755 467.235 46.925 467.405 ;
+        RECT 47.215 467.235 47.385 467.405 ;
+        RECT 47.675 467.235 47.845 467.405 ;
+        RECT 48.135 467.235 48.305 467.405 ;
+        RECT 48.595 467.235 48.765 467.405 ;
+        RECT 49.055 467.235 49.225 467.405 ;
+        RECT 49.515 467.235 49.685 467.405 ;
+        RECT 49.975 467.235 50.145 467.405 ;
+        RECT 50.435 467.235 50.605 467.405 ;
+        RECT 50.895 467.235 51.065 467.405 ;
+        RECT 51.355 467.235 51.525 467.405 ;
+        RECT 51.815 467.235 51.985 467.405 ;
+        RECT 52.275 467.235 52.445 467.405 ;
+        RECT 52.735 467.235 52.905 467.405 ;
+        RECT 53.195 467.235 53.365 467.405 ;
+        RECT 53.655 467.235 53.825 467.405 ;
+        RECT 42.615 464.515 42.785 464.685 ;
+        RECT 43.075 464.515 43.245 464.685 ;
+        RECT 43.535 464.515 43.705 464.685 ;
+        RECT 43.995 464.515 44.165 464.685 ;
+        RECT 44.455 464.515 44.625 464.685 ;
+        RECT 44.915 464.515 45.085 464.685 ;
+        RECT 45.375 464.515 45.545 464.685 ;
+        RECT 45.835 464.515 46.005 464.685 ;
+        RECT 46.295 464.515 46.465 464.685 ;
+        RECT 46.755 464.515 46.925 464.685 ;
+        RECT 47.215 464.515 47.385 464.685 ;
+        RECT 47.675 464.515 47.845 464.685 ;
+        RECT 48.135 464.515 48.305 464.685 ;
+        RECT 48.595 464.515 48.765 464.685 ;
+        RECT 49.055 464.515 49.225 464.685 ;
+        RECT 49.515 464.515 49.685 464.685 ;
+        RECT 49.975 464.515 50.145 464.685 ;
+        RECT 50.435 464.515 50.605 464.685 ;
+        RECT 50.895 464.515 51.065 464.685 ;
+        RECT 51.355 464.515 51.525 464.685 ;
+        RECT 51.815 464.515 51.985 464.685 ;
+        RECT 52.275 464.515 52.445 464.685 ;
+        RECT 52.735 464.515 52.905 464.685 ;
+        RECT 53.195 464.515 53.365 464.685 ;
+        RECT 53.655 464.515 53.825 464.685 ;
+        RECT 42.615 461.795 42.785 461.965 ;
+        RECT 43.075 461.795 43.245 461.965 ;
+        RECT 43.535 461.795 43.705 461.965 ;
+        RECT 43.995 461.795 44.165 461.965 ;
+        RECT 44.455 461.795 44.625 461.965 ;
+        RECT 44.915 461.795 45.085 461.965 ;
+        RECT 45.375 461.795 45.545 461.965 ;
+        RECT 45.835 461.795 46.005 461.965 ;
+        RECT 46.295 461.795 46.465 461.965 ;
+        RECT 46.755 461.795 46.925 461.965 ;
+        RECT 47.215 461.795 47.385 461.965 ;
+        RECT 47.675 461.795 47.845 461.965 ;
+        RECT 48.135 461.795 48.305 461.965 ;
+        RECT 48.595 461.795 48.765 461.965 ;
+        RECT 49.055 461.795 49.225 461.965 ;
+        RECT 49.515 461.795 49.685 461.965 ;
+        RECT 49.975 461.795 50.145 461.965 ;
+        RECT 50.435 461.795 50.605 461.965 ;
+        RECT 50.895 461.795 51.065 461.965 ;
+        RECT 51.355 461.795 51.525 461.965 ;
+        RECT 51.815 461.795 51.985 461.965 ;
+        RECT 52.275 461.795 52.445 461.965 ;
+        RECT 52.735 461.795 52.905 461.965 ;
+        RECT 53.195 461.795 53.365 461.965 ;
+        RECT 53.655 461.795 53.825 461.965 ;
+        RECT 42.615 459.075 42.785 459.245 ;
+        RECT 43.075 459.075 43.245 459.245 ;
+        RECT 43.535 459.075 43.705 459.245 ;
+        RECT 43.995 459.075 44.165 459.245 ;
+        RECT 44.455 459.075 44.625 459.245 ;
+        RECT 44.915 459.075 45.085 459.245 ;
+        RECT 45.375 459.075 45.545 459.245 ;
+        RECT 45.835 459.075 46.005 459.245 ;
+        RECT 46.295 459.075 46.465 459.245 ;
+        RECT 46.755 459.075 46.925 459.245 ;
+        RECT 47.215 459.075 47.385 459.245 ;
+        RECT 47.675 459.075 47.845 459.245 ;
+        RECT 48.135 459.075 48.305 459.245 ;
+        RECT 48.595 459.075 48.765 459.245 ;
+        RECT 49.055 459.075 49.225 459.245 ;
+        RECT 49.515 459.075 49.685 459.245 ;
+        RECT 49.975 459.075 50.145 459.245 ;
+        RECT 50.435 459.075 50.605 459.245 ;
+        RECT 50.895 459.075 51.065 459.245 ;
+        RECT 51.355 459.075 51.525 459.245 ;
+        RECT 51.815 459.075 51.985 459.245 ;
+        RECT 52.275 459.075 52.445 459.245 ;
+        RECT 52.735 459.075 52.905 459.245 ;
+        RECT 53.195 459.075 53.365 459.245 ;
+        RECT 53.655 459.075 53.825 459.245 ;
+        RECT 42.615 456.355 42.785 456.525 ;
+        RECT 43.075 456.355 43.245 456.525 ;
+        RECT 43.535 456.355 43.705 456.525 ;
+        RECT 43.995 456.355 44.165 456.525 ;
+        RECT 44.455 456.355 44.625 456.525 ;
+        RECT 44.915 456.355 45.085 456.525 ;
+        RECT 45.375 456.355 45.545 456.525 ;
+        RECT 45.835 456.355 46.005 456.525 ;
+        RECT 46.295 456.355 46.465 456.525 ;
+        RECT 46.755 456.355 46.925 456.525 ;
+        RECT 47.215 456.355 47.385 456.525 ;
+        RECT 47.675 456.355 47.845 456.525 ;
+        RECT 48.135 456.355 48.305 456.525 ;
+        RECT 48.595 456.355 48.765 456.525 ;
+        RECT 49.055 456.355 49.225 456.525 ;
+        RECT 49.515 456.355 49.685 456.525 ;
+        RECT 49.975 456.355 50.145 456.525 ;
+        RECT 50.435 456.355 50.605 456.525 ;
+        RECT 50.895 456.355 51.065 456.525 ;
+        RECT 51.355 456.355 51.525 456.525 ;
+        RECT 51.815 456.355 51.985 456.525 ;
+        RECT 52.275 456.355 52.445 456.525 ;
+        RECT 52.735 456.355 52.905 456.525 ;
+        RECT 53.195 456.355 53.365 456.525 ;
+        RECT 53.655 456.355 53.825 456.525 ;
+        RECT 42.615 453.635 42.785 453.805 ;
+        RECT 43.075 453.635 43.245 453.805 ;
+        RECT 43.535 453.635 43.705 453.805 ;
+        RECT 43.995 453.635 44.165 453.805 ;
+        RECT 44.455 453.635 44.625 453.805 ;
+        RECT 44.915 453.635 45.085 453.805 ;
+        RECT 45.375 453.635 45.545 453.805 ;
+        RECT 45.835 453.635 46.005 453.805 ;
+        RECT 46.295 453.635 46.465 453.805 ;
+        RECT 46.755 453.635 46.925 453.805 ;
+        RECT 47.215 453.635 47.385 453.805 ;
+        RECT 47.675 453.635 47.845 453.805 ;
+        RECT 48.135 453.635 48.305 453.805 ;
+        RECT 48.595 453.635 48.765 453.805 ;
+        RECT 49.055 453.635 49.225 453.805 ;
+        RECT 49.515 453.635 49.685 453.805 ;
+        RECT 49.975 453.635 50.145 453.805 ;
+        RECT 50.435 453.635 50.605 453.805 ;
+        RECT 50.895 453.635 51.065 453.805 ;
+        RECT 51.355 453.635 51.525 453.805 ;
+        RECT 51.815 453.635 51.985 453.805 ;
+        RECT 52.275 453.635 52.445 453.805 ;
+        RECT 52.735 453.635 52.905 453.805 ;
+        RECT 53.195 453.635 53.365 453.805 ;
+        RECT 53.655 453.635 53.825 453.805 ;
+        RECT 42.615 450.915 42.785 451.085 ;
+        RECT 43.075 450.915 43.245 451.085 ;
+        RECT 43.535 450.915 43.705 451.085 ;
+        RECT 43.995 450.915 44.165 451.085 ;
+        RECT 44.455 450.915 44.625 451.085 ;
+        RECT 44.915 450.915 45.085 451.085 ;
+        RECT 45.375 450.915 45.545 451.085 ;
+        RECT 45.835 450.915 46.005 451.085 ;
+        RECT 46.295 450.915 46.465 451.085 ;
+        RECT 46.755 450.915 46.925 451.085 ;
+        RECT 47.215 450.915 47.385 451.085 ;
+        RECT 47.675 450.915 47.845 451.085 ;
+        RECT 48.135 450.915 48.305 451.085 ;
+        RECT 48.595 450.915 48.765 451.085 ;
+        RECT 49.055 450.915 49.225 451.085 ;
+        RECT 49.515 450.915 49.685 451.085 ;
+        RECT 49.975 450.915 50.145 451.085 ;
+        RECT 50.435 450.915 50.605 451.085 ;
+        RECT 50.895 450.915 51.065 451.085 ;
+        RECT 51.355 450.915 51.525 451.085 ;
+        RECT 51.815 450.915 51.985 451.085 ;
+        RECT 52.275 450.915 52.445 451.085 ;
+        RECT 52.735 450.915 52.905 451.085 ;
+        RECT 53.195 450.915 53.365 451.085 ;
+        RECT 53.655 450.915 53.825 451.085 ;
+        RECT 42.615 448.195 42.785 448.365 ;
+        RECT 43.075 448.195 43.245 448.365 ;
+        RECT 43.535 448.195 43.705 448.365 ;
+        RECT 43.995 448.195 44.165 448.365 ;
+        RECT 44.455 448.195 44.625 448.365 ;
+        RECT 44.915 448.195 45.085 448.365 ;
+        RECT 45.375 448.195 45.545 448.365 ;
+        RECT 45.835 448.195 46.005 448.365 ;
+        RECT 46.295 448.195 46.465 448.365 ;
+        RECT 46.755 448.195 46.925 448.365 ;
+        RECT 47.215 448.195 47.385 448.365 ;
+        RECT 47.675 448.195 47.845 448.365 ;
+        RECT 48.135 448.195 48.305 448.365 ;
+        RECT 48.595 448.195 48.765 448.365 ;
+        RECT 49.055 448.195 49.225 448.365 ;
+        RECT 49.515 448.195 49.685 448.365 ;
+        RECT 49.975 448.195 50.145 448.365 ;
+        RECT 50.435 448.195 50.605 448.365 ;
+        RECT 50.895 448.195 51.065 448.365 ;
+        RECT 51.355 448.195 51.525 448.365 ;
+        RECT 51.815 448.195 51.985 448.365 ;
+        RECT 52.275 448.195 52.445 448.365 ;
+        RECT 52.735 448.195 52.905 448.365 ;
+        RECT 53.195 448.195 53.365 448.365 ;
+        RECT 53.655 448.195 53.825 448.365 ;
+        RECT 42.615 445.475 42.785 445.645 ;
+        RECT 43.075 445.475 43.245 445.645 ;
+        RECT 43.535 445.475 43.705 445.645 ;
+        RECT 43.995 445.475 44.165 445.645 ;
+        RECT 44.455 445.475 44.625 445.645 ;
+        RECT 44.915 445.475 45.085 445.645 ;
+        RECT 45.375 445.475 45.545 445.645 ;
+        RECT 45.835 445.475 46.005 445.645 ;
+        RECT 46.295 445.475 46.465 445.645 ;
+        RECT 46.755 445.475 46.925 445.645 ;
+        RECT 47.215 445.475 47.385 445.645 ;
+        RECT 47.675 445.475 47.845 445.645 ;
+        RECT 48.135 445.475 48.305 445.645 ;
+        RECT 48.595 445.475 48.765 445.645 ;
+        RECT 49.055 445.475 49.225 445.645 ;
+        RECT 49.515 445.475 49.685 445.645 ;
+        RECT 49.975 445.475 50.145 445.645 ;
+        RECT 50.435 445.475 50.605 445.645 ;
+        RECT 50.895 445.475 51.065 445.645 ;
+        RECT 51.355 445.475 51.525 445.645 ;
+        RECT 51.815 445.475 51.985 445.645 ;
+        RECT 52.275 445.475 52.445 445.645 ;
+        RECT 52.735 445.475 52.905 445.645 ;
+        RECT 53.195 445.475 53.365 445.645 ;
+        RECT 53.655 445.475 53.825 445.645 ;
+        RECT 42.615 442.755 42.785 442.925 ;
+        RECT 43.075 442.755 43.245 442.925 ;
+        RECT 43.535 442.755 43.705 442.925 ;
+        RECT 43.995 442.755 44.165 442.925 ;
+        RECT 44.455 442.755 44.625 442.925 ;
+        RECT 44.915 442.755 45.085 442.925 ;
+        RECT 45.375 442.755 45.545 442.925 ;
+        RECT 45.835 442.755 46.005 442.925 ;
+        RECT 46.295 442.755 46.465 442.925 ;
+        RECT 46.755 442.755 46.925 442.925 ;
+        RECT 47.215 442.755 47.385 442.925 ;
+        RECT 47.675 442.755 47.845 442.925 ;
+        RECT 48.135 442.755 48.305 442.925 ;
+        RECT 48.595 442.755 48.765 442.925 ;
+        RECT 49.055 442.755 49.225 442.925 ;
+        RECT 49.515 442.755 49.685 442.925 ;
+        RECT 49.975 442.755 50.145 442.925 ;
+        RECT 50.435 442.755 50.605 442.925 ;
+        RECT 50.895 442.755 51.065 442.925 ;
+        RECT 51.355 442.755 51.525 442.925 ;
+        RECT 51.815 442.755 51.985 442.925 ;
+        RECT 52.275 442.755 52.445 442.925 ;
+        RECT 52.735 442.755 52.905 442.925 ;
+        RECT 53.195 442.755 53.365 442.925 ;
+        RECT 53.655 442.755 53.825 442.925 ;
+        RECT 42.615 440.035 42.785 440.205 ;
+        RECT 43.075 440.035 43.245 440.205 ;
+        RECT 43.535 440.035 43.705 440.205 ;
+        RECT 43.995 440.035 44.165 440.205 ;
+        RECT 44.455 440.035 44.625 440.205 ;
+        RECT 44.915 440.035 45.085 440.205 ;
+        RECT 45.375 440.035 45.545 440.205 ;
+        RECT 45.835 440.035 46.005 440.205 ;
+        RECT 46.295 440.035 46.465 440.205 ;
+        RECT 46.755 440.035 46.925 440.205 ;
+        RECT 47.215 440.035 47.385 440.205 ;
+        RECT 47.675 440.035 47.845 440.205 ;
+        RECT 48.135 440.035 48.305 440.205 ;
+        RECT 48.595 440.035 48.765 440.205 ;
+        RECT 49.055 440.035 49.225 440.205 ;
+        RECT 49.515 440.035 49.685 440.205 ;
+        RECT 49.975 440.035 50.145 440.205 ;
+        RECT 50.435 440.035 50.605 440.205 ;
+        RECT 50.895 440.035 51.065 440.205 ;
+        RECT 51.355 440.035 51.525 440.205 ;
+        RECT 51.815 440.035 51.985 440.205 ;
+        RECT 52.275 440.035 52.445 440.205 ;
+        RECT 52.735 440.035 52.905 440.205 ;
+        RECT 53.195 440.035 53.365 440.205 ;
+        RECT 53.655 440.035 53.825 440.205 ;
+        RECT 42.615 437.315 42.785 437.485 ;
+        RECT 43.075 437.315 43.245 437.485 ;
+        RECT 43.535 437.315 43.705 437.485 ;
+        RECT 43.995 437.315 44.165 437.485 ;
+        RECT 44.455 437.315 44.625 437.485 ;
+        RECT 44.915 437.315 45.085 437.485 ;
+        RECT 45.375 437.315 45.545 437.485 ;
+        RECT 45.835 437.315 46.005 437.485 ;
+        RECT 46.295 437.315 46.465 437.485 ;
+        RECT 46.755 437.315 46.925 437.485 ;
+        RECT 47.215 437.315 47.385 437.485 ;
+        RECT 47.675 437.315 47.845 437.485 ;
+        RECT 48.135 437.315 48.305 437.485 ;
+        RECT 48.595 437.315 48.765 437.485 ;
+        RECT 49.055 437.315 49.225 437.485 ;
+        RECT 49.515 437.315 49.685 437.485 ;
+        RECT 49.975 437.315 50.145 437.485 ;
+        RECT 50.435 437.315 50.605 437.485 ;
+        RECT 50.895 437.315 51.065 437.485 ;
+        RECT 51.355 437.315 51.525 437.485 ;
+        RECT 51.815 437.315 51.985 437.485 ;
+        RECT 52.275 437.315 52.445 437.485 ;
+        RECT 52.735 437.315 52.905 437.485 ;
+        RECT 53.195 437.315 53.365 437.485 ;
+        RECT 53.655 437.315 53.825 437.485 ;
+        RECT 42.615 434.595 42.785 434.765 ;
+        RECT 43.075 434.595 43.245 434.765 ;
+        RECT 43.535 434.595 43.705 434.765 ;
+        RECT 43.995 434.595 44.165 434.765 ;
+        RECT 44.455 434.595 44.625 434.765 ;
+        RECT 44.915 434.595 45.085 434.765 ;
+        RECT 45.375 434.595 45.545 434.765 ;
+        RECT 45.835 434.595 46.005 434.765 ;
+        RECT 46.295 434.595 46.465 434.765 ;
+        RECT 46.755 434.595 46.925 434.765 ;
+        RECT 47.215 434.595 47.385 434.765 ;
+        RECT 47.675 434.595 47.845 434.765 ;
+        RECT 48.135 434.595 48.305 434.765 ;
+        RECT 48.595 434.595 48.765 434.765 ;
+        RECT 49.055 434.595 49.225 434.765 ;
+        RECT 49.515 434.595 49.685 434.765 ;
+        RECT 49.975 434.595 50.145 434.765 ;
+        RECT 50.435 434.595 50.605 434.765 ;
+        RECT 50.895 434.595 51.065 434.765 ;
+        RECT 51.355 434.595 51.525 434.765 ;
+        RECT 51.815 434.595 51.985 434.765 ;
+        RECT 52.275 434.595 52.445 434.765 ;
+        RECT 52.735 434.595 52.905 434.765 ;
+        RECT 53.195 434.595 53.365 434.765 ;
+        RECT 53.655 434.595 53.825 434.765 ;
+        RECT 42.615 431.875 42.785 432.045 ;
+        RECT 43.075 431.875 43.245 432.045 ;
+        RECT 43.535 431.875 43.705 432.045 ;
+        RECT 43.995 431.875 44.165 432.045 ;
+        RECT 44.455 431.875 44.625 432.045 ;
+        RECT 44.915 431.875 45.085 432.045 ;
+        RECT 45.375 431.875 45.545 432.045 ;
+        RECT 45.835 431.875 46.005 432.045 ;
+        RECT 46.295 431.875 46.465 432.045 ;
+        RECT 46.755 431.875 46.925 432.045 ;
+        RECT 47.215 431.875 47.385 432.045 ;
+        RECT 47.675 431.875 47.845 432.045 ;
+        RECT 48.135 431.875 48.305 432.045 ;
+        RECT 48.595 431.875 48.765 432.045 ;
+        RECT 49.055 431.875 49.225 432.045 ;
+        RECT 49.515 431.875 49.685 432.045 ;
+        RECT 49.975 431.875 50.145 432.045 ;
+        RECT 50.435 431.875 50.605 432.045 ;
+        RECT 50.895 431.875 51.065 432.045 ;
+        RECT 51.355 431.875 51.525 432.045 ;
+        RECT 51.815 431.875 51.985 432.045 ;
+        RECT 52.275 431.875 52.445 432.045 ;
+        RECT 52.735 431.875 52.905 432.045 ;
+        RECT 53.195 431.875 53.365 432.045 ;
+        RECT 53.655 431.875 53.825 432.045 ;
+        RECT 42.615 429.155 42.785 429.325 ;
+        RECT 43.075 429.155 43.245 429.325 ;
+        RECT 43.535 429.155 43.705 429.325 ;
+        RECT 43.995 429.155 44.165 429.325 ;
+        RECT 44.455 429.155 44.625 429.325 ;
+        RECT 44.915 429.155 45.085 429.325 ;
+        RECT 45.375 429.155 45.545 429.325 ;
+        RECT 45.835 429.155 46.005 429.325 ;
+        RECT 46.295 429.155 46.465 429.325 ;
+        RECT 46.755 429.155 46.925 429.325 ;
+        RECT 47.215 429.155 47.385 429.325 ;
+        RECT 47.675 429.155 47.845 429.325 ;
+        RECT 48.135 429.155 48.305 429.325 ;
+        RECT 48.595 429.155 48.765 429.325 ;
+        RECT 49.055 429.155 49.225 429.325 ;
+        RECT 49.515 429.155 49.685 429.325 ;
+        RECT 49.975 429.155 50.145 429.325 ;
+        RECT 50.435 429.155 50.605 429.325 ;
+        RECT 50.895 429.155 51.065 429.325 ;
+        RECT 51.355 429.155 51.525 429.325 ;
+        RECT 51.815 429.155 51.985 429.325 ;
+        RECT 52.275 429.155 52.445 429.325 ;
+        RECT 52.735 429.155 52.905 429.325 ;
+        RECT 53.195 429.155 53.365 429.325 ;
+        RECT 53.655 429.155 53.825 429.325 ;
+        RECT 42.615 426.435 42.785 426.605 ;
+        RECT 43.075 426.435 43.245 426.605 ;
+        RECT 43.535 426.435 43.705 426.605 ;
+        RECT 43.995 426.435 44.165 426.605 ;
+        RECT 44.455 426.435 44.625 426.605 ;
+        RECT 44.915 426.435 45.085 426.605 ;
+        RECT 45.375 426.435 45.545 426.605 ;
+        RECT 45.835 426.435 46.005 426.605 ;
+        RECT 46.295 426.435 46.465 426.605 ;
+        RECT 46.755 426.435 46.925 426.605 ;
+        RECT 47.215 426.435 47.385 426.605 ;
+        RECT 47.675 426.435 47.845 426.605 ;
+        RECT 48.135 426.435 48.305 426.605 ;
+        RECT 48.595 426.435 48.765 426.605 ;
+        RECT 49.055 426.435 49.225 426.605 ;
+        RECT 49.515 426.435 49.685 426.605 ;
+        RECT 49.975 426.435 50.145 426.605 ;
+        RECT 50.435 426.435 50.605 426.605 ;
+        RECT 50.895 426.435 51.065 426.605 ;
+        RECT 51.355 426.435 51.525 426.605 ;
+        RECT 51.815 426.435 51.985 426.605 ;
+        RECT 52.275 426.435 52.445 426.605 ;
+        RECT 52.735 426.435 52.905 426.605 ;
+        RECT 53.195 426.435 53.365 426.605 ;
+        RECT 53.655 426.435 53.825 426.605 ;
+        RECT 42.615 423.715 42.785 423.885 ;
+        RECT 43.075 423.715 43.245 423.885 ;
+        RECT 43.535 423.715 43.705 423.885 ;
+        RECT 43.995 423.715 44.165 423.885 ;
+        RECT 44.455 423.715 44.625 423.885 ;
+        RECT 44.915 423.715 45.085 423.885 ;
+        RECT 45.375 423.715 45.545 423.885 ;
+        RECT 45.835 423.715 46.005 423.885 ;
+        RECT 46.295 423.715 46.465 423.885 ;
+        RECT 46.755 423.715 46.925 423.885 ;
+        RECT 47.215 423.715 47.385 423.885 ;
+        RECT 47.675 423.715 47.845 423.885 ;
+        RECT 48.135 423.715 48.305 423.885 ;
+        RECT 48.595 423.715 48.765 423.885 ;
+        RECT 49.055 423.715 49.225 423.885 ;
+        RECT 49.515 423.715 49.685 423.885 ;
+        RECT 49.975 423.715 50.145 423.885 ;
+        RECT 50.435 423.715 50.605 423.885 ;
+        RECT 50.895 423.715 51.065 423.885 ;
+        RECT 51.355 423.715 51.525 423.885 ;
+        RECT 51.815 423.715 51.985 423.885 ;
+        RECT 52.275 423.715 52.445 423.885 ;
+        RECT 52.735 423.715 52.905 423.885 ;
+        RECT 53.195 423.715 53.365 423.885 ;
+        RECT 53.655 423.715 53.825 423.885 ;
+        RECT 42.615 420.995 42.785 421.165 ;
+        RECT 43.075 420.995 43.245 421.165 ;
+        RECT 43.535 420.995 43.705 421.165 ;
+        RECT 43.995 420.995 44.165 421.165 ;
+        RECT 44.455 420.995 44.625 421.165 ;
+        RECT 44.915 420.995 45.085 421.165 ;
+        RECT 45.375 420.995 45.545 421.165 ;
+        RECT 45.835 420.995 46.005 421.165 ;
+        RECT 46.295 420.995 46.465 421.165 ;
+        RECT 46.755 420.995 46.925 421.165 ;
+        RECT 47.215 420.995 47.385 421.165 ;
+        RECT 47.675 420.995 47.845 421.165 ;
+        RECT 48.135 420.995 48.305 421.165 ;
+        RECT 48.595 420.995 48.765 421.165 ;
+        RECT 49.055 420.995 49.225 421.165 ;
+        RECT 49.515 420.995 49.685 421.165 ;
+        RECT 49.975 420.995 50.145 421.165 ;
+        RECT 50.435 420.995 50.605 421.165 ;
+        RECT 50.895 420.995 51.065 421.165 ;
+        RECT 51.355 420.995 51.525 421.165 ;
+        RECT 51.815 420.995 51.985 421.165 ;
+        RECT 52.275 420.995 52.445 421.165 ;
+        RECT 52.735 420.995 52.905 421.165 ;
+        RECT 53.195 420.995 53.365 421.165 ;
+        RECT 53.655 420.995 53.825 421.165 ;
+        RECT 42.615 418.275 42.785 418.445 ;
+        RECT 43.075 418.275 43.245 418.445 ;
+        RECT 43.535 418.275 43.705 418.445 ;
+        RECT 43.995 418.275 44.165 418.445 ;
+        RECT 44.455 418.275 44.625 418.445 ;
+        RECT 44.915 418.275 45.085 418.445 ;
+        RECT 45.375 418.275 45.545 418.445 ;
+        RECT 45.835 418.275 46.005 418.445 ;
+        RECT 46.295 418.275 46.465 418.445 ;
+        RECT 46.755 418.275 46.925 418.445 ;
+        RECT 47.215 418.275 47.385 418.445 ;
+        RECT 47.675 418.275 47.845 418.445 ;
+        RECT 48.135 418.275 48.305 418.445 ;
+        RECT 48.595 418.275 48.765 418.445 ;
+        RECT 49.055 418.275 49.225 418.445 ;
+        RECT 49.515 418.275 49.685 418.445 ;
+        RECT 49.975 418.275 50.145 418.445 ;
+        RECT 50.435 418.275 50.605 418.445 ;
+        RECT 50.895 418.275 51.065 418.445 ;
+        RECT 51.355 418.275 51.525 418.445 ;
+        RECT 51.815 418.275 51.985 418.445 ;
+        RECT 52.275 418.275 52.445 418.445 ;
+        RECT 52.735 418.275 52.905 418.445 ;
+        RECT 53.195 418.275 53.365 418.445 ;
+        RECT 53.655 418.275 53.825 418.445 ;
+        RECT 42.615 415.555 42.785 415.725 ;
+        RECT 43.075 415.555 43.245 415.725 ;
+        RECT 43.535 415.555 43.705 415.725 ;
+        RECT 43.995 415.555 44.165 415.725 ;
+        RECT 44.455 415.555 44.625 415.725 ;
+        RECT 44.915 415.555 45.085 415.725 ;
+        RECT 45.375 415.555 45.545 415.725 ;
+        RECT 45.835 415.555 46.005 415.725 ;
+        RECT 46.295 415.555 46.465 415.725 ;
+        RECT 46.755 415.555 46.925 415.725 ;
+        RECT 47.215 415.555 47.385 415.725 ;
+        RECT 47.675 415.555 47.845 415.725 ;
+        RECT 48.135 415.555 48.305 415.725 ;
+        RECT 48.595 415.555 48.765 415.725 ;
+        RECT 49.055 415.555 49.225 415.725 ;
+        RECT 49.515 415.555 49.685 415.725 ;
+        RECT 49.975 415.555 50.145 415.725 ;
+        RECT 50.435 415.555 50.605 415.725 ;
+        RECT 50.895 415.555 51.065 415.725 ;
+        RECT 51.355 415.555 51.525 415.725 ;
+        RECT 51.815 415.555 51.985 415.725 ;
+        RECT 52.275 415.555 52.445 415.725 ;
+        RECT 52.735 415.555 52.905 415.725 ;
+        RECT 53.195 415.555 53.365 415.725 ;
+        RECT 53.655 415.555 53.825 415.725 ;
+        RECT 42.615 412.835 42.785 413.005 ;
+        RECT 43.075 412.835 43.245 413.005 ;
+        RECT 43.535 412.835 43.705 413.005 ;
+        RECT 43.995 412.835 44.165 413.005 ;
+        RECT 44.455 412.835 44.625 413.005 ;
+        RECT 44.915 412.835 45.085 413.005 ;
+        RECT 45.375 412.835 45.545 413.005 ;
+        RECT 45.835 412.835 46.005 413.005 ;
+        RECT 46.295 412.835 46.465 413.005 ;
+        RECT 46.755 412.835 46.925 413.005 ;
+        RECT 47.215 412.835 47.385 413.005 ;
+        RECT 47.675 412.835 47.845 413.005 ;
+        RECT 48.135 412.835 48.305 413.005 ;
+        RECT 48.595 412.835 48.765 413.005 ;
+        RECT 49.055 412.835 49.225 413.005 ;
+        RECT 49.515 412.835 49.685 413.005 ;
+        RECT 49.975 412.835 50.145 413.005 ;
+        RECT 50.435 412.835 50.605 413.005 ;
+        RECT 50.895 412.835 51.065 413.005 ;
+        RECT 51.355 412.835 51.525 413.005 ;
+        RECT 51.815 412.835 51.985 413.005 ;
+        RECT 52.275 412.835 52.445 413.005 ;
+        RECT 52.735 412.835 52.905 413.005 ;
+        RECT 53.195 412.835 53.365 413.005 ;
+        RECT 53.655 412.835 53.825 413.005 ;
+        RECT 42.615 410.115 42.785 410.285 ;
+        RECT 43.075 410.115 43.245 410.285 ;
+        RECT 43.535 410.115 43.705 410.285 ;
+        RECT 43.995 410.115 44.165 410.285 ;
+        RECT 44.455 410.115 44.625 410.285 ;
+        RECT 44.915 410.115 45.085 410.285 ;
+        RECT 45.375 410.115 45.545 410.285 ;
+        RECT 45.835 410.115 46.005 410.285 ;
+        RECT 46.295 410.115 46.465 410.285 ;
+        RECT 46.755 410.115 46.925 410.285 ;
+        RECT 47.215 410.115 47.385 410.285 ;
+        RECT 47.675 410.115 47.845 410.285 ;
+        RECT 48.135 410.115 48.305 410.285 ;
+        RECT 48.595 410.115 48.765 410.285 ;
+        RECT 49.055 410.115 49.225 410.285 ;
+        RECT 49.515 410.115 49.685 410.285 ;
+        RECT 49.975 410.115 50.145 410.285 ;
+        RECT 50.435 410.115 50.605 410.285 ;
+        RECT 50.895 410.115 51.065 410.285 ;
+        RECT 51.355 410.115 51.525 410.285 ;
+        RECT 51.815 410.115 51.985 410.285 ;
+        RECT 52.275 410.115 52.445 410.285 ;
+        RECT 52.735 410.115 52.905 410.285 ;
+        RECT 53.195 410.115 53.365 410.285 ;
+        RECT 53.655 410.115 53.825 410.285 ;
+        RECT 42.615 407.395 42.785 407.565 ;
+        RECT 43.075 407.395 43.245 407.565 ;
+        RECT 43.535 407.395 43.705 407.565 ;
+        RECT 43.995 407.395 44.165 407.565 ;
+        RECT 44.455 407.395 44.625 407.565 ;
+        RECT 44.915 407.395 45.085 407.565 ;
+        RECT 45.375 407.395 45.545 407.565 ;
+        RECT 45.835 407.395 46.005 407.565 ;
+        RECT 46.295 407.395 46.465 407.565 ;
+        RECT 46.755 407.395 46.925 407.565 ;
+        RECT 47.215 407.395 47.385 407.565 ;
+        RECT 47.675 407.395 47.845 407.565 ;
+        RECT 48.135 407.395 48.305 407.565 ;
+        RECT 48.595 407.395 48.765 407.565 ;
+        RECT 49.055 407.395 49.225 407.565 ;
+        RECT 49.515 407.395 49.685 407.565 ;
+        RECT 49.975 407.395 50.145 407.565 ;
+        RECT 50.435 407.395 50.605 407.565 ;
+        RECT 50.895 407.395 51.065 407.565 ;
+        RECT 51.355 407.395 51.525 407.565 ;
+        RECT 51.815 407.395 51.985 407.565 ;
+        RECT 52.275 407.395 52.445 407.565 ;
+        RECT 52.735 407.395 52.905 407.565 ;
+        RECT 53.195 407.395 53.365 407.565 ;
+        RECT 53.655 407.395 53.825 407.565 ;
+        RECT 42.615 404.675 42.785 404.845 ;
+        RECT 43.075 404.675 43.245 404.845 ;
+        RECT 43.535 404.675 43.705 404.845 ;
+        RECT 43.995 404.675 44.165 404.845 ;
+        RECT 44.455 404.675 44.625 404.845 ;
+        RECT 44.915 404.675 45.085 404.845 ;
+        RECT 45.375 404.675 45.545 404.845 ;
+        RECT 45.835 404.675 46.005 404.845 ;
+        RECT 46.295 404.675 46.465 404.845 ;
+        RECT 46.755 404.675 46.925 404.845 ;
+        RECT 47.215 404.675 47.385 404.845 ;
+        RECT 47.675 404.675 47.845 404.845 ;
+        RECT 48.135 404.675 48.305 404.845 ;
+        RECT 48.595 404.675 48.765 404.845 ;
+        RECT 49.055 404.675 49.225 404.845 ;
+        RECT 49.515 404.675 49.685 404.845 ;
+        RECT 49.975 404.675 50.145 404.845 ;
+        RECT 50.435 404.675 50.605 404.845 ;
+        RECT 50.895 404.675 51.065 404.845 ;
+        RECT 51.355 404.675 51.525 404.845 ;
+        RECT 51.815 404.675 51.985 404.845 ;
+        RECT 52.275 404.675 52.445 404.845 ;
+        RECT 52.735 404.675 52.905 404.845 ;
+        RECT 53.195 404.675 53.365 404.845 ;
+        RECT 53.655 404.675 53.825 404.845 ;
+        RECT 42.615 401.955 42.785 402.125 ;
+        RECT 43.075 401.955 43.245 402.125 ;
+        RECT 43.535 401.955 43.705 402.125 ;
+        RECT 43.995 401.955 44.165 402.125 ;
+        RECT 44.455 401.955 44.625 402.125 ;
+        RECT 44.915 401.955 45.085 402.125 ;
+        RECT 45.375 401.955 45.545 402.125 ;
+        RECT 45.835 401.955 46.005 402.125 ;
+        RECT 46.295 401.955 46.465 402.125 ;
+        RECT 46.755 401.955 46.925 402.125 ;
+        RECT 47.215 401.955 47.385 402.125 ;
+        RECT 47.675 401.955 47.845 402.125 ;
+        RECT 48.135 401.955 48.305 402.125 ;
+        RECT 48.595 401.955 48.765 402.125 ;
+        RECT 49.055 401.955 49.225 402.125 ;
+        RECT 49.515 401.955 49.685 402.125 ;
+        RECT 49.975 401.955 50.145 402.125 ;
+        RECT 50.435 401.955 50.605 402.125 ;
+        RECT 50.895 401.955 51.065 402.125 ;
+        RECT 51.355 401.955 51.525 402.125 ;
+        RECT 51.815 401.955 51.985 402.125 ;
+        RECT 52.275 401.955 52.445 402.125 ;
+        RECT 52.735 401.955 52.905 402.125 ;
+        RECT 53.195 401.955 53.365 402.125 ;
+        RECT 53.655 401.955 53.825 402.125 ;
+        RECT 42.615 399.235 42.785 399.405 ;
+        RECT 43.075 399.235 43.245 399.405 ;
+        RECT 43.535 399.235 43.705 399.405 ;
+        RECT 43.995 399.235 44.165 399.405 ;
+        RECT 44.455 399.235 44.625 399.405 ;
+        RECT 44.915 399.235 45.085 399.405 ;
+        RECT 45.375 399.235 45.545 399.405 ;
+        RECT 45.835 399.235 46.005 399.405 ;
+        RECT 46.295 399.235 46.465 399.405 ;
+        RECT 46.755 399.235 46.925 399.405 ;
+        RECT 47.215 399.235 47.385 399.405 ;
+        RECT 47.675 399.235 47.845 399.405 ;
+        RECT 48.135 399.235 48.305 399.405 ;
+        RECT 48.595 399.235 48.765 399.405 ;
+        RECT 49.055 399.235 49.225 399.405 ;
+        RECT 49.515 399.235 49.685 399.405 ;
+        RECT 49.975 399.235 50.145 399.405 ;
+        RECT 50.435 399.235 50.605 399.405 ;
+        RECT 50.895 399.235 51.065 399.405 ;
+        RECT 51.355 399.235 51.525 399.405 ;
+        RECT 51.815 399.235 51.985 399.405 ;
+        RECT 52.275 399.235 52.445 399.405 ;
+        RECT 52.735 399.235 52.905 399.405 ;
+        RECT 53.195 399.235 53.365 399.405 ;
+        RECT 53.655 399.235 53.825 399.405 ;
+        RECT 42.615 396.515 42.785 396.685 ;
+        RECT 43.075 396.515 43.245 396.685 ;
+        RECT 43.535 396.515 43.705 396.685 ;
+        RECT 43.995 396.515 44.165 396.685 ;
+        RECT 44.455 396.515 44.625 396.685 ;
+        RECT 44.915 396.515 45.085 396.685 ;
+        RECT 45.375 396.515 45.545 396.685 ;
+        RECT 45.835 396.515 46.005 396.685 ;
+        RECT 46.295 396.515 46.465 396.685 ;
+        RECT 46.755 396.515 46.925 396.685 ;
+        RECT 47.215 396.515 47.385 396.685 ;
+        RECT 47.675 396.515 47.845 396.685 ;
+        RECT 48.135 396.515 48.305 396.685 ;
+        RECT 48.595 396.515 48.765 396.685 ;
+        RECT 49.055 396.515 49.225 396.685 ;
+        RECT 49.515 396.515 49.685 396.685 ;
+        RECT 49.975 396.515 50.145 396.685 ;
+        RECT 50.435 396.515 50.605 396.685 ;
+        RECT 50.895 396.515 51.065 396.685 ;
+        RECT 51.355 396.515 51.525 396.685 ;
+        RECT 51.815 396.515 51.985 396.685 ;
+        RECT 52.275 396.515 52.445 396.685 ;
+        RECT 52.735 396.515 52.905 396.685 ;
+        RECT 53.195 396.515 53.365 396.685 ;
+        RECT 53.655 396.515 53.825 396.685 ;
+        RECT 42.615 393.795 42.785 393.965 ;
+        RECT 43.075 393.795 43.245 393.965 ;
+        RECT 43.535 393.795 43.705 393.965 ;
+        RECT 43.995 393.795 44.165 393.965 ;
+        RECT 44.455 393.795 44.625 393.965 ;
+        RECT 44.915 393.795 45.085 393.965 ;
+        RECT 45.375 393.795 45.545 393.965 ;
+        RECT 45.835 393.795 46.005 393.965 ;
+        RECT 46.295 393.795 46.465 393.965 ;
+        RECT 46.755 393.795 46.925 393.965 ;
+        RECT 47.215 393.795 47.385 393.965 ;
+        RECT 47.675 393.795 47.845 393.965 ;
+        RECT 48.135 393.795 48.305 393.965 ;
+        RECT 48.595 393.795 48.765 393.965 ;
+        RECT 49.055 393.795 49.225 393.965 ;
+        RECT 49.515 393.795 49.685 393.965 ;
+        RECT 49.975 393.795 50.145 393.965 ;
+        RECT 50.435 393.795 50.605 393.965 ;
+        RECT 50.895 393.795 51.065 393.965 ;
+        RECT 51.355 393.795 51.525 393.965 ;
+        RECT 51.815 393.795 51.985 393.965 ;
+        RECT 52.275 393.795 52.445 393.965 ;
+        RECT 52.735 393.795 52.905 393.965 ;
+        RECT 53.195 393.795 53.365 393.965 ;
+        RECT 53.655 393.795 53.825 393.965 ;
+        RECT 42.615 391.075 42.785 391.245 ;
+        RECT 43.075 391.075 43.245 391.245 ;
+        RECT 43.535 391.075 43.705 391.245 ;
+        RECT 43.995 391.075 44.165 391.245 ;
+        RECT 44.455 391.075 44.625 391.245 ;
+        RECT 44.915 391.075 45.085 391.245 ;
+        RECT 45.375 391.075 45.545 391.245 ;
+        RECT 45.835 391.075 46.005 391.245 ;
+        RECT 46.295 391.075 46.465 391.245 ;
+        RECT 46.755 391.075 46.925 391.245 ;
+        RECT 47.215 391.075 47.385 391.245 ;
+        RECT 47.675 391.075 47.845 391.245 ;
+        RECT 48.135 391.075 48.305 391.245 ;
+        RECT 48.595 391.075 48.765 391.245 ;
+        RECT 49.055 391.075 49.225 391.245 ;
+        RECT 49.515 391.075 49.685 391.245 ;
+        RECT 49.975 391.075 50.145 391.245 ;
+        RECT 50.435 391.075 50.605 391.245 ;
+        RECT 50.895 391.075 51.065 391.245 ;
+        RECT 51.355 391.075 51.525 391.245 ;
+        RECT 51.815 391.075 51.985 391.245 ;
+        RECT 52.275 391.075 52.445 391.245 ;
+        RECT 52.735 391.075 52.905 391.245 ;
+        RECT 53.195 391.075 53.365 391.245 ;
+        RECT 53.655 391.075 53.825 391.245 ;
+        RECT 42.615 388.355 42.785 388.525 ;
+        RECT 43.075 388.355 43.245 388.525 ;
+        RECT 43.535 388.355 43.705 388.525 ;
+        RECT 43.995 388.355 44.165 388.525 ;
+        RECT 44.455 388.355 44.625 388.525 ;
+        RECT 44.915 388.355 45.085 388.525 ;
+        RECT 45.375 388.355 45.545 388.525 ;
+        RECT 45.835 388.355 46.005 388.525 ;
+        RECT 46.295 388.355 46.465 388.525 ;
+        RECT 46.755 388.355 46.925 388.525 ;
+        RECT 47.215 388.355 47.385 388.525 ;
+        RECT 47.675 388.355 47.845 388.525 ;
+        RECT 48.135 388.355 48.305 388.525 ;
+        RECT 48.595 388.355 48.765 388.525 ;
+        RECT 49.055 388.355 49.225 388.525 ;
+        RECT 49.515 388.355 49.685 388.525 ;
+        RECT 49.975 388.355 50.145 388.525 ;
+        RECT 50.435 388.355 50.605 388.525 ;
+        RECT 50.895 388.355 51.065 388.525 ;
+        RECT 51.355 388.355 51.525 388.525 ;
+        RECT 51.815 388.355 51.985 388.525 ;
+        RECT 52.275 388.355 52.445 388.525 ;
+        RECT 52.735 388.355 52.905 388.525 ;
+        RECT 53.195 388.355 53.365 388.525 ;
+        RECT 53.655 388.355 53.825 388.525 ;
+        RECT 42.615 385.635 42.785 385.805 ;
+        RECT 43.075 385.635 43.245 385.805 ;
+        RECT 43.535 385.635 43.705 385.805 ;
+        RECT 43.995 385.635 44.165 385.805 ;
+        RECT 44.455 385.635 44.625 385.805 ;
+        RECT 44.915 385.635 45.085 385.805 ;
+        RECT 45.375 385.635 45.545 385.805 ;
+        RECT 45.835 385.635 46.005 385.805 ;
+        RECT 46.295 385.635 46.465 385.805 ;
+        RECT 46.755 385.635 46.925 385.805 ;
+        RECT 47.215 385.635 47.385 385.805 ;
+        RECT 47.675 385.635 47.845 385.805 ;
+        RECT 48.135 385.635 48.305 385.805 ;
+        RECT 48.595 385.635 48.765 385.805 ;
+        RECT 49.055 385.635 49.225 385.805 ;
+        RECT 49.515 385.635 49.685 385.805 ;
+        RECT 49.975 385.635 50.145 385.805 ;
+        RECT 50.435 385.635 50.605 385.805 ;
+        RECT 50.895 385.635 51.065 385.805 ;
+        RECT 51.355 385.635 51.525 385.805 ;
+        RECT 51.815 385.635 51.985 385.805 ;
+        RECT 52.275 385.635 52.445 385.805 ;
+        RECT 52.735 385.635 52.905 385.805 ;
+        RECT 53.195 385.635 53.365 385.805 ;
+        RECT 53.655 385.635 53.825 385.805 ;
+        RECT 42.615 382.915 42.785 383.085 ;
+        RECT 43.075 382.915 43.245 383.085 ;
+        RECT 43.535 382.915 43.705 383.085 ;
+        RECT 43.995 382.915 44.165 383.085 ;
+        RECT 44.455 382.915 44.625 383.085 ;
+        RECT 44.915 382.915 45.085 383.085 ;
+        RECT 45.375 382.915 45.545 383.085 ;
+        RECT 45.835 382.915 46.005 383.085 ;
+        RECT 46.295 382.915 46.465 383.085 ;
+        RECT 46.755 382.915 46.925 383.085 ;
+        RECT 47.215 382.915 47.385 383.085 ;
+        RECT 47.675 382.915 47.845 383.085 ;
+        RECT 48.135 382.915 48.305 383.085 ;
+        RECT 48.595 382.915 48.765 383.085 ;
+        RECT 49.055 382.915 49.225 383.085 ;
+        RECT 49.515 382.915 49.685 383.085 ;
+        RECT 49.975 382.915 50.145 383.085 ;
+        RECT 50.435 382.915 50.605 383.085 ;
+        RECT 50.895 382.915 51.065 383.085 ;
+        RECT 51.355 382.915 51.525 383.085 ;
+        RECT 51.815 382.915 51.985 383.085 ;
+        RECT 52.275 382.915 52.445 383.085 ;
+        RECT 52.735 382.915 52.905 383.085 ;
+        RECT 53.195 382.915 53.365 383.085 ;
+        RECT 53.655 382.915 53.825 383.085 ;
+        RECT 42.615 380.195 42.785 380.365 ;
+        RECT 43.075 380.195 43.245 380.365 ;
+        RECT 43.535 380.195 43.705 380.365 ;
+        RECT 43.995 380.195 44.165 380.365 ;
+        RECT 44.455 380.195 44.625 380.365 ;
+        RECT 44.915 380.195 45.085 380.365 ;
+        RECT 45.375 380.195 45.545 380.365 ;
+        RECT 45.835 380.195 46.005 380.365 ;
+        RECT 46.295 380.195 46.465 380.365 ;
+        RECT 46.755 380.195 46.925 380.365 ;
+        RECT 47.215 380.195 47.385 380.365 ;
+        RECT 47.675 380.195 47.845 380.365 ;
+        RECT 48.135 380.195 48.305 380.365 ;
+        RECT 48.595 380.195 48.765 380.365 ;
+        RECT 49.055 380.195 49.225 380.365 ;
+        RECT 49.515 380.195 49.685 380.365 ;
+        RECT 49.975 380.195 50.145 380.365 ;
+        RECT 50.435 380.195 50.605 380.365 ;
+        RECT 50.895 380.195 51.065 380.365 ;
+        RECT 51.355 380.195 51.525 380.365 ;
+        RECT 51.815 380.195 51.985 380.365 ;
+        RECT 52.275 380.195 52.445 380.365 ;
+        RECT 52.735 380.195 52.905 380.365 ;
+        RECT 53.195 380.195 53.365 380.365 ;
+        RECT 53.655 380.195 53.825 380.365 ;
+        RECT 42.615 377.475 42.785 377.645 ;
+        RECT 43.075 377.475 43.245 377.645 ;
+        RECT 43.535 377.475 43.705 377.645 ;
+        RECT 43.995 377.475 44.165 377.645 ;
+        RECT 44.455 377.475 44.625 377.645 ;
+        RECT 44.915 377.475 45.085 377.645 ;
+        RECT 45.375 377.475 45.545 377.645 ;
+        RECT 45.835 377.475 46.005 377.645 ;
+        RECT 46.295 377.475 46.465 377.645 ;
+        RECT 46.755 377.475 46.925 377.645 ;
+        RECT 47.215 377.475 47.385 377.645 ;
+        RECT 47.675 377.475 47.845 377.645 ;
+        RECT 48.135 377.475 48.305 377.645 ;
+        RECT 48.595 377.475 48.765 377.645 ;
+        RECT 49.055 377.475 49.225 377.645 ;
+        RECT 49.515 377.475 49.685 377.645 ;
+        RECT 49.975 377.475 50.145 377.645 ;
+        RECT 50.435 377.475 50.605 377.645 ;
+        RECT 50.895 377.475 51.065 377.645 ;
+        RECT 51.355 377.475 51.525 377.645 ;
+        RECT 51.815 377.475 51.985 377.645 ;
+        RECT 52.275 377.475 52.445 377.645 ;
+        RECT 52.735 377.475 52.905 377.645 ;
+        RECT 53.195 377.475 53.365 377.645 ;
+        RECT 53.655 377.475 53.825 377.645 ;
+        RECT 42.615 374.755 42.785 374.925 ;
+        RECT 43.075 374.755 43.245 374.925 ;
+        RECT 43.535 374.755 43.705 374.925 ;
+        RECT 43.995 374.755 44.165 374.925 ;
+        RECT 44.455 374.755 44.625 374.925 ;
+        RECT 44.915 374.755 45.085 374.925 ;
+        RECT 45.375 374.755 45.545 374.925 ;
+        RECT 45.835 374.755 46.005 374.925 ;
+        RECT 46.295 374.755 46.465 374.925 ;
+        RECT 46.755 374.755 46.925 374.925 ;
+        RECT 47.215 374.755 47.385 374.925 ;
+        RECT 47.675 374.755 47.845 374.925 ;
+        RECT 48.135 374.755 48.305 374.925 ;
+        RECT 48.595 374.755 48.765 374.925 ;
+        RECT 49.055 374.755 49.225 374.925 ;
+        RECT 49.515 374.755 49.685 374.925 ;
+        RECT 49.975 374.755 50.145 374.925 ;
+        RECT 50.435 374.755 50.605 374.925 ;
+        RECT 50.895 374.755 51.065 374.925 ;
+        RECT 51.355 374.755 51.525 374.925 ;
+        RECT 51.815 374.755 51.985 374.925 ;
+        RECT 52.275 374.755 52.445 374.925 ;
+        RECT 52.735 374.755 52.905 374.925 ;
+        RECT 53.195 374.755 53.365 374.925 ;
+        RECT 53.655 374.755 53.825 374.925 ;
+        RECT 42.615 372.035 42.785 372.205 ;
+        RECT 43.075 372.035 43.245 372.205 ;
+        RECT 43.535 372.035 43.705 372.205 ;
+        RECT 43.995 372.035 44.165 372.205 ;
+        RECT 44.455 372.035 44.625 372.205 ;
+        RECT 44.915 372.035 45.085 372.205 ;
+        RECT 45.375 372.035 45.545 372.205 ;
+        RECT 45.835 372.035 46.005 372.205 ;
+        RECT 46.295 372.035 46.465 372.205 ;
+        RECT 46.755 372.035 46.925 372.205 ;
+        RECT 47.215 372.035 47.385 372.205 ;
+        RECT 47.675 372.035 47.845 372.205 ;
+        RECT 48.135 372.035 48.305 372.205 ;
+        RECT 48.595 372.035 48.765 372.205 ;
+        RECT 49.055 372.035 49.225 372.205 ;
+        RECT 49.515 372.035 49.685 372.205 ;
+        RECT 49.975 372.035 50.145 372.205 ;
+        RECT 50.435 372.035 50.605 372.205 ;
+        RECT 50.895 372.035 51.065 372.205 ;
+        RECT 51.355 372.035 51.525 372.205 ;
+        RECT 51.815 372.035 51.985 372.205 ;
+        RECT 52.275 372.035 52.445 372.205 ;
+        RECT 52.735 372.035 52.905 372.205 ;
+        RECT 53.195 372.035 53.365 372.205 ;
+        RECT 53.655 372.035 53.825 372.205 ;
+        RECT 42.615 369.315 42.785 369.485 ;
+        RECT 43.075 369.315 43.245 369.485 ;
+        RECT 43.535 369.315 43.705 369.485 ;
+        RECT 43.995 369.315 44.165 369.485 ;
+        RECT 44.455 369.315 44.625 369.485 ;
+        RECT 44.915 369.315 45.085 369.485 ;
+        RECT 45.375 369.315 45.545 369.485 ;
+        RECT 45.835 369.315 46.005 369.485 ;
+        RECT 46.295 369.315 46.465 369.485 ;
+        RECT 46.755 369.315 46.925 369.485 ;
+        RECT 47.215 369.315 47.385 369.485 ;
+        RECT 47.675 369.315 47.845 369.485 ;
+        RECT 48.135 369.315 48.305 369.485 ;
+        RECT 48.595 369.315 48.765 369.485 ;
+        RECT 49.055 369.315 49.225 369.485 ;
+        RECT 49.515 369.315 49.685 369.485 ;
+        RECT 49.975 369.315 50.145 369.485 ;
+        RECT 50.435 369.315 50.605 369.485 ;
+        RECT 50.895 369.315 51.065 369.485 ;
+        RECT 51.355 369.315 51.525 369.485 ;
+        RECT 51.815 369.315 51.985 369.485 ;
+        RECT 52.275 369.315 52.445 369.485 ;
+        RECT 52.735 369.315 52.905 369.485 ;
+        RECT 53.195 369.315 53.365 369.485 ;
+        RECT 53.655 369.315 53.825 369.485 ;
+        RECT 42.615 366.595 42.785 366.765 ;
+        RECT 43.075 366.595 43.245 366.765 ;
+        RECT 43.535 366.595 43.705 366.765 ;
+        RECT 43.995 366.595 44.165 366.765 ;
+        RECT 44.455 366.595 44.625 366.765 ;
+        RECT 44.915 366.595 45.085 366.765 ;
+        RECT 45.375 366.595 45.545 366.765 ;
+        RECT 45.835 366.595 46.005 366.765 ;
+        RECT 46.295 366.595 46.465 366.765 ;
+        RECT 46.755 366.595 46.925 366.765 ;
+        RECT 47.215 366.595 47.385 366.765 ;
+        RECT 47.675 366.595 47.845 366.765 ;
+        RECT 48.135 366.595 48.305 366.765 ;
+        RECT 48.595 366.595 48.765 366.765 ;
+        RECT 49.055 366.595 49.225 366.765 ;
+        RECT 49.515 366.595 49.685 366.765 ;
+        RECT 49.975 366.595 50.145 366.765 ;
+        RECT 50.435 366.595 50.605 366.765 ;
+        RECT 50.895 366.595 51.065 366.765 ;
+        RECT 51.355 366.595 51.525 366.765 ;
+        RECT 51.815 366.595 51.985 366.765 ;
+        RECT 52.275 366.595 52.445 366.765 ;
+        RECT 52.735 366.595 52.905 366.765 ;
+        RECT 53.195 366.595 53.365 366.765 ;
+        RECT 53.655 366.595 53.825 366.765 ;
+        RECT 42.615 363.875 42.785 364.045 ;
+        RECT 43.075 363.875 43.245 364.045 ;
+        RECT 43.535 363.875 43.705 364.045 ;
+        RECT 43.995 363.875 44.165 364.045 ;
+        RECT 44.455 363.875 44.625 364.045 ;
+        RECT 44.915 363.875 45.085 364.045 ;
+        RECT 45.375 363.875 45.545 364.045 ;
+        RECT 45.835 363.875 46.005 364.045 ;
+        RECT 46.295 363.875 46.465 364.045 ;
+        RECT 46.755 363.875 46.925 364.045 ;
+        RECT 47.215 363.875 47.385 364.045 ;
+        RECT 47.675 363.875 47.845 364.045 ;
+        RECT 48.135 363.875 48.305 364.045 ;
+        RECT 48.595 363.875 48.765 364.045 ;
+        RECT 49.055 363.875 49.225 364.045 ;
+        RECT 49.515 363.875 49.685 364.045 ;
+        RECT 49.975 363.875 50.145 364.045 ;
+        RECT 50.435 363.875 50.605 364.045 ;
+        RECT 50.895 363.875 51.065 364.045 ;
+        RECT 51.355 363.875 51.525 364.045 ;
+        RECT 51.815 363.875 51.985 364.045 ;
+        RECT 52.275 363.875 52.445 364.045 ;
+        RECT 52.735 363.875 52.905 364.045 ;
+        RECT 53.195 363.875 53.365 364.045 ;
+        RECT 53.655 363.875 53.825 364.045 ;
+        RECT 42.615 361.155 42.785 361.325 ;
+        RECT 43.075 361.155 43.245 361.325 ;
+        RECT 43.535 361.155 43.705 361.325 ;
+        RECT 43.995 361.155 44.165 361.325 ;
+        RECT 44.455 361.155 44.625 361.325 ;
+        RECT 44.915 361.155 45.085 361.325 ;
+        RECT 45.375 361.155 45.545 361.325 ;
+        RECT 45.835 361.155 46.005 361.325 ;
+        RECT 46.295 361.155 46.465 361.325 ;
+        RECT 46.755 361.155 46.925 361.325 ;
+        RECT 47.215 361.155 47.385 361.325 ;
+        RECT 47.675 361.155 47.845 361.325 ;
+        RECT 48.135 361.155 48.305 361.325 ;
+        RECT 48.595 361.155 48.765 361.325 ;
+        RECT 49.055 361.155 49.225 361.325 ;
+        RECT 49.515 361.155 49.685 361.325 ;
+        RECT 49.975 361.155 50.145 361.325 ;
+        RECT 50.435 361.155 50.605 361.325 ;
+        RECT 50.895 361.155 51.065 361.325 ;
+        RECT 51.355 361.155 51.525 361.325 ;
+        RECT 51.815 361.155 51.985 361.325 ;
+        RECT 52.275 361.155 52.445 361.325 ;
+        RECT 52.735 361.155 52.905 361.325 ;
+        RECT 53.195 361.155 53.365 361.325 ;
+        RECT 53.655 361.155 53.825 361.325 ;
+        RECT 42.615 358.435 42.785 358.605 ;
+        RECT 43.075 358.435 43.245 358.605 ;
+        RECT 43.535 358.435 43.705 358.605 ;
+        RECT 43.995 358.435 44.165 358.605 ;
+        RECT 44.455 358.435 44.625 358.605 ;
+        RECT 44.915 358.435 45.085 358.605 ;
+        RECT 45.375 358.435 45.545 358.605 ;
+        RECT 45.835 358.435 46.005 358.605 ;
+        RECT 46.295 358.435 46.465 358.605 ;
+        RECT 46.755 358.435 46.925 358.605 ;
+        RECT 47.215 358.435 47.385 358.605 ;
+        RECT 47.675 358.435 47.845 358.605 ;
+        RECT 48.135 358.435 48.305 358.605 ;
+        RECT 48.595 358.435 48.765 358.605 ;
+        RECT 49.055 358.435 49.225 358.605 ;
+        RECT 49.515 358.435 49.685 358.605 ;
+        RECT 49.975 358.435 50.145 358.605 ;
+        RECT 50.435 358.435 50.605 358.605 ;
+        RECT 50.895 358.435 51.065 358.605 ;
+        RECT 51.355 358.435 51.525 358.605 ;
+        RECT 51.815 358.435 51.985 358.605 ;
+        RECT 52.275 358.435 52.445 358.605 ;
+        RECT 52.735 358.435 52.905 358.605 ;
+        RECT 53.195 358.435 53.365 358.605 ;
+        RECT 53.655 358.435 53.825 358.605 ;
+        RECT 42.615 355.715 42.785 355.885 ;
+        RECT 43.075 355.715 43.245 355.885 ;
+        RECT 43.535 355.715 43.705 355.885 ;
+        RECT 43.995 355.715 44.165 355.885 ;
+        RECT 44.455 355.715 44.625 355.885 ;
+        RECT 44.915 355.715 45.085 355.885 ;
+        RECT 45.375 355.715 45.545 355.885 ;
+        RECT 45.835 355.715 46.005 355.885 ;
+        RECT 46.295 355.715 46.465 355.885 ;
+        RECT 46.755 355.715 46.925 355.885 ;
+        RECT 47.215 355.715 47.385 355.885 ;
+        RECT 47.675 355.715 47.845 355.885 ;
+        RECT 48.135 355.715 48.305 355.885 ;
+        RECT 48.595 355.715 48.765 355.885 ;
+        RECT 49.055 355.715 49.225 355.885 ;
+        RECT 49.515 355.715 49.685 355.885 ;
+        RECT 49.975 355.715 50.145 355.885 ;
+        RECT 50.435 355.715 50.605 355.885 ;
+        RECT 50.895 355.715 51.065 355.885 ;
+        RECT 51.355 355.715 51.525 355.885 ;
+        RECT 51.815 355.715 51.985 355.885 ;
+        RECT 52.275 355.715 52.445 355.885 ;
+        RECT 52.735 355.715 52.905 355.885 ;
+        RECT 53.195 355.715 53.365 355.885 ;
+        RECT 53.655 355.715 53.825 355.885 ;
+        RECT 42.615 352.995 42.785 353.165 ;
+        RECT 43.075 352.995 43.245 353.165 ;
+        RECT 43.535 352.995 43.705 353.165 ;
+        RECT 43.995 352.995 44.165 353.165 ;
+        RECT 44.455 352.995 44.625 353.165 ;
+        RECT 44.915 352.995 45.085 353.165 ;
+        RECT 45.375 352.995 45.545 353.165 ;
+        RECT 45.835 352.995 46.005 353.165 ;
+        RECT 46.295 352.995 46.465 353.165 ;
+        RECT 46.755 352.995 46.925 353.165 ;
+        RECT 47.215 352.995 47.385 353.165 ;
+        RECT 47.675 352.995 47.845 353.165 ;
+        RECT 48.135 352.995 48.305 353.165 ;
+        RECT 48.595 352.995 48.765 353.165 ;
+        RECT 49.055 352.995 49.225 353.165 ;
+        RECT 49.515 352.995 49.685 353.165 ;
+        RECT 49.975 352.995 50.145 353.165 ;
+        RECT 50.435 352.995 50.605 353.165 ;
+        RECT 50.895 352.995 51.065 353.165 ;
+        RECT 51.355 352.995 51.525 353.165 ;
+        RECT 51.815 352.995 51.985 353.165 ;
+        RECT 52.275 352.995 52.445 353.165 ;
+        RECT 52.735 352.995 52.905 353.165 ;
+        RECT 53.195 352.995 53.365 353.165 ;
+        RECT 53.655 352.995 53.825 353.165 ;
+        RECT 42.615 350.275 42.785 350.445 ;
+        RECT 43.075 350.275 43.245 350.445 ;
+        RECT 43.535 350.275 43.705 350.445 ;
+        RECT 43.995 350.275 44.165 350.445 ;
+        RECT 44.455 350.275 44.625 350.445 ;
+        RECT 44.915 350.275 45.085 350.445 ;
+        RECT 45.375 350.275 45.545 350.445 ;
+        RECT 45.835 350.275 46.005 350.445 ;
+        RECT 46.295 350.275 46.465 350.445 ;
+        RECT 46.755 350.275 46.925 350.445 ;
+        RECT 47.215 350.275 47.385 350.445 ;
+        RECT 47.675 350.275 47.845 350.445 ;
+        RECT 48.135 350.275 48.305 350.445 ;
+        RECT 48.595 350.275 48.765 350.445 ;
+        RECT 49.055 350.275 49.225 350.445 ;
+        RECT 49.515 350.275 49.685 350.445 ;
+        RECT 49.975 350.275 50.145 350.445 ;
+        RECT 50.435 350.275 50.605 350.445 ;
+        RECT 50.895 350.275 51.065 350.445 ;
+        RECT 51.355 350.275 51.525 350.445 ;
+        RECT 51.815 350.275 51.985 350.445 ;
+        RECT 52.275 350.275 52.445 350.445 ;
+        RECT 52.735 350.275 52.905 350.445 ;
+        RECT 53.195 350.275 53.365 350.445 ;
+        RECT 53.655 350.275 53.825 350.445 ;
+        RECT 42.615 347.555 42.785 347.725 ;
+        RECT 43.075 347.555 43.245 347.725 ;
+        RECT 43.535 347.555 43.705 347.725 ;
+        RECT 43.995 347.555 44.165 347.725 ;
+        RECT 44.455 347.555 44.625 347.725 ;
+        RECT 44.915 347.555 45.085 347.725 ;
+        RECT 45.375 347.555 45.545 347.725 ;
+        RECT 45.835 347.555 46.005 347.725 ;
+        RECT 46.295 347.555 46.465 347.725 ;
+        RECT 46.755 347.555 46.925 347.725 ;
+        RECT 47.215 347.555 47.385 347.725 ;
+        RECT 47.675 347.555 47.845 347.725 ;
+        RECT 48.135 347.555 48.305 347.725 ;
+        RECT 48.595 347.555 48.765 347.725 ;
+        RECT 49.055 347.555 49.225 347.725 ;
+        RECT 49.515 347.555 49.685 347.725 ;
+        RECT 49.975 347.555 50.145 347.725 ;
+        RECT 50.435 347.555 50.605 347.725 ;
+        RECT 50.895 347.555 51.065 347.725 ;
+        RECT 51.355 347.555 51.525 347.725 ;
+        RECT 51.815 347.555 51.985 347.725 ;
+        RECT 52.275 347.555 52.445 347.725 ;
+        RECT 52.735 347.555 52.905 347.725 ;
+        RECT 53.195 347.555 53.365 347.725 ;
+        RECT 53.655 347.555 53.825 347.725 ;
+        RECT 42.615 344.835 42.785 345.005 ;
+        RECT 43.075 344.835 43.245 345.005 ;
+        RECT 43.535 344.835 43.705 345.005 ;
+        RECT 43.995 344.835 44.165 345.005 ;
+        RECT 44.455 344.835 44.625 345.005 ;
+        RECT 44.915 344.835 45.085 345.005 ;
+        RECT 45.375 344.835 45.545 345.005 ;
+        RECT 45.835 344.835 46.005 345.005 ;
+        RECT 46.295 344.835 46.465 345.005 ;
+        RECT 46.755 344.835 46.925 345.005 ;
+        RECT 47.215 344.835 47.385 345.005 ;
+        RECT 47.675 344.835 47.845 345.005 ;
+        RECT 48.135 344.835 48.305 345.005 ;
+        RECT 48.595 344.835 48.765 345.005 ;
+        RECT 49.055 344.835 49.225 345.005 ;
+        RECT 49.515 344.835 49.685 345.005 ;
+        RECT 49.975 344.835 50.145 345.005 ;
+        RECT 50.435 344.835 50.605 345.005 ;
+        RECT 50.895 344.835 51.065 345.005 ;
+        RECT 51.355 344.835 51.525 345.005 ;
+        RECT 51.815 344.835 51.985 345.005 ;
+        RECT 52.275 344.835 52.445 345.005 ;
+        RECT 52.735 344.835 52.905 345.005 ;
+        RECT 53.195 344.835 53.365 345.005 ;
+        RECT 53.655 344.835 53.825 345.005 ;
+        RECT 42.615 342.115 42.785 342.285 ;
+        RECT 43.075 342.115 43.245 342.285 ;
+        RECT 43.535 342.115 43.705 342.285 ;
+        RECT 43.995 342.115 44.165 342.285 ;
+        RECT 44.455 342.115 44.625 342.285 ;
+        RECT 44.915 342.115 45.085 342.285 ;
+        RECT 45.375 342.115 45.545 342.285 ;
+        RECT 45.835 342.115 46.005 342.285 ;
+        RECT 46.295 342.115 46.465 342.285 ;
+        RECT 46.755 342.115 46.925 342.285 ;
+        RECT 47.215 342.115 47.385 342.285 ;
+        RECT 47.675 342.115 47.845 342.285 ;
+        RECT 48.135 342.115 48.305 342.285 ;
+        RECT 48.595 342.115 48.765 342.285 ;
+        RECT 49.055 342.115 49.225 342.285 ;
+        RECT 49.515 342.115 49.685 342.285 ;
+        RECT 49.975 342.115 50.145 342.285 ;
+        RECT 50.435 342.115 50.605 342.285 ;
+        RECT 50.895 342.115 51.065 342.285 ;
+        RECT 51.355 342.115 51.525 342.285 ;
+        RECT 51.815 342.115 51.985 342.285 ;
+        RECT 52.275 342.115 52.445 342.285 ;
+        RECT 52.735 342.115 52.905 342.285 ;
+        RECT 53.195 342.115 53.365 342.285 ;
+        RECT 53.655 342.115 53.825 342.285 ;
+        RECT 42.615 339.395 42.785 339.565 ;
+        RECT 43.075 339.395 43.245 339.565 ;
+        RECT 43.535 339.395 43.705 339.565 ;
+        RECT 43.995 339.395 44.165 339.565 ;
+        RECT 44.455 339.395 44.625 339.565 ;
+        RECT 44.915 339.395 45.085 339.565 ;
+        RECT 45.375 339.395 45.545 339.565 ;
+        RECT 45.835 339.395 46.005 339.565 ;
+        RECT 46.295 339.395 46.465 339.565 ;
+        RECT 46.755 339.395 46.925 339.565 ;
+        RECT 47.215 339.395 47.385 339.565 ;
+        RECT 47.675 339.395 47.845 339.565 ;
+        RECT 48.135 339.395 48.305 339.565 ;
+        RECT 48.595 339.395 48.765 339.565 ;
+        RECT 49.055 339.395 49.225 339.565 ;
+        RECT 49.515 339.395 49.685 339.565 ;
+        RECT 49.975 339.395 50.145 339.565 ;
+        RECT 50.435 339.395 50.605 339.565 ;
+        RECT 50.895 339.395 51.065 339.565 ;
+        RECT 51.355 339.395 51.525 339.565 ;
+        RECT 51.815 339.395 51.985 339.565 ;
+        RECT 52.275 339.395 52.445 339.565 ;
+        RECT 52.735 339.395 52.905 339.565 ;
+        RECT 53.195 339.395 53.365 339.565 ;
+        RECT 53.655 339.395 53.825 339.565 ;
+        RECT 42.615 336.675 42.785 336.845 ;
+        RECT 43.075 336.675 43.245 336.845 ;
+        RECT 43.535 336.675 43.705 336.845 ;
+        RECT 43.995 336.675 44.165 336.845 ;
+        RECT 44.455 336.675 44.625 336.845 ;
+        RECT 44.915 336.675 45.085 336.845 ;
+        RECT 45.375 336.675 45.545 336.845 ;
+        RECT 45.835 336.675 46.005 336.845 ;
+        RECT 46.295 336.675 46.465 336.845 ;
+        RECT 46.755 336.675 46.925 336.845 ;
+        RECT 47.215 336.675 47.385 336.845 ;
+        RECT 47.675 336.675 47.845 336.845 ;
+        RECT 48.135 336.675 48.305 336.845 ;
+        RECT 48.595 336.675 48.765 336.845 ;
+        RECT 49.055 336.675 49.225 336.845 ;
+        RECT 49.515 336.675 49.685 336.845 ;
+        RECT 49.975 336.675 50.145 336.845 ;
+        RECT 50.435 336.675 50.605 336.845 ;
+        RECT 50.895 336.675 51.065 336.845 ;
+        RECT 51.355 336.675 51.525 336.845 ;
+        RECT 51.815 336.675 51.985 336.845 ;
+        RECT 52.275 336.675 52.445 336.845 ;
+        RECT 52.735 336.675 52.905 336.845 ;
+        RECT 53.195 336.675 53.365 336.845 ;
+        RECT 53.655 336.675 53.825 336.845 ;
+        RECT 42.615 333.955 42.785 334.125 ;
+        RECT 43.075 333.955 43.245 334.125 ;
+        RECT 43.535 333.955 43.705 334.125 ;
+        RECT 43.995 333.955 44.165 334.125 ;
+        RECT 44.455 333.955 44.625 334.125 ;
+        RECT 44.915 333.955 45.085 334.125 ;
+        RECT 45.375 333.955 45.545 334.125 ;
+        RECT 45.835 333.955 46.005 334.125 ;
+        RECT 46.295 333.955 46.465 334.125 ;
+        RECT 46.755 333.955 46.925 334.125 ;
+        RECT 47.215 333.955 47.385 334.125 ;
+        RECT 47.675 333.955 47.845 334.125 ;
+        RECT 48.135 333.955 48.305 334.125 ;
+        RECT 48.595 333.955 48.765 334.125 ;
+        RECT 49.055 333.955 49.225 334.125 ;
+        RECT 49.515 333.955 49.685 334.125 ;
+        RECT 49.975 333.955 50.145 334.125 ;
+        RECT 50.435 333.955 50.605 334.125 ;
+        RECT 50.895 333.955 51.065 334.125 ;
+        RECT 51.355 333.955 51.525 334.125 ;
+        RECT 51.815 333.955 51.985 334.125 ;
+        RECT 52.275 333.955 52.445 334.125 ;
+        RECT 52.735 333.955 52.905 334.125 ;
+        RECT 53.195 333.955 53.365 334.125 ;
+        RECT 53.655 333.955 53.825 334.125 ;
+        RECT 42.615 331.235 42.785 331.405 ;
+        RECT 43.075 331.235 43.245 331.405 ;
+        RECT 43.535 331.235 43.705 331.405 ;
+        RECT 43.995 331.235 44.165 331.405 ;
+        RECT 44.455 331.235 44.625 331.405 ;
+        RECT 44.915 331.235 45.085 331.405 ;
+        RECT 45.375 331.235 45.545 331.405 ;
+        RECT 45.835 331.235 46.005 331.405 ;
+        RECT 46.295 331.235 46.465 331.405 ;
+        RECT 46.755 331.235 46.925 331.405 ;
+        RECT 47.215 331.235 47.385 331.405 ;
+        RECT 47.675 331.235 47.845 331.405 ;
+        RECT 48.135 331.235 48.305 331.405 ;
+        RECT 48.595 331.235 48.765 331.405 ;
+        RECT 49.055 331.235 49.225 331.405 ;
+        RECT 49.515 331.235 49.685 331.405 ;
+        RECT 49.975 331.235 50.145 331.405 ;
+        RECT 50.435 331.235 50.605 331.405 ;
+        RECT 50.895 331.235 51.065 331.405 ;
+        RECT 51.355 331.235 51.525 331.405 ;
+        RECT 51.815 331.235 51.985 331.405 ;
+        RECT 52.275 331.235 52.445 331.405 ;
+        RECT 52.735 331.235 52.905 331.405 ;
+        RECT 53.195 331.235 53.365 331.405 ;
+        RECT 53.655 331.235 53.825 331.405 ;
+        RECT 42.615 328.515 42.785 328.685 ;
+        RECT 43.075 328.515 43.245 328.685 ;
+        RECT 43.535 328.515 43.705 328.685 ;
+        RECT 43.995 328.515 44.165 328.685 ;
+        RECT 44.455 328.515 44.625 328.685 ;
+        RECT 44.915 328.515 45.085 328.685 ;
+        RECT 45.375 328.515 45.545 328.685 ;
+        RECT 45.835 328.515 46.005 328.685 ;
+        RECT 46.295 328.515 46.465 328.685 ;
+        RECT 46.755 328.515 46.925 328.685 ;
+        RECT 47.215 328.515 47.385 328.685 ;
+        RECT 47.675 328.515 47.845 328.685 ;
+        RECT 48.135 328.515 48.305 328.685 ;
+        RECT 48.595 328.515 48.765 328.685 ;
+        RECT 49.055 328.515 49.225 328.685 ;
+        RECT 49.515 328.515 49.685 328.685 ;
+        RECT 49.975 328.515 50.145 328.685 ;
+        RECT 50.435 328.515 50.605 328.685 ;
+        RECT 50.895 328.515 51.065 328.685 ;
+        RECT 51.355 328.515 51.525 328.685 ;
+        RECT 51.815 328.515 51.985 328.685 ;
+        RECT 52.275 328.515 52.445 328.685 ;
+        RECT 52.735 328.515 52.905 328.685 ;
+        RECT 53.195 328.515 53.365 328.685 ;
+        RECT 53.655 328.515 53.825 328.685 ;
+        RECT 42.615 325.795 42.785 325.965 ;
+        RECT 43.075 325.795 43.245 325.965 ;
+        RECT 43.535 325.795 43.705 325.965 ;
+        RECT 43.995 325.795 44.165 325.965 ;
+        RECT 44.455 325.795 44.625 325.965 ;
+        RECT 44.915 325.795 45.085 325.965 ;
+        RECT 45.375 325.795 45.545 325.965 ;
+        RECT 45.835 325.795 46.005 325.965 ;
+        RECT 46.295 325.795 46.465 325.965 ;
+        RECT 46.755 325.795 46.925 325.965 ;
+        RECT 47.215 325.795 47.385 325.965 ;
+        RECT 47.675 325.795 47.845 325.965 ;
+        RECT 48.135 325.795 48.305 325.965 ;
+        RECT 48.595 325.795 48.765 325.965 ;
+        RECT 49.055 325.795 49.225 325.965 ;
+        RECT 49.515 325.795 49.685 325.965 ;
+        RECT 49.975 325.795 50.145 325.965 ;
+        RECT 50.435 325.795 50.605 325.965 ;
+        RECT 50.895 325.795 51.065 325.965 ;
+        RECT 51.355 325.795 51.525 325.965 ;
+        RECT 51.815 325.795 51.985 325.965 ;
+        RECT 52.275 325.795 52.445 325.965 ;
+        RECT 52.735 325.795 52.905 325.965 ;
+        RECT 53.195 325.795 53.365 325.965 ;
+        RECT 53.655 325.795 53.825 325.965 ;
+        RECT 42.615 323.075 42.785 323.245 ;
+        RECT 43.075 323.075 43.245 323.245 ;
+        RECT 43.535 323.075 43.705 323.245 ;
+        RECT 43.995 323.075 44.165 323.245 ;
+        RECT 44.455 323.075 44.625 323.245 ;
+        RECT 44.915 323.075 45.085 323.245 ;
+        RECT 45.375 323.075 45.545 323.245 ;
+        RECT 45.835 323.075 46.005 323.245 ;
+        RECT 46.295 323.075 46.465 323.245 ;
+        RECT 46.755 323.075 46.925 323.245 ;
+        RECT 47.215 323.075 47.385 323.245 ;
+        RECT 47.675 323.075 47.845 323.245 ;
+        RECT 48.135 323.075 48.305 323.245 ;
+        RECT 48.595 323.075 48.765 323.245 ;
+        RECT 49.055 323.075 49.225 323.245 ;
+        RECT 49.515 323.075 49.685 323.245 ;
+        RECT 49.975 323.075 50.145 323.245 ;
+        RECT 50.435 323.075 50.605 323.245 ;
+        RECT 50.895 323.075 51.065 323.245 ;
+        RECT 51.355 323.075 51.525 323.245 ;
+        RECT 51.815 323.075 51.985 323.245 ;
+        RECT 52.275 323.075 52.445 323.245 ;
+        RECT 52.735 323.075 52.905 323.245 ;
+        RECT 53.195 323.075 53.365 323.245 ;
+        RECT 53.655 323.075 53.825 323.245 ;
+        RECT 42.615 320.355 42.785 320.525 ;
+        RECT 43.075 320.355 43.245 320.525 ;
+        RECT 43.535 320.355 43.705 320.525 ;
+        RECT 43.995 320.355 44.165 320.525 ;
+        RECT 44.455 320.355 44.625 320.525 ;
+        RECT 44.915 320.355 45.085 320.525 ;
+        RECT 45.375 320.355 45.545 320.525 ;
+        RECT 45.835 320.355 46.005 320.525 ;
+        RECT 46.295 320.355 46.465 320.525 ;
+        RECT 46.755 320.355 46.925 320.525 ;
+        RECT 47.215 320.355 47.385 320.525 ;
+        RECT 47.675 320.355 47.845 320.525 ;
+        RECT 48.135 320.355 48.305 320.525 ;
+        RECT 48.595 320.355 48.765 320.525 ;
+        RECT 49.055 320.355 49.225 320.525 ;
+        RECT 49.515 320.355 49.685 320.525 ;
+        RECT 49.975 320.355 50.145 320.525 ;
+        RECT 50.435 320.355 50.605 320.525 ;
+        RECT 50.895 320.355 51.065 320.525 ;
+        RECT 51.355 320.355 51.525 320.525 ;
+        RECT 51.815 320.355 51.985 320.525 ;
+        RECT 52.275 320.355 52.445 320.525 ;
+        RECT 52.735 320.355 52.905 320.525 ;
+        RECT 53.195 320.355 53.365 320.525 ;
+        RECT 53.655 320.355 53.825 320.525 ;
+        RECT 42.615 317.635 42.785 317.805 ;
+        RECT 43.075 317.635 43.245 317.805 ;
+        RECT 43.535 317.635 43.705 317.805 ;
+        RECT 43.995 317.635 44.165 317.805 ;
+        RECT 44.455 317.635 44.625 317.805 ;
+        RECT 44.915 317.635 45.085 317.805 ;
+        RECT 45.375 317.635 45.545 317.805 ;
+        RECT 45.835 317.635 46.005 317.805 ;
+        RECT 46.295 317.635 46.465 317.805 ;
+        RECT 46.755 317.635 46.925 317.805 ;
+        RECT 47.215 317.635 47.385 317.805 ;
+        RECT 47.675 317.635 47.845 317.805 ;
+        RECT 48.135 317.635 48.305 317.805 ;
+        RECT 48.595 317.635 48.765 317.805 ;
+        RECT 49.055 317.635 49.225 317.805 ;
+        RECT 49.515 317.635 49.685 317.805 ;
+        RECT 49.975 317.635 50.145 317.805 ;
+        RECT 50.435 317.635 50.605 317.805 ;
+        RECT 50.895 317.635 51.065 317.805 ;
+        RECT 51.355 317.635 51.525 317.805 ;
+        RECT 51.815 317.635 51.985 317.805 ;
+        RECT 52.275 317.635 52.445 317.805 ;
+        RECT 52.735 317.635 52.905 317.805 ;
+        RECT 53.195 317.635 53.365 317.805 ;
+        RECT 53.655 317.635 53.825 317.805 ;
+        RECT 42.615 314.915 42.785 315.085 ;
+        RECT 43.075 314.915 43.245 315.085 ;
+        RECT 43.535 314.915 43.705 315.085 ;
+        RECT 43.995 314.915 44.165 315.085 ;
+        RECT 44.455 314.915 44.625 315.085 ;
+        RECT 44.915 314.915 45.085 315.085 ;
+        RECT 45.375 314.915 45.545 315.085 ;
+        RECT 45.835 314.915 46.005 315.085 ;
+        RECT 46.295 314.915 46.465 315.085 ;
+        RECT 46.755 314.915 46.925 315.085 ;
+        RECT 47.215 314.915 47.385 315.085 ;
+        RECT 47.675 314.915 47.845 315.085 ;
+        RECT 48.135 314.915 48.305 315.085 ;
+        RECT 48.595 314.915 48.765 315.085 ;
+        RECT 49.055 314.915 49.225 315.085 ;
+        RECT 49.515 314.915 49.685 315.085 ;
+        RECT 49.975 314.915 50.145 315.085 ;
+        RECT 50.435 314.915 50.605 315.085 ;
+        RECT 50.895 314.915 51.065 315.085 ;
+        RECT 51.355 314.915 51.525 315.085 ;
+        RECT 51.815 314.915 51.985 315.085 ;
+        RECT 52.275 314.915 52.445 315.085 ;
+        RECT 52.735 314.915 52.905 315.085 ;
+        RECT 53.195 314.915 53.365 315.085 ;
+        RECT 53.655 314.915 53.825 315.085 ;
+        RECT 42.615 312.195 42.785 312.365 ;
+        RECT 43.075 312.195 43.245 312.365 ;
+        RECT 43.535 312.195 43.705 312.365 ;
+        RECT 43.995 312.195 44.165 312.365 ;
+        RECT 44.455 312.195 44.625 312.365 ;
+        RECT 44.915 312.195 45.085 312.365 ;
+        RECT 45.375 312.195 45.545 312.365 ;
+        RECT 45.835 312.195 46.005 312.365 ;
+        RECT 46.295 312.195 46.465 312.365 ;
+        RECT 46.755 312.195 46.925 312.365 ;
+        RECT 47.215 312.195 47.385 312.365 ;
+        RECT 47.675 312.195 47.845 312.365 ;
+        RECT 48.135 312.195 48.305 312.365 ;
+        RECT 48.595 312.195 48.765 312.365 ;
+        RECT 49.055 312.195 49.225 312.365 ;
+        RECT 49.515 312.195 49.685 312.365 ;
+        RECT 49.975 312.195 50.145 312.365 ;
+        RECT 50.435 312.195 50.605 312.365 ;
+        RECT 50.895 312.195 51.065 312.365 ;
+        RECT 51.355 312.195 51.525 312.365 ;
+        RECT 51.815 312.195 51.985 312.365 ;
+        RECT 52.275 312.195 52.445 312.365 ;
+        RECT 52.735 312.195 52.905 312.365 ;
+        RECT 53.195 312.195 53.365 312.365 ;
+        RECT 53.655 312.195 53.825 312.365 ;
+        RECT 42.615 309.475 42.785 309.645 ;
+        RECT 43.075 309.475 43.245 309.645 ;
+        RECT 43.535 309.475 43.705 309.645 ;
+        RECT 43.995 309.475 44.165 309.645 ;
+        RECT 44.455 309.475 44.625 309.645 ;
+        RECT 44.915 309.475 45.085 309.645 ;
+        RECT 45.375 309.475 45.545 309.645 ;
+        RECT 45.835 309.475 46.005 309.645 ;
+        RECT 46.295 309.475 46.465 309.645 ;
+        RECT 46.755 309.475 46.925 309.645 ;
+        RECT 47.215 309.475 47.385 309.645 ;
+        RECT 47.675 309.475 47.845 309.645 ;
+        RECT 48.135 309.475 48.305 309.645 ;
+        RECT 48.595 309.475 48.765 309.645 ;
+        RECT 49.055 309.475 49.225 309.645 ;
+        RECT 49.515 309.475 49.685 309.645 ;
+        RECT 49.975 309.475 50.145 309.645 ;
+        RECT 50.435 309.475 50.605 309.645 ;
+        RECT 50.895 309.475 51.065 309.645 ;
+        RECT 51.355 309.475 51.525 309.645 ;
+        RECT 51.815 309.475 51.985 309.645 ;
+        RECT 52.275 309.475 52.445 309.645 ;
+        RECT 52.735 309.475 52.905 309.645 ;
+        RECT 53.195 309.475 53.365 309.645 ;
+        RECT 53.655 309.475 53.825 309.645 ;
+        RECT 42.615 306.755 42.785 306.925 ;
+        RECT 43.075 306.755 43.245 306.925 ;
+        RECT 43.535 306.755 43.705 306.925 ;
+        RECT 43.995 306.755 44.165 306.925 ;
+        RECT 44.455 306.755 44.625 306.925 ;
+        RECT 44.915 306.755 45.085 306.925 ;
+        RECT 45.375 306.755 45.545 306.925 ;
+        RECT 45.835 306.755 46.005 306.925 ;
+        RECT 46.295 306.755 46.465 306.925 ;
+        RECT 46.755 306.755 46.925 306.925 ;
+        RECT 47.215 306.755 47.385 306.925 ;
+        RECT 47.675 306.755 47.845 306.925 ;
+        RECT 48.135 306.755 48.305 306.925 ;
+        RECT 48.595 306.755 48.765 306.925 ;
+        RECT 49.055 306.755 49.225 306.925 ;
+        RECT 49.515 306.755 49.685 306.925 ;
+        RECT 49.975 306.755 50.145 306.925 ;
+        RECT 50.435 306.755 50.605 306.925 ;
+        RECT 50.895 306.755 51.065 306.925 ;
+        RECT 51.355 306.755 51.525 306.925 ;
+        RECT 51.815 306.755 51.985 306.925 ;
+        RECT 52.275 306.755 52.445 306.925 ;
+        RECT 52.735 306.755 52.905 306.925 ;
+        RECT 53.195 306.755 53.365 306.925 ;
+        RECT 53.655 306.755 53.825 306.925 ;
+        RECT 42.615 304.035 42.785 304.205 ;
+        RECT 43.075 304.035 43.245 304.205 ;
+        RECT 43.535 304.035 43.705 304.205 ;
+        RECT 43.995 304.035 44.165 304.205 ;
+        RECT 44.455 304.035 44.625 304.205 ;
+        RECT 44.915 304.035 45.085 304.205 ;
+        RECT 45.375 304.035 45.545 304.205 ;
+        RECT 45.835 304.035 46.005 304.205 ;
+        RECT 46.295 304.035 46.465 304.205 ;
+        RECT 46.755 304.035 46.925 304.205 ;
+        RECT 47.215 304.035 47.385 304.205 ;
+        RECT 47.675 304.035 47.845 304.205 ;
+        RECT 48.135 304.035 48.305 304.205 ;
+        RECT 48.595 304.035 48.765 304.205 ;
+        RECT 49.055 304.035 49.225 304.205 ;
+        RECT 49.515 304.035 49.685 304.205 ;
+        RECT 49.975 304.035 50.145 304.205 ;
+        RECT 50.435 304.035 50.605 304.205 ;
+        RECT 50.895 304.035 51.065 304.205 ;
+        RECT 51.355 304.035 51.525 304.205 ;
+        RECT 51.815 304.035 51.985 304.205 ;
+        RECT 52.275 304.035 52.445 304.205 ;
+        RECT 52.735 304.035 52.905 304.205 ;
+        RECT 53.195 304.035 53.365 304.205 ;
+        RECT 53.655 304.035 53.825 304.205 ;
+        RECT 42.615 301.315 42.785 301.485 ;
+        RECT 43.075 301.315 43.245 301.485 ;
+        RECT 43.535 301.315 43.705 301.485 ;
+        RECT 43.995 301.315 44.165 301.485 ;
+        RECT 44.455 301.315 44.625 301.485 ;
+        RECT 44.915 301.315 45.085 301.485 ;
+        RECT 45.375 301.315 45.545 301.485 ;
+        RECT 45.835 301.315 46.005 301.485 ;
+        RECT 46.295 301.315 46.465 301.485 ;
+        RECT 46.755 301.315 46.925 301.485 ;
+        RECT 47.215 301.315 47.385 301.485 ;
+        RECT 47.675 301.315 47.845 301.485 ;
+        RECT 48.135 301.315 48.305 301.485 ;
+        RECT 48.595 301.315 48.765 301.485 ;
+        RECT 49.055 301.315 49.225 301.485 ;
+        RECT 49.515 301.315 49.685 301.485 ;
+        RECT 49.975 301.315 50.145 301.485 ;
+        RECT 50.435 301.315 50.605 301.485 ;
+        RECT 50.895 301.315 51.065 301.485 ;
+        RECT 51.355 301.315 51.525 301.485 ;
+        RECT 51.815 301.315 51.985 301.485 ;
+        RECT 52.275 301.315 52.445 301.485 ;
+        RECT 52.735 301.315 52.905 301.485 ;
+        RECT 53.195 301.315 53.365 301.485 ;
+        RECT 53.655 301.315 53.825 301.485 ;
+        RECT 42.615 298.595 42.785 298.765 ;
+        RECT 43.075 298.595 43.245 298.765 ;
+        RECT 43.535 298.595 43.705 298.765 ;
+        RECT 43.995 298.595 44.165 298.765 ;
+        RECT 44.455 298.595 44.625 298.765 ;
+        RECT 44.915 298.595 45.085 298.765 ;
+        RECT 45.375 298.595 45.545 298.765 ;
+        RECT 45.835 298.595 46.005 298.765 ;
+        RECT 46.295 298.595 46.465 298.765 ;
+        RECT 46.755 298.595 46.925 298.765 ;
+        RECT 47.215 298.595 47.385 298.765 ;
+        RECT 47.675 298.595 47.845 298.765 ;
+        RECT 48.135 298.595 48.305 298.765 ;
+        RECT 48.595 298.595 48.765 298.765 ;
+        RECT 49.055 298.595 49.225 298.765 ;
+        RECT 49.515 298.595 49.685 298.765 ;
+        RECT 49.975 298.595 50.145 298.765 ;
+        RECT 50.435 298.595 50.605 298.765 ;
+        RECT 50.895 298.595 51.065 298.765 ;
+        RECT 51.355 298.595 51.525 298.765 ;
+        RECT 51.815 298.595 51.985 298.765 ;
+        RECT 52.275 298.595 52.445 298.765 ;
+        RECT 52.735 298.595 52.905 298.765 ;
+        RECT 53.195 298.595 53.365 298.765 ;
+        RECT 53.655 298.595 53.825 298.765 ;
+        RECT 42.615 295.875 42.785 296.045 ;
+        RECT 43.075 295.875 43.245 296.045 ;
+        RECT 43.535 295.875 43.705 296.045 ;
+        RECT 43.995 295.875 44.165 296.045 ;
+        RECT 44.455 295.875 44.625 296.045 ;
+        RECT 44.915 295.875 45.085 296.045 ;
+        RECT 45.375 295.875 45.545 296.045 ;
+        RECT 45.835 295.875 46.005 296.045 ;
+        RECT 46.295 295.875 46.465 296.045 ;
+        RECT 46.755 295.875 46.925 296.045 ;
+        RECT 47.215 295.875 47.385 296.045 ;
+        RECT 47.675 295.875 47.845 296.045 ;
+        RECT 48.135 295.875 48.305 296.045 ;
+        RECT 48.595 295.875 48.765 296.045 ;
+        RECT 49.055 295.875 49.225 296.045 ;
+        RECT 49.515 295.875 49.685 296.045 ;
+        RECT 49.975 295.875 50.145 296.045 ;
+        RECT 50.435 295.875 50.605 296.045 ;
+        RECT 50.895 295.875 51.065 296.045 ;
+        RECT 51.355 295.875 51.525 296.045 ;
+        RECT 51.815 295.875 51.985 296.045 ;
+        RECT 52.275 295.875 52.445 296.045 ;
+        RECT 52.735 295.875 52.905 296.045 ;
+        RECT 53.195 295.875 53.365 296.045 ;
+        RECT 53.655 295.875 53.825 296.045 ;
+        RECT 42.615 293.155 42.785 293.325 ;
+        RECT 43.075 293.155 43.245 293.325 ;
+        RECT 43.535 293.155 43.705 293.325 ;
+        RECT 43.995 293.155 44.165 293.325 ;
+        RECT 44.455 293.155 44.625 293.325 ;
+        RECT 44.915 293.155 45.085 293.325 ;
+        RECT 45.375 293.155 45.545 293.325 ;
+        RECT 45.835 293.155 46.005 293.325 ;
+        RECT 46.295 293.155 46.465 293.325 ;
+        RECT 46.755 293.155 46.925 293.325 ;
+        RECT 47.215 293.155 47.385 293.325 ;
+        RECT 47.675 293.155 47.845 293.325 ;
+        RECT 48.135 293.155 48.305 293.325 ;
+        RECT 48.595 293.155 48.765 293.325 ;
+        RECT 49.055 293.155 49.225 293.325 ;
+        RECT 49.515 293.155 49.685 293.325 ;
+        RECT 49.975 293.155 50.145 293.325 ;
+        RECT 50.435 293.155 50.605 293.325 ;
+        RECT 50.895 293.155 51.065 293.325 ;
+        RECT 51.355 293.155 51.525 293.325 ;
+        RECT 51.815 293.155 51.985 293.325 ;
+        RECT 52.275 293.155 52.445 293.325 ;
+        RECT 52.735 293.155 52.905 293.325 ;
+        RECT 53.195 293.155 53.365 293.325 ;
+        RECT 53.655 293.155 53.825 293.325 ;
+        RECT 42.615 290.435 42.785 290.605 ;
+        RECT 43.075 290.435 43.245 290.605 ;
+        RECT 43.535 290.435 43.705 290.605 ;
+        RECT 43.995 290.435 44.165 290.605 ;
+        RECT 44.455 290.435 44.625 290.605 ;
+        RECT 44.915 290.435 45.085 290.605 ;
+        RECT 45.375 290.435 45.545 290.605 ;
+        RECT 45.835 290.435 46.005 290.605 ;
+        RECT 46.295 290.435 46.465 290.605 ;
+        RECT 46.755 290.435 46.925 290.605 ;
+        RECT 47.215 290.435 47.385 290.605 ;
+        RECT 47.675 290.435 47.845 290.605 ;
+        RECT 48.135 290.435 48.305 290.605 ;
+        RECT 48.595 290.435 48.765 290.605 ;
+        RECT 49.055 290.435 49.225 290.605 ;
+        RECT 49.515 290.435 49.685 290.605 ;
+        RECT 49.975 290.435 50.145 290.605 ;
+        RECT 50.435 290.435 50.605 290.605 ;
+        RECT 50.895 290.435 51.065 290.605 ;
+        RECT 51.355 290.435 51.525 290.605 ;
+        RECT 51.815 290.435 51.985 290.605 ;
+        RECT 52.275 290.435 52.445 290.605 ;
+        RECT 52.735 290.435 52.905 290.605 ;
+        RECT 53.195 290.435 53.365 290.605 ;
+        RECT 53.655 290.435 53.825 290.605 ;
+        RECT 42.615 287.715 42.785 287.885 ;
+        RECT 43.075 287.715 43.245 287.885 ;
+        RECT 43.535 287.715 43.705 287.885 ;
+        RECT 43.995 287.715 44.165 287.885 ;
+        RECT 44.455 287.715 44.625 287.885 ;
+        RECT 44.915 287.715 45.085 287.885 ;
+        RECT 45.375 287.715 45.545 287.885 ;
+        RECT 45.835 287.715 46.005 287.885 ;
+        RECT 46.295 287.715 46.465 287.885 ;
+        RECT 46.755 287.715 46.925 287.885 ;
+        RECT 47.215 287.715 47.385 287.885 ;
+        RECT 47.675 287.715 47.845 287.885 ;
+        RECT 48.135 287.715 48.305 287.885 ;
+        RECT 48.595 287.715 48.765 287.885 ;
+        RECT 49.055 287.715 49.225 287.885 ;
+        RECT 49.515 287.715 49.685 287.885 ;
+        RECT 49.975 287.715 50.145 287.885 ;
+        RECT 50.435 287.715 50.605 287.885 ;
+        RECT 50.895 287.715 51.065 287.885 ;
+        RECT 51.355 287.715 51.525 287.885 ;
+        RECT 51.815 287.715 51.985 287.885 ;
+        RECT 52.275 287.715 52.445 287.885 ;
+        RECT 52.735 287.715 52.905 287.885 ;
+        RECT 53.195 287.715 53.365 287.885 ;
+        RECT 53.655 287.715 53.825 287.885 ;
+        RECT 42.615 284.995 42.785 285.165 ;
+        RECT 43.075 284.995 43.245 285.165 ;
+        RECT 43.535 284.995 43.705 285.165 ;
+        RECT 43.995 284.995 44.165 285.165 ;
+        RECT 44.455 284.995 44.625 285.165 ;
+        RECT 44.915 284.995 45.085 285.165 ;
+        RECT 45.375 284.995 45.545 285.165 ;
+        RECT 45.835 284.995 46.005 285.165 ;
+        RECT 46.295 284.995 46.465 285.165 ;
+        RECT 46.755 284.995 46.925 285.165 ;
+        RECT 47.215 284.995 47.385 285.165 ;
+        RECT 47.675 284.995 47.845 285.165 ;
+        RECT 48.135 284.995 48.305 285.165 ;
+        RECT 48.595 284.995 48.765 285.165 ;
+        RECT 49.055 284.995 49.225 285.165 ;
+        RECT 49.515 284.995 49.685 285.165 ;
+        RECT 49.975 284.995 50.145 285.165 ;
+        RECT 50.435 284.995 50.605 285.165 ;
+        RECT 50.895 284.995 51.065 285.165 ;
+        RECT 51.355 284.995 51.525 285.165 ;
+        RECT 51.815 284.995 51.985 285.165 ;
+        RECT 52.275 284.995 52.445 285.165 ;
+        RECT 52.735 284.995 52.905 285.165 ;
+        RECT 53.195 284.995 53.365 285.165 ;
+        RECT 53.655 284.995 53.825 285.165 ;
+        RECT 42.615 282.275 42.785 282.445 ;
+        RECT 43.075 282.275 43.245 282.445 ;
+        RECT 43.535 282.275 43.705 282.445 ;
+        RECT 43.995 282.275 44.165 282.445 ;
+        RECT 44.455 282.275 44.625 282.445 ;
+        RECT 44.915 282.275 45.085 282.445 ;
+        RECT 45.375 282.275 45.545 282.445 ;
+        RECT 45.835 282.275 46.005 282.445 ;
+        RECT 46.295 282.275 46.465 282.445 ;
+        RECT 46.755 282.275 46.925 282.445 ;
+        RECT 47.215 282.275 47.385 282.445 ;
+        RECT 47.675 282.275 47.845 282.445 ;
+        RECT 48.135 282.275 48.305 282.445 ;
+        RECT 48.595 282.275 48.765 282.445 ;
+        RECT 49.055 282.275 49.225 282.445 ;
+        RECT 49.515 282.275 49.685 282.445 ;
+        RECT 49.975 282.275 50.145 282.445 ;
+        RECT 50.435 282.275 50.605 282.445 ;
+        RECT 50.895 282.275 51.065 282.445 ;
+        RECT 51.355 282.275 51.525 282.445 ;
+        RECT 51.815 282.275 51.985 282.445 ;
+        RECT 52.275 282.275 52.445 282.445 ;
+        RECT 52.735 282.275 52.905 282.445 ;
+        RECT 53.195 282.275 53.365 282.445 ;
+        RECT 53.655 282.275 53.825 282.445 ;
+        RECT 42.615 279.555 42.785 279.725 ;
+        RECT 43.075 279.555 43.245 279.725 ;
+        RECT 43.535 279.555 43.705 279.725 ;
+        RECT 43.995 279.555 44.165 279.725 ;
+        RECT 44.455 279.555 44.625 279.725 ;
+        RECT 44.915 279.555 45.085 279.725 ;
+        RECT 45.375 279.555 45.545 279.725 ;
+        RECT 45.835 279.555 46.005 279.725 ;
+        RECT 46.295 279.555 46.465 279.725 ;
+        RECT 46.755 279.555 46.925 279.725 ;
+        RECT 47.215 279.555 47.385 279.725 ;
+        RECT 47.675 279.555 47.845 279.725 ;
+        RECT 48.135 279.555 48.305 279.725 ;
+        RECT 48.595 279.555 48.765 279.725 ;
+        RECT 49.055 279.555 49.225 279.725 ;
+        RECT 49.515 279.555 49.685 279.725 ;
+        RECT 49.975 279.555 50.145 279.725 ;
+        RECT 50.435 279.555 50.605 279.725 ;
+        RECT 50.895 279.555 51.065 279.725 ;
+        RECT 51.355 279.555 51.525 279.725 ;
+        RECT 51.815 279.555 51.985 279.725 ;
+        RECT 52.275 279.555 52.445 279.725 ;
+        RECT 52.735 279.555 52.905 279.725 ;
+        RECT 53.195 279.555 53.365 279.725 ;
+        RECT 53.655 279.555 53.825 279.725 ;
+        RECT 42.615 276.835 42.785 277.005 ;
+        RECT 43.075 276.835 43.245 277.005 ;
+        RECT 43.535 276.835 43.705 277.005 ;
+        RECT 43.995 276.835 44.165 277.005 ;
+        RECT 44.455 276.835 44.625 277.005 ;
+        RECT 44.915 276.835 45.085 277.005 ;
+        RECT 45.375 276.835 45.545 277.005 ;
+        RECT 45.835 276.835 46.005 277.005 ;
+        RECT 46.295 276.835 46.465 277.005 ;
+        RECT 46.755 276.835 46.925 277.005 ;
+        RECT 47.215 276.835 47.385 277.005 ;
+        RECT 47.675 276.835 47.845 277.005 ;
+        RECT 48.135 276.835 48.305 277.005 ;
+        RECT 48.595 276.835 48.765 277.005 ;
+        RECT 49.055 276.835 49.225 277.005 ;
+        RECT 49.515 276.835 49.685 277.005 ;
+        RECT 49.975 276.835 50.145 277.005 ;
+        RECT 50.435 276.835 50.605 277.005 ;
+        RECT 50.895 276.835 51.065 277.005 ;
+        RECT 51.355 276.835 51.525 277.005 ;
+        RECT 51.815 276.835 51.985 277.005 ;
+        RECT 52.275 276.835 52.445 277.005 ;
+        RECT 52.735 276.835 52.905 277.005 ;
+        RECT 53.195 276.835 53.365 277.005 ;
+        RECT 53.655 276.835 53.825 277.005 ;
+        RECT 42.615 274.115 42.785 274.285 ;
+        RECT 43.075 274.115 43.245 274.285 ;
+        RECT 43.535 274.115 43.705 274.285 ;
+        RECT 43.995 274.115 44.165 274.285 ;
+        RECT 44.455 274.115 44.625 274.285 ;
+        RECT 44.915 274.115 45.085 274.285 ;
+        RECT 45.375 274.115 45.545 274.285 ;
+        RECT 45.835 274.115 46.005 274.285 ;
+        RECT 46.295 274.115 46.465 274.285 ;
+        RECT 46.755 274.115 46.925 274.285 ;
+        RECT 47.215 274.115 47.385 274.285 ;
+        RECT 47.675 274.115 47.845 274.285 ;
+        RECT 48.135 274.115 48.305 274.285 ;
+        RECT 48.595 274.115 48.765 274.285 ;
+        RECT 49.055 274.115 49.225 274.285 ;
+        RECT 49.515 274.115 49.685 274.285 ;
+        RECT 49.975 274.115 50.145 274.285 ;
+        RECT 50.435 274.115 50.605 274.285 ;
+        RECT 50.895 274.115 51.065 274.285 ;
+        RECT 51.355 274.115 51.525 274.285 ;
+        RECT 51.815 274.115 51.985 274.285 ;
+        RECT 52.275 274.115 52.445 274.285 ;
+        RECT 52.735 274.115 52.905 274.285 ;
+        RECT 53.195 274.115 53.365 274.285 ;
+        RECT 53.655 274.115 53.825 274.285 ;
+        RECT 42.615 271.395 42.785 271.565 ;
+        RECT 43.075 271.395 43.245 271.565 ;
+        RECT 43.535 271.395 43.705 271.565 ;
+        RECT 43.995 271.395 44.165 271.565 ;
+        RECT 44.455 271.395 44.625 271.565 ;
+        RECT 44.915 271.395 45.085 271.565 ;
+        RECT 45.375 271.395 45.545 271.565 ;
+        RECT 45.835 271.395 46.005 271.565 ;
+        RECT 46.295 271.395 46.465 271.565 ;
+        RECT 46.755 271.395 46.925 271.565 ;
+        RECT 47.215 271.395 47.385 271.565 ;
+        RECT 47.675 271.395 47.845 271.565 ;
+        RECT 48.135 271.395 48.305 271.565 ;
+        RECT 48.595 271.395 48.765 271.565 ;
+        RECT 49.055 271.395 49.225 271.565 ;
+        RECT 49.515 271.395 49.685 271.565 ;
+        RECT 49.975 271.395 50.145 271.565 ;
+        RECT 50.435 271.395 50.605 271.565 ;
+        RECT 50.895 271.395 51.065 271.565 ;
+        RECT 51.355 271.395 51.525 271.565 ;
+        RECT 51.815 271.395 51.985 271.565 ;
+        RECT 52.275 271.395 52.445 271.565 ;
+        RECT 52.735 271.395 52.905 271.565 ;
+        RECT 53.195 271.395 53.365 271.565 ;
+        RECT 53.655 271.395 53.825 271.565 ;
+        RECT 42.615 268.675 42.785 268.845 ;
+        RECT 43.075 268.675 43.245 268.845 ;
+        RECT 43.535 268.675 43.705 268.845 ;
+        RECT 43.995 268.675 44.165 268.845 ;
+        RECT 44.455 268.675 44.625 268.845 ;
+        RECT 44.915 268.675 45.085 268.845 ;
+        RECT 45.375 268.675 45.545 268.845 ;
+        RECT 45.835 268.675 46.005 268.845 ;
+        RECT 46.295 268.675 46.465 268.845 ;
+        RECT 46.755 268.675 46.925 268.845 ;
+        RECT 47.215 268.675 47.385 268.845 ;
+        RECT 47.675 268.675 47.845 268.845 ;
+        RECT 48.135 268.675 48.305 268.845 ;
+        RECT 48.595 268.675 48.765 268.845 ;
+        RECT 49.055 268.675 49.225 268.845 ;
+        RECT 49.515 268.675 49.685 268.845 ;
+        RECT 49.975 268.675 50.145 268.845 ;
+        RECT 50.435 268.675 50.605 268.845 ;
+        RECT 50.895 268.675 51.065 268.845 ;
+        RECT 51.355 268.675 51.525 268.845 ;
+        RECT 51.815 268.675 51.985 268.845 ;
+        RECT 52.275 268.675 52.445 268.845 ;
+        RECT 52.735 268.675 52.905 268.845 ;
+        RECT 53.195 268.675 53.365 268.845 ;
+        RECT 53.655 268.675 53.825 268.845 ;
+        RECT 42.615 265.955 42.785 266.125 ;
+        RECT 43.075 265.955 43.245 266.125 ;
+        RECT 43.535 265.955 43.705 266.125 ;
+        RECT 43.995 265.955 44.165 266.125 ;
+        RECT 44.455 265.955 44.625 266.125 ;
+        RECT 44.915 265.955 45.085 266.125 ;
+        RECT 45.375 265.955 45.545 266.125 ;
+        RECT 45.835 265.955 46.005 266.125 ;
+        RECT 46.295 265.955 46.465 266.125 ;
+        RECT 46.755 265.955 46.925 266.125 ;
+        RECT 47.215 265.955 47.385 266.125 ;
+        RECT 47.675 265.955 47.845 266.125 ;
+        RECT 48.135 265.955 48.305 266.125 ;
+        RECT 48.595 265.955 48.765 266.125 ;
+        RECT 49.055 265.955 49.225 266.125 ;
+        RECT 49.515 265.955 49.685 266.125 ;
+        RECT 49.975 265.955 50.145 266.125 ;
+        RECT 50.435 265.955 50.605 266.125 ;
+        RECT 50.895 265.955 51.065 266.125 ;
+        RECT 51.355 265.955 51.525 266.125 ;
+        RECT 51.815 265.955 51.985 266.125 ;
+        RECT 52.275 265.955 52.445 266.125 ;
+        RECT 52.735 265.955 52.905 266.125 ;
+        RECT 53.195 265.955 53.365 266.125 ;
+        RECT 53.655 265.955 53.825 266.125 ;
+        RECT 42.615 263.235 42.785 263.405 ;
+        RECT 43.075 263.235 43.245 263.405 ;
+        RECT 43.535 263.235 43.705 263.405 ;
+        RECT 43.995 263.235 44.165 263.405 ;
+        RECT 44.455 263.235 44.625 263.405 ;
+        RECT 44.915 263.235 45.085 263.405 ;
+        RECT 45.375 263.235 45.545 263.405 ;
+        RECT 45.835 263.235 46.005 263.405 ;
+        RECT 46.295 263.235 46.465 263.405 ;
+        RECT 46.755 263.235 46.925 263.405 ;
+        RECT 47.215 263.235 47.385 263.405 ;
+        RECT 47.675 263.235 47.845 263.405 ;
+        RECT 48.135 263.235 48.305 263.405 ;
+        RECT 48.595 263.235 48.765 263.405 ;
+        RECT 49.055 263.235 49.225 263.405 ;
+        RECT 49.515 263.235 49.685 263.405 ;
+        RECT 49.975 263.235 50.145 263.405 ;
+        RECT 50.435 263.235 50.605 263.405 ;
+        RECT 50.895 263.235 51.065 263.405 ;
+        RECT 51.355 263.235 51.525 263.405 ;
+        RECT 51.815 263.235 51.985 263.405 ;
+        RECT 52.275 263.235 52.445 263.405 ;
+        RECT 52.735 263.235 52.905 263.405 ;
+        RECT 53.195 263.235 53.365 263.405 ;
+        RECT 53.655 263.235 53.825 263.405 ;
+        RECT 42.615 260.515 42.785 260.685 ;
+        RECT 43.075 260.515 43.245 260.685 ;
+        RECT 43.535 260.515 43.705 260.685 ;
+        RECT 43.995 260.515 44.165 260.685 ;
+        RECT 44.455 260.515 44.625 260.685 ;
+        RECT 44.915 260.515 45.085 260.685 ;
+        RECT 45.375 260.515 45.545 260.685 ;
+        RECT 45.835 260.515 46.005 260.685 ;
+        RECT 46.295 260.515 46.465 260.685 ;
+        RECT 46.755 260.515 46.925 260.685 ;
+        RECT 47.215 260.515 47.385 260.685 ;
+        RECT 47.675 260.515 47.845 260.685 ;
+        RECT 48.135 260.515 48.305 260.685 ;
+        RECT 48.595 260.515 48.765 260.685 ;
+        RECT 49.055 260.515 49.225 260.685 ;
+        RECT 49.515 260.515 49.685 260.685 ;
+        RECT 49.975 260.515 50.145 260.685 ;
+        RECT 50.435 260.515 50.605 260.685 ;
+        RECT 50.895 260.515 51.065 260.685 ;
+        RECT 51.355 260.515 51.525 260.685 ;
+        RECT 51.815 260.515 51.985 260.685 ;
+        RECT 52.275 260.515 52.445 260.685 ;
+        RECT 52.735 260.515 52.905 260.685 ;
+        RECT 53.195 260.515 53.365 260.685 ;
+        RECT 53.655 260.515 53.825 260.685 ;
+        RECT 42.615 257.795 42.785 257.965 ;
+        RECT 43.075 257.795 43.245 257.965 ;
+        RECT 43.535 257.795 43.705 257.965 ;
+        RECT 43.995 257.795 44.165 257.965 ;
+        RECT 44.455 257.795 44.625 257.965 ;
+        RECT 44.915 257.795 45.085 257.965 ;
+        RECT 45.375 257.795 45.545 257.965 ;
+        RECT 45.835 257.795 46.005 257.965 ;
+        RECT 46.295 257.795 46.465 257.965 ;
+        RECT 46.755 257.795 46.925 257.965 ;
+        RECT 47.215 257.795 47.385 257.965 ;
+        RECT 47.675 257.795 47.845 257.965 ;
+        RECT 48.135 257.795 48.305 257.965 ;
+        RECT 48.595 257.795 48.765 257.965 ;
+        RECT 49.055 257.795 49.225 257.965 ;
+        RECT 49.515 257.795 49.685 257.965 ;
+        RECT 49.975 257.795 50.145 257.965 ;
+        RECT 50.435 257.795 50.605 257.965 ;
+        RECT 50.895 257.795 51.065 257.965 ;
+        RECT 51.355 257.795 51.525 257.965 ;
+        RECT 51.815 257.795 51.985 257.965 ;
+        RECT 52.275 257.795 52.445 257.965 ;
+        RECT 52.735 257.795 52.905 257.965 ;
+        RECT 53.195 257.795 53.365 257.965 ;
+        RECT 53.655 257.795 53.825 257.965 ;
+        RECT 42.615 255.075 42.785 255.245 ;
+        RECT 43.075 255.075 43.245 255.245 ;
+        RECT 43.535 255.075 43.705 255.245 ;
+        RECT 43.995 255.075 44.165 255.245 ;
+        RECT 44.455 255.075 44.625 255.245 ;
+        RECT 44.915 255.075 45.085 255.245 ;
+        RECT 45.375 255.075 45.545 255.245 ;
+        RECT 45.835 255.075 46.005 255.245 ;
+        RECT 46.295 255.075 46.465 255.245 ;
+        RECT 46.755 255.075 46.925 255.245 ;
+        RECT 47.215 255.075 47.385 255.245 ;
+        RECT 47.675 255.075 47.845 255.245 ;
+        RECT 48.135 255.075 48.305 255.245 ;
+        RECT 48.595 255.075 48.765 255.245 ;
+        RECT 49.055 255.075 49.225 255.245 ;
+        RECT 49.515 255.075 49.685 255.245 ;
+        RECT 49.975 255.075 50.145 255.245 ;
+        RECT 50.435 255.075 50.605 255.245 ;
+        RECT 50.895 255.075 51.065 255.245 ;
+        RECT 51.355 255.075 51.525 255.245 ;
+        RECT 51.815 255.075 51.985 255.245 ;
+        RECT 52.275 255.075 52.445 255.245 ;
+        RECT 52.735 255.075 52.905 255.245 ;
+        RECT 53.195 255.075 53.365 255.245 ;
+        RECT 53.655 255.075 53.825 255.245 ;
+        RECT 42.615 252.355 42.785 252.525 ;
+        RECT 43.075 252.355 43.245 252.525 ;
+        RECT 43.535 252.355 43.705 252.525 ;
+        RECT 43.995 252.355 44.165 252.525 ;
+        RECT 44.455 252.355 44.625 252.525 ;
+        RECT 44.915 252.355 45.085 252.525 ;
+        RECT 45.375 252.355 45.545 252.525 ;
+        RECT 45.835 252.355 46.005 252.525 ;
+        RECT 46.295 252.355 46.465 252.525 ;
+        RECT 46.755 252.355 46.925 252.525 ;
+        RECT 47.215 252.355 47.385 252.525 ;
+        RECT 47.675 252.355 47.845 252.525 ;
+        RECT 48.135 252.355 48.305 252.525 ;
+        RECT 48.595 252.355 48.765 252.525 ;
+        RECT 49.055 252.355 49.225 252.525 ;
+        RECT 49.515 252.355 49.685 252.525 ;
+        RECT 49.975 252.355 50.145 252.525 ;
+        RECT 50.435 252.355 50.605 252.525 ;
+        RECT 50.895 252.355 51.065 252.525 ;
+        RECT 51.355 252.355 51.525 252.525 ;
+        RECT 51.815 252.355 51.985 252.525 ;
+        RECT 52.275 252.355 52.445 252.525 ;
+        RECT 52.735 252.355 52.905 252.525 ;
+        RECT 53.195 252.355 53.365 252.525 ;
+        RECT 53.655 252.355 53.825 252.525 ;
+        RECT 42.615 249.635 42.785 249.805 ;
+        RECT 43.075 249.635 43.245 249.805 ;
+        RECT 43.535 249.635 43.705 249.805 ;
+        RECT 43.995 249.635 44.165 249.805 ;
+        RECT 44.455 249.635 44.625 249.805 ;
+        RECT 44.915 249.635 45.085 249.805 ;
+        RECT 45.375 249.635 45.545 249.805 ;
+        RECT 45.835 249.635 46.005 249.805 ;
+        RECT 46.295 249.635 46.465 249.805 ;
+        RECT 46.755 249.635 46.925 249.805 ;
+        RECT 47.215 249.635 47.385 249.805 ;
+        RECT 47.675 249.635 47.845 249.805 ;
+        RECT 48.135 249.635 48.305 249.805 ;
+        RECT 48.595 249.635 48.765 249.805 ;
+        RECT 49.055 249.635 49.225 249.805 ;
+        RECT 49.515 249.635 49.685 249.805 ;
+        RECT 49.975 249.635 50.145 249.805 ;
+        RECT 50.435 249.635 50.605 249.805 ;
+        RECT 50.895 249.635 51.065 249.805 ;
+        RECT 51.355 249.635 51.525 249.805 ;
+        RECT 51.815 249.635 51.985 249.805 ;
+        RECT 52.275 249.635 52.445 249.805 ;
+        RECT 52.735 249.635 52.905 249.805 ;
+        RECT 53.195 249.635 53.365 249.805 ;
+        RECT 53.655 249.635 53.825 249.805 ;
+        RECT 42.615 246.915 42.785 247.085 ;
+        RECT 43.075 246.915 43.245 247.085 ;
+        RECT 43.535 246.915 43.705 247.085 ;
+        RECT 43.995 246.915 44.165 247.085 ;
+        RECT 44.455 246.915 44.625 247.085 ;
+        RECT 44.915 246.915 45.085 247.085 ;
+        RECT 45.375 246.915 45.545 247.085 ;
+        RECT 45.835 246.915 46.005 247.085 ;
+        RECT 46.295 246.915 46.465 247.085 ;
+        RECT 46.755 246.915 46.925 247.085 ;
+        RECT 47.215 246.915 47.385 247.085 ;
+        RECT 47.675 246.915 47.845 247.085 ;
+        RECT 48.135 246.915 48.305 247.085 ;
+        RECT 48.595 246.915 48.765 247.085 ;
+        RECT 49.055 246.915 49.225 247.085 ;
+        RECT 49.515 246.915 49.685 247.085 ;
+        RECT 49.975 246.915 50.145 247.085 ;
+        RECT 50.435 246.915 50.605 247.085 ;
+        RECT 50.895 246.915 51.065 247.085 ;
+        RECT 51.355 246.915 51.525 247.085 ;
+        RECT 51.815 246.915 51.985 247.085 ;
+        RECT 52.275 246.915 52.445 247.085 ;
+        RECT 52.735 246.915 52.905 247.085 ;
+        RECT 53.195 246.915 53.365 247.085 ;
+        RECT 53.655 246.915 53.825 247.085 ;
+        RECT 42.615 244.195 42.785 244.365 ;
+        RECT 43.075 244.195 43.245 244.365 ;
+        RECT 43.535 244.195 43.705 244.365 ;
+        RECT 43.995 244.195 44.165 244.365 ;
+        RECT 44.455 244.195 44.625 244.365 ;
+        RECT 44.915 244.195 45.085 244.365 ;
+        RECT 45.375 244.195 45.545 244.365 ;
+        RECT 45.835 244.195 46.005 244.365 ;
+        RECT 46.295 244.195 46.465 244.365 ;
+        RECT 46.755 244.195 46.925 244.365 ;
+        RECT 47.215 244.195 47.385 244.365 ;
+        RECT 47.675 244.195 47.845 244.365 ;
+        RECT 48.135 244.195 48.305 244.365 ;
+        RECT 48.595 244.195 48.765 244.365 ;
+        RECT 49.055 244.195 49.225 244.365 ;
+        RECT 49.515 244.195 49.685 244.365 ;
+        RECT 49.975 244.195 50.145 244.365 ;
+        RECT 50.435 244.195 50.605 244.365 ;
+        RECT 50.895 244.195 51.065 244.365 ;
+        RECT 51.355 244.195 51.525 244.365 ;
+        RECT 51.815 244.195 51.985 244.365 ;
+        RECT 52.275 244.195 52.445 244.365 ;
+        RECT 52.735 244.195 52.905 244.365 ;
+        RECT 53.195 244.195 53.365 244.365 ;
+        RECT 53.655 244.195 53.825 244.365 ;
+        RECT 42.615 241.475 42.785 241.645 ;
+        RECT 43.075 241.475 43.245 241.645 ;
+        RECT 43.535 241.475 43.705 241.645 ;
+        RECT 43.995 241.475 44.165 241.645 ;
+        RECT 44.455 241.475 44.625 241.645 ;
+        RECT 44.915 241.475 45.085 241.645 ;
+        RECT 45.375 241.475 45.545 241.645 ;
+        RECT 45.835 241.475 46.005 241.645 ;
+        RECT 46.295 241.475 46.465 241.645 ;
+        RECT 46.755 241.475 46.925 241.645 ;
+        RECT 47.215 241.475 47.385 241.645 ;
+        RECT 47.675 241.475 47.845 241.645 ;
+        RECT 48.135 241.475 48.305 241.645 ;
+        RECT 48.595 241.475 48.765 241.645 ;
+        RECT 49.055 241.475 49.225 241.645 ;
+        RECT 49.515 241.475 49.685 241.645 ;
+        RECT 49.975 241.475 50.145 241.645 ;
+        RECT 50.435 241.475 50.605 241.645 ;
+        RECT 50.895 241.475 51.065 241.645 ;
+        RECT 51.355 241.475 51.525 241.645 ;
+        RECT 51.815 241.475 51.985 241.645 ;
+        RECT 52.275 241.475 52.445 241.645 ;
+        RECT 52.735 241.475 52.905 241.645 ;
+        RECT 53.195 241.475 53.365 241.645 ;
+        RECT 53.655 241.475 53.825 241.645 ;
+        RECT 42.615 238.755 42.785 238.925 ;
+        RECT 43.075 238.755 43.245 238.925 ;
+        RECT 43.535 238.755 43.705 238.925 ;
+        RECT 43.995 238.755 44.165 238.925 ;
+        RECT 44.455 238.755 44.625 238.925 ;
+        RECT 44.915 238.755 45.085 238.925 ;
+        RECT 45.375 238.755 45.545 238.925 ;
+        RECT 45.835 238.755 46.005 238.925 ;
+        RECT 46.295 238.755 46.465 238.925 ;
+        RECT 46.755 238.755 46.925 238.925 ;
+        RECT 47.215 238.755 47.385 238.925 ;
+        RECT 47.675 238.755 47.845 238.925 ;
+        RECT 48.135 238.755 48.305 238.925 ;
+        RECT 48.595 238.755 48.765 238.925 ;
+        RECT 49.055 238.755 49.225 238.925 ;
+        RECT 49.515 238.755 49.685 238.925 ;
+        RECT 49.975 238.755 50.145 238.925 ;
+        RECT 50.435 238.755 50.605 238.925 ;
+        RECT 50.895 238.755 51.065 238.925 ;
+        RECT 51.355 238.755 51.525 238.925 ;
+        RECT 51.815 238.755 51.985 238.925 ;
+        RECT 52.275 238.755 52.445 238.925 ;
+        RECT 52.735 238.755 52.905 238.925 ;
+        RECT 53.195 238.755 53.365 238.925 ;
+        RECT 53.655 238.755 53.825 238.925 ;
+        RECT 42.615 236.035 42.785 236.205 ;
+        RECT 43.075 236.035 43.245 236.205 ;
+        RECT 43.535 236.035 43.705 236.205 ;
+        RECT 43.995 236.035 44.165 236.205 ;
+        RECT 44.455 236.035 44.625 236.205 ;
+        RECT 44.915 236.035 45.085 236.205 ;
+        RECT 45.375 236.035 45.545 236.205 ;
+        RECT 45.835 236.035 46.005 236.205 ;
+        RECT 46.295 236.035 46.465 236.205 ;
+        RECT 46.755 236.035 46.925 236.205 ;
+        RECT 47.215 236.035 47.385 236.205 ;
+        RECT 47.675 236.035 47.845 236.205 ;
+        RECT 48.135 236.035 48.305 236.205 ;
+        RECT 48.595 236.035 48.765 236.205 ;
+        RECT 49.055 236.035 49.225 236.205 ;
+        RECT 49.515 236.035 49.685 236.205 ;
+        RECT 49.975 236.035 50.145 236.205 ;
+        RECT 50.435 236.035 50.605 236.205 ;
+        RECT 50.895 236.035 51.065 236.205 ;
+        RECT 51.355 236.035 51.525 236.205 ;
+        RECT 51.815 236.035 51.985 236.205 ;
+        RECT 52.275 236.035 52.445 236.205 ;
+        RECT 52.735 236.035 52.905 236.205 ;
+        RECT 53.195 236.035 53.365 236.205 ;
+        RECT 53.655 236.035 53.825 236.205 ;
+        RECT 42.615 233.315 42.785 233.485 ;
+        RECT 43.075 233.315 43.245 233.485 ;
+        RECT 43.535 233.315 43.705 233.485 ;
+        RECT 43.995 233.315 44.165 233.485 ;
+        RECT 44.455 233.315 44.625 233.485 ;
+        RECT 44.915 233.315 45.085 233.485 ;
+        RECT 45.375 233.315 45.545 233.485 ;
+        RECT 45.835 233.315 46.005 233.485 ;
+        RECT 46.295 233.315 46.465 233.485 ;
+        RECT 46.755 233.315 46.925 233.485 ;
+        RECT 47.215 233.315 47.385 233.485 ;
+        RECT 47.675 233.315 47.845 233.485 ;
+        RECT 48.135 233.315 48.305 233.485 ;
+        RECT 48.595 233.315 48.765 233.485 ;
+        RECT 49.055 233.315 49.225 233.485 ;
+        RECT 49.515 233.315 49.685 233.485 ;
+        RECT 49.975 233.315 50.145 233.485 ;
+        RECT 50.435 233.315 50.605 233.485 ;
+        RECT 50.895 233.315 51.065 233.485 ;
+        RECT 51.355 233.315 51.525 233.485 ;
+        RECT 51.815 233.315 51.985 233.485 ;
+        RECT 52.275 233.315 52.445 233.485 ;
+        RECT 52.735 233.315 52.905 233.485 ;
+        RECT 53.195 233.315 53.365 233.485 ;
+        RECT 53.655 233.315 53.825 233.485 ;
+        RECT 42.615 230.595 42.785 230.765 ;
+        RECT 43.075 230.595 43.245 230.765 ;
+        RECT 43.535 230.595 43.705 230.765 ;
+        RECT 43.995 230.595 44.165 230.765 ;
+        RECT 44.455 230.595 44.625 230.765 ;
+        RECT 44.915 230.595 45.085 230.765 ;
+        RECT 45.375 230.595 45.545 230.765 ;
+        RECT 45.835 230.595 46.005 230.765 ;
+        RECT 46.295 230.595 46.465 230.765 ;
+        RECT 46.755 230.595 46.925 230.765 ;
+        RECT 47.215 230.595 47.385 230.765 ;
+        RECT 47.675 230.595 47.845 230.765 ;
+        RECT 48.135 230.595 48.305 230.765 ;
+        RECT 48.595 230.595 48.765 230.765 ;
+        RECT 49.055 230.595 49.225 230.765 ;
+        RECT 49.515 230.595 49.685 230.765 ;
+        RECT 49.975 230.595 50.145 230.765 ;
+        RECT 50.435 230.595 50.605 230.765 ;
+        RECT 50.895 230.595 51.065 230.765 ;
+        RECT 51.355 230.595 51.525 230.765 ;
+        RECT 51.815 230.595 51.985 230.765 ;
+        RECT 52.275 230.595 52.445 230.765 ;
+        RECT 52.735 230.595 52.905 230.765 ;
+        RECT 53.195 230.595 53.365 230.765 ;
+        RECT 53.655 230.595 53.825 230.765 ;
+        RECT 42.615 227.875 42.785 228.045 ;
+        RECT 43.075 227.875 43.245 228.045 ;
+        RECT 43.535 227.875 43.705 228.045 ;
+        RECT 43.995 227.875 44.165 228.045 ;
+        RECT 44.455 227.875 44.625 228.045 ;
+        RECT 44.915 227.875 45.085 228.045 ;
+        RECT 45.375 227.875 45.545 228.045 ;
+        RECT 45.835 227.875 46.005 228.045 ;
+        RECT 46.295 227.875 46.465 228.045 ;
+        RECT 46.755 227.875 46.925 228.045 ;
+        RECT 47.215 227.875 47.385 228.045 ;
+        RECT 47.675 227.875 47.845 228.045 ;
+        RECT 48.135 227.875 48.305 228.045 ;
+        RECT 48.595 227.875 48.765 228.045 ;
+        RECT 49.055 227.875 49.225 228.045 ;
+        RECT 49.515 227.875 49.685 228.045 ;
+        RECT 49.975 227.875 50.145 228.045 ;
+        RECT 50.435 227.875 50.605 228.045 ;
+        RECT 50.895 227.875 51.065 228.045 ;
+        RECT 51.355 227.875 51.525 228.045 ;
+        RECT 51.815 227.875 51.985 228.045 ;
+        RECT 52.275 227.875 52.445 228.045 ;
+        RECT 52.735 227.875 52.905 228.045 ;
+        RECT 53.195 227.875 53.365 228.045 ;
+        RECT 53.655 227.875 53.825 228.045 ;
+        RECT 42.615 225.155 42.785 225.325 ;
+        RECT 43.075 225.155 43.245 225.325 ;
+        RECT 43.535 225.155 43.705 225.325 ;
+        RECT 43.995 225.155 44.165 225.325 ;
+        RECT 44.455 225.155 44.625 225.325 ;
+        RECT 44.915 225.155 45.085 225.325 ;
+        RECT 45.375 225.155 45.545 225.325 ;
+        RECT 45.835 225.155 46.005 225.325 ;
+        RECT 46.295 225.155 46.465 225.325 ;
+        RECT 46.755 225.155 46.925 225.325 ;
+        RECT 47.215 225.155 47.385 225.325 ;
+        RECT 47.675 225.155 47.845 225.325 ;
+        RECT 48.135 225.155 48.305 225.325 ;
+        RECT 48.595 225.155 48.765 225.325 ;
+        RECT 49.055 225.155 49.225 225.325 ;
+        RECT 49.515 225.155 49.685 225.325 ;
+        RECT 49.975 225.155 50.145 225.325 ;
+        RECT 50.435 225.155 50.605 225.325 ;
+        RECT 50.895 225.155 51.065 225.325 ;
+        RECT 51.355 225.155 51.525 225.325 ;
+        RECT 51.815 225.155 51.985 225.325 ;
+        RECT 52.275 225.155 52.445 225.325 ;
+        RECT 52.735 225.155 52.905 225.325 ;
+        RECT 53.195 225.155 53.365 225.325 ;
+        RECT 53.655 225.155 53.825 225.325 ;
+        RECT 42.615 222.435 42.785 222.605 ;
+        RECT 43.075 222.435 43.245 222.605 ;
+        RECT 43.535 222.435 43.705 222.605 ;
+        RECT 43.995 222.435 44.165 222.605 ;
+        RECT 44.455 222.435 44.625 222.605 ;
+        RECT 44.915 222.435 45.085 222.605 ;
+        RECT 45.375 222.435 45.545 222.605 ;
+        RECT 45.835 222.435 46.005 222.605 ;
+        RECT 46.295 222.435 46.465 222.605 ;
+        RECT 46.755 222.435 46.925 222.605 ;
+        RECT 47.215 222.435 47.385 222.605 ;
+        RECT 47.675 222.435 47.845 222.605 ;
+        RECT 48.135 222.435 48.305 222.605 ;
+        RECT 48.595 222.435 48.765 222.605 ;
+        RECT 49.055 222.435 49.225 222.605 ;
+        RECT 49.515 222.435 49.685 222.605 ;
+        RECT 49.975 222.435 50.145 222.605 ;
+        RECT 50.435 222.435 50.605 222.605 ;
+        RECT 50.895 222.435 51.065 222.605 ;
+        RECT 51.355 222.435 51.525 222.605 ;
+        RECT 51.815 222.435 51.985 222.605 ;
+        RECT 52.275 222.435 52.445 222.605 ;
+        RECT 52.735 222.435 52.905 222.605 ;
+        RECT 53.195 222.435 53.365 222.605 ;
+        RECT 53.655 222.435 53.825 222.605 ;
+        RECT 42.615 219.715 42.785 219.885 ;
+        RECT 43.075 219.715 43.245 219.885 ;
+        RECT 43.535 219.715 43.705 219.885 ;
+        RECT 43.995 219.715 44.165 219.885 ;
+        RECT 44.455 219.715 44.625 219.885 ;
+        RECT 44.915 219.715 45.085 219.885 ;
+        RECT 45.375 219.715 45.545 219.885 ;
+        RECT 45.835 219.715 46.005 219.885 ;
+        RECT 46.295 219.715 46.465 219.885 ;
+        RECT 46.755 219.715 46.925 219.885 ;
+        RECT 47.215 219.715 47.385 219.885 ;
+        RECT 47.675 219.715 47.845 219.885 ;
+        RECT 48.135 219.715 48.305 219.885 ;
+        RECT 48.595 219.715 48.765 219.885 ;
+        RECT 49.055 219.715 49.225 219.885 ;
+        RECT 49.515 219.715 49.685 219.885 ;
+        RECT 49.975 219.715 50.145 219.885 ;
+        RECT 50.435 219.715 50.605 219.885 ;
+        RECT 50.895 219.715 51.065 219.885 ;
+        RECT 51.355 219.715 51.525 219.885 ;
+        RECT 51.815 219.715 51.985 219.885 ;
+        RECT 52.275 219.715 52.445 219.885 ;
+        RECT 52.735 219.715 52.905 219.885 ;
+        RECT 53.195 219.715 53.365 219.885 ;
+        RECT 53.655 219.715 53.825 219.885 ;
+        RECT 42.615 216.995 42.785 217.165 ;
+        RECT 43.075 216.995 43.245 217.165 ;
+        RECT 43.535 216.995 43.705 217.165 ;
+        RECT 43.995 216.995 44.165 217.165 ;
+        RECT 44.455 216.995 44.625 217.165 ;
+        RECT 44.915 216.995 45.085 217.165 ;
+        RECT 45.375 216.995 45.545 217.165 ;
+        RECT 45.835 216.995 46.005 217.165 ;
+        RECT 46.295 216.995 46.465 217.165 ;
+        RECT 46.755 216.995 46.925 217.165 ;
+        RECT 47.215 216.995 47.385 217.165 ;
+        RECT 47.675 216.995 47.845 217.165 ;
+        RECT 48.135 216.995 48.305 217.165 ;
+        RECT 48.595 216.995 48.765 217.165 ;
+        RECT 49.055 216.995 49.225 217.165 ;
+        RECT 49.515 216.995 49.685 217.165 ;
+        RECT 49.975 216.995 50.145 217.165 ;
+        RECT 50.435 216.995 50.605 217.165 ;
+        RECT 50.895 216.995 51.065 217.165 ;
+        RECT 51.355 216.995 51.525 217.165 ;
+        RECT 51.815 216.995 51.985 217.165 ;
+        RECT 52.275 216.995 52.445 217.165 ;
+        RECT 52.735 216.995 52.905 217.165 ;
+        RECT 53.195 216.995 53.365 217.165 ;
+        RECT 53.655 216.995 53.825 217.165 ;
+        RECT 42.615 214.275 42.785 214.445 ;
+        RECT 43.075 214.275 43.245 214.445 ;
+        RECT 43.535 214.275 43.705 214.445 ;
+        RECT 43.995 214.275 44.165 214.445 ;
+        RECT 44.455 214.275 44.625 214.445 ;
+        RECT 44.915 214.275 45.085 214.445 ;
+        RECT 45.375 214.275 45.545 214.445 ;
+        RECT 45.835 214.275 46.005 214.445 ;
+        RECT 46.295 214.275 46.465 214.445 ;
+        RECT 46.755 214.275 46.925 214.445 ;
+        RECT 47.215 214.275 47.385 214.445 ;
+        RECT 47.675 214.275 47.845 214.445 ;
+        RECT 48.135 214.275 48.305 214.445 ;
+        RECT 48.595 214.275 48.765 214.445 ;
+        RECT 49.055 214.275 49.225 214.445 ;
+        RECT 49.515 214.275 49.685 214.445 ;
+        RECT 49.975 214.275 50.145 214.445 ;
+        RECT 50.435 214.275 50.605 214.445 ;
+        RECT 50.895 214.275 51.065 214.445 ;
+        RECT 51.355 214.275 51.525 214.445 ;
+        RECT 51.815 214.275 51.985 214.445 ;
+        RECT 52.275 214.275 52.445 214.445 ;
+        RECT 52.735 214.275 52.905 214.445 ;
+        RECT 53.195 214.275 53.365 214.445 ;
+        RECT 53.655 214.275 53.825 214.445 ;
+        RECT 42.615 211.555 42.785 211.725 ;
+        RECT 43.075 211.555 43.245 211.725 ;
+        RECT 43.535 211.555 43.705 211.725 ;
+        RECT 43.995 211.555 44.165 211.725 ;
+        RECT 44.455 211.555 44.625 211.725 ;
+        RECT 44.915 211.555 45.085 211.725 ;
+        RECT 45.375 211.555 45.545 211.725 ;
+        RECT 45.835 211.555 46.005 211.725 ;
+        RECT 46.295 211.555 46.465 211.725 ;
+        RECT 46.755 211.555 46.925 211.725 ;
+        RECT 47.215 211.555 47.385 211.725 ;
+        RECT 47.675 211.555 47.845 211.725 ;
+        RECT 48.135 211.555 48.305 211.725 ;
+        RECT 48.595 211.555 48.765 211.725 ;
+        RECT 49.055 211.555 49.225 211.725 ;
+        RECT 49.515 211.555 49.685 211.725 ;
+        RECT 49.975 211.555 50.145 211.725 ;
+        RECT 50.435 211.555 50.605 211.725 ;
+        RECT 50.895 211.555 51.065 211.725 ;
+        RECT 51.355 211.555 51.525 211.725 ;
+        RECT 51.815 211.555 51.985 211.725 ;
+        RECT 52.275 211.555 52.445 211.725 ;
+        RECT 52.735 211.555 52.905 211.725 ;
+        RECT 53.195 211.555 53.365 211.725 ;
+        RECT 53.655 211.555 53.825 211.725 ;
+        RECT 42.615 208.835 42.785 209.005 ;
+        RECT 43.075 208.835 43.245 209.005 ;
+        RECT 43.535 208.835 43.705 209.005 ;
+        RECT 43.995 208.835 44.165 209.005 ;
+        RECT 44.455 208.835 44.625 209.005 ;
+        RECT 44.915 208.835 45.085 209.005 ;
+        RECT 45.375 208.835 45.545 209.005 ;
+        RECT 45.835 208.835 46.005 209.005 ;
+        RECT 46.295 208.835 46.465 209.005 ;
+        RECT 46.755 208.835 46.925 209.005 ;
+        RECT 47.215 208.835 47.385 209.005 ;
+        RECT 47.675 208.835 47.845 209.005 ;
+        RECT 48.135 208.835 48.305 209.005 ;
+        RECT 48.595 208.835 48.765 209.005 ;
+        RECT 49.055 208.835 49.225 209.005 ;
+        RECT 49.515 208.835 49.685 209.005 ;
+        RECT 49.975 208.835 50.145 209.005 ;
+        RECT 50.435 208.835 50.605 209.005 ;
+        RECT 50.895 208.835 51.065 209.005 ;
+        RECT 51.355 208.835 51.525 209.005 ;
+        RECT 51.815 208.835 51.985 209.005 ;
+        RECT 52.275 208.835 52.445 209.005 ;
+        RECT 52.735 208.835 52.905 209.005 ;
+        RECT 53.195 208.835 53.365 209.005 ;
+        RECT 53.655 208.835 53.825 209.005 ;
+        RECT 42.615 206.115 42.785 206.285 ;
+        RECT 43.075 206.115 43.245 206.285 ;
+        RECT 43.535 206.115 43.705 206.285 ;
+        RECT 43.995 206.115 44.165 206.285 ;
+        RECT 44.455 206.115 44.625 206.285 ;
+        RECT 44.915 206.115 45.085 206.285 ;
+        RECT 45.375 206.115 45.545 206.285 ;
+        RECT 45.835 206.115 46.005 206.285 ;
+        RECT 46.295 206.115 46.465 206.285 ;
+        RECT 46.755 206.115 46.925 206.285 ;
+        RECT 47.215 206.115 47.385 206.285 ;
+        RECT 47.675 206.115 47.845 206.285 ;
+        RECT 48.135 206.115 48.305 206.285 ;
+        RECT 48.595 206.115 48.765 206.285 ;
+        RECT 49.055 206.115 49.225 206.285 ;
+        RECT 49.515 206.115 49.685 206.285 ;
+        RECT 49.975 206.115 50.145 206.285 ;
+        RECT 50.435 206.115 50.605 206.285 ;
+        RECT 50.895 206.115 51.065 206.285 ;
+        RECT 51.355 206.115 51.525 206.285 ;
+        RECT 51.815 206.115 51.985 206.285 ;
+        RECT 52.275 206.115 52.445 206.285 ;
+        RECT 52.735 206.115 52.905 206.285 ;
+        RECT 53.195 206.115 53.365 206.285 ;
+        RECT 53.655 206.115 53.825 206.285 ;
+        RECT 42.615 203.395 42.785 203.565 ;
+        RECT 43.075 203.395 43.245 203.565 ;
+        RECT 43.535 203.395 43.705 203.565 ;
+        RECT 43.995 203.395 44.165 203.565 ;
+        RECT 44.455 203.395 44.625 203.565 ;
+        RECT 44.915 203.395 45.085 203.565 ;
+        RECT 45.375 203.395 45.545 203.565 ;
+        RECT 45.835 203.395 46.005 203.565 ;
+        RECT 46.295 203.395 46.465 203.565 ;
+        RECT 46.755 203.395 46.925 203.565 ;
+        RECT 47.215 203.395 47.385 203.565 ;
+        RECT 47.675 203.395 47.845 203.565 ;
+        RECT 48.135 203.395 48.305 203.565 ;
+        RECT 48.595 203.395 48.765 203.565 ;
+        RECT 49.055 203.395 49.225 203.565 ;
+        RECT 49.515 203.395 49.685 203.565 ;
+        RECT 49.975 203.395 50.145 203.565 ;
+        RECT 50.435 203.395 50.605 203.565 ;
+        RECT 50.895 203.395 51.065 203.565 ;
+        RECT 51.355 203.395 51.525 203.565 ;
+        RECT 51.815 203.395 51.985 203.565 ;
+        RECT 52.275 203.395 52.445 203.565 ;
+        RECT 52.735 203.395 52.905 203.565 ;
+        RECT 53.195 203.395 53.365 203.565 ;
+        RECT 53.655 203.395 53.825 203.565 ;
+        RECT 42.615 200.675 42.785 200.845 ;
+        RECT 43.075 200.675 43.245 200.845 ;
+        RECT 43.535 200.675 43.705 200.845 ;
+        RECT 43.995 200.675 44.165 200.845 ;
+        RECT 44.455 200.675 44.625 200.845 ;
+        RECT 44.915 200.675 45.085 200.845 ;
+        RECT 45.375 200.675 45.545 200.845 ;
+        RECT 45.835 200.675 46.005 200.845 ;
+        RECT 46.295 200.675 46.465 200.845 ;
+        RECT 46.755 200.675 46.925 200.845 ;
+        RECT 47.215 200.675 47.385 200.845 ;
+        RECT 47.675 200.675 47.845 200.845 ;
+        RECT 48.135 200.675 48.305 200.845 ;
+        RECT 48.595 200.675 48.765 200.845 ;
+        RECT 49.055 200.675 49.225 200.845 ;
+        RECT 49.515 200.675 49.685 200.845 ;
+        RECT 49.975 200.675 50.145 200.845 ;
+        RECT 50.435 200.675 50.605 200.845 ;
+        RECT 50.895 200.675 51.065 200.845 ;
+        RECT 51.355 200.675 51.525 200.845 ;
+        RECT 51.815 200.675 51.985 200.845 ;
+        RECT 52.275 200.675 52.445 200.845 ;
+        RECT 52.735 200.675 52.905 200.845 ;
+        RECT 53.195 200.675 53.365 200.845 ;
+        RECT 53.655 200.675 53.825 200.845 ;
+        RECT 42.615 197.955 42.785 198.125 ;
+        RECT 43.075 197.955 43.245 198.125 ;
+        RECT 43.535 197.955 43.705 198.125 ;
+        RECT 43.995 197.955 44.165 198.125 ;
+        RECT 44.455 197.955 44.625 198.125 ;
+        RECT 44.915 197.955 45.085 198.125 ;
+        RECT 45.375 197.955 45.545 198.125 ;
+        RECT 45.835 197.955 46.005 198.125 ;
+        RECT 46.295 197.955 46.465 198.125 ;
+        RECT 46.755 197.955 46.925 198.125 ;
+        RECT 47.215 197.955 47.385 198.125 ;
+        RECT 47.675 197.955 47.845 198.125 ;
+        RECT 48.135 197.955 48.305 198.125 ;
+        RECT 48.595 197.955 48.765 198.125 ;
+        RECT 49.055 197.955 49.225 198.125 ;
+        RECT 49.515 197.955 49.685 198.125 ;
+        RECT 49.975 197.955 50.145 198.125 ;
+        RECT 50.435 197.955 50.605 198.125 ;
+        RECT 50.895 197.955 51.065 198.125 ;
+        RECT 51.355 197.955 51.525 198.125 ;
+        RECT 51.815 197.955 51.985 198.125 ;
+        RECT 52.275 197.955 52.445 198.125 ;
+        RECT 52.735 197.955 52.905 198.125 ;
+        RECT 53.195 197.955 53.365 198.125 ;
+        RECT 53.655 197.955 53.825 198.125 ;
+        RECT 42.615 195.235 42.785 195.405 ;
+        RECT 43.075 195.235 43.245 195.405 ;
+        RECT 43.535 195.235 43.705 195.405 ;
+        RECT 43.995 195.235 44.165 195.405 ;
+        RECT 44.455 195.235 44.625 195.405 ;
+        RECT 44.915 195.235 45.085 195.405 ;
+        RECT 45.375 195.235 45.545 195.405 ;
+        RECT 45.835 195.235 46.005 195.405 ;
+        RECT 46.295 195.235 46.465 195.405 ;
+        RECT 46.755 195.235 46.925 195.405 ;
+        RECT 47.215 195.235 47.385 195.405 ;
+        RECT 47.675 195.235 47.845 195.405 ;
+        RECT 48.135 195.235 48.305 195.405 ;
+        RECT 48.595 195.235 48.765 195.405 ;
+        RECT 49.055 195.235 49.225 195.405 ;
+        RECT 49.515 195.235 49.685 195.405 ;
+        RECT 49.975 195.235 50.145 195.405 ;
+        RECT 50.435 195.235 50.605 195.405 ;
+        RECT 50.895 195.235 51.065 195.405 ;
+        RECT 51.355 195.235 51.525 195.405 ;
+        RECT 51.815 195.235 51.985 195.405 ;
+        RECT 52.275 195.235 52.445 195.405 ;
+        RECT 52.735 195.235 52.905 195.405 ;
+        RECT 53.195 195.235 53.365 195.405 ;
+        RECT 53.655 195.235 53.825 195.405 ;
+        RECT 42.615 192.515 42.785 192.685 ;
+        RECT 43.075 192.515 43.245 192.685 ;
+        RECT 43.535 192.515 43.705 192.685 ;
+        RECT 43.995 192.515 44.165 192.685 ;
+        RECT 44.455 192.515 44.625 192.685 ;
+        RECT 44.915 192.515 45.085 192.685 ;
+        RECT 45.375 192.515 45.545 192.685 ;
+        RECT 45.835 192.515 46.005 192.685 ;
+        RECT 46.295 192.515 46.465 192.685 ;
+        RECT 46.755 192.515 46.925 192.685 ;
+        RECT 47.215 192.515 47.385 192.685 ;
+        RECT 47.675 192.515 47.845 192.685 ;
+        RECT 48.135 192.515 48.305 192.685 ;
+        RECT 48.595 192.515 48.765 192.685 ;
+        RECT 49.055 192.515 49.225 192.685 ;
+        RECT 49.515 192.515 49.685 192.685 ;
+        RECT 49.975 192.515 50.145 192.685 ;
+        RECT 50.435 192.515 50.605 192.685 ;
+        RECT 50.895 192.515 51.065 192.685 ;
+        RECT 51.355 192.515 51.525 192.685 ;
+        RECT 51.815 192.515 51.985 192.685 ;
+        RECT 52.275 192.515 52.445 192.685 ;
+        RECT 52.735 192.515 52.905 192.685 ;
+        RECT 53.195 192.515 53.365 192.685 ;
+        RECT 53.655 192.515 53.825 192.685 ;
+        RECT 42.615 189.795 42.785 189.965 ;
+        RECT 43.075 189.795 43.245 189.965 ;
+        RECT 43.535 189.795 43.705 189.965 ;
+        RECT 43.995 189.795 44.165 189.965 ;
+        RECT 44.455 189.795 44.625 189.965 ;
+        RECT 44.915 189.795 45.085 189.965 ;
+        RECT 45.375 189.795 45.545 189.965 ;
+        RECT 45.835 189.795 46.005 189.965 ;
+        RECT 46.295 189.795 46.465 189.965 ;
+        RECT 46.755 189.795 46.925 189.965 ;
+        RECT 47.215 189.795 47.385 189.965 ;
+        RECT 47.675 189.795 47.845 189.965 ;
+        RECT 48.135 189.795 48.305 189.965 ;
+        RECT 48.595 189.795 48.765 189.965 ;
+        RECT 49.055 189.795 49.225 189.965 ;
+        RECT 49.515 189.795 49.685 189.965 ;
+        RECT 49.975 189.795 50.145 189.965 ;
+        RECT 50.435 189.795 50.605 189.965 ;
+        RECT 50.895 189.795 51.065 189.965 ;
+        RECT 51.355 189.795 51.525 189.965 ;
+        RECT 51.815 189.795 51.985 189.965 ;
+        RECT 52.275 189.795 52.445 189.965 ;
+        RECT 52.735 189.795 52.905 189.965 ;
+        RECT 53.195 189.795 53.365 189.965 ;
+        RECT 53.655 189.795 53.825 189.965 ;
+        RECT 42.615 187.075 42.785 187.245 ;
+        RECT 43.075 187.075 43.245 187.245 ;
+        RECT 43.535 187.075 43.705 187.245 ;
+        RECT 43.995 187.075 44.165 187.245 ;
+        RECT 44.455 187.075 44.625 187.245 ;
+        RECT 44.915 187.075 45.085 187.245 ;
+        RECT 45.375 187.075 45.545 187.245 ;
+        RECT 45.835 187.075 46.005 187.245 ;
+        RECT 46.295 187.075 46.465 187.245 ;
+        RECT 46.755 187.075 46.925 187.245 ;
+        RECT 47.215 187.075 47.385 187.245 ;
+        RECT 47.675 187.075 47.845 187.245 ;
+        RECT 48.135 187.075 48.305 187.245 ;
+        RECT 48.595 187.075 48.765 187.245 ;
+        RECT 49.055 187.075 49.225 187.245 ;
+        RECT 49.515 187.075 49.685 187.245 ;
+        RECT 49.975 187.075 50.145 187.245 ;
+        RECT 50.435 187.075 50.605 187.245 ;
+        RECT 50.895 187.075 51.065 187.245 ;
+        RECT 51.355 187.075 51.525 187.245 ;
+        RECT 51.815 187.075 51.985 187.245 ;
+        RECT 52.275 187.075 52.445 187.245 ;
+        RECT 52.735 187.075 52.905 187.245 ;
+        RECT 53.195 187.075 53.365 187.245 ;
+        RECT 53.655 187.075 53.825 187.245 ;
+        RECT 42.615 184.355 42.785 184.525 ;
+        RECT 43.075 184.355 43.245 184.525 ;
+        RECT 43.535 184.355 43.705 184.525 ;
+        RECT 43.995 184.355 44.165 184.525 ;
+        RECT 44.455 184.355 44.625 184.525 ;
+        RECT 44.915 184.355 45.085 184.525 ;
+        RECT 45.375 184.355 45.545 184.525 ;
+        RECT 45.835 184.355 46.005 184.525 ;
+        RECT 46.295 184.355 46.465 184.525 ;
+        RECT 46.755 184.355 46.925 184.525 ;
+        RECT 47.215 184.355 47.385 184.525 ;
+        RECT 47.675 184.355 47.845 184.525 ;
+        RECT 48.135 184.355 48.305 184.525 ;
+        RECT 48.595 184.355 48.765 184.525 ;
+        RECT 49.055 184.355 49.225 184.525 ;
+        RECT 49.515 184.355 49.685 184.525 ;
+        RECT 49.975 184.355 50.145 184.525 ;
+        RECT 50.435 184.355 50.605 184.525 ;
+        RECT 50.895 184.355 51.065 184.525 ;
+        RECT 51.355 184.355 51.525 184.525 ;
+        RECT 51.815 184.355 51.985 184.525 ;
+        RECT 52.275 184.355 52.445 184.525 ;
+        RECT 52.735 184.355 52.905 184.525 ;
+        RECT 53.195 184.355 53.365 184.525 ;
+        RECT 53.655 184.355 53.825 184.525 ;
+        RECT 42.615 181.635 42.785 181.805 ;
+        RECT 43.075 181.635 43.245 181.805 ;
+        RECT 43.535 181.635 43.705 181.805 ;
+        RECT 43.995 181.635 44.165 181.805 ;
+        RECT 44.455 181.635 44.625 181.805 ;
+        RECT 44.915 181.635 45.085 181.805 ;
+        RECT 45.375 181.635 45.545 181.805 ;
+        RECT 45.835 181.635 46.005 181.805 ;
+        RECT 46.295 181.635 46.465 181.805 ;
+        RECT 46.755 181.635 46.925 181.805 ;
+        RECT 47.215 181.635 47.385 181.805 ;
+        RECT 47.675 181.635 47.845 181.805 ;
+        RECT 48.135 181.635 48.305 181.805 ;
+        RECT 48.595 181.635 48.765 181.805 ;
+        RECT 49.055 181.635 49.225 181.805 ;
+        RECT 49.515 181.635 49.685 181.805 ;
+        RECT 49.975 181.635 50.145 181.805 ;
+        RECT 50.435 181.635 50.605 181.805 ;
+        RECT 50.895 181.635 51.065 181.805 ;
+        RECT 51.355 181.635 51.525 181.805 ;
+        RECT 51.815 181.635 51.985 181.805 ;
+        RECT 52.275 181.635 52.445 181.805 ;
+        RECT 52.735 181.635 52.905 181.805 ;
+        RECT 53.195 181.635 53.365 181.805 ;
+        RECT 53.655 181.635 53.825 181.805 ;
+        RECT 42.615 178.915 42.785 179.085 ;
+        RECT 43.075 178.915 43.245 179.085 ;
+        RECT 43.535 178.915 43.705 179.085 ;
+        RECT 43.995 178.915 44.165 179.085 ;
+        RECT 44.455 178.915 44.625 179.085 ;
+        RECT 44.915 178.915 45.085 179.085 ;
+        RECT 45.375 178.915 45.545 179.085 ;
+        RECT 45.835 178.915 46.005 179.085 ;
+        RECT 46.295 178.915 46.465 179.085 ;
+        RECT 46.755 178.915 46.925 179.085 ;
+        RECT 47.215 178.915 47.385 179.085 ;
+        RECT 47.675 178.915 47.845 179.085 ;
+        RECT 48.135 178.915 48.305 179.085 ;
+        RECT 48.595 178.915 48.765 179.085 ;
+        RECT 49.055 178.915 49.225 179.085 ;
+        RECT 49.515 178.915 49.685 179.085 ;
+        RECT 49.975 178.915 50.145 179.085 ;
+        RECT 50.435 178.915 50.605 179.085 ;
+        RECT 50.895 178.915 51.065 179.085 ;
+        RECT 51.355 178.915 51.525 179.085 ;
+        RECT 51.815 178.915 51.985 179.085 ;
+        RECT 52.275 178.915 52.445 179.085 ;
+        RECT 52.735 178.915 52.905 179.085 ;
+        RECT 53.195 178.915 53.365 179.085 ;
+        RECT 53.655 178.915 53.825 179.085 ;
+        RECT 42.615 176.195 42.785 176.365 ;
+        RECT 43.075 176.195 43.245 176.365 ;
+        RECT 43.535 176.195 43.705 176.365 ;
+        RECT 43.995 176.195 44.165 176.365 ;
+        RECT 44.455 176.195 44.625 176.365 ;
+        RECT 44.915 176.195 45.085 176.365 ;
+        RECT 45.375 176.195 45.545 176.365 ;
+        RECT 45.835 176.195 46.005 176.365 ;
+        RECT 46.295 176.195 46.465 176.365 ;
+        RECT 46.755 176.195 46.925 176.365 ;
+        RECT 47.215 176.195 47.385 176.365 ;
+        RECT 47.675 176.195 47.845 176.365 ;
+        RECT 48.135 176.195 48.305 176.365 ;
+        RECT 48.595 176.195 48.765 176.365 ;
+        RECT 49.055 176.195 49.225 176.365 ;
+        RECT 49.515 176.195 49.685 176.365 ;
+        RECT 49.975 176.195 50.145 176.365 ;
+        RECT 50.435 176.195 50.605 176.365 ;
+        RECT 50.895 176.195 51.065 176.365 ;
+        RECT 51.355 176.195 51.525 176.365 ;
+        RECT 51.815 176.195 51.985 176.365 ;
+        RECT 52.275 176.195 52.445 176.365 ;
+        RECT 52.735 176.195 52.905 176.365 ;
+        RECT 53.195 176.195 53.365 176.365 ;
+        RECT 53.655 176.195 53.825 176.365 ;
+        RECT 42.615 173.475 42.785 173.645 ;
+        RECT 43.075 173.475 43.245 173.645 ;
+        RECT 43.535 173.475 43.705 173.645 ;
+        RECT 43.995 173.475 44.165 173.645 ;
+        RECT 44.455 173.475 44.625 173.645 ;
+        RECT 44.915 173.475 45.085 173.645 ;
+        RECT 45.375 173.475 45.545 173.645 ;
+        RECT 45.835 173.475 46.005 173.645 ;
+        RECT 46.295 173.475 46.465 173.645 ;
+        RECT 46.755 173.475 46.925 173.645 ;
+        RECT 47.215 173.475 47.385 173.645 ;
+        RECT 47.675 173.475 47.845 173.645 ;
+        RECT 48.135 173.475 48.305 173.645 ;
+        RECT 48.595 173.475 48.765 173.645 ;
+        RECT 49.055 173.475 49.225 173.645 ;
+        RECT 49.515 173.475 49.685 173.645 ;
+        RECT 49.975 173.475 50.145 173.645 ;
+        RECT 50.435 173.475 50.605 173.645 ;
+        RECT 50.895 173.475 51.065 173.645 ;
+        RECT 51.355 173.475 51.525 173.645 ;
+        RECT 51.815 173.475 51.985 173.645 ;
+        RECT 52.275 173.475 52.445 173.645 ;
+        RECT 52.735 173.475 52.905 173.645 ;
+        RECT 53.195 173.475 53.365 173.645 ;
+        RECT 53.655 173.475 53.825 173.645 ;
+        RECT 42.615 170.755 42.785 170.925 ;
+        RECT 43.075 170.755 43.245 170.925 ;
+        RECT 43.535 170.755 43.705 170.925 ;
+        RECT 43.995 170.755 44.165 170.925 ;
+        RECT 44.455 170.755 44.625 170.925 ;
+        RECT 44.915 170.755 45.085 170.925 ;
+        RECT 45.375 170.755 45.545 170.925 ;
+        RECT 45.835 170.755 46.005 170.925 ;
+        RECT 46.295 170.755 46.465 170.925 ;
+        RECT 46.755 170.755 46.925 170.925 ;
+        RECT 47.215 170.755 47.385 170.925 ;
+        RECT 47.675 170.755 47.845 170.925 ;
+        RECT 48.135 170.755 48.305 170.925 ;
+        RECT 48.595 170.755 48.765 170.925 ;
+        RECT 49.055 170.755 49.225 170.925 ;
+        RECT 49.515 170.755 49.685 170.925 ;
+        RECT 49.975 170.755 50.145 170.925 ;
+        RECT 50.435 170.755 50.605 170.925 ;
+        RECT 50.895 170.755 51.065 170.925 ;
+        RECT 51.355 170.755 51.525 170.925 ;
+        RECT 51.815 170.755 51.985 170.925 ;
+        RECT 52.275 170.755 52.445 170.925 ;
+        RECT 52.735 170.755 52.905 170.925 ;
+        RECT 53.195 170.755 53.365 170.925 ;
+        RECT 53.655 170.755 53.825 170.925 ;
+        RECT 42.615 168.035 42.785 168.205 ;
+        RECT 43.075 168.035 43.245 168.205 ;
+        RECT 43.535 168.035 43.705 168.205 ;
+        RECT 43.995 168.035 44.165 168.205 ;
+        RECT 44.455 168.035 44.625 168.205 ;
+        RECT 44.915 168.035 45.085 168.205 ;
+        RECT 45.375 168.035 45.545 168.205 ;
+        RECT 45.835 168.035 46.005 168.205 ;
+        RECT 46.295 168.035 46.465 168.205 ;
+        RECT 46.755 168.035 46.925 168.205 ;
+        RECT 47.215 168.035 47.385 168.205 ;
+        RECT 47.675 168.035 47.845 168.205 ;
+        RECT 48.135 168.035 48.305 168.205 ;
+        RECT 48.595 168.035 48.765 168.205 ;
+        RECT 49.055 168.035 49.225 168.205 ;
+        RECT 49.515 168.035 49.685 168.205 ;
+        RECT 49.975 168.035 50.145 168.205 ;
+        RECT 50.435 168.035 50.605 168.205 ;
+        RECT 50.895 168.035 51.065 168.205 ;
+        RECT 51.355 168.035 51.525 168.205 ;
+        RECT 51.815 168.035 51.985 168.205 ;
+        RECT 52.275 168.035 52.445 168.205 ;
+        RECT 52.735 168.035 52.905 168.205 ;
+        RECT 53.195 168.035 53.365 168.205 ;
+        RECT 53.655 168.035 53.825 168.205 ;
+        RECT 42.615 165.315 42.785 165.485 ;
+        RECT 43.075 165.315 43.245 165.485 ;
+        RECT 43.535 165.315 43.705 165.485 ;
+        RECT 43.995 165.315 44.165 165.485 ;
+        RECT 44.455 165.315 44.625 165.485 ;
+        RECT 44.915 165.315 45.085 165.485 ;
+        RECT 45.375 165.315 45.545 165.485 ;
+        RECT 45.835 165.315 46.005 165.485 ;
+        RECT 46.295 165.315 46.465 165.485 ;
+        RECT 46.755 165.315 46.925 165.485 ;
+        RECT 47.215 165.315 47.385 165.485 ;
+        RECT 47.675 165.315 47.845 165.485 ;
+        RECT 48.135 165.315 48.305 165.485 ;
+        RECT 48.595 165.315 48.765 165.485 ;
+        RECT 49.055 165.315 49.225 165.485 ;
+        RECT 49.515 165.315 49.685 165.485 ;
+        RECT 49.975 165.315 50.145 165.485 ;
+        RECT 50.435 165.315 50.605 165.485 ;
+        RECT 50.895 165.315 51.065 165.485 ;
+        RECT 51.355 165.315 51.525 165.485 ;
+        RECT 51.815 165.315 51.985 165.485 ;
+        RECT 52.275 165.315 52.445 165.485 ;
+        RECT 52.735 165.315 52.905 165.485 ;
+        RECT 53.195 165.315 53.365 165.485 ;
+        RECT 53.655 165.315 53.825 165.485 ;
+        RECT 42.615 162.595 42.785 162.765 ;
+        RECT 43.075 162.595 43.245 162.765 ;
+        RECT 43.535 162.595 43.705 162.765 ;
+        RECT 43.995 162.595 44.165 162.765 ;
+        RECT 44.455 162.595 44.625 162.765 ;
+        RECT 44.915 162.595 45.085 162.765 ;
+        RECT 45.375 162.595 45.545 162.765 ;
+        RECT 45.835 162.595 46.005 162.765 ;
+        RECT 46.295 162.595 46.465 162.765 ;
+        RECT 46.755 162.595 46.925 162.765 ;
+        RECT 47.215 162.595 47.385 162.765 ;
+        RECT 47.675 162.595 47.845 162.765 ;
+        RECT 48.135 162.595 48.305 162.765 ;
+        RECT 48.595 162.595 48.765 162.765 ;
+        RECT 49.055 162.595 49.225 162.765 ;
+        RECT 49.515 162.595 49.685 162.765 ;
+        RECT 49.975 162.595 50.145 162.765 ;
+        RECT 50.435 162.595 50.605 162.765 ;
+        RECT 50.895 162.595 51.065 162.765 ;
+        RECT 51.355 162.595 51.525 162.765 ;
+        RECT 51.815 162.595 51.985 162.765 ;
+        RECT 52.275 162.595 52.445 162.765 ;
+        RECT 52.735 162.595 52.905 162.765 ;
+        RECT 53.195 162.595 53.365 162.765 ;
+        RECT 53.655 162.595 53.825 162.765 ;
+        RECT 42.615 159.875 42.785 160.045 ;
+        RECT 43.075 159.875 43.245 160.045 ;
+        RECT 43.535 159.875 43.705 160.045 ;
+        RECT 43.995 159.875 44.165 160.045 ;
+        RECT 44.455 159.875 44.625 160.045 ;
+        RECT 44.915 159.875 45.085 160.045 ;
+        RECT 45.375 159.875 45.545 160.045 ;
+        RECT 45.835 159.875 46.005 160.045 ;
+        RECT 46.295 159.875 46.465 160.045 ;
+        RECT 46.755 159.875 46.925 160.045 ;
+        RECT 47.215 159.875 47.385 160.045 ;
+        RECT 47.675 159.875 47.845 160.045 ;
+        RECT 48.135 159.875 48.305 160.045 ;
+        RECT 48.595 159.875 48.765 160.045 ;
+        RECT 49.055 159.875 49.225 160.045 ;
+        RECT 49.515 159.875 49.685 160.045 ;
+        RECT 49.975 159.875 50.145 160.045 ;
+        RECT 50.435 159.875 50.605 160.045 ;
+        RECT 50.895 159.875 51.065 160.045 ;
+        RECT 51.355 159.875 51.525 160.045 ;
+        RECT 51.815 159.875 51.985 160.045 ;
+        RECT 52.275 159.875 52.445 160.045 ;
+        RECT 52.735 159.875 52.905 160.045 ;
+        RECT 53.195 159.875 53.365 160.045 ;
+        RECT 53.655 159.875 53.825 160.045 ;
+        RECT 42.615 157.155 42.785 157.325 ;
+        RECT 43.075 157.155 43.245 157.325 ;
+        RECT 43.535 157.155 43.705 157.325 ;
+        RECT 43.995 157.155 44.165 157.325 ;
+        RECT 44.455 157.155 44.625 157.325 ;
+        RECT 44.915 157.155 45.085 157.325 ;
+        RECT 45.375 157.155 45.545 157.325 ;
+        RECT 45.835 157.155 46.005 157.325 ;
+        RECT 46.295 157.155 46.465 157.325 ;
+        RECT 46.755 157.155 46.925 157.325 ;
+        RECT 47.215 157.155 47.385 157.325 ;
+        RECT 47.675 157.155 47.845 157.325 ;
+        RECT 48.135 157.155 48.305 157.325 ;
+        RECT 48.595 157.155 48.765 157.325 ;
+        RECT 49.055 157.155 49.225 157.325 ;
+        RECT 49.515 157.155 49.685 157.325 ;
+        RECT 49.975 157.155 50.145 157.325 ;
+        RECT 50.435 157.155 50.605 157.325 ;
+        RECT 50.895 157.155 51.065 157.325 ;
+        RECT 51.355 157.155 51.525 157.325 ;
+        RECT 51.815 157.155 51.985 157.325 ;
+        RECT 52.275 157.155 52.445 157.325 ;
+        RECT 52.735 157.155 52.905 157.325 ;
+        RECT 53.195 157.155 53.365 157.325 ;
+        RECT 53.655 157.155 53.825 157.325 ;
+        RECT 42.615 154.435 42.785 154.605 ;
+        RECT 43.075 154.435 43.245 154.605 ;
+        RECT 43.535 154.435 43.705 154.605 ;
+        RECT 43.995 154.435 44.165 154.605 ;
+        RECT 44.455 154.435 44.625 154.605 ;
+        RECT 44.915 154.435 45.085 154.605 ;
+        RECT 45.375 154.435 45.545 154.605 ;
+        RECT 45.835 154.435 46.005 154.605 ;
+        RECT 46.295 154.435 46.465 154.605 ;
+        RECT 46.755 154.435 46.925 154.605 ;
+        RECT 47.215 154.435 47.385 154.605 ;
+        RECT 47.675 154.435 47.845 154.605 ;
+        RECT 48.135 154.435 48.305 154.605 ;
+        RECT 48.595 154.435 48.765 154.605 ;
+        RECT 49.055 154.435 49.225 154.605 ;
+        RECT 49.515 154.435 49.685 154.605 ;
+        RECT 49.975 154.435 50.145 154.605 ;
+        RECT 50.435 154.435 50.605 154.605 ;
+        RECT 50.895 154.435 51.065 154.605 ;
+        RECT 51.355 154.435 51.525 154.605 ;
+        RECT 51.815 154.435 51.985 154.605 ;
+        RECT 52.275 154.435 52.445 154.605 ;
+        RECT 52.735 154.435 52.905 154.605 ;
+        RECT 53.195 154.435 53.365 154.605 ;
+        RECT 53.655 154.435 53.825 154.605 ;
+        RECT 42.615 151.715 42.785 151.885 ;
+        RECT 43.075 151.715 43.245 151.885 ;
+        RECT 43.535 151.715 43.705 151.885 ;
+        RECT 43.995 151.715 44.165 151.885 ;
+        RECT 44.455 151.715 44.625 151.885 ;
+        RECT 44.915 151.715 45.085 151.885 ;
+        RECT 45.375 151.715 45.545 151.885 ;
+        RECT 45.835 151.715 46.005 151.885 ;
+        RECT 46.295 151.715 46.465 151.885 ;
+        RECT 46.755 151.715 46.925 151.885 ;
+        RECT 47.215 151.715 47.385 151.885 ;
+        RECT 47.675 151.715 47.845 151.885 ;
+        RECT 48.135 151.715 48.305 151.885 ;
+        RECT 48.595 151.715 48.765 151.885 ;
+        RECT 49.055 151.715 49.225 151.885 ;
+        RECT 49.515 151.715 49.685 151.885 ;
+        RECT 49.975 151.715 50.145 151.885 ;
+        RECT 50.435 151.715 50.605 151.885 ;
+        RECT 50.895 151.715 51.065 151.885 ;
+        RECT 51.355 151.715 51.525 151.885 ;
+        RECT 51.815 151.715 51.985 151.885 ;
+        RECT 52.275 151.715 52.445 151.885 ;
+        RECT 52.735 151.715 52.905 151.885 ;
+        RECT 53.195 151.715 53.365 151.885 ;
+        RECT 53.655 151.715 53.825 151.885 ;
+        RECT 42.615 148.995 42.785 149.165 ;
+        RECT 43.075 148.995 43.245 149.165 ;
+        RECT 43.535 148.995 43.705 149.165 ;
+        RECT 43.995 148.995 44.165 149.165 ;
+        RECT 44.455 148.995 44.625 149.165 ;
+        RECT 44.915 148.995 45.085 149.165 ;
+        RECT 45.375 148.995 45.545 149.165 ;
+        RECT 45.835 148.995 46.005 149.165 ;
+        RECT 46.295 148.995 46.465 149.165 ;
+        RECT 46.755 148.995 46.925 149.165 ;
+        RECT 47.215 148.995 47.385 149.165 ;
+        RECT 47.675 148.995 47.845 149.165 ;
+        RECT 48.135 148.995 48.305 149.165 ;
+        RECT 48.595 148.995 48.765 149.165 ;
+        RECT 49.055 148.995 49.225 149.165 ;
+        RECT 49.515 148.995 49.685 149.165 ;
+        RECT 49.975 148.995 50.145 149.165 ;
+        RECT 50.435 148.995 50.605 149.165 ;
+        RECT 50.895 148.995 51.065 149.165 ;
+        RECT 51.355 148.995 51.525 149.165 ;
+        RECT 51.815 148.995 51.985 149.165 ;
+        RECT 52.275 148.995 52.445 149.165 ;
+        RECT 52.735 148.995 52.905 149.165 ;
+        RECT 53.195 148.995 53.365 149.165 ;
+        RECT 53.655 148.995 53.825 149.165 ;
+        RECT 42.615 146.275 42.785 146.445 ;
+        RECT 43.075 146.275 43.245 146.445 ;
+        RECT 43.535 146.275 43.705 146.445 ;
+        RECT 43.995 146.275 44.165 146.445 ;
+        RECT 44.455 146.275 44.625 146.445 ;
+        RECT 44.915 146.275 45.085 146.445 ;
+        RECT 45.375 146.275 45.545 146.445 ;
+        RECT 45.835 146.275 46.005 146.445 ;
+        RECT 46.295 146.275 46.465 146.445 ;
+        RECT 46.755 146.275 46.925 146.445 ;
+        RECT 47.215 146.275 47.385 146.445 ;
+        RECT 47.675 146.275 47.845 146.445 ;
+        RECT 48.135 146.275 48.305 146.445 ;
+        RECT 48.595 146.275 48.765 146.445 ;
+        RECT 49.055 146.275 49.225 146.445 ;
+        RECT 49.515 146.275 49.685 146.445 ;
+        RECT 49.975 146.275 50.145 146.445 ;
+        RECT 50.435 146.275 50.605 146.445 ;
+        RECT 50.895 146.275 51.065 146.445 ;
+        RECT 51.355 146.275 51.525 146.445 ;
+        RECT 51.815 146.275 51.985 146.445 ;
+        RECT 52.275 146.275 52.445 146.445 ;
+        RECT 52.735 146.275 52.905 146.445 ;
+        RECT 53.195 146.275 53.365 146.445 ;
+        RECT 53.655 146.275 53.825 146.445 ;
+        RECT 42.615 143.555 42.785 143.725 ;
+        RECT 43.075 143.555 43.245 143.725 ;
+        RECT 43.535 143.555 43.705 143.725 ;
+        RECT 43.995 143.555 44.165 143.725 ;
+        RECT 44.455 143.555 44.625 143.725 ;
+        RECT 44.915 143.555 45.085 143.725 ;
+        RECT 45.375 143.555 45.545 143.725 ;
+        RECT 45.835 143.555 46.005 143.725 ;
+        RECT 46.295 143.555 46.465 143.725 ;
+        RECT 46.755 143.555 46.925 143.725 ;
+        RECT 47.215 143.555 47.385 143.725 ;
+        RECT 47.675 143.555 47.845 143.725 ;
+        RECT 48.135 143.555 48.305 143.725 ;
+        RECT 48.595 143.555 48.765 143.725 ;
+        RECT 49.055 143.555 49.225 143.725 ;
+        RECT 49.515 143.555 49.685 143.725 ;
+        RECT 49.975 143.555 50.145 143.725 ;
+        RECT 50.435 143.555 50.605 143.725 ;
+        RECT 50.895 143.555 51.065 143.725 ;
+        RECT 51.355 143.555 51.525 143.725 ;
+        RECT 51.815 143.555 51.985 143.725 ;
+        RECT 52.275 143.555 52.445 143.725 ;
+        RECT 52.735 143.555 52.905 143.725 ;
+        RECT 53.195 143.555 53.365 143.725 ;
+        RECT 53.655 143.555 53.825 143.725 ;
+        RECT 42.615 140.835 42.785 141.005 ;
+        RECT 43.075 140.835 43.245 141.005 ;
+        RECT 43.535 140.835 43.705 141.005 ;
+        RECT 43.995 140.835 44.165 141.005 ;
+        RECT 44.455 140.835 44.625 141.005 ;
+        RECT 44.915 140.835 45.085 141.005 ;
+        RECT 45.375 140.835 45.545 141.005 ;
+        RECT 45.835 140.835 46.005 141.005 ;
+        RECT 46.295 140.835 46.465 141.005 ;
+        RECT 46.755 140.835 46.925 141.005 ;
+        RECT 47.215 140.835 47.385 141.005 ;
+        RECT 47.675 140.835 47.845 141.005 ;
+        RECT 48.135 140.835 48.305 141.005 ;
+        RECT 48.595 140.835 48.765 141.005 ;
+        RECT 49.055 140.835 49.225 141.005 ;
+        RECT 49.515 140.835 49.685 141.005 ;
+        RECT 49.975 140.835 50.145 141.005 ;
+        RECT 50.435 140.835 50.605 141.005 ;
+        RECT 50.895 140.835 51.065 141.005 ;
+        RECT 51.355 140.835 51.525 141.005 ;
+        RECT 51.815 140.835 51.985 141.005 ;
+        RECT 52.275 140.835 52.445 141.005 ;
+        RECT 52.735 140.835 52.905 141.005 ;
+        RECT 53.195 140.835 53.365 141.005 ;
+        RECT 53.655 140.835 53.825 141.005 ;
+        RECT 42.615 138.115 42.785 138.285 ;
+        RECT 43.075 138.115 43.245 138.285 ;
+        RECT 43.535 138.115 43.705 138.285 ;
+        RECT 43.995 138.115 44.165 138.285 ;
+        RECT 44.455 138.115 44.625 138.285 ;
+        RECT 44.915 138.115 45.085 138.285 ;
+        RECT 45.375 138.115 45.545 138.285 ;
+        RECT 45.835 138.115 46.005 138.285 ;
+        RECT 46.295 138.115 46.465 138.285 ;
+        RECT 46.755 138.115 46.925 138.285 ;
+        RECT 47.215 138.115 47.385 138.285 ;
+        RECT 47.675 138.115 47.845 138.285 ;
+        RECT 48.135 138.115 48.305 138.285 ;
+        RECT 48.595 138.115 48.765 138.285 ;
+        RECT 49.055 138.115 49.225 138.285 ;
+        RECT 49.515 138.115 49.685 138.285 ;
+        RECT 49.975 138.115 50.145 138.285 ;
+        RECT 50.435 138.115 50.605 138.285 ;
+        RECT 50.895 138.115 51.065 138.285 ;
+        RECT 51.355 138.115 51.525 138.285 ;
+        RECT 51.815 138.115 51.985 138.285 ;
+        RECT 52.275 138.115 52.445 138.285 ;
+        RECT 52.735 138.115 52.905 138.285 ;
+        RECT 53.195 138.115 53.365 138.285 ;
+        RECT 53.655 138.115 53.825 138.285 ;
+        RECT 42.615 135.395 42.785 135.565 ;
+        RECT 43.075 135.395 43.245 135.565 ;
+        RECT 43.535 135.395 43.705 135.565 ;
+        RECT 43.995 135.395 44.165 135.565 ;
+        RECT 44.455 135.395 44.625 135.565 ;
+        RECT 44.915 135.395 45.085 135.565 ;
+        RECT 45.375 135.395 45.545 135.565 ;
+        RECT 45.835 135.395 46.005 135.565 ;
+        RECT 46.295 135.395 46.465 135.565 ;
+        RECT 46.755 135.395 46.925 135.565 ;
+        RECT 47.215 135.395 47.385 135.565 ;
+        RECT 47.675 135.395 47.845 135.565 ;
+        RECT 48.135 135.395 48.305 135.565 ;
+        RECT 48.595 135.395 48.765 135.565 ;
+        RECT 49.055 135.395 49.225 135.565 ;
+        RECT 49.515 135.395 49.685 135.565 ;
+        RECT 49.975 135.395 50.145 135.565 ;
+        RECT 50.435 135.395 50.605 135.565 ;
+        RECT 50.895 135.395 51.065 135.565 ;
+        RECT 51.355 135.395 51.525 135.565 ;
+        RECT 51.815 135.395 51.985 135.565 ;
+        RECT 52.275 135.395 52.445 135.565 ;
+        RECT 52.735 135.395 52.905 135.565 ;
+        RECT 53.195 135.395 53.365 135.565 ;
+        RECT 53.655 135.395 53.825 135.565 ;
+        RECT 42.615 132.675 42.785 132.845 ;
+        RECT 43.075 132.675 43.245 132.845 ;
+        RECT 43.535 132.675 43.705 132.845 ;
+        RECT 43.995 132.675 44.165 132.845 ;
+        RECT 44.455 132.675 44.625 132.845 ;
+        RECT 44.915 132.675 45.085 132.845 ;
+        RECT 45.375 132.675 45.545 132.845 ;
+        RECT 45.835 132.675 46.005 132.845 ;
+        RECT 46.295 132.675 46.465 132.845 ;
+        RECT 46.755 132.675 46.925 132.845 ;
+        RECT 47.215 132.675 47.385 132.845 ;
+        RECT 47.675 132.675 47.845 132.845 ;
+        RECT 48.135 132.675 48.305 132.845 ;
+        RECT 48.595 132.675 48.765 132.845 ;
+        RECT 49.055 132.675 49.225 132.845 ;
+        RECT 49.515 132.675 49.685 132.845 ;
+        RECT 49.975 132.675 50.145 132.845 ;
+        RECT 50.435 132.675 50.605 132.845 ;
+        RECT 50.895 132.675 51.065 132.845 ;
+        RECT 51.355 132.675 51.525 132.845 ;
+        RECT 51.815 132.675 51.985 132.845 ;
+        RECT 52.275 132.675 52.445 132.845 ;
+        RECT 52.735 132.675 52.905 132.845 ;
+        RECT 53.195 132.675 53.365 132.845 ;
+        RECT 53.655 132.675 53.825 132.845 ;
+        RECT 42.615 129.955 42.785 130.125 ;
+        RECT 43.075 129.955 43.245 130.125 ;
+        RECT 43.535 129.955 43.705 130.125 ;
+        RECT 43.995 129.955 44.165 130.125 ;
+        RECT 44.455 129.955 44.625 130.125 ;
+        RECT 44.915 129.955 45.085 130.125 ;
+        RECT 45.375 129.955 45.545 130.125 ;
+        RECT 45.835 129.955 46.005 130.125 ;
+        RECT 46.295 129.955 46.465 130.125 ;
+        RECT 46.755 129.955 46.925 130.125 ;
+        RECT 47.215 129.955 47.385 130.125 ;
+        RECT 47.675 129.955 47.845 130.125 ;
+        RECT 48.135 129.955 48.305 130.125 ;
+        RECT 48.595 129.955 48.765 130.125 ;
+        RECT 49.055 129.955 49.225 130.125 ;
+        RECT 49.515 129.955 49.685 130.125 ;
+        RECT 49.975 129.955 50.145 130.125 ;
+        RECT 50.435 129.955 50.605 130.125 ;
+        RECT 50.895 129.955 51.065 130.125 ;
+        RECT 51.355 129.955 51.525 130.125 ;
+        RECT 51.815 129.955 51.985 130.125 ;
+        RECT 52.275 129.955 52.445 130.125 ;
+        RECT 52.735 129.955 52.905 130.125 ;
+        RECT 53.195 129.955 53.365 130.125 ;
+        RECT 53.655 129.955 53.825 130.125 ;
+        RECT 42.615 127.235 42.785 127.405 ;
+        RECT 43.075 127.235 43.245 127.405 ;
+        RECT 43.535 127.235 43.705 127.405 ;
+        RECT 43.995 127.235 44.165 127.405 ;
+        RECT 44.455 127.235 44.625 127.405 ;
+        RECT 44.915 127.235 45.085 127.405 ;
+        RECT 45.375 127.235 45.545 127.405 ;
+        RECT 45.835 127.235 46.005 127.405 ;
+        RECT 46.295 127.235 46.465 127.405 ;
+        RECT 46.755 127.235 46.925 127.405 ;
+        RECT 47.215 127.235 47.385 127.405 ;
+        RECT 47.675 127.235 47.845 127.405 ;
+        RECT 48.135 127.235 48.305 127.405 ;
+        RECT 48.595 127.235 48.765 127.405 ;
+        RECT 49.055 127.235 49.225 127.405 ;
+        RECT 49.515 127.235 49.685 127.405 ;
+        RECT 49.975 127.235 50.145 127.405 ;
+        RECT 50.435 127.235 50.605 127.405 ;
+        RECT 50.895 127.235 51.065 127.405 ;
+        RECT 51.355 127.235 51.525 127.405 ;
+        RECT 51.815 127.235 51.985 127.405 ;
+        RECT 52.275 127.235 52.445 127.405 ;
+        RECT 52.735 127.235 52.905 127.405 ;
+        RECT 53.195 127.235 53.365 127.405 ;
+        RECT 53.655 127.235 53.825 127.405 ;
+        RECT 42.615 124.515 42.785 124.685 ;
+        RECT 43.075 124.515 43.245 124.685 ;
+        RECT 43.535 124.515 43.705 124.685 ;
+        RECT 43.995 124.515 44.165 124.685 ;
+        RECT 44.455 124.515 44.625 124.685 ;
+        RECT 44.915 124.515 45.085 124.685 ;
+        RECT 45.375 124.515 45.545 124.685 ;
+        RECT 45.835 124.515 46.005 124.685 ;
+        RECT 46.295 124.515 46.465 124.685 ;
+        RECT 46.755 124.515 46.925 124.685 ;
+        RECT 47.215 124.515 47.385 124.685 ;
+        RECT 47.675 124.515 47.845 124.685 ;
+        RECT 48.135 124.515 48.305 124.685 ;
+        RECT 48.595 124.515 48.765 124.685 ;
+        RECT 49.055 124.515 49.225 124.685 ;
+        RECT 49.515 124.515 49.685 124.685 ;
+        RECT 49.975 124.515 50.145 124.685 ;
+        RECT 50.435 124.515 50.605 124.685 ;
+        RECT 50.895 124.515 51.065 124.685 ;
+        RECT 51.355 124.515 51.525 124.685 ;
+        RECT 51.815 124.515 51.985 124.685 ;
+        RECT 52.275 124.515 52.445 124.685 ;
+        RECT 52.735 124.515 52.905 124.685 ;
+        RECT 53.195 124.515 53.365 124.685 ;
+        RECT 53.655 124.515 53.825 124.685 ;
+        RECT 42.615 121.795 42.785 121.965 ;
+        RECT 43.075 121.795 43.245 121.965 ;
+        RECT 43.535 121.795 43.705 121.965 ;
+        RECT 43.995 121.795 44.165 121.965 ;
+        RECT 44.455 121.795 44.625 121.965 ;
+        RECT 44.915 121.795 45.085 121.965 ;
+        RECT 45.375 121.795 45.545 121.965 ;
+        RECT 45.835 121.795 46.005 121.965 ;
+        RECT 46.295 121.795 46.465 121.965 ;
+        RECT 46.755 121.795 46.925 121.965 ;
+        RECT 47.215 121.795 47.385 121.965 ;
+        RECT 47.675 121.795 47.845 121.965 ;
+        RECT 48.135 121.795 48.305 121.965 ;
+        RECT 48.595 121.795 48.765 121.965 ;
+        RECT 49.055 121.795 49.225 121.965 ;
+        RECT 49.515 121.795 49.685 121.965 ;
+        RECT 49.975 121.795 50.145 121.965 ;
+        RECT 50.435 121.795 50.605 121.965 ;
+        RECT 50.895 121.795 51.065 121.965 ;
+        RECT 51.355 121.795 51.525 121.965 ;
+        RECT 51.815 121.795 51.985 121.965 ;
+        RECT 52.275 121.795 52.445 121.965 ;
+        RECT 52.735 121.795 52.905 121.965 ;
+        RECT 53.195 121.795 53.365 121.965 ;
+        RECT 53.655 121.795 53.825 121.965 ;
+        RECT 42.615 119.075 42.785 119.245 ;
+        RECT 43.075 119.075 43.245 119.245 ;
+        RECT 43.535 119.075 43.705 119.245 ;
+        RECT 43.995 119.075 44.165 119.245 ;
+        RECT 44.455 119.075 44.625 119.245 ;
+        RECT 44.915 119.075 45.085 119.245 ;
+        RECT 45.375 119.075 45.545 119.245 ;
+        RECT 45.835 119.075 46.005 119.245 ;
+        RECT 46.295 119.075 46.465 119.245 ;
+        RECT 46.755 119.075 46.925 119.245 ;
+        RECT 47.215 119.075 47.385 119.245 ;
+        RECT 47.675 119.075 47.845 119.245 ;
+        RECT 48.135 119.075 48.305 119.245 ;
+        RECT 48.595 119.075 48.765 119.245 ;
+        RECT 49.055 119.075 49.225 119.245 ;
+        RECT 49.515 119.075 49.685 119.245 ;
+        RECT 49.975 119.075 50.145 119.245 ;
+        RECT 50.435 119.075 50.605 119.245 ;
+        RECT 50.895 119.075 51.065 119.245 ;
+        RECT 51.355 119.075 51.525 119.245 ;
+        RECT 51.815 119.075 51.985 119.245 ;
+        RECT 52.275 119.075 52.445 119.245 ;
+        RECT 52.735 119.075 52.905 119.245 ;
+        RECT 53.195 119.075 53.365 119.245 ;
+        RECT 53.655 119.075 53.825 119.245 ;
+        RECT 42.615 116.355 42.785 116.525 ;
+        RECT 43.075 116.355 43.245 116.525 ;
+        RECT 43.535 116.355 43.705 116.525 ;
+        RECT 43.995 116.355 44.165 116.525 ;
+        RECT 44.455 116.355 44.625 116.525 ;
+        RECT 44.915 116.355 45.085 116.525 ;
+        RECT 45.375 116.355 45.545 116.525 ;
+        RECT 45.835 116.355 46.005 116.525 ;
+        RECT 46.295 116.355 46.465 116.525 ;
+        RECT 46.755 116.355 46.925 116.525 ;
+        RECT 47.215 116.355 47.385 116.525 ;
+        RECT 47.675 116.355 47.845 116.525 ;
+        RECT 48.135 116.355 48.305 116.525 ;
+        RECT 48.595 116.355 48.765 116.525 ;
+        RECT 49.055 116.355 49.225 116.525 ;
+        RECT 49.515 116.355 49.685 116.525 ;
+        RECT 49.975 116.355 50.145 116.525 ;
+        RECT 50.435 116.355 50.605 116.525 ;
+        RECT 50.895 116.355 51.065 116.525 ;
+        RECT 51.355 116.355 51.525 116.525 ;
+        RECT 51.815 116.355 51.985 116.525 ;
+        RECT 52.275 116.355 52.445 116.525 ;
+        RECT 52.735 116.355 52.905 116.525 ;
+        RECT 53.195 116.355 53.365 116.525 ;
+        RECT 53.655 116.355 53.825 116.525 ;
+        RECT 42.615 113.635 42.785 113.805 ;
+        RECT 43.075 113.635 43.245 113.805 ;
+        RECT 43.535 113.635 43.705 113.805 ;
+        RECT 43.995 113.635 44.165 113.805 ;
+        RECT 44.455 113.635 44.625 113.805 ;
+        RECT 44.915 113.635 45.085 113.805 ;
+        RECT 45.375 113.635 45.545 113.805 ;
+        RECT 45.835 113.635 46.005 113.805 ;
+        RECT 46.295 113.635 46.465 113.805 ;
+        RECT 46.755 113.635 46.925 113.805 ;
+        RECT 47.215 113.635 47.385 113.805 ;
+        RECT 47.675 113.635 47.845 113.805 ;
+        RECT 48.135 113.635 48.305 113.805 ;
+        RECT 48.595 113.635 48.765 113.805 ;
+        RECT 49.055 113.635 49.225 113.805 ;
+        RECT 49.515 113.635 49.685 113.805 ;
+        RECT 49.975 113.635 50.145 113.805 ;
+        RECT 50.435 113.635 50.605 113.805 ;
+        RECT 50.895 113.635 51.065 113.805 ;
+        RECT 51.355 113.635 51.525 113.805 ;
+        RECT 51.815 113.635 51.985 113.805 ;
+        RECT 52.275 113.635 52.445 113.805 ;
+        RECT 52.735 113.635 52.905 113.805 ;
+        RECT 53.195 113.635 53.365 113.805 ;
+        RECT 53.655 113.635 53.825 113.805 ;
+        RECT 42.615 110.915 42.785 111.085 ;
+        RECT 43.075 110.915 43.245 111.085 ;
+        RECT 43.535 110.915 43.705 111.085 ;
+        RECT 43.995 110.915 44.165 111.085 ;
+        RECT 44.455 110.915 44.625 111.085 ;
+        RECT 44.915 110.915 45.085 111.085 ;
+        RECT 45.375 110.915 45.545 111.085 ;
+        RECT 45.835 110.915 46.005 111.085 ;
+        RECT 46.295 110.915 46.465 111.085 ;
+        RECT 46.755 110.915 46.925 111.085 ;
+        RECT 47.215 110.915 47.385 111.085 ;
+        RECT 47.675 110.915 47.845 111.085 ;
+        RECT 48.135 110.915 48.305 111.085 ;
+        RECT 48.595 110.915 48.765 111.085 ;
+        RECT 49.055 110.915 49.225 111.085 ;
+        RECT 49.515 110.915 49.685 111.085 ;
+        RECT 49.975 110.915 50.145 111.085 ;
+        RECT 50.435 110.915 50.605 111.085 ;
+        RECT 50.895 110.915 51.065 111.085 ;
+        RECT 51.355 110.915 51.525 111.085 ;
+        RECT 51.815 110.915 51.985 111.085 ;
+        RECT 52.275 110.915 52.445 111.085 ;
+        RECT 52.735 110.915 52.905 111.085 ;
+        RECT 53.195 110.915 53.365 111.085 ;
+        RECT 53.655 110.915 53.825 111.085 ;
+        RECT 42.615 108.195 42.785 108.365 ;
+        RECT 43.075 108.195 43.245 108.365 ;
+        RECT 43.535 108.195 43.705 108.365 ;
+        RECT 43.995 108.195 44.165 108.365 ;
+        RECT 44.455 108.195 44.625 108.365 ;
+        RECT 44.915 108.195 45.085 108.365 ;
+        RECT 45.375 108.195 45.545 108.365 ;
+        RECT 45.835 108.195 46.005 108.365 ;
+        RECT 46.295 108.195 46.465 108.365 ;
+        RECT 46.755 108.195 46.925 108.365 ;
+        RECT 47.215 108.195 47.385 108.365 ;
+        RECT 47.675 108.195 47.845 108.365 ;
+        RECT 48.135 108.195 48.305 108.365 ;
+        RECT 48.595 108.195 48.765 108.365 ;
+        RECT 49.055 108.195 49.225 108.365 ;
+        RECT 49.515 108.195 49.685 108.365 ;
+        RECT 49.975 108.195 50.145 108.365 ;
+        RECT 50.435 108.195 50.605 108.365 ;
+        RECT 50.895 108.195 51.065 108.365 ;
+        RECT 51.355 108.195 51.525 108.365 ;
+        RECT 51.815 108.195 51.985 108.365 ;
+        RECT 52.275 108.195 52.445 108.365 ;
+        RECT 52.735 108.195 52.905 108.365 ;
+        RECT 53.195 108.195 53.365 108.365 ;
+        RECT 53.655 108.195 53.825 108.365 ;
+        RECT 42.615 105.475 42.785 105.645 ;
+        RECT 43.075 105.475 43.245 105.645 ;
+        RECT 43.535 105.475 43.705 105.645 ;
+        RECT 43.995 105.475 44.165 105.645 ;
+        RECT 44.455 105.475 44.625 105.645 ;
+        RECT 44.915 105.475 45.085 105.645 ;
+        RECT 45.375 105.475 45.545 105.645 ;
+        RECT 45.835 105.475 46.005 105.645 ;
+        RECT 46.295 105.475 46.465 105.645 ;
+        RECT 46.755 105.475 46.925 105.645 ;
+        RECT 47.215 105.475 47.385 105.645 ;
+        RECT 47.675 105.475 47.845 105.645 ;
+        RECT 48.135 105.475 48.305 105.645 ;
+        RECT 48.595 105.475 48.765 105.645 ;
+        RECT 49.055 105.475 49.225 105.645 ;
+        RECT 49.515 105.475 49.685 105.645 ;
+        RECT 49.975 105.475 50.145 105.645 ;
+        RECT 50.435 105.475 50.605 105.645 ;
+        RECT 50.895 105.475 51.065 105.645 ;
+        RECT 51.355 105.475 51.525 105.645 ;
+        RECT 51.815 105.475 51.985 105.645 ;
+        RECT 52.275 105.475 52.445 105.645 ;
+        RECT 52.735 105.475 52.905 105.645 ;
+        RECT 53.195 105.475 53.365 105.645 ;
+        RECT 53.655 105.475 53.825 105.645 ;
+        RECT 42.615 102.755 42.785 102.925 ;
+        RECT 43.075 102.755 43.245 102.925 ;
+        RECT 43.535 102.755 43.705 102.925 ;
+        RECT 43.995 102.755 44.165 102.925 ;
+        RECT 44.455 102.755 44.625 102.925 ;
+        RECT 44.915 102.755 45.085 102.925 ;
+        RECT 45.375 102.755 45.545 102.925 ;
+        RECT 45.835 102.755 46.005 102.925 ;
+        RECT 46.295 102.755 46.465 102.925 ;
+        RECT 46.755 102.755 46.925 102.925 ;
+        RECT 47.215 102.755 47.385 102.925 ;
+        RECT 47.675 102.755 47.845 102.925 ;
+        RECT 48.135 102.755 48.305 102.925 ;
+        RECT 48.595 102.755 48.765 102.925 ;
+        RECT 49.055 102.755 49.225 102.925 ;
+        RECT 49.515 102.755 49.685 102.925 ;
+        RECT 49.975 102.755 50.145 102.925 ;
+        RECT 50.435 102.755 50.605 102.925 ;
+        RECT 50.895 102.755 51.065 102.925 ;
+        RECT 51.355 102.755 51.525 102.925 ;
+        RECT 51.815 102.755 51.985 102.925 ;
+        RECT 52.275 102.755 52.445 102.925 ;
+        RECT 52.735 102.755 52.905 102.925 ;
+        RECT 53.195 102.755 53.365 102.925 ;
+        RECT 53.655 102.755 53.825 102.925 ;
+        RECT 42.615 100.035 42.785 100.205 ;
+        RECT 43.075 100.035 43.245 100.205 ;
+        RECT 43.535 100.035 43.705 100.205 ;
+        RECT 43.995 100.035 44.165 100.205 ;
+        RECT 44.455 100.035 44.625 100.205 ;
+        RECT 44.915 100.035 45.085 100.205 ;
+        RECT 45.375 100.035 45.545 100.205 ;
+        RECT 45.835 100.035 46.005 100.205 ;
+        RECT 46.295 100.035 46.465 100.205 ;
+        RECT 46.755 100.035 46.925 100.205 ;
+        RECT 47.215 100.035 47.385 100.205 ;
+        RECT 47.675 100.035 47.845 100.205 ;
+        RECT 48.135 100.035 48.305 100.205 ;
+        RECT 48.595 100.035 48.765 100.205 ;
+        RECT 49.055 100.035 49.225 100.205 ;
+        RECT 49.515 100.035 49.685 100.205 ;
+        RECT 49.975 100.035 50.145 100.205 ;
+        RECT 50.435 100.035 50.605 100.205 ;
+        RECT 50.895 100.035 51.065 100.205 ;
+        RECT 51.355 100.035 51.525 100.205 ;
+        RECT 51.815 100.035 51.985 100.205 ;
+        RECT 52.275 100.035 52.445 100.205 ;
+        RECT 52.735 100.035 52.905 100.205 ;
+        RECT 53.195 100.035 53.365 100.205 ;
+        RECT 53.655 100.035 53.825 100.205 ;
+        RECT 42.615 97.315 42.785 97.485 ;
+        RECT 43.075 97.315 43.245 97.485 ;
+        RECT 43.535 97.315 43.705 97.485 ;
+        RECT 43.995 97.315 44.165 97.485 ;
+        RECT 44.455 97.315 44.625 97.485 ;
+        RECT 44.915 97.315 45.085 97.485 ;
+        RECT 45.375 97.315 45.545 97.485 ;
+        RECT 45.835 97.315 46.005 97.485 ;
+        RECT 46.295 97.315 46.465 97.485 ;
+        RECT 46.755 97.315 46.925 97.485 ;
+        RECT 47.215 97.315 47.385 97.485 ;
+        RECT 47.675 97.315 47.845 97.485 ;
+        RECT 48.135 97.315 48.305 97.485 ;
+        RECT 48.595 97.315 48.765 97.485 ;
+        RECT 49.055 97.315 49.225 97.485 ;
+        RECT 49.515 97.315 49.685 97.485 ;
+        RECT 49.975 97.315 50.145 97.485 ;
+        RECT 50.435 97.315 50.605 97.485 ;
+        RECT 50.895 97.315 51.065 97.485 ;
+        RECT 51.355 97.315 51.525 97.485 ;
+        RECT 51.815 97.315 51.985 97.485 ;
+        RECT 52.275 97.315 52.445 97.485 ;
+        RECT 52.735 97.315 52.905 97.485 ;
+        RECT 53.195 97.315 53.365 97.485 ;
+        RECT 53.655 97.315 53.825 97.485 ;
+        RECT 42.615 94.595 42.785 94.765 ;
+        RECT 43.075 94.595 43.245 94.765 ;
+        RECT 43.535 94.595 43.705 94.765 ;
+        RECT 43.995 94.595 44.165 94.765 ;
+        RECT 44.455 94.595 44.625 94.765 ;
+        RECT 44.915 94.595 45.085 94.765 ;
+        RECT 45.375 94.595 45.545 94.765 ;
+        RECT 45.835 94.595 46.005 94.765 ;
+        RECT 46.295 94.595 46.465 94.765 ;
+        RECT 46.755 94.595 46.925 94.765 ;
+        RECT 47.215 94.595 47.385 94.765 ;
+        RECT 47.675 94.595 47.845 94.765 ;
+        RECT 48.135 94.595 48.305 94.765 ;
+        RECT 48.595 94.595 48.765 94.765 ;
+        RECT 49.055 94.595 49.225 94.765 ;
+        RECT 49.515 94.595 49.685 94.765 ;
+        RECT 49.975 94.595 50.145 94.765 ;
+        RECT 50.435 94.595 50.605 94.765 ;
+        RECT 50.895 94.595 51.065 94.765 ;
+        RECT 51.355 94.595 51.525 94.765 ;
+        RECT 51.815 94.595 51.985 94.765 ;
+        RECT 52.275 94.595 52.445 94.765 ;
+        RECT 52.735 94.595 52.905 94.765 ;
+        RECT 53.195 94.595 53.365 94.765 ;
+        RECT 53.655 94.595 53.825 94.765 ;
+        RECT 42.615 91.875 42.785 92.045 ;
+        RECT 43.075 91.875 43.245 92.045 ;
+        RECT 43.535 91.875 43.705 92.045 ;
+        RECT 43.995 91.875 44.165 92.045 ;
+        RECT 44.455 91.875 44.625 92.045 ;
+        RECT 44.915 91.875 45.085 92.045 ;
+        RECT 45.375 91.875 45.545 92.045 ;
+        RECT 45.835 91.875 46.005 92.045 ;
+        RECT 46.295 91.875 46.465 92.045 ;
+        RECT 46.755 91.875 46.925 92.045 ;
+        RECT 47.215 91.875 47.385 92.045 ;
+        RECT 47.675 91.875 47.845 92.045 ;
+        RECT 48.135 91.875 48.305 92.045 ;
+        RECT 48.595 91.875 48.765 92.045 ;
+        RECT 49.055 91.875 49.225 92.045 ;
+        RECT 49.515 91.875 49.685 92.045 ;
+        RECT 49.975 91.875 50.145 92.045 ;
+        RECT 50.435 91.875 50.605 92.045 ;
+        RECT 50.895 91.875 51.065 92.045 ;
+        RECT 51.355 91.875 51.525 92.045 ;
+        RECT 51.815 91.875 51.985 92.045 ;
+        RECT 52.275 91.875 52.445 92.045 ;
+        RECT 52.735 91.875 52.905 92.045 ;
+        RECT 53.195 91.875 53.365 92.045 ;
+        RECT 53.655 91.875 53.825 92.045 ;
+        RECT 42.615 89.155 42.785 89.325 ;
+        RECT 43.075 89.155 43.245 89.325 ;
+        RECT 43.535 89.155 43.705 89.325 ;
+        RECT 43.995 89.155 44.165 89.325 ;
+        RECT 44.455 89.155 44.625 89.325 ;
+        RECT 44.915 89.155 45.085 89.325 ;
+        RECT 45.375 89.155 45.545 89.325 ;
+        RECT 45.835 89.155 46.005 89.325 ;
+        RECT 46.295 89.155 46.465 89.325 ;
+        RECT 46.755 89.155 46.925 89.325 ;
+        RECT 47.215 89.155 47.385 89.325 ;
+        RECT 47.675 89.155 47.845 89.325 ;
+        RECT 48.135 89.155 48.305 89.325 ;
+        RECT 48.595 89.155 48.765 89.325 ;
+        RECT 49.055 89.155 49.225 89.325 ;
+        RECT 49.515 89.155 49.685 89.325 ;
+        RECT 49.975 89.155 50.145 89.325 ;
+        RECT 50.435 89.155 50.605 89.325 ;
+        RECT 50.895 89.155 51.065 89.325 ;
+        RECT 51.355 89.155 51.525 89.325 ;
+        RECT 51.815 89.155 51.985 89.325 ;
+        RECT 52.275 89.155 52.445 89.325 ;
+        RECT 52.735 89.155 52.905 89.325 ;
+        RECT 53.195 89.155 53.365 89.325 ;
+        RECT 53.655 89.155 53.825 89.325 ;
+        RECT 42.615 86.435 42.785 86.605 ;
+        RECT 43.075 86.435 43.245 86.605 ;
+        RECT 43.535 86.435 43.705 86.605 ;
+        RECT 43.995 86.435 44.165 86.605 ;
+        RECT 44.455 86.435 44.625 86.605 ;
+        RECT 44.915 86.435 45.085 86.605 ;
+        RECT 45.375 86.435 45.545 86.605 ;
+        RECT 45.835 86.435 46.005 86.605 ;
+        RECT 46.295 86.435 46.465 86.605 ;
+        RECT 46.755 86.435 46.925 86.605 ;
+        RECT 47.215 86.435 47.385 86.605 ;
+        RECT 47.675 86.435 47.845 86.605 ;
+        RECT 48.135 86.435 48.305 86.605 ;
+        RECT 48.595 86.435 48.765 86.605 ;
+        RECT 49.055 86.435 49.225 86.605 ;
+        RECT 49.515 86.435 49.685 86.605 ;
+        RECT 49.975 86.435 50.145 86.605 ;
+        RECT 50.435 86.435 50.605 86.605 ;
+        RECT 50.895 86.435 51.065 86.605 ;
+        RECT 51.355 86.435 51.525 86.605 ;
+        RECT 51.815 86.435 51.985 86.605 ;
+        RECT 52.275 86.435 52.445 86.605 ;
+        RECT 52.735 86.435 52.905 86.605 ;
+        RECT 53.195 86.435 53.365 86.605 ;
+        RECT 53.655 86.435 53.825 86.605 ;
+        RECT 42.615 83.715 42.785 83.885 ;
+        RECT 43.075 83.715 43.245 83.885 ;
+        RECT 43.535 83.715 43.705 83.885 ;
+        RECT 43.995 83.715 44.165 83.885 ;
+        RECT 44.455 83.715 44.625 83.885 ;
+        RECT 44.915 83.715 45.085 83.885 ;
+        RECT 45.375 83.715 45.545 83.885 ;
+        RECT 45.835 83.715 46.005 83.885 ;
+        RECT 46.295 83.715 46.465 83.885 ;
+        RECT 46.755 83.715 46.925 83.885 ;
+        RECT 47.215 83.715 47.385 83.885 ;
+        RECT 47.675 83.715 47.845 83.885 ;
+        RECT 48.135 83.715 48.305 83.885 ;
+        RECT 48.595 83.715 48.765 83.885 ;
+        RECT 49.055 83.715 49.225 83.885 ;
+        RECT 49.515 83.715 49.685 83.885 ;
+        RECT 49.975 83.715 50.145 83.885 ;
+        RECT 50.435 83.715 50.605 83.885 ;
+        RECT 50.895 83.715 51.065 83.885 ;
+        RECT 51.355 83.715 51.525 83.885 ;
+        RECT 51.815 83.715 51.985 83.885 ;
+        RECT 52.275 83.715 52.445 83.885 ;
+        RECT 52.735 83.715 52.905 83.885 ;
+        RECT 53.195 83.715 53.365 83.885 ;
+        RECT 53.655 83.715 53.825 83.885 ;
+        RECT 42.615 80.995 42.785 81.165 ;
+        RECT 43.075 80.995 43.245 81.165 ;
+        RECT 43.535 80.995 43.705 81.165 ;
+        RECT 43.995 80.995 44.165 81.165 ;
+        RECT 44.455 80.995 44.625 81.165 ;
+        RECT 44.915 80.995 45.085 81.165 ;
+        RECT 45.375 80.995 45.545 81.165 ;
+        RECT 45.835 80.995 46.005 81.165 ;
+        RECT 46.295 80.995 46.465 81.165 ;
+        RECT 46.755 80.995 46.925 81.165 ;
+        RECT 47.215 80.995 47.385 81.165 ;
+        RECT 47.675 80.995 47.845 81.165 ;
+        RECT 48.135 80.995 48.305 81.165 ;
+        RECT 48.595 80.995 48.765 81.165 ;
+        RECT 49.055 80.995 49.225 81.165 ;
+        RECT 49.515 80.995 49.685 81.165 ;
+        RECT 49.975 80.995 50.145 81.165 ;
+        RECT 50.435 80.995 50.605 81.165 ;
+        RECT 50.895 80.995 51.065 81.165 ;
+        RECT 51.355 80.995 51.525 81.165 ;
+        RECT 51.815 80.995 51.985 81.165 ;
+        RECT 52.275 80.995 52.445 81.165 ;
+        RECT 52.735 80.995 52.905 81.165 ;
+        RECT 53.195 80.995 53.365 81.165 ;
+        RECT 53.655 80.995 53.825 81.165 ;
+        RECT 42.615 78.275 42.785 78.445 ;
+        RECT 43.075 78.275 43.245 78.445 ;
+        RECT 43.535 78.275 43.705 78.445 ;
+        RECT 43.995 78.275 44.165 78.445 ;
+        RECT 44.455 78.275 44.625 78.445 ;
+        RECT 44.915 78.275 45.085 78.445 ;
+        RECT 45.375 78.275 45.545 78.445 ;
+        RECT 45.835 78.275 46.005 78.445 ;
+        RECT 46.295 78.275 46.465 78.445 ;
+        RECT 46.755 78.275 46.925 78.445 ;
+        RECT 47.215 78.275 47.385 78.445 ;
+        RECT 47.675 78.275 47.845 78.445 ;
+        RECT 48.135 78.275 48.305 78.445 ;
+        RECT 48.595 78.275 48.765 78.445 ;
+        RECT 49.055 78.275 49.225 78.445 ;
+        RECT 49.515 78.275 49.685 78.445 ;
+        RECT 49.975 78.275 50.145 78.445 ;
+        RECT 50.435 78.275 50.605 78.445 ;
+        RECT 50.895 78.275 51.065 78.445 ;
+        RECT 51.355 78.275 51.525 78.445 ;
+        RECT 51.815 78.275 51.985 78.445 ;
+        RECT 52.275 78.275 52.445 78.445 ;
+        RECT 52.735 78.275 52.905 78.445 ;
+        RECT 53.195 78.275 53.365 78.445 ;
+        RECT 53.655 78.275 53.825 78.445 ;
+        RECT 42.615 75.555 42.785 75.725 ;
+        RECT 43.075 75.555 43.245 75.725 ;
+        RECT 43.535 75.555 43.705 75.725 ;
+        RECT 43.995 75.555 44.165 75.725 ;
+        RECT 44.455 75.555 44.625 75.725 ;
+        RECT 44.915 75.555 45.085 75.725 ;
+        RECT 45.375 75.555 45.545 75.725 ;
+        RECT 45.835 75.555 46.005 75.725 ;
+        RECT 46.295 75.555 46.465 75.725 ;
+        RECT 46.755 75.555 46.925 75.725 ;
+        RECT 47.215 75.555 47.385 75.725 ;
+        RECT 47.675 75.555 47.845 75.725 ;
+        RECT 48.135 75.555 48.305 75.725 ;
+        RECT 48.595 75.555 48.765 75.725 ;
+        RECT 49.055 75.555 49.225 75.725 ;
+        RECT 49.515 75.555 49.685 75.725 ;
+        RECT 49.975 75.555 50.145 75.725 ;
+        RECT 50.435 75.555 50.605 75.725 ;
+        RECT 50.895 75.555 51.065 75.725 ;
+        RECT 51.355 75.555 51.525 75.725 ;
+        RECT 51.815 75.555 51.985 75.725 ;
+        RECT 52.275 75.555 52.445 75.725 ;
+        RECT 52.735 75.555 52.905 75.725 ;
+        RECT 53.195 75.555 53.365 75.725 ;
+        RECT 53.655 75.555 53.825 75.725 ;
+        RECT 42.615 72.835 42.785 73.005 ;
+        RECT 43.075 72.835 43.245 73.005 ;
+        RECT 43.535 72.835 43.705 73.005 ;
+        RECT 43.995 72.835 44.165 73.005 ;
+        RECT 44.455 72.835 44.625 73.005 ;
+        RECT 44.915 72.835 45.085 73.005 ;
+        RECT 45.375 72.835 45.545 73.005 ;
+        RECT 45.835 72.835 46.005 73.005 ;
+        RECT 46.295 72.835 46.465 73.005 ;
+        RECT 46.755 72.835 46.925 73.005 ;
+        RECT 47.215 72.835 47.385 73.005 ;
+        RECT 47.675 72.835 47.845 73.005 ;
+        RECT 48.135 72.835 48.305 73.005 ;
+        RECT 48.595 72.835 48.765 73.005 ;
+        RECT 49.055 72.835 49.225 73.005 ;
+        RECT 49.515 72.835 49.685 73.005 ;
+        RECT 49.975 72.835 50.145 73.005 ;
+        RECT 50.435 72.835 50.605 73.005 ;
+        RECT 50.895 72.835 51.065 73.005 ;
+        RECT 51.355 72.835 51.525 73.005 ;
+        RECT 51.815 72.835 51.985 73.005 ;
+        RECT 52.275 72.835 52.445 73.005 ;
+        RECT 52.735 72.835 52.905 73.005 ;
+        RECT 53.195 72.835 53.365 73.005 ;
+        RECT 53.655 72.835 53.825 73.005 ;
+        RECT 42.615 70.115 42.785 70.285 ;
+        RECT 43.075 70.115 43.245 70.285 ;
+        RECT 43.535 70.115 43.705 70.285 ;
+        RECT 43.995 70.115 44.165 70.285 ;
+        RECT 44.455 70.115 44.625 70.285 ;
+        RECT 44.915 70.115 45.085 70.285 ;
+        RECT 45.375 70.115 45.545 70.285 ;
+        RECT 45.835 70.115 46.005 70.285 ;
+        RECT 46.295 70.115 46.465 70.285 ;
+        RECT 46.755 70.115 46.925 70.285 ;
+        RECT 47.215 70.115 47.385 70.285 ;
+        RECT 47.675 70.115 47.845 70.285 ;
+        RECT 48.135 70.115 48.305 70.285 ;
+        RECT 48.595 70.115 48.765 70.285 ;
+        RECT 49.055 70.115 49.225 70.285 ;
+        RECT 49.515 70.115 49.685 70.285 ;
+        RECT 49.975 70.115 50.145 70.285 ;
+        RECT 50.435 70.115 50.605 70.285 ;
+        RECT 50.895 70.115 51.065 70.285 ;
+        RECT 51.355 70.115 51.525 70.285 ;
+        RECT 51.815 70.115 51.985 70.285 ;
+        RECT 52.275 70.115 52.445 70.285 ;
+        RECT 52.735 70.115 52.905 70.285 ;
+        RECT 53.195 70.115 53.365 70.285 ;
+        RECT 53.655 70.115 53.825 70.285 ;
+        RECT 42.615 67.395 42.785 67.565 ;
+        RECT 43.075 67.395 43.245 67.565 ;
+        RECT 43.535 67.395 43.705 67.565 ;
+        RECT 43.995 67.395 44.165 67.565 ;
+        RECT 44.455 67.395 44.625 67.565 ;
+        RECT 44.915 67.395 45.085 67.565 ;
+        RECT 45.375 67.395 45.545 67.565 ;
+        RECT 45.835 67.395 46.005 67.565 ;
+        RECT 46.295 67.395 46.465 67.565 ;
+        RECT 46.755 67.395 46.925 67.565 ;
+        RECT 47.215 67.395 47.385 67.565 ;
+        RECT 47.675 67.395 47.845 67.565 ;
+        RECT 48.135 67.395 48.305 67.565 ;
+        RECT 48.595 67.395 48.765 67.565 ;
+        RECT 49.055 67.395 49.225 67.565 ;
+        RECT 49.515 67.395 49.685 67.565 ;
+        RECT 49.975 67.395 50.145 67.565 ;
+        RECT 50.435 67.395 50.605 67.565 ;
+        RECT 50.895 67.395 51.065 67.565 ;
+        RECT 51.355 67.395 51.525 67.565 ;
+        RECT 51.815 67.395 51.985 67.565 ;
+        RECT 52.275 67.395 52.445 67.565 ;
+        RECT 52.735 67.395 52.905 67.565 ;
+        RECT 53.195 67.395 53.365 67.565 ;
+        RECT 53.655 67.395 53.825 67.565 ;
+        RECT 42.615 64.675 42.785 64.845 ;
+        RECT 43.075 64.675 43.245 64.845 ;
+        RECT 43.535 64.675 43.705 64.845 ;
+        RECT 43.995 64.675 44.165 64.845 ;
+        RECT 44.455 64.675 44.625 64.845 ;
+        RECT 44.915 64.675 45.085 64.845 ;
+        RECT 45.375 64.675 45.545 64.845 ;
+        RECT 45.835 64.675 46.005 64.845 ;
+        RECT 46.295 64.675 46.465 64.845 ;
+        RECT 46.755 64.675 46.925 64.845 ;
+        RECT 47.215 64.675 47.385 64.845 ;
+        RECT 47.675 64.675 47.845 64.845 ;
+        RECT 48.135 64.675 48.305 64.845 ;
+        RECT 48.595 64.675 48.765 64.845 ;
+        RECT 49.055 64.675 49.225 64.845 ;
+        RECT 49.515 64.675 49.685 64.845 ;
+        RECT 49.975 64.675 50.145 64.845 ;
+        RECT 50.435 64.675 50.605 64.845 ;
+        RECT 50.895 64.675 51.065 64.845 ;
+        RECT 51.355 64.675 51.525 64.845 ;
+        RECT 51.815 64.675 51.985 64.845 ;
+        RECT 52.275 64.675 52.445 64.845 ;
+        RECT 52.735 64.675 52.905 64.845 ;
+        RECT 53.195 64.675 53.365 64.845 ;
+        RECT 53.655 64.675 53.825 64.845 ;
+        RECT 42.615 61.955 42.785 62.125 ;
+        RECT 43.075 61.955 43.245 62.125 ;
+        RECT 43.535 61.955 43.705 62.125 ;
+        RECT 43.995 61.955 44.165 62.125 ;
+        RECT 44.455 61.955 44.625 62.125 ;
+        RECT 44.915 61.955 45.085 62.125 ;
+        RECT 45.375 61.955 45.545 62.125 ;
+        RECT 45.835 61.955 46.005 62.125 ;
+        RECT 46.295 61.955 46.465 62.125 ;
+        RECT 46.755 61.955 46.925 62.125 ;
+        RECT 47.215 61.955 47.385 62.125 ;
+        RECT 47.675 61.955 47.845 62.125 ;
+        RECT 48.135 61.955 48.305 62.125 ;
+        RECT 48.595 61.955 48.765 62.125 ;
+        RECT 49.055 61.955 49.225 62.125 ;
+        RECT 49.515 61.955 49.685 62.125 ;
+        RECT 49.975 61.955 50.145 62.125 ;
+        RECT 50.435 61.955 50.605 62.125 ;
+        RECT 50.895 61.955 51.065 62.125 ;
+        RECT 51.355 61.955 51.525 62.125 ;
+        RECT 51.815 61.955 51.985 62.125 ;
+        RECT 52.275 61.955 52.445 62.125 ;
+        RECT 52.735 61.955 52.905 62.125 ;
+        RECT 53.195 61.955 53.365 62.125 ;
+        RECT 53.655 61.955 53.825 62.125 ;
+        RECT 42.615 59.235 42.785 59.405 ;
+        RECT 43.075 59.235 43.245 59.405 ;
+        RECT 43.535 59.235 43.705 59.405 ;
+        RECT 43.995 59.235 44.165 59.405 ;
+        RECT 44.455 59.235 44.625 59.405 ;
+        RECT 44.915 59.235 45.085 59.405 ;
+        RECT 45.375 59.235 45.545 59.405 ;
+        RECT 45.835 59.235 46.005 59.405 ;
+        RECT 46.295 59.235 46.465 59.405 ;
+        RECT 46.755 59.235 46.925 59.405 ;
+        RECT 47.215 59.235 47.385 59.405 ;
+        RECT 47.675 59.235 47.845 59.405 ;
+        RECT 48.135 59.235 48.305 59.405 ;
+        RECT 48.595 59.235 48.765 59.405 ;
+        RECT 49.055 59.235 49.225 59.405 ;
+        RECT 49.515 59.235 49.685 59.405 ;
+        RECT 49.975 59.235 50.145 59.405 ;
+        RECT 50.435 59.235 50.605 59.405 ;
+        RECT 50.895 59.235 51.065 59.405 ;
+        RECT 51.355 59.235 51.525 59.405 ;
+        RECT 51.815 59.235 51.985 59.405 ;
+        RECT 52.275 59.235 52.445 59.405 ;
+        RECT 52.735 59.235 52.905 59.405 ;
+        RECT 53.195 59.235 53.365 59.405 ;
+        RECT 53.655 59.235 53.825 59.405 ;
+        RECT 42.615 56.515 42.785 56.685 ;
+        RECT 43.075 56.515 43.245 56.685 ;
+        RECT 43.535 56.515 43.705 56.685 ;
+        RECT 43.995 56.515 44.165 56.685 ;
+        RECT 44.455 56.515 44.625 56.685 ;
+        RECT 44.915 56.515 45.085 56.685 ;
+        RECT 45.375 56.515 45.545 56.685 ;
+        RECT 45.835 56.515 46.005 56.685 ;
+        RECT 46.295 56.515 46.465 56.685 ;
+        RECT 46.755 56.515 46.925 56.685 ;
+        RECT 47.215 56.515 47.385 56.685 ;
+        RECT 47.675 56.515 47.845 56.685 ;
+        RECT 48.135 56.515 48.305 56.685 ;
+        RECT 48.595 56.515 48.765 56.685 ;
+        RECT 49.055 56.515 49.225 56.685 ;
+        RECT 49.515 56.515 49.685 56.685 ;
+        RECT 49.975 56.515 50.145 56.685 ;
+        RECT 50.435 56.515 50.605 56.685 ;
+        RECT 50.895 56.515 51.065 56.685 ;
+        RECT 51.355 56.515 51.525 56.685 ;
+        RECT 51.815 56.515 51.985 56.685 ;
+        RECT 52.275 56.515 52.445 56.685 ;
+        RECT 52.735 56.515 52.905 56.685 ;
+        RECT 53.195 56.515 53.365 56.685 ;
+        RECT 53.655 56.515 53.825 56.685 ;
+      LAYER met1 ;
+        RECT 42.470 619.400 633.960 619.880 ;
+        RECT 54.000 617.160 633.960 619.400 ;
+        RECT 42.470 616.680 633.960 617.160 ;
+        RECT 54.000 614.440 633.960 616.680 ;
+        RECT 42.470 613.960 633.960 614.440 ;
+        RECT 54.000 611.720 633.960 613.960 ;
+        RECT 42.470 611.240 633.960 611.720 ;
+        RECT 44.380 611.040 44.700 611.100 ;
+        RECT 54.000 611.040 633.960 611.240 ;
+        RECT 44.380 610.900 633.960 611.040 ;
+        RECT 44.380 610.840 44.700 610.900 ;
+        RECT 49.440 609.340 49.760 609.400 ;
+        RECT 54.000 609.340 633.960 610.900 ;
+        RECT 49.440 609.200 633.960 609.340 ;
+        RECT 49.440 609.140 49.760 609.200 ;
+        RECT 54.000 609.000 633.960 609.200 ;
+        RECT 42.470 608.520 633.960 609.000 ;
+        RECT 54.000 606.280 633.960 608.520 ;
+        RECT 42.470 605.800 633.960 606.280 ;
+        RECT 54.000 603.560 633.960 605.800 ;
+        RECT 42.470 603.080 633.960 603.560 ;
+        RECT 54.000 600.840 633.960 603.080 ;
+        RECT 42.470 600.360 633.960 600.840 ;
+        RECT 54.000 598.120 633.960 600.360 ;
+        RECT 42.470 597.640 633.960 598.120 ;
+        RECT 54.000 595.400 633.960 597.640 ;
+        RECT 42.470 594.920 633.960 595.400 ;
+        RECT 54.000 592.680 633.960 594.920 ;
+        RECT 42.470 592.200 633.960 592.680 ;
+        RECT 54.000 589.960 633.960 592.200 ;
+        RECT 42.470 589.480 633.960 589.960 ;
+        RECT 54.000 587.240 633.960 589.480 ;
+        RECT 42.470 586.760 633.960 587.240 ;
+        RECT 54.000 584.520 633.960 586.760 ;
+        RECT 42.470 584.040 633.960 584.520 ;
+        RECT 54.000 581.800 633.960 584.040 ;
+        RECT 42.470 581.320 633.960 581.800 ;
+        RECT 54.000 579.080 633.960 581.320 ;
+        RECT 42.470 578.600 633.960 579.080 ;
+        RECT 54.000 576.360 633.960 578.600 ;
+        RECT 42.470 575.880 633.960 576.360 ;
+        RECT 54.000 573.640 633.960 575.880 ;
+        RECT 42.470 573.160 633.960 573.640 ;
+        RECT 54.000 570.920 633.960 573.160 ;
+        RECT 42.470 570.440 633.960 570.920 ;
+        RECT 54.000 568.200 633.960 570.440 ;
+        RECT 42.470 567.720 633.960 568.200 ;
+        RECT 54.000 565.480 633.960 567.720 ;
+        RECT 42.470 565.000 633.960 565.480 ;
+        RECT 54.000 562.760 633.960 565.000 ;
+        RECT 42.470 562.280 633.960 562.760 ;
+        RECT 54.000 560.040 633.960 562.280 ;
+        RECT 42.470 559.560 633.960 560.040 ;
+        RECT 54.000 557.320 633.960 559.560 ;
+        RECT 42.470 556.840 633.960 557.320 ;
+        RECT 54.000 554.600 633.960 556.840 ;
+        RECT 42.470 554.120 633.960 554.600 ;
+        RECT 54.000 551.880 633.960 554.120 ;
+        RECT 42.470 551.400 633.960 551.880 ;
+        RECT 54.000 549.160 633.960 551.400 ;
+        RECT 42.470 548.680 633.960 549.160 ;
+        RECT 54.000 546.440 633.960 548.680 ;
+        RECT 42.470 545.960 633.960 546.440 ;
+        RECT 54.000 543.720 633.960 545.960 ;
+        RECT 42.470 543.240 633.960 543.720 ;
+        RECT 54.000 541.000 633.960 543.240 ;
+        RECT 42.470 540.520 633.960 541.000 ;
+        RECT 54.000 538.280 633.960 540.520 ;
+        RECT 42.470 537.800 633.960 538.280 ;
+        RECT 54.000 535.560 633.960 537.800 ;
+        RECT 42.470 535.080 633.960 535.560 ;
+        RECT 54.000 532.840 633.960 535.080 ;
+        RECT 42.470 532.360 633.960 532.840 ;
+        RECT 54.000 530.120 633.960 532.360 ;
+        RECT 42.470 529.640 633.960 530.120 ;
+        RECT 54.000 527.400 633.960 529.640 ;
+        RECT 42.470 526.920 633.960 527.400 ;
+        RECT 54.000 524.680 633.960 526.920 ;
+        RECT 42.470 524.200 633.960 524.680 ;
+        RECT 54.000 521.960 633.960 524.200 ;
+        RECT 42.470 521.480 633.960 521.960 ;
+        RECT 54.000 519.240 633.960 521.480 ;
+        RECT 42.470 518.760 633.960 519.240 ;
+        RECT 54.000 516.520 633.960 518.760 ;
+        RECT 42.470 516.040 633.960 516.520 ;
+        RECT 54.000 513.800 633.960 516.040 ;
+        RECT 42.470 513.320 633.960 513.800 ;
+        RECT 54.000 511.080 633.960 513.320 ;
+        RECT 42.470 510.600 633.960 511.080 ;
+        RECT 54.000 508.360 633.960 510.600 ;
+        RECT 42.470 507.880 633.960 508.360 ;
+        RECT 54.000 505.640 633.960 507.880 ;
+        RECT 42.470 505.160 633.960 505.640 ;
+        RECT 54.000 502.920 633.960 505.160 ;
+        RECT 42.470 502.440 633.960 502.920 ;
+        RECT 54.000 500.200 633.960 502.440 ;
+        RECT 42.470 499.720 633.960 500.200 ;
+        RECT 54.000 497.480 633.960 499.720 ;
+        RECT 42.470 497.000 633.960 497.480 ;
+        RECT 54.000 494.760 633.960 497.000 ;
+        RECT 42.470 494.280 633.960 494.760 ;
+        RECT 54.000 492.040 633.960 494.280 ;
+        RECT 42.470 491.560 633.960 492.040 ;
+        RECT 54.000 489.320 633.960 491.560 ;
+        RECT 42.470 488.840 633.960 489.320 ;
+        RECT 54.000 486.600 633.960 488.840 ;
+        RECT 42.470 486.120 633.960 486.600 ;
+        RECT 54.000 483.880 633.960 486.120 ;
+        RECT 42.470 483.400 633.960 483.880 ;
+        RECT 54.000 481.160 633.960 483.400 ;
+        RECT 42.470 480.680 633.960 481.160 ;
+        RECT 54.000 478.440 633.960 480.680 ;
+        RECT 42.470 477.960 633.960 478.440 ;
+        RECT 54.000 475.720 633.960 477.960 ;
+        RECT 42.470 475.240 633.960 475.720 ;
+        RECT 54.000 473.000 633.960 475.240 ;
+        RECT 42.470 472.520 633.960 473.000 ;
+        RECT 54.000 470.280 633.960 472.520 ;
+        RECT 42.470 469.800 633.960 470.280 ;
+        RECT 54.000 467.560 633.960 469.800 ;
+        RECT 42.470 467.080 633.960 467.560 ;
+        RECT 54.000 464.840 633.960 467.080 ;
+        RECT 42.470 464.360 633.960 464.840 ;
+        RECT 54.000 462.120 633.960 464.360 ;
+        RECT 42.470 461.640 633.960 462.120 ;
+        RECT 54.000 459.400 633.960 461.640 ;
+        RECT 42.470 458.920 633.960 459.400 ;
+        RECT 54.000 456.680 633.960 458.920 ;
+        RECT 42.470 456.200 633.960 456.680 ;
+        RECT 54.000 453.960 633.960 456.200 ;
+        RECT 42.470 453.480 633.960 453.960 ;
+        RECT 54.000 451.240 633.960 453.480 ;
+        RECT 42.470 450.760 633.960 451.240 ;
+        RECT 54.000 448.520 633.960 450.760 ;
+        RECT 42.470 448.040 633.960 448.520 ;
+        RECT 54.000 445.800 633.960 448.040 ;
+        RECT 42.470 445.320 633.960 445.800 ;
+        RECT 54.000 443.080 633.960 445.320 ;
+        RECT 42.470 442.600 633.960 443.080 ;
+        RECT 54.000 440.360 633.960 442.600 ;
+        RECT 42.470 439.880 633.960 440.360 ;
+        RECT 54.000 437.640 633.960 439.880 ;
+        RECT 42.470 437.160 633.960 437.640 ;
+        RECT 54.000 434.920 633.960 437.160 ;
+        RECT 42.470 434.440 633.960 434.920 ;
+        RECT 54.000 432.200 633.960 434.440 ;
+        RECT 42.470 431.720 633.960 432.200 ;
+        RECT 54.000 429.480 633.960 431.720 ;
+        RECT 42.470 429.000 633.960 429.480 ;
+        RECT 54.000 426.760 633.960 429.000 ;
+        RECT 42.470 426.280 633.960 426.760 ;
+        RECT 54.000 424.040 633.960 426.280 ;
+        RECT 42.470 423.560 633.960 424.040 ;
+        RECT 54.000 421.320 633.960 423.560 ;
+        RECT 42.470 420.840 633.960 421.320 ;
+        RECT 54.000 418.600 633.960 420.840 ;
+        RECT 42.470 418.120 633.960 418.600 ;
+        RECT 54.000 415.880 633.960 418.120 ;
+        RECT 42.470 415.400 633.960 415.880 ;
+        RECT 54.000 413.160 633.960 415.400 ;
+        RECT 42.470 412.680 633.960 413.160 ;
+        RECT 54.000 410.440 633.960 412.680 ;
+        RECT 42.470 409.960 633.960 410.440 ;
+        RECT 54.000 407.720 633.960 409.960 ;
+        RECT 42.470 407.240 633.960 407.720 ;
+        RECT 54.000 405.000 633.960 407.240 ;
+        RECT 42.470 404.520 633.960 405.000 ;
+        RECT 54.000 402.280 633.960 404.520 ;
+        RECT 42.470 401.800 633.960 402.280 ;
+        RECT 54.000 399.560 633.960 401.800 ;
+        RECT 42.470 399.080 633.960 399.560 ;
+        RECT 54.000 396.840 633.960 399.080 ;
+        RECT 42.470 396.360 633.960 396.840 ;
+        RECT 54.000 394.120 633.960 396.360 ;
+        RECT 42.470 393.640 633.960 394.120 ;
+        RECT 54.000 391.400 633.960 393.640 ;
+        RECT 42.470 390.920 633.960 391.400 ;
+        RECT 54.000 388.680 633.960 390.920 ;
+        RECT 42.470 388.200 633.960 388.680 ;
+        RECT 54.000 385.960 633.960 388.200 ;
+        RECT 42.470 385.480 633.960 385.960 ;
+        RECT 54.000 383.240 633.960 385.480 ;
+        RECT 42.470 382.760 633.960 383.240 ;
+        RECT 54.000 380.520 633.960 382.760 ;
+        RECT 42.470 380.040 633.960 380.520 ;
+        RECT 54.000 377.800 633.960 380.040 ;
+        RECT 42.470 377.320 633.960 377.800 ;
+        RECT 54.000 375.080 633.960 377.320 ;
+        RECT 42.470 374.600 633.960 375.080 ;
+        RECT 54.000 372.360 633.960 374.600 ;
+        RECT 42.470 371.880 633.960 372.360 ;
+        RECT 54.000 369.640 633.960 371.880 ;
+        RECT 42.470 369.160 633.960 369.640 ;
+        RECT 54.000 366.920 633.960 369.160 ;
+        RECT 42.470 366.440 633.960 366.920 ;
+        RECT 54.000 364.200 633.960 366.440 ;
+        RECT 42.470 363.720 633.960 364.200 ;
+        RECT 54.000 361.480 633.960 363.720 ;
+        RECT 42.470 361.000 633.960 361.480 ;
+        RECT 54.000 358.760 633.960 361.000 ;
+        RECT 42.470 358.280 633.960 358.760 ;
+        RECT 54.000 356.040 633.960 358.280 ;
+        RECT 42.470 355.560 633.960 356.040 ;
+        RECT 54.000 353.320 633.960 355.560 ;
+        RECT 42.470 352.840 633.960 353.320 ;
+        RECT 54.000 350.600 633.960 352.840 ;
+        RECT 42.470 350.120 633.960 350.600 ;
+        RECT 54.000 347.880 633.960 350.120 ;
+        RECT 42.470 347.400 633.960 347.880 ;
+        RECT 54.000 345.160 633.960 347.400 ;
+        RECT 42.470 344.680 633.960 345.160 ;
+        RECT 54.000 342.440 633.960 344.680 ;
+        RECT 42.470 341.960 633.960 342.440 ;
+        RECT 54.000 339.720 633.960 341.960 ;
+        RECT 42.470 339.240 633.960 339.720 ;
+        RECT 54.000 337.000 633.960 339.240 ;
+        RECT 42.470 336.520 633.960 337.000 ;
+        RECT 54.000 334.280 633.960 336.520 ;
+        RECT 42.470 333.800 633.960 334.280 ;
+        RECT 54.000 331.560 633.960 333.800 ;
+        RECT 42.470 331.080 633.960 331.560 ;
+        RECT 54.000 328.840 633.960 331.080 ;
+        RECT 42.470 328.360 633.960 328.840 ;
+        RECT 54.000 326.120 633.960 328.360 ;
+        RECT 42.470 325.640 633.960 326.120 ;
+        RECT 54.000 323.400 633.960 325.640 ;
+        RECT 42.470 322.920 633.960 323.400 ;
+        RECT 54.000 320.680 633.960 322.920 ;
+        RECT 42.470 320.200 633.960 320.680 ;
+        RECT 54.000 317.960 633.960 320.200 ;
+        RECT 42.470 317.480 633.960 317.960 ;
+        RECT 54.000 315.240 633.960 317.480 ;
+        RECT 42.470 314.760 633.960 315.240 ;
+        RECT 54.000 312.520 633.960 314.760 ;
+        RECT 42.470 312.040 633.960 312.520 ;
+        RECT 54.000 309.800 633.960 312.040 ;
+        RECT 42.470 309.320 633.960 309.800 ;
+        RECT 54.000 307.080 633.960 309.320 ;
+        RECT 42.470 306.600 633.960 307.080 ;
+        RECT 54.000 304.360 633.960 306.600 ;
+        RECT 42.470 303.880 633.960 304.360 ;
+        RECT 54.000 301.640 633.960 303.880 ;
+        RECT 42.470 301.160 633.960 301.640 ;
+        RECT 54.000 298.920 633.960 301.160 ;
+        RECT 42.470 298.440 633.960 298.920 ;
+        RECT 54.000 296.200 633.960 298.440 ;
+        RECT 42.470 295.720 633.960 296.200 ;
+        RECT 54.000 293.480 633.960 295.720 ;
+        RECT 42.470 293.000 633.960 293.480 ;
+        RECT 54.000 290.760 633.960 293.000 ;
+        RECT 42.470 290.280 633.960 290.760 ;
+        RECT 54.000 288.040 633.960 290.280 ;
+        RECT 42.470 287.560 633.960 288.040 ;
+        RECT 54.000 285.320 633.960 287.560 ;
+        RECT 42.470 284.840 633.960 285.320 ;
+        RECT 54.000 282.600 633.960 284.840 ;
+        RECT 42.470 282.120 633.960 282.600 ;
+        RECT 54.000 279.880 633.960 282.120 ;
+        RECT 42.470 279.400 633.960 279.880 ;
+        RECT 54.000 277.160 633.960 279.400 ;
+        RECT 42.470 276.680 633.960 277.160 ;
+        RECT 54.000 274.440 633.960 276.680 ;
+        RECT 42.470 273.960 633.960 274.440 ;
+        RECT 54.000 271.720 633.960 273.960 ;
+        RECT 42.470 271.240 633.960 271.720 ;
+        RECT 54.000 269.000 633.960 271.240 ;
+        RECT 42.470 268.520 633.960 269.000 ;
+        RECT 54.000 266.280 633.960 268.520 ;
+        RECT 42.470 265.800 633.960 266.280 ;
+        RECT 54.000 263.560 633.960 265.800 ;
+        RECT 42.470 263.080 633.960 263.560 ;
+        RECT 54.000 260.840 633.960 263.080 ;
+        RECT 42.470 260.360 633.960 260.840 ;
+        RECT 54.000 258.120 633.960 260.360 ;
+        RECT 42.470 257.640 633.960 258.120 ;
+        RECT 54.000 255.400 633.960 257.640 ;
+        RECT 42.470 254.920 633.960 255.400 ;
+        RECT 54.000 252.680 633.960 254.920 ;
+        RECT 42.470 252.200 633.960 252.680 ;
+        RECT 54.000 249.960 633.960 252.200 ;
+        RECT 42.470 249.480 633.960 249.960 ;
+        RECT 54.000 247.240 633.960 249.480 ;
+        RECT 42.470 246.760 633.960 247.240 ;
+        RECT 54.000 244.520 633.960 246.760 ;
+        RECT 42.470 244.040 633.960 244.520 ;
+        RECT 54.000 241.800 633.960 244.040 ;
+        RECT 42.470 241.320 633.960 241.800 ;
+        RECT 54.000 239.080 633.960 241.320 ;
+        RECT 42.470 238.600 633.960 239.080 ;
+        RECT 54.000 236.360 633.960 238.600 ;
+        RECT 42.470 235.880 633.960 236.360 ;
+        RECT 54.000 233.640 633.960 235.880 ;
+        RECT 42.470 233.160 633.960 233.640 ;
+        RECT 54.000 230.920 633.960 233.160 ;
+        RECT 42.470 230.440 633.960 230.920 ;
+        RECT 54.000 228.200 633.960 230.440 ;
+        RECT 42.470 227.720 633.960 228.200 ;
+        RECT 54.000 225.480 633.960 227.720 ;
+        RECT 42.470 225.000 633.960 225.480 ;
+        RECT 54.000 222.760 633.960 225.000 ;
+        RECT 42.470 222.280 633.960 222.760 ;
+        RECT 54.000 220.040 633.960 222.280 ;
+        RECT 42.470 219.560 633.960 220.040 ;
+        RECT 54.000 217.320 633.960 219.560 ;
+        RECT 42.470 216.840 633.960 217.320 ;
+        RECT 54.000 214.600 633.960 216.840 ;
+        RECT 42.470 214.120 633.960 214.600 ;
+        RECT 54.000 211.880 633.960 214.120 ;
+        RECT 42.470 211.400 633.960 211.880 ;
+        RECT 54.000 209.160 633.960 211.400 ;
+        RECT 42.470 208.680 633.960 209.160 ;
+        RECT 54.000 206.440 633.960 208.680 ;
+        RECT 42.470 205.960 633.960 206.440 ;
+        RECT 54.000 203.720 633.960 205.960 ;
+        RECT 42.470 203.240 633.960 203.720 ;
+        RECT 54.000 201.000 633.960 203.240 ;
+        RECT 42.470 200.520 633.960 201.000 ;
+        RECT 54.000 198.280 633.960 200.520 ;
+        RECT 42.470 197.800 633.960 198.280 ;
+        RECT 54.000 195.560 633.960 197.800 ;
+        RECT 42.470 195.080 633.960 195.560 ;
+        RECT 54.000 192.840 633.960 195.080 ;
+        RECT 42.470 192.360 633.960 192.840 ;
+        RECT 54.000 190.120 633.960 192.360 ;
+        RECT 42.470 189.640 633.960 190.120 ;
+        RECT 54.000 187.400 633.960 189.640 ;
+        RECT 42.470 186.920 633.960 187.400 ;
+        RECT 54.000 184.680 633.960 186.920 ;
+        RECT 42.470 184.200 633.960 184.680 ;
+        RECT 54.000 181.960 633.960 184.200 ;
+        RECT 42.470 181.480 633.960 181.960 ;
+        RECT 54.000 179.240 633.960 181.480 ;
+        RECT 42.470 178.760 633.960 179.240 ;
+        RECT 54.000 176.520 633.960 178.760 ;
+        RECT 42.470 176.040 633.960 176.520 ;
+        RECT 54.000 173.800 633.960 176.040 ;
+        RECT 42.470 173.320 633.960 173.800 ;
+        RECT 54.000 171.080 633.960 173.320 ;
+        RECT 42.470 170.600 633.960 171.080 ;
+        RECT 54.000 168.360 633.960 170.600 ;
+        RECT 42.470 167.880 633.960 168.360 ;
+        RECT 54.000 165.640 633.960 167.880 ;
+        RECT 42.470 165.160 633.960 165.640 ;
+        RECT 54.000 162.920 633.960 165.160 ;
+        RECT 42.470 162.440 633.960 162.920 ;
+        RECT 54.000 160.200 633.960 162.440 ;
+        RECT 42.470 159.720 633.960 160.200 ;
+        RECT 54.000 157.480 633.960 159.720 ;
+        RECT 42.470 157.000 633.960 157.480 ;
+        RECT 54.000 154.760 633.960 157.000 ;
+        RECT 42.470 154.280 633.960 154.760 ;
+        RECT 54.000 152.040 633.960 154.280 ;
+        RECT 42.470 151.560 633.960 152.040 ;
+        RECT 54.000 149.320 633.960 151.560 ;
+        RECT 42.470 148.840 633.960 149.320 ;
+        RECT 54.000 146.600 633.960 148.840 ;
+        RECT 42.470 146.120 633.960 146.600 ;
+        RECT 54.000 143.880 633.960 146.120 ;
+        RECT 42.470 143.400 633.960 143.880 ;
+        RECT 54.000 141.160 633.960 143.400 ;
+        RECT 42.470 140.680 633.960 141.160 ;
+        RECT 54.000 138.440 633.960 140.680 ;
+        RECT 42.470 137.960 633.960 138.440 ;
+        RECT 54.000 135.720 633.960 137.960 ;
+        RECT 42.470 135.240 633.960 135.720 ;
+        RECT 54.000 133.000 633.960 135.240 ;
+        RECT 42.470 132.520 633.960 133.000 ;
+        RECT 54.000 130.280 633.960 132.520 ;
+        RECT 42.470 129.800 633.960 130.280 ;
+        RECT 54.000 127.560 633.960 129.800 ;
+        RECT 42.470 127.080 633.960 127.560 ;
+        RECT 54.000 124.840 633.960 127.080 ;
+        RECT 42.470 124.360 633.960 124.840 ;
+        RECT 54.000 122.120 633.960 124.360 ;
+        RECT 42.470 121.640 633.960 122.120 ;
+        RECT 54.000 119.400 633.960 121.640 ;
+        RECT 42.470 118.920 633.960 119.400 ;
+        RECT 54.000 116.680 633.960 118.920 ;
+        RECT 42.470 116.200 633.960 116.680 ;
+        RECT 54.000 113.960 633.960 116.200 ;
+        RECT 42.470 113.480 633.960 113.960 ;
+        RECT 54.000 111.240 633.960 113.480 ;
+        RECT 42.470 110.760 633.960 111.240 ;
+        RECT 54.000 108.520 633.960 110.760 ;
+        RECT 42.470 108.040 633.960 108.520 ;
+        RECT 54.000 105.800 633.960 108.040 ;
+        RECT 42.470 105.320 633.960 105.800 ;
+        RECT 54.000 103.080 633.960 105.320 ;
+        RECT 42.470 102.600 633.960 103.080 ;
+        RECT 54.000 100.360 633.960 102.600 ;
+        RECT 42.470 99.880 633.960 100.360 ;
+        RECT 54.000 97.640 633.960 99.880 ;
+        RECT 42.470 97.160 633.960 97.640 ;
+        RECT 54.000 94.920 633.960 97.160 ;
+        RECT 42.470 94.440 633.960 94.920 ;
+        RECT 54.000 92.200 633.960 94.440 ;
+        RECT 42.470 91.720 633.960 92.200 ;
+        RECT 54.000 89.480 633.960 91.720 ;
+        RECT 42.470 89.000 633.960 89.480 ;
+        RECT 54.000 86.760 633.960 89.000 ;
+        RECT 42.470 86.280 633.960 86.760 ;
+        RECT 54.000 84.040 633.960 86.280 ;
+        RECT 42.470 83.560 633.960 84.040 ;
+        RECT 54.000 81.320 633.960 83.560 ;
+        RECT 42.470 80.840 633.960 81.320 ;
+        RECT 54.000 78.600 633.960 80.840 ;
+        RECT 42.470 78.120 633.960 78.600 ;
+        RECT 54.000 75.880 633.960 78.120 ;
+        RECT 42.470 75.400 633.960 75.880 ;
+        RECT 54.000 73.160 633.960 75.400 ;
+        RECT 42.470 72.680 633.960 73.160 ;
+        RECT 54.000 70.440 633.960 72.680 ;
+        RECT 42.470 69.960 633.960 70.440 ;
+        RECT 54.000 67.720 633.960 69.960 ;
+        RECT 42.470 67.240 633.960 67.720 ;
+        RECT 54.000 65.000 633.960 67.240 ;
+        RECT 42.470 64.520 633.960 65.000 ;
+        RECT 54.000 62.280 633.960 64.520 ;
+        RECT 42.470 61.800 633.960 62.280 ;
+        RECT 54.000 59.560 633.960 61.800 ;
+        RECT 42.470 59.080 633.960 59.560 ;
+        RECT 54.000 56.840 633.960 59.080 ;
+        RECT 42.470 56.360 633.960 56.840 ;
+        RECT 54.000 54.120 633.960 56.360 ;
+        RECT 42.470 54.000 633.960 54.120 ;
+        RECT 42.470 53.640 631.270 54.000 ;
+        RECT 92.680 53.440 93.000 53.500 ;
+        RECT 138.680 53.440 139.000 53.500 ;
+        RECT 145.120 53.440 145.440 53.500 ;
+        RECT 152.020 53.440 152.340 53.500 ;
+        RECT 82.190 53.300 93.000 53.440 ;
+        RECT 50.820 52.760 51.140 52.820 ;
+        RECT 65.080 52.760 65.400 52.820 ;
+        RECT 50.820 52.620 65.400 52.760 ;
+        RECT 50.820 52.560 51.140 52.620 ;
+        RECT 65.080 52.560 65.400 52.620 ;
+        RECT 72.900 52.760 73.220 52.820 ;
+        RECT 82.190 52.760 82.330 53.300 ;
+        RECT 92.680 53.240 93.000 53.300 ;
+        RECT 93.230 53.300 139.000 53.440 ;
+        RECT 83.040 53.100 83.330 53.145 ;
+        RECT 85.360 53.100 85.650 53.145 ;
+        RECT 86.740 53.100 87.030 53.145 ;
+        RECT 83.040 52.960 87.030 53.100 ;
+        RECT 83.040 52.915 83.330 52.960 ;
+        RECT 85.360 52.915 85.650 52.960 ;
+        RECT 86.740 52.915 87.030 52.960 ;
+        RECT 88.080 53.100 88.400 53.160 ;
+        RECT 93.230 53.100 93.370 53.300 ;
+        RECT 138.680 53.240 139.000 53.300 ;
+        RECT 139.690 53.300 144.430 53.440 ;
+        RECT 99.120 53.100 99.440 53.160 ;
+        RECT 88.080 52.960 93.370 53.100 ;
+        RECT 98.290 52.960 99.440 53.100 ;
+        RECT 88.080 52.900 88.400 52.960 ;
+        RECT 72.900 52.620 82.330 52.760 ;
+        RECT 82.575 52.760 82.865 52.805 ;
+        RECT 91.760 52.760 92.080 52.820 ;
+        RECT 98.290 52.760 98.430 52.960 ;
+        RECT 99.120 52.900 99.440 52.960 ;
+        RECT 99.600 53.100 99.890 53.145 ;
+        RECT 101.920 53.100 102.210 53.145 ;
+        RECT 103.300 53.100 103.590 53.145 ;
+        RECT 99.600 52.960 103.590 53.100 ;
+        RECT 99.600 52.915 99.890 52.960 ;
+        RECT 101.920 52.915 102.210 52.960 ;
+        RECT 103.300 52.915 103.590 52.960 ;
+        RECT 112.020 53.100 112.310 53.145 ;
+        RECT 114.340 53.100 114.630 53.145 ;
+        RECT 115.720 53.100 116.010 53.145 ;
+        RECT 112.020 52.960 116.010 53.100 ;
+        RECT 112.020 52.915 112.310 52.960 ;
+        RECT 114.340 52.915 114.630 52.960 ;
+        RECT 115.720 52.915 116.010 52.960 ;
+        RECT 127.660 53.100 127.950 53.145 ;
+        RECT 129.980 53.100 130.270 53.145 ;
+        RECT 131.360 53.100 131.650 53.145 ;
+        RECT 127.660 52.960 131.650 53.100 ;
+        RECT 127.660 52.915 127.950 52.960 ;
+        RECT 129.980 52.915 130.270 52.960 ;
+        RECT 131.360 52.915 131.650 52.960 ;
+        RECT 133.160 53.100 133.480 53.160 ;
+        RECT 139.140 53.100 139.460 53.160 ;
+        RECT 139.690 53.100 139.830 53.300 ;
+        RECT 133.160 52.960 139.830 53.100 ;
+        RECT 140.080 53.100 140.370 53.145 ;
+        RECT 142.400 53.100 142.690 53.145 ;
+        RECT 143.780 53.100 144.070 53.145 ;
+        RECT 140.080 52.960 144.070 53.100 ;
+        RECT 144.290 53.100 144.430 53.300 ;
+        RECT 145.120 53.300 152.340 53.440 ;
+        RECT 145.120 53.240 145.440 53.300 ;
+        RECT 152.020 53.240 152.340 53.300 ;
+        RECT 152.480 53.440 152.800 53.500 ;
+        RECT 162.155 53.440 162.445 53.485 ;
+        RECT 152.480 53.300 162.445 53.440 ;
+        RECT 152.480 53.240 152.800 53.300 ;
+        RECT 162.155 53.255 162.445 53.300 ;
+        RECT 163.520 53.440 163.840 53.500 ;
+        RECT 184.220 53.440 184.540 53.500 ;
+        RECT 186.520 53.440 186.840 53.500 ;
+        RECT 163.520 53.300 186.840 53.440 ;
+        RECT 163.520 53.240 163.840 53.300 ;
+        RECT 184.220 53.240 184.540 53.300 ;
+        RECT 186.520 53.240 186.840 53.300 ;
+        RECT 188.360 53.440 188.680 53.500 ;
+        RECT 210.900 53.440 211.220 53.500 ;
+        RECT 219.180 53.440 219.500 53.500 ;
+        RECT 248.160 53.440 248.480 53.500 ;
+        RECT 188.360 53.300 209.290 53.440 ;
+        RECT 188.360 53.240 188.680 53.300 ;
+        RECT 148.340 53.100 148.660 53.160 ;
+        RECT 144.290 52.960 148.660 53.100 ;
+        RECT 133.160 52.900 133.480 52.960 ;
+        RECT 139.140 52.900 139.460 52.960 ;
+        RECT 140.080 52.915 140.370 52.960 ;
+        RECT 142.400 52.915 142.690 52.960 ;
+        RECT 143.780 52.915 144.070 52.960 ;
+        RECT 148.340 52.900 148.660 52.960 ;
+        RECT 155.720 53.100 156.010 53.145 ;
+        RECT 158.040 53.100 158.330 53.145 ;
+        RECT 159.420 53.100 159.710 53.145 ;
+        RECT 155.720 52.960 159.710 53.100 ;
+        RECT 155.720 52.915 156.010 52.960 ;
+        RECT 158.040 52.915 158.330 52.960 ;
+        RECT 159.420 52.915 159.710 52.960 ;
+        RECT 170.900 53.100 171.190 53.145 ;
+        RECT 173.220 53.100 173.510 53.145 ;
+        RECT 174.600 53.100 174.890 53.145 ;
+        RECT 170.900 52.960 174.890 53.100 ;
+        RECT 170.900 52.915 171.190 52.960 ;
+        RECT 173.220 52.915 173.510 52.960 ;
+        RECT 174.600 52.915 174.890 52.960 ;
+        RECT 185.620 53.100 185.910 53.145 ;
+        RECT 187.940 53.100 188.230 53.145 ;
+        RECT 189.320 53.100 189.610 53.145 ;
+        RECT 185.620 52.960 189.610 53.100 ;
+        RECT 185.620 52.915 185.910 52.960 ;
+        RECT 187.940 52.915 188.230 52.960 ;
+        RECT 189.320 52.915 189.610 52.960 ;
+        RECT 198.500 53.100 198.790 53.145 ;
+        RECT 200.820 53.100 201.110 53.145 ;
+        RECT 202.200 53.100 202.490 53.145 ;
+        RECT 198.500 52.960 202.490 53.100 ;
+        RECT 209.150 53.100 209.290 53.300 ;
+        RECT 210.900 53.300 218.950 53.440 ;
+        RECT 210.900 53.240 211.220 53.300 ;
+        RECT 218.810 53.100 218.950 53.300 ;
+        RECT 219.180 53.300 248.480 53.440 ;
+        RECT 219.180 53.240 219.500 53.300 ;
+        RECT 248.160 53.240 248.480 53.300 ;
+        RECT 249.080 53.440 249.400 53.500 ;
+        RECT 260.120 53.440 260.440 53.500 ;
+        RECT 261.960 53.440 262.280 53.500 ;
+        RECT 279.440 53.440 279.760 53.500 ;
+        RECT 249.080 53.300 260.440 53.440 ;
+        RECT 249.080 53.240 249.400 53.300 ;
+        RECT 260.120 53.240 260.440 53.300 ;
+        RECT 260.670 53.300 261.730 53.440 ;
+        RECT 228.840 53.100 229.160 53.160 ;
+        RECT 238.040 53.100 238.360 53.160 ;
+        RECT 209.150 52.960 218.030 53.100 ;
+        RECT 218.810 52.960 227.690 53.100 ;
+        RECT 198.500 52.915 198.790 52.960 ;
+        RECT 200.820 52.915 201.110 52.960 ;
+        RECT 202.200 52.915 202.490 52.960 ;
+        RECT 82.575 52.620 86.010 52.760 ;
+        RECT 72.900 52.560 73.220 52.620 ;
+        RECT 82.575 52.575 82.865 52.620 ;
+        RECT 53.120 52.420 53.440 52.480 ;
+        RECT 77.515 52.420 77.805 52.465 ;
+        RECT 53.120 52.280 77.805 52.420 ;
+        RECT 53.120 52.220 53.440 52.280 ;
+        RECT 77.515 52.235 77.805 52.280 ;
+        RECT 83.955 52.420 84.245 52.465 ;
+        RECT 85.320 52.420 85.640 52.480 ;
+        RECT 83.955 52.280 85.640 52.420 ;
+        RECT 85.870 52.420 86.010 52.620 ;
+        RECT 91.760 52.620 98.430 52.760 ;
+        RECT 98.660 52.760 98.980 52.820 ;
+        RECT 100.040 52.760 100.360 52.820 ;
+        RECT 98.660 52.620 100.360 52.760 ;
+        RECT 91.760 52.560 92.080 52.620 ;
+        RECT 98.660 52.560 98.980 52.620 ;
+        RECT 100.040 52.560 100.360 52.620 ;
+        RECT 100.515 52.760 100.805 52.805 ;
+        RECT 107.400 52.760 107.720 52.820 ;
+        RECT 100.515 52.620 107.720 52.760 ;
+        RECT 100.515 52.575 100.805 52.620 ;
+        RECT 107.400 52.560 107.720 52.620 ;
+        RECT 112.935 52.760 113.225 52.805 ;
+        RECT 121.660 52.760 121.980 52.820 ;
+        RECT 134.095 52.760 134.385 52.805 ;
+        RECT 112.935 52.620 121.980 52.760 ;
+        RECT 112.935 52.575 113.225 52.620 ;
+        RECT 121.660 52.560 121.980 52.620 ;
+        RECT 122.210 52.620 134.385 52.760 ;
+        RECT 99.135 52.420 99.425 52.465 ;
+        RECT 111.555 52.420 111.845 52.465 ;
+        RECT 113.380 52.420 113.700 52.480 ;
+        RECT 85.870 52.280 113.700 52.420 ;
+        RECT 83.955 52.235 84.245 52.280 ;
+        RECT 85.320 52.220 85.640 52.280 ;
+        RECT 99.135 52.235 99.425 52.280 ;
+        RECT 111.555 52.235 111.845 52.280 ;
+        RECT 113.380 52.220 113.700 52.280 ;
+        RECT 114.300 52.420 114.620 52.480 ;
+        RECT 122.210 52.420 122.350 52.620 ;
+        RECT 134.095 52.575 134.385 52.620 ;
+        RECT 141.900 52.760 142.220 52.820 ;
+        RECT 146.515 52.760 146.805 52.805 ;
+        RECT 141.900 52.620 146.805 52.760 ;
+        RECT 141.900 52.560 142.220 52.620 ;
+        RECT 146.515 52.575 146.805 52.620 ;
+        RECT 153.860 52.760 154.180 52.820 ;
+        RECT 155.255 52.760 155.545 52.805 ;
+        RECT 156.620 52.760 156.940 52.820 ;
+        RECT 153.860 52.620 155.545 52.760 ;
+        RECT 156.425 52.620 156.940 52.760 ;
+        RECT 153.860 52.560 154.180 52.620 ;
+        RECT 155.255 52.575 155.545 52.620 ;
+        RECT 114.300 52.280 122.350 52.420 ;
+        RECT 125.800 52.420 126.120 52.480 ;
+        RECT 127.195 52.420 127.485 52.465 ;
+        RECT 128.560 52.420 128.880 52.480 ;
+        RECT 125.800 52.280 127.485 52.420 ;
+        RECT 128.365 52.280 128.880 52.420 ;
+        RECT 114.300 52.220 114.620 52.280 ;
+        RECT 125.800 52.220 126.120 52.280 ;
+        RECT 127.195 52.235 127.485 52.280 ;
+        RECT 128.560 52.220 128.880 52.280 ;
+        RECT 132.240 52.420 132.560 52.480 ;
+        RECT 139.600 52.420 139.920 52.480 ;
+        RECT 132.240 52.280 139.920 52.420 ;
+        RECT 132.240 52.220 132.560 52.280 ;
+        RECT 139.600 52.220 139.920 52.280 ;
+        RECT 140.995 52.420 141.285 52.465 ;
+        RECT 149.720 52.420 150.040 52.480 ;
+        RECT 140.995 52.280 150.040 52.420 ;
+        RECT 155.330 52.420 155.470 52.575 ;
+        RECT 156.620 52.560 156.940 52.620 ;
+        RECT 158.920 52.760 159.240 52.820 ;
+        RECT 171.800 52.760 172.120 52.820 ;
+        RECT 158.920 52.620 171.110 52.760 ;
+        RECT 171.605 52.620 172.120 52.760 ;
+        RECT 158.920 52.560 159.240 52.620 ;
+        RECT 170.420 52.420 170.740 52.480 ;
+        RECT 155.330 52.280 170.740 52.420 ;
+        RECT 170.970 52.420 171.110 52.620 ;
+        RECT 171.800 52.560 172.120 52.620 ;
+        RECT 177.335 52.575 177.625 52.805 ;
+        RECT 178.700 52.760 179.020 52.820 ;
+        RECT 186.535 52.760 186.825 52.805 ;
+        RECT 190.660 52.760 190.980 52.820 ;
+        RECT 210.455 52.760 210.745 52.805 ;
+        RECT 212.280 52.760 212.600 52.820 ;
+        RECT 214.600 52.760 214.890 52.805 ;
+        RECT 217.380 52.760 217.670 52.805 ;
+        RECT 178.700 52.620 186.290 52.760 ;
+        RECT 177.410 52.420 177.550 52.575 ;
+        RECT 178.700 52.560 179.020 52.620 ;
+        RECT 170.970 52.280 177.550 52.420 ;
+        RECT 181.920 52.420 182.240 52.480 ;
+        RECT 183.760 52.420 184.080 52.480 ;
+        RECT 185.155 52.420 185.445 52.465 ;
+        RECT 181.920 52.280 185.445 52.420 ;
+        RECT 186.150 52.420 186.290 52.620 ;
+        RECT 186.535 52.620 190.980 52.760 ;
+        RECT 186.535 52.575 186.825 52.620 ;
+        RECT 190.660 52.560 190.980 52.620 ;
+        RECT 191.210 52.620 210.745 52.760 ;
+        RECT 212.085 52.620 212.600 52.760 ;
+        RECT 191.210 52.420 191.350 52.620 ;
+        RECT 210.455 52.575 210.745 52.620 ;
+        RECT 212.280 52.560 212.600 52.620 ;
+        RECT 212.830 52.620 214.350 52.760 ;
+        RECT 186.150 52.280 191.350 52.420 ;
+        RECT 197.560 52.420 197.880 52.480 ;
+        RECT 198.035 52.420 198.325 52.465 ;
+        RECT 197.560 52.280 198.325 52.420 ;
+        RECT 140.995 52.235 141.285 52.280 ;
+        RECT 149.720 52.220 150.040 52.280 ;
+        RECT 170.420 52.220 170.740 52.280 ;
+        RECT 181.920 52.220 182.240 52.280 ;
+        RECT 183.760 52.220 184.080 52.280 ;
+        RECT 185.155 52.235 185.445 52.280 ;
+        RECT 197.560 52.220 197.880 52.280 ;
+        RECT 198.035 52.235 198.325 52.280 ;
+        RECT 199.415 52.420 199.705 52.465 ;
+        RECT 202.620 52.420 202.940 52.480 ;
+        RECT 212.830 52.420 212.970 52.620 ;
+        RECT 199.415 52.280 202.940 52.420 ;
+        RECT 199.415 52.235 199.705 52.280 ;
+        RECT 202.620 52.220 202.940 52.280 ;
+        RECT 203.170 52.280 212.970 52.420 ;
+        RECT 213.200 52.420 213.520 52.480 ;
+        RECT 214.210 52.420 214.350 52.620 ;
+        RECT 214.600 52.620 217.670 52.760 ;
+        RECT 217.890 52.760 218.030 52.960 ;
+        RECT 217.890 52.620 225.850 52.760 ;
+        RECT 214.600 52.575 214.890 52.620 ;
+        RECT 217.380 52.575 217.670 52.620 ;
+        RECT 215.515 52.420 215.805 52.465 ;
+        RECT 213.200 52.280 213.715 52.420 ;
+        RECT 214.210 52.280 215.805 52.420 ;
+        RECT 81.640 52.080 81.960 52.140 ;
+        RECT 51.370 51.940 81.960 52.080 ;
+        RECT 47.140 51.740 47.460 51.800 ;
+        RECT 51.370 51.740 51.510 51.940 ;
+        RECT 81.640 51.880 81.960 51.940 ;
+        RECT 83.500 52.080 83.790 52.125 ;
+        RECT 84.900 52.080 85.190 52.125 ;
+        RECT 86.740 52.080 87.030 52.125 ;
+        RECT 83.500 51.940 87.030 52.080 ;
+        RECT 83.500 51.895 83.790 51.940 ;
+        RECT 84.900 51.895 85.190 51.940 ;
+        RECT 86.740 51.895 87.030 51.940 ;
+        RECT 100.060 52.080 100.350 52.125 ;
+        RECT 101.460 52.080 101.750 52.125 ;
+        RECT 103.300 52.080 103.590 52.125 ;
+        RECT 100.060 51.940 103.590 52.080 ;
+        RECT 100.060 51.895 100.350 51.940 ;
+        RECT 101.460 51.895 101.750 51.940 ;
+        RECT 103.300 51.895 103.590 51.940 ;
+        RECT 112.480 52.080 112.770 52.125 ;
+        RECT 113.880 52.080 114.170 52.125 ;
+        RECT 115.720 52.080 116.010 52.125 ;
+        RECT 112.480 51.940 116.010 52.080 ;
+        RECT 112.480 51.895 112.770 51.940 ;
+        RECT 113.880 51.895 114.170 51.940 ;
+        RECT 115.720 51.895 116.010 51.940 ;
+        RECT 125.340 52.080 125.660 52.140 ;
+        RECT 127.640 52.080 127.960 52.140 ;
+        RECT 125.340 51.940 127.960 52.080 ;
+        RECT 125.340 51.880 125.660 51.940 ;
+        RECT 127.640 51.880 127.960 51.940 ;
+        RECT 128.120 52.080 128.410 52.125 ;
+        RECT 129.520 52.080 129.810 52.125 ;
+        RECT 131.360 52.080 131.650 52.125 ;
+        RECT 128.120 51.940 131.650 52.080 ;
+        RECT 128.120 51.895 128.410 51.940 ;
+        RECT 129.520 51.895 129.810 51.940 ;
+        RECT 131.360 51.895 131.650 51.940 ;
+        RECT 136.840 52.080 137.160 52.140 ;
+        RECT 140.060 52.080 140.380 52.140 ;
+        RECT 136.840 51.940 140.380 52.080 ;
+        RECT 136.840 51.880 137.160 51.940 ;
+        RECT 140.060 51.880 140.380 51.940 ;
+        RECT 140.540 52.080 140.830 52.125 ;
+        RECT 141.940 52.080 142.230 52.125 ;
+        RECT 143.780 52.080 144.070 52.125 ;
+        RECT 154.780 52.080 155.100 52.140 ;
+        RECT 140.540 51.940 144.070 52.080 ;
+        RECT 140.540 51.895 140.830 51.940 ;
+        RECT 141.940 51.895 142.230 51.940 ;
+        RECT 143.780 51.895 144.070 51.940 ;
+        RECT 144.290 51.940 155.100 52.080 ;
+        RECT 47.140 51.600 51.510 51.740 ;
+        RECT 77.500 51.740 77.820 51.800 ;
+        RECT 77.975 51.740 78.265 51.785 ;
+        RECT 77.500 51.600 78.265 51.740 ;
+        RECT 81.730 51.740 81.870 51.880 ;
+        RECT 89.475 51.740 89.765 51.785 ;
+        RECT 81.730 51.600 89.765 51.740 ;
+        RECT 47.140 51.540 47.460 51.600 ;
+        RECT 77.500 51.540 77.820 51.600 ;
+        RECT 77.975 51.555 78.265 51.600 ;
+        RECT 89.475 51.555 89.765 51.600 ;
+        RECT 89.920 51.740 90.240 51.800 ;
+        RECT 106.035 51.740 106.325 51.785 ;
+        RECT 89.920 51.600 106.325 51.740 ;
+        RECT 89.920 51.540 90.240 51.600 ;
+        RECT 106.035 51.555 106.325 51.600 ;
+        RECT 108.320 51.740 108.640 51.800 ;
+        RECT 118.455 51.740 118.745 51.785 ;
+        RECT 108.320 51.600 118.745 51.740 ;
+        RECT 108.320 51.540 108.640 51.600 ;
+        RECT 118.455 51.555 118.745 51.600 ;
+        RECT 119.360 51.740 119.680 51.800 ;
+        RECT 133.160 51.740 133.480 51.800 ;
+        RECT 119.360 51.600 133.480 51.740 ;
+        RECT 119.360 51.540 119.680 51.600 ;
+        RECT 133.160 51.540 133.480 51.600 ;
+        RECT 138.680 51.740 139.000 51.800 ;
+        RECT 144.290 51.740 144.430 51.940 ;
+        RECT 154.780 51.880 155.100 51.940 ;
+        RECT 156.180 52.080 156.470 52.125 ;
+        RECT 157.580 52.080 157.870 52.125 ;
+        RECT 159.420 52.080 159.710 52.125 ;
+        RECT 156.180 51.940 159.710 52.080 ;
+        RECT 156.180 51.895 156.470 51.940 ;
+        RECT 157.580 51.895 157.870 51.940 ;
+        RECT 159.420 51.895 159.710 51.940 ;
+        RECT 171.360 52.080 171.650 52.125 ;
+        RECT 172.760 52.080 173.050 52.125 ;
+        RECT 174.600 52.080 174.890 52.125 ;
+        RECT 171.360 51.940 174.890 52.080 ;
+        RECT 171.360 51.895 171.650 51.940 ;
+        RECT 172.760 51.895 173.050 51.940 ;
+        RECT 174.600 51.895 174.890 51.940 ;
+        RECT 178.240 52.080 178.560 52.140 ;
+        RECT 186.080 52.080 186.370 52.125 ;
+        RECT 187.480 52.080 187.770 52.125 ;
+        RECT 189.320 52.080 189.610 52.125 ;
+        RECT 178.240 51.940 185.830 52.080 ;
+        RECT 178.240 51.880 178.560 51.940 ;
+        RECT 138.680 51.600 144.430 51.740 ;
+        RECT 185.690 51.740 185.830 51.940 ;
+        RECT 186.080 51.940 189.610 52.080 ;
+        RECT 186.080 51.895 186.370 51.940 ;
+        RECT 187.480 51.895 187.770 51.940 ;
+        RECT 189.320 51.895 189.610 51.940 ;
+        RECT 190.660 52.080 190.980 52.140 ;
+        RECT 198.960 52.080 199.250 52.125 ;
+        RECT 200.360 52.080 200.650 52.125 ;
+        RECT 202.200 52.080 202.490 52.125 ;
+        RECT 190.660 51.940 192.270 52.080 ;
+        RECT 190.660 51.880 190.980 51.940 ;
+        RECT 191.580 51.740 191.900 51.800 ;
+        RECT 192.130 51.785 192.270 51.940 ;
+        RECT 198.960 51.940 202.490 52.080 ;
+        RECT 198.960 51.895 199.250 51.940 ;
+        RECT 200.360 51.895 200.650 51.940 ;
+        RECT 202.200 51.895 202.490 51.940 ;
+        RECT 185.690 51.600 191.900 51.740 ;
+        RECT 138.680 51.540 139.000 51.600 ;
+        RECT 191.580 51.540 191.900 51.600 ;
+        RECT 192.055 51.555 192.345 51.785 ;
+        RECT 197.100 51.740 197.420 51.800 ;
+        RECT 203.170 51.740 203.310 52.280 ;
+        RECT 213.200 52.220 213.520 52.280 ;
+        RECT 215.515 52.235 215.805 52.280 ;
+        RECT 215.975 52.420 216.265 52.465 ;
+        RECT 220.100 52.420 220.420 52.480 ;
+        RECT 225.710 52.465 225.850 52.620 ;
+        RECT 226.095 52.575 226.385 52.805 ;
+        RECT 227.550 52.760 227.690 52.960 ;
+        RECT 228.840 52.960 238.360 53.100 ;
+        RECT 228.840 52.900 229.160 52.960 ;
+        RECT 238.040 52.900 238.360 52.960 ;
+        RECT 238.960 53.100 239.280 53.160 ;
+        RECT 252.760 53.100 253.080 53.160 ;
+        RECT 260.670 53.100 260.810 53.300 ;
+        RECT 238.960 52.960 253.080 53.100 ;
+        RECT 238.960 52.900 239.280 52.960 ;
+        RECT 252.760 52.900 253.080 52.960 ;
+        RECT 257.910 52.960 260.810 53.100 ;
+        RECT 234.835 52.760 235.125 52.805 ;
+        RECT 243.100 52.760 243.420 52.820 ;
+        RECT 227.550 52.620 232.290 52.760 ;
+        RECT 215.975 52.280 220.420 52.420 ;
+        RECT 215.975 52.235 216.265 52.280 ;
+        RECT 220.100 52.220 220.420 52.280 ;
+        RECT 223.335 52.235 223.625 52.465 ;
+        RECT 225.635 52.235 225.925 52.465 ;
+        RECT 210.455 52.080 210.745 52.125 ;
+        RECT 223.410 52.080 223.550 52.235 ;
+        RECT 210.455 51.940 223.550 52.080 ;
+        RECT 210.455 51.895 210.745 51.940 ;
+        RECT 197.100 51.600 203.310 51.740 ;
+        RECT 204.000 51.740 204.320 51.800 ;
+        RECT 204.935 51.740 205.225 51.785 ;
+        RECT 204.000 51.600 205.225 51.740 ;
+        RECT 197.100 51.540 197.420 51.600 ;
+        RECT 204.000 51.540 204.320 51.600 ;
+        RECT 204.935 51.555 205.225 51.600 ;
+        RECT 205.380 51.740 205.700 51.800 ;
+        RECT 218.275 51.740 218.565 51.785 ;
+        RECT 205.380 51.600 218.565 51.740 ;
+        RECT 205.380 51.540 205.700 51.600 ;
+        RECT 218.275 51.555 218.565 51.600 ;
+        RECT 225.160 51.740 225.480 51.800 ;
+        RECT 226.170 51.740 226.310 52.575 ;
+        RECT 226.540 52.420 226.860 52.480 ;
+        RECT 228.840 52.420 229.160 52.480 ;
+        RECT 232.150 52.465 232.290 52.620 ;
+        RECT 234.835 52.620 243.420 52.760 ;
+        RECT 234.835 52.575 235.125 52.620 ;
+        RECT 243.100 52.560 243.420 52.620 ;
+        RECT 247.260 52.760 247.550 52.805 ;
+        RECT 250.040 52.760 250.330 52.805 ;
+        RECT 247.260 52.620 250.330 52.760 ;
+        RECT 247.260 52.575 247.550 52.620 ;
+        RECT 250.040 52.575 250.330 52.620 ;
+        RECT 256.440 52.760 256.760 52.820 ;
+        RECT 256.440 52.620 256.955 52.760 ;
+        RECT 256.440 52.560 256.760 52.620 ;
+        RECT 231.155 52.420 231.445 52.465 ;
+        RECT 226.540 52.280 231.445 52.420 ;
+        RECT 226.540 52.220 226.860 52.280 ;
+        RECT 228.840 52.220 229.160 52.280 ;
+        RECT 231.155 52.235 231.445 52.280 ;
+        RECT 232.075 52.235 232.365 52.465 ;
+        RECT 232.535 52.420 232.825 52.465 ;
+        RECT 235.280 52.420 235.600 52.480 ;
+        RECT 232.535 52.280 235.600 52.420 ;
+        RECT 232.535 52.235 232.825 52.280 ;
+        RECT 235.280 52.220 235.600 52.280 ;
+        RECT 238.040 52.420 238.360 52.480 ;
+        RECT 239.435 52.420 239.725 52.465 ;
+        RECT 238.040 52.280 239.725 52.420 ;
+        RECT 238.040 52.220 238.360 52.280 ;
+        RECT 239.435 52.235 239.725 52.280 ;
+        RECT 244.955 52.235 245.245 52.465 ;
+        RECT 245.875 52.420 246.165 52.465 ;
+        RECT 248.635 52.420 248.925 52.465 ;
+        RECT 249.080 52.420 249.400 52.480 ;
+        RECT 245.875 52.280 249.400 52.420 ;
+        RECT 245.875 52.235 246.165 52.280 ;
+        RECT 248.635 52.235 248.925 52.280 ;
+        RECT 230.220 52.080 230.540 52.140 ;
+        RECT 235.740 52.080 236.060 52.140 ;
+        RECT 230.220 51.940 236.060 52.080 ;
+        RECT 245.030 52.080 245.170 52.235 ;
+        RECT 249.080 52.220 249.400 52.280 ;
+        RECT 249.540 52.420 249.860 52.480 ;
+        RECT 256.915 52.420 257.205 52.465 ;
+        RECT 257.910 52.420 258.050 52.960 ;
+        RECT 258.300 52.760 258.590 52.805 ;
+        RECT 261.080 52.760 261.370 52.805 ;
+        RECT 258.300 52.620 261.370 52.760 ;
+        RECT 258.300 52.575 258.590 52.620 ;
+        RECT 261.080 52.575 261.370 52.620 ;
+        RECT 249.540 52.280 250.055 52.420 ;
+        RECT 256.915 52.280 258.050 52.420 ;
+        RECT 258.740 52.420 259.060 52.480 ;
+        RECT 259.215 52.420 259.505 52.465 ;
+        RECT 258.740 52.280 259.505 52.420 ;
+        RECT 249.540 52.220 249.860 52.280 ;
+        RECT 256.915 52.235 257.205 52.280 ;
+        RECT 258.740 52.220 259.060 52.280 ;
+        RECT 259.215 52.235 259.505 52.280 ;
+        RECT 259.675 52.420 259.965 52.465 ;
+        RECT 261.590 52.420 261.730 53.300 ;
+        RECT 261.960 53.300 279.760 53.440 ;
+        RECT 261.960 53.240 262.280 53.300 ;
+        RECT 279.440 53.240 279.760 53.300 ;
+        RECT 279.900 53.440 280.220 53.500 ;
+        RECT 290.480 53.440 290.800 53.500 ;
+        RECT 279.900 53.300 290.800 53.440 ;
+        RECT 279.900 53.240 280.220 53.300 ;
+        RECT 290.480 53.240 290.800 53.300 ;
+        RECT 328.660 53.440 328.980 53.500 ;
+        RECT 350.280 53.440 350.600 53.500 ;
+        RECT 371.900 53.440 372.220 53.500 ;
+        RECT 382.020 53.440 382.340 53.500 ;
+        RECT 328.660 53.300 350.600 53.440 ;
+        RECT 328.660 53.240 328.980 53.300 ;
+        RECT 350.280 53.240 350.600 53.300 ;
+        RECT 352.210 53.300 371.670 53.440 ;
+        RECT 268.400 53.100 268.720 53.160 ;
+        RECT 302.900 53.100 303.220 53.160 ;
+        RECT 327.740 53.100 328.060 53.160 ;
+        RECT 342.920 53.100 343.240 53.160 ;
+        RECT 268.400 52.960 303.220 53.100 ;
+        RECT 268.400 52.900 268.720 52.960 ;
+        RECT 302.900 52.900 303.220 52.960 ;
+        RECT 305.750 52.960 328.060 53.100 ;
+        RECT 261.960 52.760 262.280 52.820 ;
+        RECT 273.000 52.760 273.320 52.820 ;
+        RECT 261.960 52.620 262.475 52.760 ;
+        RECT 267.110 52.620 273.320 52.760 ;
+        RECT 261.960 52.560 262.280 52.620 ;
+        RECT 267.110 52.420 267.250 52.620 ;
+        RECT 273.000 52.560 273.320 52.620 ;
+        RECT 273.480 52.760 273.770 52.805 ;
+        RECT 276.260 52.760 276.550 52.805 ;
+        RECT 277.140 52.760 277.460 52.820 ;
+        RECT 273.480 52.620 276.550 52.760 ;
+        RECT 276.945 52.620 277.460 52.760 ;
+        RECT 273.480 52.575 273.770 52.620 ;
+        RECT 276.260 52.575 276.550 52.620 ;
+        RECT 277.140 52.560 277.460 52.620 ;
+        RECT 277.600 52.760 277.920 52.820 ;
+        RECT 284.040 52.760 284.360 52.820 ;
+        RECT 277.600 52.620 284.360 52.760 ;
+        RECT 277.600 52.560 277.920 52.620 ;
+        RECT 284.040 52.560 284.360 52.620 ;
+        RECT 284.520 52.760 284.810 52.805 ;
+        RECT 287.300 52.760 287.590 52.805 ;
+        RECT 288.180 52.760 288.500 52.820 ;
+        RECT 305.750 52.760 305.890 52.960 ;
+        RECT 327.740 52.900 328.060 52.960 ;
+        RECT 342.090 52.960 343.240 53.100 ;
+        RECT 308.440 52.760 308.730 52.805 ;
+        RECT 311.220 52.760 311.510 52.805 ;
+        RECT 284.520 52.620 287.590 52.760 ;
+        RECT 287.985 52.620 288.500 52.760 ;
+        RECT 284.520 52.575 284.810 52.620 ;
+        RECT 287.300 52.575 287.590 52.620 ;
+        RECT 288.180 52.560 288.500 52.620 ;
+        RECT 296.550 52.620 305.890 52.760 ;
+        RECT 307.130 52.620 308.190 52.760 ;
+        RECT 259.675 52.280 267.250 52.420 ;
+        RECT 270.240 52.420 270.560 52.480 ;
+        RECT 272.095 52.420 272.385 52.465 ;
+        RECT 270.240 52.280 272.385 52.420 ;
+        RECT 259.675 52.235 259.965 52.280 ;
+        RECT 270.240 52.220 270.560 52.280 ;
+        RECT 272.095 52.235 272.385 52.280 ;
+        RECT 272.540 52.420 272.860 52.480 ;
+        RECT 274.380 52.420 274.700 52.480 ;
+        RECT 272.540 52.280 273.055 52.420 ;
+        RECT 274.185 52.280 274.700 52.420 ;
+        RECT 272.540 52.220 272.860 52.280 ;
+        RECT 274.380 52.220 274.700 52.280 ;
+        RECT 274.855 52.420 275.145 52.465 ;
+        RECT 275.300 52.420 275.620 52.480 ;
+        RECT 282.660 52.420 282.980 52.480 ;
+        RECT 274.855 52.280 282.980 52.420 ;
+        RECT 274.855 52.235 275.145 52.280 ;
+        RECT 275.300 52.220 275.620 52.280 ;
+        RECT 282.660 52.220 282.980 52.280 ;
+        RECT 283.135 52.235 283.425 52.465 ;
+        RECT 283.580 52.420 283.900 52.480 ;
+        RECT 285.420 52.420 285.740 52.480 ;
+        RECT 283.580 52.280 284.095 52.420 ;
+        RECT 285.225 52.280 285.740 52.420 ;
+        RECT 281.740 52.080 282.060 52.140 ;
+        RECT 245.030 51.940 258.050 52.080 ;
+        RECT 230.220 51.880 230.540 51.940 ;
+        RECT 235.740 51.880 236.060 51.940 ;
+        RECT 225.160 51.600 226.310 51.740 ;
+        RECT 227.000 51.740 227.320 51.800 ;
+        RECT 240.355 51.740 240.645 51.785 ;
+        RECT 227.000 51.600 240.645 51.740 ;
+        RECT 225.160 51.540 225.480 51.600 ;
+        RECT 227.000 51.540 227.320 51.600 ;
+        RECT 240.355 51.555 240.645 51.600 ;
+        RECT 241.720 51.740 242.040 51.800 ;
+        RECT 244.940 51.740 245.260 51.800 ;
+        RECT 241.720 51.600 245.260 51.740 ;
+        RECT 241.720 51.540 242.040 51.600 ;
+        RECT 244.940 51.540 245.260 51.600 ;
+        RECT 250.935 51.740 251.225 51.785 ;
+        RECT 251.380 51.740 251.700 51.800 ;
+        RECT 250.935 51.600 251.700 51.740 ;
+        RECT 250.935 51.555 251.225 51.600 ;
+        RECT 251.380 51.540 251.700 51.600 ;
+        RECT 251.840 51.740 252.160 51.800 ;
+        RECT 253.680 51.740 254.000 51.800 ;
+        RECT 256.440 51.740 256.760 51.800 ;
+        RECT 251.840 51.600 256.760 51.740 ;
+        RECT 257.910 51.740 258.050 51.940 ;
+        RECT 262.510 51.940 282.060 52.080 ;
+        RECT 283.210 52.080 283.350 52.235 ;
+        RECT 283.580 52.220 283.900 52.280 ;
+        RECT 285.420 52.220 285.740 52.280 ;
+        RECT 285.895 52.420 286.185 52.465 ;
+        RECT 295.540 52.420 295.860 52.480 ;
+        RECT 285.895 52.280 295.860 52.420 ;
+        RECT 285.895 52.235 286.185 52.280 ;
+        RECT 285.970 52.080 286.110 52.235 ;
+        RECT 295.540 52.220 295.860 52.280 ;
+        RECT 283.210 51.940 286.110 52.080 ;
+        RECT 286.340 52.080 286.660 52.140 ;
+        RECT 296.550 52.080 296.690 52.620 ;
+        RECT 296.935 52.235 297.225 52.465 ;
+        RECT 298.300 52.420 298.620 52.480 ;
+        RECT 307.130 52.465 307.270 52.620 ;
+        RECT 298.105 52.280 298.620 52.420 ;
+        RECT 286.340 51.940 296.690 52.080 ;
+        RECT 262.510 51.740 262.650 51.940 ;
+        RECT 281.740 51.880 282.060 51.940 ;
+        RECT 286.340 51.880 286.660 51.940 ;
+        RECT 257.910 51.600 262.650 51.740 ;
+        RECT 274.840 51.740 275.160 51.800 ;
+        RECT 280.360 51.740 280.680 51.800 ;
+        RECT 274.840 51.600 280.680 51.740 ;
+        RECT 251.840 51.540 252.160 51.600 ;
+        RECT 253.680 51.540 254.000 51.600 ;
+        RECT 256.440 51.540 256.760 51.600 ;
+        RECT 274.840 51.540 275.160 51.600 ;
+        RECT 280.360 51.540 280.680 51.600 ;
+        RECT 287.720 51.740 288.040 51.800 ;
+        RECT 296.015 51.740 296.305 51.785 ;
+        RECT 287.720 51.600 296.305 51.740 ;
+        RECT 297.010 51.740 297.150 52.235 ;
+        RECT 298.300 52.220 298.620 52.280 ;
+        RECT 307.055 52.235 307.345 52.465 ;
+        RECT 307.515 52.235 307.805 52.465 ;
+        RECT 308.050 52.420 308.190 52.620 ;
+        RECT 308.440 52.620 311.510 52.760 ;
+        RECT 308.440 52.575 308.730 52.620 ;
+        RECT 311.220 52.575 311.510 52.620 ;
+        RECT 315.320 52.760 315.640 52.820 ;
+        RECT 320.840 52.760 321.160 52.820 ;
+        RECT 326.820 52.760 327.140 52.820 ;
+        RECT 329.580 52.760 329.900 52.820 ;
+        RECT 315.320 52.620 321.160 52.760 ;
+        RECT 315.320 52.560 315.640 52.620 ;
+        RECT 320.840 52.560 321.160 52.620 ;
+        RECT 321.390 52.620 324.290 52.760 ;
+        RECT 308.880 52.420 309.200 52.480 ;
+        RECT 308.050 52.280 309.200 52.420 ;
+        RECT 307.590 52.080 307.730 52.235 ;
+        RECT 308.880 52.220 309.200 52.280 ;
+        RECT 309.800 52.420 310.120 52.480 ;
+        RECT 310.735 52.420 311.025 52.465 ;
+        RECT 311.640 52.420 311.960 52.480 ;
+        RECT 317.160 52.420 317.480 52.480 ;
+        RECT 309.800 52.280 310.315 52.420 ;
+        RECT 310.735 52.280 311.960 52.420 ;
+        RECT 316.965 52.280 317.480 52.420 ;
+        RECT 309.800 52.220 310.120 52.280 ;
+        RECT 310.735 52.235 311.025 52.280 ;
+        RECT 311.640 52.220 311.960 52.280 ;
+        RECT 317.160 52.220 317.480 52.280 ;
+        RECT 318.080 52.420 318.400 52.480 ;
+        RECT 321.390 52.420 321.530 52.620 ;
+        RECT 323.600 52.420 323.920 52.480 ;
+        RECT 318.080 52.280 321.530 52.420 ;
+        RECT 323.405 52.280 323.920 52.420 ;
+        RECT 324.150 52.420 324.290 52.620 ;
+        RECT 326.820 52.620 329.900 52.760 ;
+        RECT 326.820 52.560 327.140 52.620 ;
+        RECT 329.580 52.560 329.900 52.620 ;
+        RECT 331.440 52.760 331.730 52.805 ;
+        RECT 334.220 52.760 334.510 52.805 ;
+        RECT 335.100 52.760 335.420 52.820 ;
+        RECT 331.440 52.620 334.510 52.760 ;
+        RECT 334.905 52.620 335.420 52.760 ;
+        RECT 331.440 52.575 331.730 52.620 ;
+        RECT 334.220 52.575 334.510 52.620 ;
+        RECT 335.100 52.560 335.420 52.620 ;
+        RECT 340.635 52.760 340.925 52.805 ;
+        RECT 342.090 52.760 342.230 52.960 ;
+        RECT 342.920 52.900 343.240 52.960 ;
+        RECT 340.635 52.620 342.230 52.760 ;
+        RECT 342.480 52.760 342.770 52.805 ;
+        RECT 345.260 52.760 345.550 52.805 ;
+        RECT 346.140 52.760 346.460 52.820 ;
+        RECT 352.210 52.805 352.350 53.300 ;
+        RECT 352.580 53.100 352.900 53.160 ;
+        RECT 371.530 53.100 371.670 53.300 ;
+        RECT 371.900 53.300 382.340 53.440 ;
+        RECT 371.900 53.240 372.220 53.300 ;
+        RECT 382.020 53.240 382.340 53.300 ;
+        RECT 377.420 53.100 377.740 53.160 ;
+        RECT 385.715 53.100 386.005 53.145 ;
+        RECT 352.580 52.960 365.690 53.100 ;
+        RECT 371.530 52.960 373.510 53.100 ;
+        RECT 352.580 52.900 352.900 52.960 ;
+        RECT 342.480 52.620 345.550 52.760 ;
+        RECT 345.945 52.620 346.460 52.760 ;
+        RECT 340.635 52.575 340.925 52.620 ;
+        RECT 342.480 52.575 342.770 52.620 ;
+        RECT 345.260 52.575 345.550 52.620 ;
+        RECT 346.140 52.560 346.460 52.620 ;
+        RECT 352.135 52.575 352.425 52.805 ;
+        RECT 353.980 52.760 354.270 52.805 ;
+        RECT 356.760 52.760 357.050 52.805 ;
+        RECT 357.640 52.760 357.960 52.820 ;
+        RECT 353.980 52.620 357.050 52.760 ;
+        RECT 357.445 52.620 357.960 52.760 ;
+        RECT 353.980 52.575 354.270 52.620 ;
+        RECT 356.760 52.575 357.050 52.620 ;
+        RECT 357.640 52.560 357.960 52.620 ;
+        RECT 358.560 52.760 358.880 52.820 ;
+        RECT 365.550 52.805 365.690 52.960 ;
+        RECT 358.560 52.620 365.230 52.760 ;
+        RECT 358.560 52.560 358.880 52.620 ;
+        RECT 327.740 52.420 328.060 52.480 ;
+        RECT 329.120 52.420 329.440 52.480 ;
+        RECT 330.040 52.420 330.360 52.480 ;
+        RECT 332.340 52.420 332.660 52.480 ;
+        RECT 324.150 52.280 328.060 52.420 ;
+        RECT 328.925 52.280 329.440 52.420 ;
+        RECT 329.845 52.280 330.360 52.420 ;
+        RECT 332.145 52.280 332.660 52.420 ;
+        RECT 318.080 52.220 318.400 52.280 ;
+        RECT 323.600 52.220 323.920 52.280 ;
+        RECT 327.740 52.220 328.060 52.280 ;
+        RECT 329.120 52.220 329.440 52.280 ;
+        RECT 330.040 52.220 330.360 52.280 ;
+        RECT 332.340 52.220 332.660 52.280 ;
+        RECT 332.800 52.420 333.120 52.480 ;
+        RECT 341.020 52.420 341.310 52.465 ;
+        RECT 343.380 52.420 343.700 52.480 ;
+        RECT 332.800 52.280 333.315 52.420 ;
+        RECT 341.020 52.280 342.690 52.420 ;
+        RECT 343.185 52.280 343.700 52.420 ;
+        RECT 332.800 52.220 333.120 52.280 ;
+        RECT 341.020 52.235 341.310 52.280 ;
+        RECT 334.180 52.080 334.500 52.140 ;
+        RECT 307.590 51.940 334.500 52.080 ;
+        RECT 342.550 52.080 342.690 52.280 ;
+        RECT 343.380 52.220 343.700 52.280 ;
+        RECT 343.855 52.420 344.145 52.465 ;
+        RECT 351.660 52.420 351.980 52.480 ;
+        RECT 343.855 52.280 351.980 52.420 ;
+        RECT 343.855 52.235 344.145 52.280 ;
+        RECT 343.930 52.080 344.070 52.235 ;
+        RECT 351.660 52.220 351.980 52.280 ;
+        RECT 352.595 52.235 352.885 52.465 ;
+        RECT 353.500 52.420 353.820 52.480 ;
+        RECT 354.895 52.420 355.185 52.465 ;
+        RECT 353.500 52.280 355.185 52.420 ;
+        RECT 342.550 51.940 344.070 52.080 ;
+        RECT 352.670 52.080 352.810 52.235 ;
+        RECT 353.500 52.220 353.820 52.280 ;
+        RECT 354.895 52.235 355.185 52.280 ;
+        RECT 355.355 52.420 355.645 52.465 ;
+        RECT 358.100 52.420 358.420 52.480 ;
+        RECT 365.090 52.465 365.230 52.620 ;
+        RECT 365.475 52.575 365.765 52.805 ;
+        RECT 355.355 52.280 358.420 52.420 ;
+        RECT 355.355 52.235 355.645 52.280 ;
+        RECT 355.430 52.080 355.570 52.235 ;
+        RECT 358.100 52.220 358.420 52.280 ;
+        RECT 364.095 52.235 364.385 52.465 ;
+        RECT 365.015 52.420 365.305 52.465 ;
+        RECT 368.680 52.420 369.000 52.480 ;
+        RECT 365.015 52.280 369.000 52.420 ;
+        RECT 365.015 52.235 365.305 52.280 ;
+        RECT 352.670 51.940 355.570 52.080 ;
+        RECT 359.480 52.080 359.800 52.140 ;
+        RECT 364.170 52.080 364.310 52.235 ;
+        RECT 368.680 52.220 369.000 52.280 ;
+        RECT 371.915 52.235 372.205 52.465 ;
+        RECT 372.820 52.420 373.140 52.480 ;
+        RECT 372.625 52.280 373.140 52.420 ;
+        RECT 373.370 52.420 373.510 52.960 ;
+        RECT 377.420 52.960 386.005 53.100 ;
+        RECT 377.420 52.900 377.740 52.960 ;
+        RECT 385.715 52.915 386.005 52.960 ;
+        RECT 375.120 52.760 375.440 52.820 ;
+        RECT 380.655 52.760 380.945 52.805 ;
+        RECT 375.120 52.620 380.945 52.760 ;
+        RECT 375.120 52.560 375.440 52.620 ;
+        RECT 380.655 52.575 380.945 52.620 ;
+        RECT 387.540 52.420 387.860 52.480 ;
+        RECT 373.370 52.280 387.860 52.420 ;
+        RECT 371.990 52.080 372.130 52.235 ;
+        RECT 372.820 52.220 373.140 52.280 ;
+        RECT 387.540 52.220 387.860 52.280 ;
+        RECT 388.460 52.420 388.780 52.480 ;
+        RECT 390.775 52.420 391.065 52.465 ;
+        RECT 388.460 52.280 391.065 52.420 ;
+        RECT 388.460 52.220 388.780 52.280 ;
+        RECT 390.775 52.235 391.065 52.280 ;
+        RECT 624.915 52.420 625.205 52.465 ;
+        RECT 630.880 52.420 631.200 52.480 ;
+        RECT 624.915 52.280 631.200 52.420 ;
+        RECT 624.915 52.235 625.205 52.280 ;
+        RECT 630.880 52.220 631.200 52.280 ;
+        RECT 393.520 52.080 393.840 52.140 ;
+        RECT 359.480 51.940 363.850 52.080 ;
+        RECT 364.170 51.940 371.670 52.080 ;
+        RECT 371.990 51.940 393.840 52.080 ;
+        RECT 334.180 51.880 334.500 51.940 ;
+        RECT 359.480 51.880 359.800 51.940 ;
+        RECT 308.880 51.740 309.200 51.800 ;
+        RECT 312.100 51.740 312.420 51.800 ;
+        RECT 318.080 51.740 318.400 51.800 ;
+        RECT 297.010 51.600 309.200 51.740 ;
+        RECT 311.905 51.600 312.420 51.740 ;
+        RECT 317.885 51.600 318.400 51.740 ;
+        RECT 287.720 51.540 288.040 51.600 ;
+        RECT 296.015 51.555 296.305 51.600 ;
+        RECT 308.880 51.540 309.200 51.600 ;
+        RECT 312.100 51.540 312.420 51.600 ;
+        RECT 318.080 51.540 318.400 51.600 ;
+        RECT 318.540 51.740 318.860 51.800 ;
+        RECT 324.535 51.740 324.825 51.785 ;
+        RECT 318.540 51.600 324.825 51.740 ;
+        RECT 318.540 51.540 318.860 51.600 ;
+        RECT 324.535 51.555 324.825 51.600 ;
+        RECT 327.280 51.740 327.600 51.800 ;
+        RECT 328.200 51.740 328.520 51.800 ;
+        RECT 327.280 51.600 328.520 51.740 ;
+        RECT 327.280 51.540 327.600 51.600 ;
+        RECT 328.200 51.540 328.520 51.600 ;
+        RECT 330.040 51.740 330.360 51.800 ;
+        RECT 332.800 51.740 333.120 51.800 ;
+        RECT 330.040 51.600 333.120 51.740 ;
+        RECT 330.040 51.540 330.360 51.600 ;
+        RECT 332.800 51.540 333.120 51.600 ;
+        RECT 336.020 51.740 336.340 51.800 ;
+        RECT 354.880 51.740 355.200 51.800 ;
+        RECT 336.020 51.600 355.200 51.740 ;
+        RECT 363.710 51.740 363.850 51.940 ;
+        RECT 370.995 51.740 371.285 51.785 ;
+        RECT 363.710 51.600 371.285 51.740 ;
+        RECT 371.530 51.740 371.670 51.940 ;
+        RECT 393.520 51.880 393.840 51.940 ;
+        RECT 385.700 51.740 386.020 51.800 ;
+        RECT 371.530 51.600 386.020 51.740 ;
+        RECT 336.020 51.540 336.340 51.600 ;
+        RECT 354.880 51.540 355.200 51.600 ;
+        RECT 370.995 51.555 371.285 51.600 ;
+        RECT 385.700 51.540 386.020 51.600 ;
+        RECT 42.470 50.920 631.270 51.400 ;
+        RECT 56.800 50.720 57.120 50.780 ;
+        RECT 72.440 50.720 72.760 50.780 ;
+        RECT 56.800 50.580 72.760 50.720 ;
+        RECT 56.800 50.520 57.120 50.580 ;
+        RECT 72.440 50.520 72.760 50.580 ;
+        RECT 73.375 50.720 73.665 50.765 ;
+        RECT 98.660 50.720 98.980 50.780 ;
+        RECT 73.375 50.580 98.980 50.720 ;
+        RECT 73.375 50.535 73.665 50.580 ;
+        RECT 98.660 50.520 98.980 50.580 ;
+        RECT 100.500 50.720 100.820 50.780 ;
+        RECT 133.160 50.720 133.480 50.780 ;
+        RECT 133.635 50.720 133.925 50.765 ;
+        RECT 100.500 50.580 113.150 50.720 ;
+        RECT 100.500 50.520 100.820 50.580 ;
+        RECT 60.020 50.380 60.340 50.440 ;
+        RECT 86.700 50.380 87.020 50.440 ;
+        RECT 60.020 50.240 87.020 50.380 ;
+        RECT 60.020 50.180 60.340 50.240 ;
+        RECT 86.700 50.180 87.020 50.240 ;
+        RECT 92.240 50.380 92.530 50.425 ;
+        RECT 93.640 50.380 93.930 50.425 ;
+        RECT 95.480 50.380 95.770 50.425 ;
+        RECT 106.020 50.380 106.340 50.440 ;
+        RECT 92.240 50.240 95.770 50.380 ;
+        RECT 92.240 50.195 92.530 50.240 ;
+        RECT 93.640 50.195 93.930 50.240 ;
+        RECT 95.480 50.195 95.770 50.240 ;
+        RECT 95.990 50.240 106.340 50.380 ;
+        RECT 72.915 49.855 73.205 50.085 ;
+        RECT 77.960 50.040 78.280 50.100 ;
+        RECT 78.880 50.040 79.200 50.100 ;
+        RECT 80.720 50.040 81.040 50.100 ;
+        RECT 77.960 49.900 78.475 50.040 ;
+        RECT 78.880 49.900 79.395 50.040 ;
+        RECT 80.525 49.900 81.040 50.040 ;
+        RECT 61.860 49.700 62.180 49.760 ;
+        RECT 72.990 49.700 73.130 49.855 ;
+        RECT 77.960 49.840 78.280 49.900 ;
+        RECT 78.880 49.840 79.200 49.900 ;
+        RECT 80.720 49.840 81.040 49.900 ;
+        RECT 85.795 50.040 86.085 50.085 ;
+        RECT 91.760 50.040 92.080 50.100 ;
+        RECT 85.795 49.900 92.080 50.040 ;
+        RECT 85.795 49.855 86.085 49.900 ;
+        RECT 91.760 49.840 92.080 49.900 ;
+        RECT 92.695 50.040 92.985 50.085 ;
+        RECT 95.990 50.040 96.130 50.240 ;
+        RECT 106.020 50.180 106.340 50.240 ;
+        RECT 92.695 49.900 96.130 50.040 ;
+        RECT 99.580 50.040 99.900 50.100 ;
+        RECT 105.115 50.040 105.405 50.085 ;
+        RECT 99.580 49.900 105.405 50.040 ;
+        RECT 92.695 49.855 92.985 49.900 ;
+        RECT 99.580 49.840 99.900 49.900 ;
+        RECT 105.115 49.855 105.405 49.900 ;
+        RECT 107.875 49.855 108.165 50.085 ;
+        RECT 108.795 50.040 109.085 50.085 ;
+        RECT 112.000 50.040 112.320 50.100 ;
+        RECT 108.795 49.900 112.320 50.040 ;
+        RECT 113.010 50.040 113.150 50.580 ;
+        RECT 133.160 50.580 133.925 50.720 ;
+        RECT 133.160 50.520 133.480 50.580 ;
+        RECT 133.635 50.535 133.925 50.580 ;
+        RECT 157.080 50.720 157.400 50.780 ;
+        RECT 162.600 50.720 162.920 50.780 ;
+        RECT 194.800 50.720 195.120 50.780 ;
+        RECT 213.660 50.720 213.980 50.780 ;
+        RECT 249.540 50.720 249.860 50.780 ;
+        RECT 157.080 50.580 162.920 50.720 ;
+        RECT 157.080 50.520 157.400 50.580 ;
+        RECT 162.600 50.520 162.920 50.580 ;
+        RECT 163.150 50.580 195.120 50.720 ;
+        RECT 114.320 50.380 114.610 50.425 ;
+        RECT 115.720 50.380 116.010 50.425 ;
+        RECT 117.560 50.380 117.850 50.425 ;
+        RECT 114.320 50.240 117.850 50.380 ;
+        RECT 114.320 50.195 114.610 50.240 ;
+        RECT 115.720 50.195 116.010 50.240 ;
+        RECT 117.560 50.195 117.850 50.240 ;
+        RECT 126.740 50.380 127.030 50.425 ;
+        RECT 128.140 50.380 128.430 50.425 ;
+        RECT 129.980 50.380 130.270 50.425 ;
+        RECT 126.740 50.240 130.270 50.380 ;
+        RECT 126.740 50.195 127.030 50.240 ;
+        RECT 128.140 50.195 128.430 50.240 ;
+        RECT 129.980 50.195 130.270 50.240 ;
+        RECT 130.860 50.380 131.180 50.440 ;
+        RECT 141.900 50.380 142.220 50.440 ;
+        RECT 130.860 50.240 142.220 50.380 ;
+        RECT 130.860 50.180 131.180 50.240 ;
+        RECT 141.900 50.180 142.220 50.240 ;
+        RECT 142.380 50.380 142.670 50.425 ;
+        RECT 143.780 50.380 144.070 50.425 ;
+        RECT 145.620 50.380 145.910 50.425 ;
+        RECT 148.800 50.380 149.120 50.440 ;
+        RECT 142.380 50.240 145.910 50.380 ;
+        RECT 142.380 50.195 142.670 50.240 ;
+        RECT 143.780 50.195 144.070 50.240 ;
+        RECT 145.620 50.195 145.910 50.240 ;
+        RECT 146.130 50.240 149.120 50.380 ;
+        RECT 113.395 50.040 113.685 50.085 ;
+        RECT 113.010 49.900 113.685 50.040 ;
+        RECT 108.795 49.855 109.085 49.900 ;
+        RECT 89.920 49.700 90.240 49.760 ;
+        RECT 91.300 49.700 91.620 49.760 ;
+        RECT 61.860 49.560 90.240 49.700 ;
+        RECT 91.105 49.560 91.620 49.700 ;
+        RECT 61.860 49.500 62.180 49.560 ;
+        RECT 89.920 49.500 90.240 49.560 ;
+        RECT 91.300 49.500 91.620 49.560 ;
+        RECT 106.020 49.700 106.340 49.760 ;
+        RECT 107.950 49.700 108.090 49.855 ;
+        RECT 112.000 49.840 112.320 49.900 ;
+        RECT 113.395 49.855 113.685 49.900 ;
+        RECT 114.775 50.040 115.065 50.085 ;
+        RECT 120.280 50.040 120.600 50.100 ;
+        RECT 114.775 49.900 120.600 50.040 ;
+        RECT 114.775 49.855 115.065 49.900 ;
+        RECT 112.460 49.700 112.780 49.760 ;
+        RECT 106.020 49.560 112.780 49.700 ;
+        RECT 113.470 49.700 113.610 49.855 ;
+        RECT 120.280 49.840 120.600 49.900 ;
+        RECT 126.260 49.840 126.580 50.100 ;
+        RECT 127.195 50.040 127.485 50.085 ;
+        RECT 133.620 50.040 133.940 50.100 ;
+        RECT 127.195 49.900 133.940 50.040 ;
+        RECT 127.195 49.855 127.485 49.900 ;
+        RECT 133.620 49.840 133.940 49.900 ;
+        RECT 139.600 50.040 139.920 50.100 ;
+        RECT 141.455 50.040 141.745 50.085 ;
+        RECT 139.600 49.900 141.745 50.040 ;
+        RECT 139.600 49.840 139.920 49.900 ;
+        RECT 141.455 49.855 141.745 49.900 ;
+        RECT 142.835 50.040 143.125 50.085 ;
+        RECT 146.130 50.040 146.270 50.240 ;
+        RECT 148.800 50.180 149.120 50.240 ;
+        RECT 154.800 50.380 155.090 50.425 ;
+        RECT 156.200 50.380 156.490 50.425 ;
+        RECT 158.040 50.380 158.330 50.425 ;
+        RECT 154.800 50.240 158.330 50.380 ;
+        RECT 154.800 50.195 155.090 50.240 ;
+        RECT 156.200 50.195 156.490 50.240 ;
+        RECT 158.040 50.195 158.330 50.240 ;
+        RECT 162.140 50.380 162.460 50.440 ;
+        RECT 163.150 50.380 163.290 50.580 ;
+        RECT 194.800 50.520 195.120 50.580 ;
+        RECT 195.350 50.580 202.850 50.720 ;
+        RECT 162.140 50.240 163.290 50.380 ;
+        RECT 170.440 50.380 170.730 50.425 ;
+        RECT 171.840 50.380 172.130 50.425 ;
+        RECT 173.680 50.380 173.970 50.425 ;
+        RECT 170.440 50.240 173.970 50.380 ;
+        RECT 162.140 50.180 162.460 50.240 ;
+        RECT 170.440 50.195 170.730 50.240 ;
+        RECT 171.840 50.195 172.130 50.240 ;
+        RECT 173.680 50.195 173.970 50.240 ;
+        RECT 182.860 50.380 183.150 50.425 ;
+        RECT 184.260 50.380 184.550 50.425 ;
+        RECT 186.100 50.380 186.390 50.425 ;
+        RECT 182.860 50.240 186.390 50.380 ;
+        RECT 182.860 50.195 183.150 50.240 ;
+        RECT 184.260 50.195 184.550 50.240 ;
+        RECT 186.100 50.195 186.390 50.240 ;
+        RECT 191.580 50.380 191.900 50.440 ;
+        RECT 195.350 50.380 195.490 50.580 ;
+        RECT 191.580 50.240 195.490 50.380 ;
+        RECT 198.500 50.380 198.790 50.425 ;
+        RECT 199.900 50.380 200.190 50.425 ;
+        RECT 201.740 50.380 202.030 50.425 ;
+        RECT 198.500 50.240 202.030 50.380 ;
+        RECT 202.710 50.380 202.850 50.580 ;
+        RECT 213.660 50.580 249.860 50.720 ;
+        RECT 213.660 50.520 213.980 50.580 ;
+        RECT 249.540 50.520 249.860 50.580 ;
+        RECT 250.000 50.720 250.320 50.780 ;
+        RECT 256.900 50.720 257.220 50.780 ;
+        RECT 259.660 50.720 259.980 50.780 ;
+        RECT 250.000 50.580 257.220 50.720 ;
+        RECT 259.465 50.580 259.980 50.720 ;
+        RECT 250.000 50.520 250.320 50.580 ;
+        RECT 256.900 50.520 257.220 50.580 ;
+        RECT 259.660 50.520 259.980 50.580 ;
+        RECT 272.540 50.720 272.860 50.780 ;
+        RECT 282.660 50.720 282.980 50.780 ;
+        RECT 291.860 50.720 292.180 50.780 ;
+        RECT 272.540 50.580 282.980 50.720 ;
+        RECT 272.540 50.520 272.860 50.580 ;
+        RECT 282.660 50.520 282.980 50.580 ;
+        RECT 291.490 50.580 292.180 50.720 ;
+        RECT 230.680 50.380 231.000 50.440 ;
+        RECT 238.960 50.380 239.280 50.440 ;
+        RECT 257.820 50.380 258.140 50.440 ;
+        RECT 258.740 50.380 259.060 50.440 ;
+        RECT 275.760 50.380 276.080 50.440 ;
+        RECT 291.490 50.380 291.630 50.580 ;
+        RECT 291.860 50.520 292.180 50.580 ;
+        RECT 292.320 50.720 292.640 50.780 ;
+        RECT 314.875 50.720 315.165 50.765 ;
+        RECT 318.080 50.720 318.400 50.780 ;
+        RECT 331.880 50.720 332.200 50.780 ;
+        RECT 347.980 50.720 348.300 50.780 ;
+        RECT 349.375 50.720 349.665 50.765 ;
+        RECT 354.880 50.720 355.200 50.780 ;
+        RECT 374.660 50.720 374.980 50.780 ;
+        RECT 292.320 50.580 315.165 50.720 ;
+        RECT 292.320 50.520 292.640 50.580 ;
+        RECT 314.875 50.535 315.165 50.580 ;
+        RECT 317.250 50.580 332.200 50.720 ;
+        RECT 202.710 50.240 225.390 50.380 ;
+        RECT 230.485 50.240 231.000 50.380 ;
+        RECT 191.580 50.180 191.900 50.240 ;
+        RECT 198.500 50.195 198.790 50.240 ;
+        RECT 199.900 50.195 200.190 50.240 ;
+        RECT 201.740 50.195 202.030 50.240 ;
+        RECT 142.835 49.900 146.270 50.040 ;
+        RECT 147.880 50.040 148.200 50.100 ;
+        RECT 155.240 50.040 155.560 50.100 ;
+        RECT 147.880 49.900 154.550 50.040 ;
+        RECT 155.045 49.900 155.560 50.040 ;
+        RECT 142.835 49.855 143.125 49.900 ;
+        RECT 147.880 49.840 148.200 49.900 ;
+        RECT 125.800 49.700 126.120 49.760 ;
+        RECT 113.470 49.560 126.120 49.700 ;
+        RECT 126.350 49.700 126.490 49.840 ;
+        RECT 130.400 49.700 130.720 49.760 ;
+        RECT 134.540 49.700 134.860 49.760 ;
+        RECT 153.860 49.700 154.180 49.760 ;
+        RECT 126.350 49.560 134.860 49.700 ;
+        RECT 153.665 49.560 154.180 49.700 ;
+        RECT 154.410 49.700 154.550 49.900 ;
+        RECT 155.240 49.840 155.560 49.900 ;
+        RECT 163.060 50.040 163.380 50.100 ;
+        RECT 169.515 50.040 169.805 50.085 ;
+        RECT 170.880 50.040 171.200 50.100 ;
+        RECT 181.920 50.040 182.240 50.100 ;
+        RECT 183.315 50.040 183.605 50.085 ;
+        RECT 192.040 50.040 192.360 50.100 ;
+        RECT 163.060 49.900 169.805 50.040 ;
+        RECT 170.685 49.900 171.200 50.040 ;
+        RECT 163.060 49.840 163.380 49.900 ;
+        RECT 169.515 49.855 169.805 49.900 ;
+        RECT 169.590 49.700 169.730 49.855 ;
+        RECT 170.880 49.840 171.200 49.900 ;
+        RECT 171.430 49.900 182.435 50.040 ;
+        RECT 183.315 49.900 192.360 50.040 ;
+        RECT 171.430 49.700 171.570 49.900 ;
+        RECT 181.920 49.840 182.240 49.900 ;
+        RECT 183.315 49.855 183.605 49.900 ;
+        RECT 192.040 49.840 192.360 49.900 ;
+        RECT 194.340 50.040 194.660 50.100 ;
+        RECT 195.275 50.040 195.565 50.085 ;
+        RECT 194.340 49.900 195.565 50.040 ;
+        RECT 194.340 49.840 194.660 49.900 ;
+        RECT 195.275 49.855 195.565 49.900 ;
+        RECT 198.955 50.040 199.245 50.085 ;
+        RECT 205.380 50.040 205.700 50.100 ;
+        RECT 198.955 49.900 205.700 50.040 ;
+        RECT 198.955 49.855 199.245 49.900 ;
+        RECT 205.380 49.840 205.700 49.900 ;
+        RECT 210.915 50.040 211.205 50.085 ;
+        RECT 213.200 50.040 213.520 50.100 ;
+        RECT 213.675 50.040 213.965 50.085 ;
+        RECT 214.580 50.040 214.900 50.100 ;
+        RECT 210.915 49.900 213.965 50.040 ;
+        RECT 214.385 49.900 214.900 50.040 ;
+        RECT 210.915 49.855 211.205 49.900 ;
+        RECT 213.200 49.840 213.520 49.900 ;
+        RECT 213.675 49.855 213.965 49.900 ;
+        RECT 214.580 49.840 214.900 49.900 ;
+        RECT 219.640 50.040 219.960 50.100 ;
+        RECT 224.700 50.040 225.020 50.100 ;
+        RECT 219.640 49.900 225.020 50.040 ;
+        RECT 219.640 49.840 219.960 49.900 ;
+        RECT 224.700 49.840 225.020 49.900 ;
+        RECT 154.410 49.560 161.450 49.700 ;
+        RECT 169.590 49.560 171.570 49.700 ;
+        RECT 175.940 49.700 176.260 49.760 ;
+        RECT 191.120 49.700 191.440 49.760 ;
+        RECT 197.560 49.700 197.880 49.760 ;
+        RECT 210.440 49.700 210.760 49.760 ;
+        RECT 175.940 49.560 191.440 49.700 ;
+        RECT 106.020 49.500 106.340 49.560 ;
+        RECT 112.460 49.500 112.780 49.560 ;
+        RECT 125.800 49.500 126.120 49.560 ;
+        RECT 130.400 49.500 130.720 49.560 ;
+        RECT 134.540 49.500 134.860 49.560 ;
+        RECT 153.860 49.500 154.180 49.560 ;
+        RECT 66.460 49.360 66.780 49.420 ;
+        RECT 90.840 49.360 91.160 49.420 ;
+        RECT 66.460 49.220 91.160 49.360 ;
+        RECT 66.460 49.160 66.780 49.220 ;
+        RECT 90.840 49.160 91.160 49.220 ;
+        RECT 91.780 49.360 92.070 49.405 ;
+        RECT 94.100 49.360 94.390 49.405 ;
+        RECT 95.480 49.360 95.770 49.405 ;
+        RECT 91.780 49.220 95.770 49.360 ;
+        RECT 91.780 49.175 92.070 49.220 ;
+        RECT 94.100 49.175 94.390 49.220 ;
+        RECT 95.480 49.175 95.770 49.220 ;
+        RECT 113.860 49.360 114.150 49.405 ;
+        RECT 116.180 49.360 116.470 49.405 ;
+        RECT 117.560 49.360 117.850 49.405 ;
+        RECT 113.860 49.220 117.850 49.360 ;
+        RECT 113.860 49.175 114.150 49.220 ;
+        RECT 116.180 49.175 116.470 49.220 ;
+        RECT 117.560 49.175 117.850 49.220 ;
+        RECT 126.280 49.360 126.570 49.405 ;
+        RECT 128.600 49.360 128.890 49.405 ;
+        RECT 129.980 49.360 130.270 49.405 ;
+        RECT 126.280 49.220 130.270 49.360 ;
+        RECT 126.280 49.175 126.570 49.220 ;
+        RECT 128.600 49.175 128.890 49.220 ;
+        RECT 129.980 49.175 130.270 49.220 ;
+        RECT 141.920 49.360 142.210 49.405 ;
+        RECT 144.240 49.360 144.530 49.405 ;
+        RECT 145.620 49.360 145.910 49.405 ;
+        RECT 141.920 49.220 145.910 49.360 ;
+        RECT 141.920 49.175 142.210 49.220 ;
+        RECT 144.240 49.175 144.530 49.220 ;
+        RECT 145.620 49.175 145.910 49.220 ;
+        RECT 154.340 49.360 154.630 49.405 ;
+        RECT 156.660 49.360 156.950 49.405 ;
+        RECT 158.040 49.360 158.330 49.405 ;
+        RECT 154.340 49.220 158.330 49.360 ;
+        RECT 154.340 49.175 154.630 49.220 ;
+        RECT 156.660 49.175 156.950 49.220 ;
+        RECT 158.040 49.175 158.330 49.220 ;
+        RECT 70.140 49.020 70.460 49.080 ;
+        RECT 86.240 49.020 86.560 49.080 ;
+        RECT 70.140 48.880 86.560 49.020 ;
+        RECT 70.140 48.820 70.460 48.880 ;
+        RECT 86.240 48.820 86.560 48.880 ;
+        RECT 86.715 49.020 87.005 49.065 ;
+        RECT 87.160 49.020 87.480 49.080 ;
+        RECT 86.715 48.880 87.480 49.020 ;
+        RECT 86.715 48.835 87.005 48.880 ;
+        RECT 87.160 48.820 87.480 48.880 ;
+        RECT 96.820 49.020 97.140 49.080 ;
+        RECT 99.135 49.020 99.425 49.065 ;
+        RECT 96.820 48.880 99.425 49.020 ;
+        RECT 96.820 48.820 97.140 48.880 ;
+        RECT 99.135 48.835 99.425 48.880 ;
+        RECT 108.780 49.020 109.100 49.080 ;
+        RECT 120.295 49.020 120.585 49.065 ;
+        RECT 108.780 48.880 120.585 49.020 ;
+        RECT 108.780 48.820 109.100 48.880 ;
+        RECT 120.295 48.835 120.585 48.880 ;
+        RECT 150.640 49.020 150.960 49.080 ;
+        RECT 160.775 49.020 161.065 49.065 ;
+        RECT 150.640 48.880 161.065 49.020 ;
+        RECT 161.310 49.020 161.450 49.560 ;
+        RECT 175.940 49.500 176.260 49.560 ;
+        RECT 191.120 49.500 191.440 49.560 ;
+        RECT 196.730 49.560 197.880 49.700 ;
+        RECT 210.245 49.560 210.760 49.700 ;
+        RECT 169.980 49.360 170.270 49.405 ;
+        RECT 172.300 49.360 172.590 49.405 ;
+        RECT 173.680 49.360 173.970 49.405 ;
+        RECT 169.980 49.220 173.970 49.360 ;
+        RECT 169.980 49.175 170.270 49.220 ;
+        RECT 172.300 49.175 172.590 49.220 ;
+        RECT 173.680 49.175 173.970 49.220 ;
+        RECT 182.400 49.360 182.690 49.405 ;
+        RECT 184.720 49.360 185.010 49.405 ;
+        RECT 186.100 49.360 186.390 49.405 ;
+        RECT 193.420 49.360 193.740 49.420 ;
+        RECT 194.355 49.360 194.645 49.405 ;
+        RECT 182.400 49.220 186.390 49.360 ;
+        RECT 182.400 49.175 182.690 49.220 ;
+        RECT 184.720 49.175 185.010 49.220 ;
+        RECT 186.100 49.175 186.390 49.220 ;
+        RECT 187.070 49.220 194.645 49.360 ;
+        RECT 176.415 49.020 176.705 49.065 ;
+        RECT 161.310 48.880 176.705 49.020 ;
+        RECT 150.640 48.820 150.960 48.880 ;
+        RECT 160.775 48.835 161.065 48.880 ;
+        RECT 176.415 48.835 176.705 48.880 ;
+        RECT 185.140 49.020 185.460 49.080 ;
+        RECT 187.070 49.020 187.210 49.220 ;
+        RECT 193.420 49.160 193.740 49.220 ;
+        RECT 194.355 49.175 194.645 49.220 ;
+        RECT 188.820 49.020 189.140 49.080 ;
+        RECT 185.140 48.880 187.210 49.020 ;
+        RECT 188.625 48.880 189.140 49.020 ;
+        RECT 194.430 49.020 194.570 49.175 ;
+        RECT 196.730 49.020 196.870 49.560 ;
+        RECT 197.560 49.500 197.880 49.560 ;
+        RECT 210.440 49.500 210.760 49.560 ;
+        RECT 212.300 49.700 212.590 49.745 ;
+        RECT 215.080 49.700 215.370 49.745 ;
+        RECT 212.300 49.560 215.370 49.700 ;
+        RECT 212.300 49.515 212.590 49.560 ;
+        RECT 215.080 49.515 215.370 49.560 ;
+        RECT 198.040 49.360 198.330 49.405 ;
+        RECT 200.360 49.360 200.650 49.405 ;
+        RECT 201.740 49.360 202.030 49.405 ;
+        RECT 198.040 49.220 202.030 49.360 ;
+        RECT 198.040 49.175 198.330 49.220 ;
+        RECT 200.360 49.175 200.650 49.220 ;
+        RECT 201.740 49.175 202.030 49.220 ;
+        RECT 202.620 49.360 202.940 49.420 ;
+        RECT 223.320 49.360 223.640 49.420 ;
+        RECT 202.620 49.220 223.640 49.360 ;
+        RECT 225.250 49.360 225.390 50.240 ;
+        RECT 230.680 50.180 231.000 50.240 ;
+        RECT 231.230 50.240 239.280 50.380 ;
+        RECT 228.840 50.040 229.160 50.100 ;
+        RECT 231.230 50.085 231.370 50.240 ;
+        RECT 238.960 50.180 239.280 50.240 ;
+        RECT 254.690 50.240 257.590 50.380 ;
+        RECT 230.235 50.040 230.525 50.085 ;
+        RECT 228.840 49.900 230.525 50.040 ;
+        RECT 228.840 49.840 229.160 49.900 ;
+        RECT 230.235 49.855 230.525 49.900 ;
+        RECT 231.155 49.855 231.445 50.085 ;
+        RECT 238.515 50.040 238.805 50.085 ;
+        RECT 241.260 50.040 241.580 50.100 ;
+        RECT 242.180 50.040 242.500 50.100 ;
+        RECT 238.515 49.900 241.580 50.040 ;
+        RECT 241.985 49.900 242.500 50.040 ;
+        RECT 238.515 49.855 238.805 49.900 ;
+        RECT 241.260 49.840 241.580 49.900 ;
+        RECT 242.180 49.840 242.500 49.900 ;
+        RECT 252.760 50.040 253.080 50.100 ;
+        RECT 254.690 50.085 254.830 50.240 ;
+        RECT 253.695 50.040 253.985 50.085 ;
+        RECT 252.760 49.900 253.985 50.040 ;
+        RECT 252.760 49.840 253.080 49.900 ;
+        RECT 253.695 49.855 253.985 49.900 ;
+        RECT 254.615 49.855 254.905 50.085 ;
+        RECT 256.900 50.040 257.220 50.100 ;
+        RECT 257.450 50.085 257.590 50.240 ;
+        RECT 257.820 50.240 259.060 50.380 ;
+        RECT 257.820 50.180 258.140 50.240 ;
+        RECT 258.740 50.180 259.060 50.240 ;
+        RECT 271.250 50.240 274.150 50.380 ;
+        RECT 256.705 49.900 257.220 50.040 ;
+        RECT 256.900 49.840 257.220 49.900 ;
+        RECT 257.375 50.040 257.665 50.085 ;
+        RECT 260.580 50.040 260.900 50.100 ;
+        RECT 257.375 49.900 260.900 50.040 ;
+        RECT 257.375 49.855 257.665 49.900 ;
+        RECT 260.580 49.840 260.900 49.900 ;
+        RECT 264.735 50.040 265.025 50.085 ;
+        RECT 265.640 50.040 265.960 50.100 ;
+        RECT 271.250 50.085 271.390 50.240 ;
+        RECT 264.735 49.900 265.960 50.040 ;
+        RECT 264.735 49.855 265.025 49.900 ;
+        RECT 265.640 49.840 265.960 49.900 ;
+        RECT 271.175 49.855 271.465 50.085 ;
+        RECT 272.080 50.040 272.400 50.100 ;
+        RECT 274.010 50.085 274.150 50.240 ;
+        RECT 275.760 50.240 291.630 50.380 ;
+        RECT 295.080 50.380 295.400 50.440 ;
+        RECT 296.015 50.380 296.305 50.425 ;
+        RECT 295.080 50.240 296.305 50.380 ;
+        RECT 275.760 50.180 276.080 50.240 ;
+        RECT 273.475 50.040 273.765 50.085 ;
+        RECT 272.080 49.900 273.765 50.040 ;
+        RECT 272.080 49.840 272.400 49.900 ;
+        RECT 273.475 49.855 273.765 49.900 ;
+        RECT 273.935 50.040 274.225 50.085 ;
+        RECT 280.360 50.040 280.680 50.100 ;
+        RECT 282.200 50.040 282.520 50.100 ;
+        RECT 283.120 50.040 283.440 50.100 ;
+        RECT 284.130 50.085 284.270 50.240 ;
+        RECT 295.080 50.180 295.400 50.240 ;
+        RECT 296.015 50.195 296.305 50.240 ;
+        RECT 273.935 49.900 282.520 50.040 ;
+        RECT 282.925 49.900 283.440 50.040 ;
+        RECT 273.935 49.855 274.225 49.900 ;
+        RECT 280.360 49.840 280.680 49.900 ;
+        RECT 282.200 49.840 282.520 49.900 ;
+        RECT 283.120 49.840 283.440 49.900 ;
+        RECT 284.055 49.855 284.345 50.085 ;
+        RECT 284.960 50.040 285.280 50.100 ;
+        RECT 287.720 50.040 288.040 50.100 ;
+        RECT 284.960 49.900 288.040 50.040 ;
+        RECT 284.960 49.840 285.280 49.900 ;
+        RECT 287.720 49.840 288.040 49.900 ;
+        RECT 290.495 50.040 290.785 50.085 ;
+        RECT 292.780 50.040 293.100 50.100 ;
+        RECT 293.255 50.040 293.545 50.085 ;
+        RECT 294.160 50.040 294.480 50.100 ;
+        RECT 290.495 49.900 293.545 50.040 ;
+        RECT 293.965 49.900 294.480 50.040 ;
+        RECT 290.495 49.855 290.785 49.900 ;
+        RECT 292.780 49.840 293.100 49.900 ;
+        RECT 293.255 49.855 293.545 49.900 ;
+        RECT 294.160 49.840 294.480 49.900 ;
+        RECT 299.220 50.040 299.540 50.100 ;
+        RECT 300.615 50.040 300.905 50.085 ;
+        RECT 303.360 50.040 303.680 50.100 ;
+        RECT 317.250 50.085 317.390 50.580 ;
+        RECT 318.080 50.520 318.400 50.580 ;
+        RECT 331.880 50.520 332.200 50.580 ;
+        RECT 344.390 50.580 347.290 50.720 ;
+        RECT 319.000 50.380 319.320 50.440 ;
+        RECT 325.440 50.380 325.760 50.440 ;
+        RECT 319.000 50.240 325.760 50.380 ;
+        RECT 319.000 50.180 319.320 50.240 ;
+        RECT 325.440 50.180 325.760 50.240 ;
+        RECT 325.900 50.380 326.220 50.440 ;
+        RECT 328.200 50.380 328.520 50.440 ;
+        RECT 329.595 50.380 329.885 50.425 ;
+        RECT 325.900 50.240 327.970 50.380 ;
+        RECT 325.900 50.180 326.220 50.240 ;
+        RECT 299.220 49.900 300.905 50.040 ;
+        RECT 303.165 49.900 303.680 50.040 ;
+        RECT 299.220 49.840 299.540 49.900 ;
+        RECT 300.615 49.855 300.905 49.900 ;
+        RECT 303.360 49.840 303.680 49.900 ;
+        RECT 315.795 49.855 316.085 50.085 ;
+        RECT 317.175 49.855 317.465 50.085 ;
+        RECT 324.075 50.040 324.365 50.085 ;
+        RECT 326.820 50.040 327.140 50.100 ;
+        RECT 327.830 50.085 327.970 50.240 ;
+        RECT 328.200 50.240 329.885 50.380 ;
+        RECT 328.200 50.180 328.520 50.240 ;
+        RECT 329.595 50.195 329.885 50.240 ;
+        RECT 324.075 49.900 327.335 50.040 ;
+        RECT 324.075 49.855 324.365 49.900 ;
+        RECT 227.000 49.700 227.320 49.760 ;
+        RECT 234.820 49.700 235.140 49.760 ;
+        RECT 227.000 49.560 235.140 49.700 ;
+        RECT 227.000 49.500 227.320 49.560 ;
+        RECT 234.820 49.500 235.140 49.560 ;
+        RECT 238.055 49.515 238.345 49.745 ;
+        RECT 239.900 49.700 240.190 49.745 ;
+        RECT 242.680 49.700 242.970 49.745 ;
+        RECT 239.900 49.560 242.970 49.700 ;
+        RECT 239.900 49.515 240.190 49.560 ;
+        RECT 242.680 49.515 242.970 49.560 ;
+        RECT 256.000 49.700 256.290 49.745 ;
+        RECT 258.780 49.700 259.070 49.745 ;
+        RECT 256.000 49.560 259.070 49.700 ;
+        RECT 256.000 49.515 256.290 49.560 ;
+        RECT 258.780 49.515 259.070 49.560 ;
+        RECT 259.660 49.700 259.980 49.760 ;
+        RECT 270.240 49.700 270.560 49.760 ;
+        RECT 259.660 49.560 266.330 49.700 ;
+        RECT 270.045 49.560 270.560 49.700 ;
+        RECT 235.740 49.360 236.060 49.420 ;
+        RECT 225.250 49.220 236.060 49.360 ;
+        RECT 238.130 49.360 238.270 49.515 ;
+        RECT 259.660 49.500 259.980 49.560 ;
+        RECT 246.320 49.360 246.640 49.420 ;
+        RECT 265.655 49.360 265.945 49.405 ;
+        RECT 238.130 49.220 244.710 49.360 ;
+        RECT 202.620 49.160 202.940 49.220 ;
+        RECT 223.320 49.160 223.640 49.220 ;
+        RECT 235.740 49.160 236.060 49.220 ;
+        RECT 197.100 49.020 197.420 49.080 ;
+        RECT 194.430 48.880 197.420 49.020 ;
+        RECT 185.140 48.820 185.460 48.880 ;
+        RECT 188.820 48.820 189.140 48.880 ;
+        RECT 197.100 48.820 197.420 48.880 ;
+        RECT 197.560 49.020 197.880 49.080 ;
+        RECT 202.160 49.020 202.480 49.080 ;
+        RECT 197.560 48.880 202.480 49.020 ;
+        RECT 197.560 48.820 197.880 48.880 ;
+        RECT 202.160 48.820 202.480 48.880 ;
+        RECT 203.080 49.020 203.400 49.080 ;
+        RECT 204.475 49.020 204.765 49.065 ;
+        RECT 203.080 48.880 204.765 49.020 ;
+        RECT 203.080 48.820 203.400 48.880 ;
+        RECT 204.475 48.835 204.765 48.880 ;
+        RECT 205.380 49.020 205.700 49.080 ;
+        RECT 215.975 49.020 216.265 49.065 ;
+        RECT 205.380 48.880 216.265 49.020 ;
+        RECT 205.380 48.820 205.700 48.880 ;
+        RECT 215.975 48.835 216.265 48.880 ;
+        RECT 217.800 49.020 218.120 49.080 ;
+        RECT 228.380 49.020 228.700 49.080 ;
+        RECT 217.800 48.880 228.700 49.020 ;
+        RECT 217.800 48.820 218.120 48.880 ;
+        RECT 228.380 48.820 228.700 48.880 ;
+        RECT 232.075 49.020 232.365 49.065 ;
+        RECT 241.720 49.020 242.040 49.080 ;
+        RECT 232.075 48.880 242.040 49.020 ;
+        RECT 232.075 48.835 232.365 48.880 ;
+        RECT 241.720 48.820 242.040 48.880 ;
+        RECT 243.100 49.020 243.420 49.080 ;
+        RECT 243.575 49.020 243.865 49.065 ;
+        RECT 243.100 48.880 243.865 49.020 ;
+        RECT 244.570 49.020 244.710 49.220 ;
+        RECT 246.320 49.220 265.945 49.360 ;
+        RECT 266.190 49.360 266.330 49.560 ;
+        RECT 270.240 49.500 270.560 49.560 ;
+        RECT 272.560 49.700 272.850 49.745 ;
+        RECT 275.340 49.700 275.630 49.745 ;
+        RECT 272.560 49.560 275.630 49.700 ;
+        RECT 272.560 49.515 272.850 49.560 ;
+        RECT 275.340 49.515 275.630 49.560 ;
+        RECT 276.220 49.700 276.540 49.760 ;
+        RECT 284.515 49.700 284.805 49.745 ;
+        RECT 276.220 49.560 284.805 49.700 ;
+        RECT 276.220 49.500 276.540 49.560 ;
+        RECT 284.515 49.515 284.805 49.560 ;
+        RECT 290.035 49.515 290.325 49.745 ;
+        RECT 291.880 49.700 292.170 49.745 ;
+        RECT 294.660 49.700 294.950 49.745 ;
+        RECT 291.880 49.560 294.950 49.700 ;
+        RECT 291.880 49.515 292.170 49.560 ;
+        RECT 294.660 49.515 294.950 49.560 ;
+        RECT 296.460 49.700 296.780 49.760 ;
+        RECT 302.915 49.700 303.205 49.745 ;
+        RECT 296.460 49.560 303.205 49.700 ;
+        RECT 287.720 49.360 288.040 49.420 ;
+        RECT 266.190 49.220 288.040 49.360 ;
+        RECT 290.110 49.360 290.250 49.515 ;
+        RECT 296.460 49.500 296.780 49.560 ;
+        RECT 302.915 49.515 303.205 49.560 ;
+        RECT 304.280 49.700 304.600 49.760 ;
+        RECT 310.720 49.700 311.040 49.760 ;
+        RECT 304.280 49.560 311.040 49.700 ;
+        RECT 304.280 49.500 304.600 49.560 ;
+        RECT 310.720 49.500 311.040 49.560 ;
+        RECT 295.080 49.360 295.400 49.420 ;
+        RECT 290.110 49.220 295.400 49.360 ;
+        RECT 246.320 49.160 246.640 49.220 ;
+        RECT 265.655 49.175 265.945 49.220 ;
+        RECT 287.720 49.160 288.040 49.220 ;
+        RECT 295.080 49.160 295.400 49.220 ;
+        RECT 259.660 49.020 259.980 49.080 ;
+        RECT 244.570 48.880 259.980 49.020 ;
+        RECT 243.100 48.820 243.420 48.880 ;
+        RECT 243.575 48.835 243.865 48.880 ;
+        RECT 259.660 48.820 259.980 48.880 ;
+        RECT 270.240 49.020 270.560 49.080 ;
+        RECT 274.380 49.020 274.700 49.080 ;
+        RECT 270.240 48.880 274.700 49.020 ;
+        RECT 270.240 48.820 270.560 48.880 ;
+        RECT 274.380 48.820 274.700 48.880 ;
+        RECT 276.220 49.020 276.540 49.080 ;
+        RECT 283.120 49.020 283.440 49.080 ;
+        RECT 290.480 49.020 290.800 49.080 ;
+        RECT 276.220 48.880 276.735 49.020 ;
+        RECT 283.120 48.880 290.800 49.020 ;
+        RECT 276.220 48.820 276.540 48.880 ;
+        RECT 283.120 48.820 283.440 48.880 ;
+        RECT 290.480 48.820 290.800 48.880 ;
+        RECT 292.320 49.020 292.640 49.080 ;
+        RECT 314.860 49.020 315.180 49.080 ;
+        RECT 292.320 48.880 315.180 49.020 ;
+        RECT 315.870 49.020 316.010 49.855 ;
+        RECT 326.820 49.840 327.140 49.900 ;
+        RECT 327.755 49.855 328.045 50.085 ;
+        RECT 331.420 50.040 331.740 50.100 ;
+        RECT 336.020 50.040 336.340 50.100 ;
+        RECT 331.420 49.900 336.340 50.040 ;
+        RECT 331.420 49.840 331.740 49.900 ;
+        RECT 336.020 49.840 336.340 49.900 ;
+        RECT 337.400 50.040 337.720 50.100 ;
+        RECT 344.390 50.085 344.530 50.580 ;
+        RECT 345.220 50.380 345.540 50.440 ;
+        RECT 345.220 50.240 346.830 50.380 ;
+        RECT 345.220 50.180 345.540 50.240 ;
+        RECT 346.690 50.085 346.830 50.240 ;
+        RECT 347.150 50.085 347.290 50.580 ;
+        RECT 347.980 50.580 349.665 50.720 ;
+        RECT 354.685 50.580 355.200 50.720 ;
+        RECT 347.980 50.520 348.300 50.580 ;
+        RECT 349.375 50.535 349.665 50.580 ;
+        RECT 354.880 50.520 355.200 50.580 ;
+        RECT 367.390 50.580 374.980 50.720 ;
+        RECT 347.520 50.380 347.840 50.440 ;
+        RECT 359.020 50.380 359.340 50.440 ;
+        RECT 367.390 50.380 367.530 50.580 ;
+        RECT 374.660 50.520 374.980 50.580 ;
+        RECT 375.135 50.720 375.425 50.765 ;
+        RECT 376.040 50.720 376.360 50.780 ;
+        RECT 375.135 50.580 376.360 50.720 ;
+        RECT 375.135 50.535 375.425 50.580 ;
+        RECT 376.040 50.520 376.360 50.580 ;
+        RECT 382.020 50.720 382.340 50.780 ;
+        RECT 394.900 50.720 395.220 50.780 ;
+        RECT 382.020 50.580 395.220 50.720 ;
+        RECT 382.020 50.520 382.340 50.580 ;
+        RECT 394.900 50.520 395.220 50.580 ;
+        RECT 382.480 50.380 382.800 50.440 ;
+        RECT 347.520 50.240 356.950 50.380 ;
+        RECT 347.520 50.180 347.840 50.240 ;
+        RECT 337.875 50.040 338.165 50.085 ;
+        RECT 337.400 49.900 338.165 50.040 ;
+        RECT 337.400 49.840 337.720 49.900 ;
+        RECT 337.875 49.855 338.165 49.900 ;
+        RECT 344.315 49.855 344.605 50.085 ;
+        RECT 346.645 49.855 346.935 50.085 ;
+        RECT 347.075 50.040 347.365 50.085 ;
+        RECT 353.040 50.040 353.360 50.100 ;
+        RECT 356.810 50.085 356.950 50.240 ;
+        RECT 359.020 50.240 367.530 50.380 ;
+        RECT 367.850 50.240 382.800 50.380 ;
+        RECT 359.020 50.180 359.340 50.240 ;
+        RECT 347.075 49.900 353.730 50.040 ;
+        RECT 347.075 49.855 347.365 49.900 ;
+        RECT 353.040 49.840 353.360 49.900 ;
+        RECT 323.615 49.515 323.905 49.745 ;
+        RECT 325.460 49.700 325.750 49.745 ;
+        RECT 328.240 49.700 328.530 49.745 ;
+        RECT 325.460 49.560 328.530 49.700 ;
+        RECT 325.460 49.515 325.750 49.560 ;
+        RECT 328.240 49.515 328.530 49.560 ;
+        RECT 343.380 49.700 343.700 49.760 ;
+        RECT 345.700 49.700 345.990 49.745 ;
+        RECT 348.480 49.700 348.770 49.745 ;
+        RECT 343.380 49.560 343.895 49.700 ;
+        RECT 345.700 49.560 348.770 49.700 ;
+        RECT 323.690 49.360 323.830 49.515 ;
+        RECT 343.380 49.500 343.700 49.560 ;
+        RECT 345.700 49.515 345.990 49.560 ;
+        RECT 348.480 49.515 348.770 49.560 ;
+        RECT 344.300 49.360 344.620 49.420 ;
+        RECT 353.040 49.360 353.360 49.420 ;
+        RECT 323.690 49.220 339.470 49.360 ;
+        RECT 327.280 49.020 327.600 49.080 ;
+        RECT 315.870 48.880 327.600 49.020 ;
+        RECT 339.330 49.020 339.470 49.220 ;
+        RECT 344.300 49.220 353.360 49.360 ;
+        RECT 353.590 49.360 353.730 49.900 ;
+        RECT 355.815 49.855 356.105 50.085 ;
+        RECT 356.735 49.855 357.025 50.085 ;
+        RECT 367.315 50.040 367.605 50.085 ;
+        RECT 367.850 50.040 367.990 50.240 ;
+        RECT 382.480 50.180 382.800 50.240 ;
+        RECT 382.940 50.380 383.260 50.440 ;
+        RECT 391.220 50.380 391.540 50.440 ;
+        RECT 382.940 50.240 391.540 50.380 ;
+        RECT 382.940 50.180 383.260 50.240 ;
+        RECT 391.220 50.180 391.540 50.240 ;
+        RECT 367.315 49.900 367.990 50.040 ;
+        RECT 368.680 50.085 369.000 50.100 ;
+        RECT 367.315 49.855 367.605 49.900 ;
+        RECT 368.680 49.855 369.215 50.085 ;
+        RECT 374.675 49.855 374.965 50.085 ;
+        RECT 375.120 50.040 375.440 50.100 ;
+        RECT 376.055 50.040 376.345 50.085 ;
+        RECT 400.880 50.040 401.200 50.100 ;
+        RECT 375.120 49.900 376.345 50.040 ;
+        RECT 355.890 49.700 356.030 49.855 ;
+        RECT 368.680 49.840 369.000 49.855 ;
+        RECT 360.400 49.700 360.720 49.760 ;
+        RECT 368.235 49.700 368.525 49.745 ;
+        RECT 355.890 49.560 360.720 49.700 ;
+        RECT 360.400 49.500 360.720 49.560 ;
+        RECT 360.950 49.560 368.525 49.700 ;
+        RECT 374.750 49.700 374.890 49.855 ;
+        RECT 375.120 49.840 375.440 49.900 ;
+        RECT 376.055 49.855 376.345 49.900 ;
+        RECT 377.050 49.900 401.200 50.040 ;
+        RECT 377.050 49.700 377.190 49.900 ;
+        RECT 400.880 49.840 401.200 49.900 ;
+        RECT 374.750 49.560 377.190 49.700 ;
+        RECT 360.950 49.360 361.090 49.560 ;
+        RECT 368.235 49.515 368.525 49.560 ;
+        RECT 353.590 49.220 361.090 49.360 ;
+        RECT 366.840 49.360 367.160 49.420 ;
+        RECT 387.555 49.360 387.845 49.405 ;
+        RECT 366.840 49.220 387.845 49.360 ;
+        RECT 344.300 49.160 344.620 49.220 ;
+        RECT 353.040 49.160 353.360 49.220 ;
+        RECT 366.840 49.160 367.160 49.220 ;
+        RECT 387.555 49.175 387.845 49.220 ;
+        RECT 342.920 49.020 343.240 49.080 ;
+        RECT 339.330 48.880 343.240 49.020 ;
+        RECT 292.320 48.820 292.640 48.880 ;
+        RECT 314.860 48.820 315.180 48.880 ;
+        RECT 327.280 48.820 327.600 48.880 ;
+        RECT 342.920 48.820 343.240 48.880 ;
+        RECT 350.280 49.020 350.600 49.080 ;
+        RECT 357.640 49.020 357.960 49.080 ;
+        RECT 350.280 48.880 357.960 49.020 ;
+        RECT 350.280 48.820 350.600 48.880 ;
+        RECT 357.640 48.820 357.960 48.880 ;
+        RECT 359.480 49.020 359.800 49.080 ;
+        RECT 382.495 49.020 382.785 49.065 ;
+        RECT 359.480 48.880 382.785 49.020 ;
+        RECT 359.480 48.820 359.800 48.880 ;
+        RECT 382.495 48.835 382.785 48.880 ;
+        RECT 392.140 49.020 392.460 49.080 ;
+        RECT 394.915 49.020 395.205 49.065 ;
+        RECT 392.140 48.880 395.205 49.020 ;
+        RECT 392.140 48.820 392.460 48.880 ;
+        RECT 394.915 48.835 395.205 48.880 ;
+        RECT 395.820 49.020 396.140 49.080 ;
+        RECT 399.975 49.020 400.265 49.065 ;
+        RECT 395.820 48.880 400.265 49.020 ;
+        RECT 395.820 48.820 396.140 48.880 ;
+        RECT 399.975 48.835 400.265 48.880 ;
+        RECT 403.180 49.020 403.500 49.080 ;
+        RECT 405.035 49.020 405.325 49.065 ;
+        RECT 414.220 49.020 414.540 49.080 ;
+        RECT 428.940 49.020 429.260 49.080 ;
+        RECT 436.300 49.020 436.620 49.080 ;
+        RECT 403.180 48.880 405.325 49.020 ;
+        RECT 414.025 48.880 414.540 49.020 ;
+        RECT 428.745 48.880 429.260 49.020 ;
+        RECT 436.105 48.880 436.620 49.020 ;
+        RECT 403.180 48.820 403.500 48.880 ;
+        RECT 405.035 48.835 405.325 48.880 ;
+        RECT 414.220 48.820 414.540 48.880 ;
+        RECT 428.940 48.820 429.260 48.880 ;
+        RECT 436.300 48.820 436.620 48.880 ;
+        RECT 447.340 49.020 447.660 49.080 ;
+        RECT 451.035 49.020 451.325 49.065 ;
+        RECT 458.380 49.020 458.700 49.080 ;
+        RECT 469.420 49.020 469.740 49.080 ;
+        RECT 480.460 49.020 480.780 49.080 ;
+        RECT 487.820 49.020 488.140 49.080 ;
+        RECT 513.580 49.020 513.900 49.080 ;
+        RECT 520.940 49.020 521.260 49.080 ;
+        RECT 535.660 49.020 535.980 49.080 ;
+        RECT 543.020 49.020 543.340 49.080 ;
+        RECT 554.060 49.020 554.380 49.080 ;
+        RECT 564.640 49.020 564.960 49.080 ;
+        RECT 572.000 49.020 572.320 49.080 ;
+        RECT 597.760 49.020 598.080 49.080 ;
+        RECT 619.840 49.020 620.160 49.080 ;
+        RECT 447.340 48.880 451.325 49.020 ;
+        RECT 458.185 48.880 458.700 49.020 ;
+        RECT 469.225 48.880 469.740 49.020 ;
+        RECT 480.265 48.880 480.780 49.020 ;
+        RECT 487.625 48.880 488.140 49.020 ;
+        RECT 513.385 48.880 513.900 49.020 ;
+        RECT 520.745 48.880 521.260 49.020 ;
+        RECT 535.465 48.880 535.980 49.020 ;
+        RECT 542.825 48.880 543.340 49.020 ;
+        RECT 553.865 48.880 554.380 49.020 ;
+        RECT 564.445 48.880 564.960 49.020 ;
+        RECT 571.805 48.880 572.320 49.020 ;
+        RECT 597.565 48.880 598.080 49.020 ;
+        RECT 619.645 48.880 620.160 49.020 ;
+        RECT 447.340 48.820 447.660 48.880 ;
+        RECT 451.035 48.835 451.325 48.880 ;
+        RECT 458.380 48.820 458.700 48.880 ;
+        RECT 469.420 48.820 469.740 48.880 ;
+        RECT 480.460 48.820 480.780 48.880 ;
+        RECT 487.820 48.820 488.140 48.880 ;
+        RECT 513.580 48.820 513.900 48.880 ;
+        RECT 520.940 48.820 521.260 48.880 ;
+        RECT 535.660 48.820 535.980 48.880 ;
+        RECT 543.020 48.820 543.340 48.880 ;
+        RECT 554.060 48.820 554.380 48.880 ;
+        RECT 564.640 48.820 564.960 48.880 ;
+        RECT 572.000 48.820 572.320 48.880 ;
+        RECT 597.760 48.820 598.080 48.880 ;
+        RECT 619.840 48.820 620.160 48.880 ;
+        RECT 624.915 49.020 625.205 49.065 ;
+        RECT 627.200 49.020 627.520 49.080 ;
+        RECT 624.915 48.880 627.520 49.020 ;
+        RECT 624.915 48.835 625.205 48.880 ;
+        RECT 627.200 48.820 627.520 48.880 ;
+        RECT 42.470 48.200 631.270 48.680 ;
+        RECT 83.940 48.000 84.260 48.060 ;
+        RECT 99.120 48.000 99.440 48.060 ;
+        RECT 83.940 47.860 99.440 48.000 ;
+        RECT 83.940 47.800 84.260 47.860 ;
+        RECT 99.120 47.800 99.440 47.860 ;
+        RECT 106.940 48.000 107.260 48.060 ;
+        RECT 146.500 48.000 146.820 48.060 ;
+        RECT 149.720 48.000 150.040 48.060 ;
+        RECT 106.940 47.860 146.820 48.000 ;
+        RECT 149.525 47.860 150.040 48.000 ;
+        RECT 106.940 47.800 107.260 47.860 ;
+        RECT 146.500 47.800 146.820 47.860 ;
+        RECT 149.720 47.800 150.040 47.860 ;
+        RECT 153.400 48.000 153.720 48.060 ;
+        RECT 176.860 48.000 177.180 48.060 ;
+        RECT 153.400 47.860 177.180 48.000 ;
+        RECT 153.400 47.800 153.720 47.860 ;
+        RECT 176.860 47.800 177.180 47.860 ;
+        RECT 184.680 48.000 185.000 48.060 ;
+        RECT 212.755 48.000 213.045 48.045 ;
+        RECT 213.660 48.000 213.980 48.060 ;
+        RECT 184.680 47.860 213.980 48.000 ;
+        RECT 184.680 47.800 185.000 47.860 ;
+        RECT 212.755 47.815 213.045 47.860 ;
+        RECT 213.660 47.800 213.980 47.860 ;
+        RECT 219.640 48.000 219.960 48.060 ;
+        RECT 223.780 48.000 224.100 48.060 ;
+        RECT 219.640 47.860 224.100 48.000 ;
+        RECT 219.640 47.800 219.960 47.860 ;
+        RECT 223.780 47.800 224.100 47.860 ;
+        RECT 224.700 48.000 225.020 48.060 ;
+        RECT 230.680 48.000 231.000 48.060 ;
+        RECT 224.700 47.860 231.000 48.000 ;
+        RECT 224.700 47.800 225.020 47.860 ;
+        RECT 230.680 47.800 231.000 47.860 ;
+        RECT 232.075 48.000 232.365 48.045 ;
+        RECT 233.900 48.000 234.220 48.060 ;
+        RECT 232.075 47.860 234.220 48.000 ;
+        RECT 232.075 47.815 232.365 47.860 ;
+        RECT 233.900 47.800 234.220 47.860 ;
+        RECT 234.360 48.000 234.680 48.060 ;
+        RECT 240.340 48.000 240.660 48.060 ;
+        RECT 246.780 48.000 247.100 48.060 ;
+        RECT 234.360 47.860 240.660 48.000 ;
+        RECT 234.360 47.800 234.680 47.860 ;
+        RECT 240.340 47.800 240.660 47.860 ;
+        RECT 242.270 47.860 247.100 48.000 ;
+        RECT 55.420 47.660 55.740 47.720 ;
+        RECT 91.760 47.660 92.080 47.720 ;
+        RECT 105.560 47.660 105.880 47.720 ;
+        RECT 119.360 47.660 119.680 47.720 ;
+        RECT 120.280 47.660 120.600 47.720 ;
+        RECT 55.420 47.520 91.070 47.660 ;
+        RECT 55.420 47.460 55.740 47.520 ;
+        RECT 42.080 47.320 42.400 47.380 ;
+        RECT 77.515 47.320 77.805 47.365 ;
+        RECT 42.080 47.180 77.805 47.320 ;
+        RECT 42.080 47.120 42.400 47.180 ;
+        RECT 77.515 47.135 77.805 47.180 ;
+        RECT 78.420 47.320 78.740 47.380 ;
+        RECT 89.000 47.320 89.320 47.380 ;
+        RECT 78.420 47.180 89.320 47.320 ;
+        RECT 78.420 47.120 78.740 47.180 ;
+        RECT 89.000 47.120 89.320 47.180 ;
+        RECT 43.460 46.980 43.780 47.040 ;
+        RECT 72.455 46.980 72.745 47.025 ;
+        RECT 43.460 46.840 72.745 46.980 ;
+        RECT 43.460 46.780 43.780 46.840 ;
+        RECT 72.455 46.795 72.745 46.840 ;
+        RECT 77.975 46.795 78.265 47.025 ;
+        RECT 85.335 46.980 85.625 47.025 ;
+        RECT 90.380 46.980 90.700 47.040 ;
+        RECT 90.930 47.025 91.070 47.520 ;
+        RECT 91.760 47.520 105.880 47.660 ;
+        RECT 91.760 47.460 92.080 47.520 ;
+        RECT 105.560 47.460 105.880 47.520 ;
+        RECT 113.930 47.520 119.680 47.660 ;
+        RECT 120.085 47.520 120.600 47.660 ;
+        RECT 91.300 47.320 91.620 47.380 ;
+        RECT 94.535 47.320 94.825 47.365 ;
+        RECT 113.930 47.320 114.070 47.520 ;
+        RECT 119.360 47.460 119.680 47.520 ;
+        RECT 120.280 47.460 120.600 47.520 ;
+        RECT 125.800 47.660 126.120 47.720 ;
+        RECT 128.120 47.660 128.410 47.705 ;
+        RECT 130.440 47.660 130.730 47.705 ;
+        RECT 131.820 47.660 132.110 47.705 ;
+        RECT 134.540 47.660 134.860 47.720 ;
+        RECT 147.420 47.660 147.740 47.720 ;
+        RECT 125.800 47.520 127.870 47.660 ;
+        RECT 125.800 47.460 126.120 47.520 ;
+        RECT 114.760 47.320 115.080 47.380 ;
+        RECT 117.980 47.320 118.300 47.380 ;
+        RECT 91.300 47.180 93.830 47.320 ;
+        RECT 91.300 47.120 91.620 47.180 ;
+        RECT 85.335 46.840 90.700 46.980 ;
+        RECT 85.335 46.795 85.625 46.840 ;
+        RECT 48.060 46.640 48.380 46.700 ;
+        RECT 63.700 46.640 64.020 46.700 ;
+        RECT 78.050 46.640 78.190 46.795 ;
+        RECT 90.380 46.780 90.700 46.840 ;
+        RECT 90.855 46.795 91.145 47.025 ;
+        RECT 93.140 46.980 93.460 47.040 ;
+        RECT 92.945 46.840 93.460 46.980 ;
+        RECT 93.690 46.980 93.830 47.180 ;
+        RECT 94.535 47.180 114.070 47.320 ;
+        RECT 114.565 47.180 115.080 47.320 ;
+        RECT 94.535 47.135 94.825 47.180 ;
+        RECT 114.760 47.120 115.080 47.180 ;
+        RECT 115.770 47.180 118.300 47.320 ;
+        RECT 103.275 46.980 103.565 47.025 ;
+        RECT 106.020 46.980 106.340 47.040 ;
+        RECT 93.690 46.840 103.565 46.980 ;
+        RECT 105.825 46.840 106.340 46.980 ;
+        RECT 93.140 46.780 93.460 46.840 ;
+        RECT 103.275 46.795 103.565 46.840 ;
+        RECT 106.020 46.780 106.340 46.840 ;
+        RECT 111.555 46.795 111.845 47.025 ;
+        RECT 112.460 46.980 112.780 47.040 ;
+        RECT 113.855 46.980 114.145 47.025 ;
+        RECT 115.770 46.980 115.910 47.180 ;
+        RECT 117.980 47.120 118.300 47.180 ;
+        RECT 118.440 47.320 118.760 47.380 ;
+        RECT 127.730 47.365 127.870 47.520 ;
+        RECT 128.120 47.520 132.110 47.660 ;
+        RECT 134.345 47.520 134.860 47.660 ;
+        RECT 128.120 47.475 128.410 47.520 ;
+        RECT 130.440 47.475 130.730 47.520 ;
+        RECT 131.820 47.475 132.110 47.520 ;
+        RECT 134.540 47.460 134.860 47.520 ;
+        RECT 144.750 47.520 147.740 47.660 ;
+        RECT 120.755 47.320 121.045 47.365 ;
+        RECT 118.440 47.180 121.045 47.320 ;
+        RECT 118.440 47.120 118.760 47.180 ;
+        RECT 120.755 47.135 121.045 47.180 ;
+        RECT 127.690 47.135 127.980 47.365 ;
+        RECT 128.560 47.320 128.880 47.380 ;
+        RECT 129.035 47.320 129.325 47.365 ;
+        RECT 136.840 47.320 137.160 47.380 ;
+        RECT 128.560 47.180 129.325 47.320 ;
+        RECT 128.560 47.120 128.880 47.180 ;
+        RECT 129.035 47.135 129.325 47.180 ;
+        RECT 129.570 47.180 137.160 47.320 ;
+        RECT 112.460 46.840 115.910 46.980 ;
+        RECT 119.360 47.025 119.680 47.040 ;
+        RECT 48.060 46.500 64.020 46.640 ;
+        RECT 48.060 46.440 48.380 46.500 ;
+        RECT 63.700 46.440 64.020 46.500 ;
+        RECT 64.250 46.500 78.190 46.640 ;
+        RECT 80.275 46.640 80.565 46.685 ;
+        RECT 84.860 46.640 85.180 46.700 ;
+        RECT 80.275 46.500 85.180 46.640 ;
+        RECT 40.700 46.300 41.020 46.360 ;
+        RECT 64.250 46.300 64.390 46.500 ;
+        RECT 80.275 46.455 80.565 46.500 ;
+        RECT 84.860 46.440 85.180 46.500 ;
+        RECT 102.340 46.640 102.660 46.700 ;
+        RECT 111.630 46.640 111.770 46.795 ;
+        RECT 112.460 46.780 112.780 46.840 ;
+        RECT 113.855 46.795 114.145 46.840 ;
+        RECT 119.360 46.795 119.940 47.025 ;
+        RECT 122.595 46.980 122.885 47.025 ;
+        RECT 129.570 46.980 129.710 47.180 ;
+        RECT 136.840 47.120 137.160 47.180 ;
+        RECT 122.595 46.840 129.710 46.980 ;
+        RECT 143.740 46.980 144.060 47.040 ;
+        RECT 144.750 47.025 144.890 47.520 ;
+        RECT 147.420 47.460 147.740 47.520 ;
+        RECT 155.720 47.660 156.010 47.705 ;
+        RECT 158.040 47.660 158.330 47.705 ;
+        RECT 159.420 47.660 159.710 47.705 ;
+        RECT 155.720 47.520 159.710 47.660 ;
+        RECT 155.720 47.475 156.010 47.520 ;
+        RECT 158.040 47.475 158.330 47.520 ;
+        RECT 159.420 47.475 159.710 47.520 ;
+        RECT 167.200 47.660 167.520 47.720 ;
+        RECT 169.520 47.660 169.810 47.705 ;
+        RECT 171.840 47.660 172.130 47.705 ;
+        RECT 173.220 47.660 173.510 47.705 ;
+        RECT 167.200 47.520 169.270 47.660 ;
+        RECT 167.200 47.460 167.520 47.520 ;
+        RECT 146.060 47.320 146.350 47.365 ;
+        RECT 148.840 47.320 149.130 47.365 ;
+        RECT 146.060 47.180 149.130 47.320 ;
+        RECT 146.060 47.135 146.350 47.180 ;
+        RECT 148.840 47.135 149.130 47.180 ;
+        RECT 153.860 47.320 154.180 47.380 ;
+        RECT 155.255 47.320 155.545 47.365 ;
+        RECT 153.860 47.180 155.545 47.320 ;
+        RECT 169.130 47.320 169.270 47.520 ;
+        RECT 169.520 47.520 173.510 47.660 ;
+        RECT 169.520 47.475 169.810 47.520 ;
+        RECT 171.840 47.475 172.130 47.520 ;
+        RECT 173.220 47.475 173.510 47.520 ;
+        RECT 185.160 47.660 185.450 47.705 ;
+        RECT 187.480 47.660 187.770 47.705 ;
+        RECT 188.860 47.660 189.150 47.705 ;
+        RECT 185.160 47.520 189.150 47.660 ;
+        RECT 185.160 47.475 185.450 47.520 ;
+        RECT 187.480 47.475 187.770 47.520 ;
+        RECT 188.860 47.475 189.150 47.520 ;
+        RECT 189.740 47.660 190.060 47.720 ;
+        RECT 191.595 47.660 191.885 47.705 ;
+        RECT 189.740 47.520 191.885 47.660 ;
+        RECT 189.740 47.460 190.060 47.520 ;
+        RECT 191.595 47.475 191.885 47.520 ;
+        RECT 197.580 47.660 197.870 47.705 ;
+        RECT 199.900 47.660 200.190 47.705 ;
+        RECT 201.280 47.660 201.570 47.705 ;
+        RECT 197.580 47.520 201.570 47.660 ;
+        RECT 197.580 47.475 197.870 47.520 ;
+        RECT 199.900 47.475 200.190 47.520 ;
+        RECT 201.280 47.475 201.570 47.520 ;
+        RECT 214.595 47.660 214.885 47.705 ;
+        RECT 217.800 47.660 218.120 47.720 ;
+        RECT 219.180 47.660 219.500 47.720 ;
+        RECT 226.540 47.660 226.860 47.720 ;
+        RECT 214.595 47.520 218.120 47.660 ;
+        RECT 218.745 47.520 226.860 47.660 ;
+        RECT 214.595 47.475 214.885 47.520 ;
+        RECT 217.800 47.460 218.120 47.520 ;
+        RECT 219.180 47.460 219.500 47.520 ;
+        RECT 226.540 47.460 226.860 47.520 ;
+        RECT 228.380 47.660 228.700 47.720 ;
+        RECT 238.960 47.660 239.280 47.720 ;
+        RECT 242.270 47.660 242.410 47.860 ;
+        RECT 246.780 47.800 247.100 47.860 ;
+        RECT 247.240 48.000 247.560 48.060 ;
+        RECT 252.775 48.000 253.065 48.045 ;
+        RECT 268.400 48.000 268.720 48.060 ;
+        RECT 276.220 48.000 276.540 48.060 ;
+        RECT 247.240 47.860 253.065 48.000 ;
+        RECT 268.205 47.860 268.720 48.000 ;
+        RECT 247.240 47.800 247.560 47.860 ;
+        RECT 252.775 47.815 253.065 47.860 ;
+        RECT 268.400 47.800 268.720 47.860 ;
+        RECT 271.250 47.860 276.540 48.000 ;
+        RECT 228.380 47.520 239.280 47.660 ;
+        RECT 228.380 47.460 228.700 47.520 ;
+        RECT 238.960 47.460 239.280 47.520 ;
+        RECT 239.510 47.520 242.410 47.660 ;
+        RECT 242.640 47.660 242.960 47.720 ;
+        RECT 251.165 47.660 251.455 47.705 ;
+        RECT 242.640 47.520 251.455 47.660 ;
+        RECT 170.405 47.320 170.695 47.365 ;
+        RECT 169.130 47.180 170.695 47.320 ;
+        RECT 153.860 47.120 154.180 47.180 ;
+        RECT 155.255 47.135 155.545 47.180 ;
+        RECT 170.405 47.135 170.695 47.180 ;
+        RECT 170.880 47.320 171.200 47.380 ;
+        RECT 186.075 47.320 186.365 47.365 ;
+        RECT 205.380 47.320 205.700 47.380 ;
+        RECT 218.260 47.320 218.580 47.380 ;
+        RECT 170.880 47.180 185.370 47.320 ;
+        RECT 170.880 47.120 171.200 47.180 ;
+        RECT 144.675 46.980 144.965 47.025 ;
+        RECT 143.740 46.840 144.965 46.980 ;
+        RECT 122.595 46.795 122.885 46.840 ;
+        RECT 119.360 46.780 119.680 46.795 ;
+        RECT 143.740 46.780 144.060 46.840 ;
+        RECT 144.675 46.795 144.965 46.840 ;
+        RECT 145.120 46.980 145.440 47.040 ;
+        RECT 145.120 46.840 145.635 46.980 ;
+        RECT 145.120 46.780 145.440 46.840 ;
+        RECT 146.975 46.795 147.265 47.025 ;
+        RECT 147.420 46.980 147.740 47.040 ;
+        RECT 156.635 46.980 156.925 47.025 ;
+        RECT 160.300 46.980 160.620 47.040 ;
+        RECT 147.420 46.840 147.935 46.980 ;
+        RECT 156.635 46.840 160.620 46.980 ;
+        RECT 102.340 46.500 111.770 46.640 ;
+        RECT 112.920 46.640 113.240 46.700 ;
+        RECT 115.680 46.640 116.000 46.700 ;
+        RECT 118.900 46.640 119.220 46.700 ;
+        RECT 112.920 46.500 116.000 46.640 ;
+        RECT 118.705 46.500 119.220 46.640 ;
+        RECT 102.340 46.440 102.660 46.500 ;
+        RECT 112.920 46.440 113.240 46.500 ;
+        RECT 115.680 46.440 116.000 46.500 ;
+        RECT 118.900 46.440 119.220 46.500 ;
+        RECT 120.740 46.640 121.060 46.700 ;
+        RECT 128.580 46.640 128.870 46.685 ;
+        RECT 129.980 46.640 130.270 46.685 ;
+        RECT 131.820 46.640 132.110 46.685 ;
+        RECT 120.740 46.500 122.810 46.640 ;
+        RECT 120.740 46.440 121.060 46.500 ;
+        RECT 40.700 46.160 64.390 46.300 ;
+        RECT 72.915 46.300 73.205 46.345 ;
+        RECT 85.320 46.300 85.640 46.360 ;
+        RECT 86.240 46.300 86.560 46.360 ;
+        RECT 72.915 46.160 85.640 46.300 ;
+        RECT 86.045 46.160 86.560 46.300 ;
+        RECT 40.700 46.100 41.020 46.160 ;
+        RECT 72.915 46.115 73.205 46.160 ;
+        RECT 85.320 46.100 85.640 46.160 ;
+        RECT 86.240 46.100 86.560 46.160 ;
+        RECT 92.680 46.300 93.000 46.360 ;
+        RECT 98.200 46.300 98.520 46.360 ;
+        RECT 104.640 46.300 104.960 46.360 ;
+        RECT 92.680 46.160 98.520 46.300 ;
+        RECT 104.445 46.160 104.960 46.300 ;
+        RECT 122.670 46.300 122.810 46.500 ;
+        RECT 128.580 46.500 132.110 46.640 ;
+        RECT 128.580 46.455 128.870 46.500 ;
+        RECT 129.980 46.455 130.270 46.500 ;
+        RECT 131.820 46.455 132.110 46.500 ;
+        RECT 137.760 46.640 138.080 46.700 ;
+        RECT 147.050 46.640 147.190 46.795 ;
+        RECT 147.420 46.780 147.740 46.840 ;
+        RECT 156.635 46.795 156.925 46.840 ;
+        RECT 160.300 46.780 160.620 46.840 ;
+        RECT 169.055 46.980 169.345 47.025 ;
+        RECT 177.780 46.980 178.100 47.040 ;
+        RECT 184.680 46.980 185.000 47.040 ;
+        RECT 169.055 46.840 185.000 46.980 ;
+        RECT 185.230 46.980 185.370 47.180 ;
+        RECT 186.075 47.180 205.700 47.320 ;
+        RECT 186.075 47.135 186.365 47.180 ;
+        RECT 205.380 47.120 205.700 47.180 ;
+        RECT 209.150 47.180 218.580 47.320 ;
+        RECT 194.800 46.980 195.120 47.040 ;
+        RECT 197.100 46.980 197.420 47.040 ;
+        RECT 185.230 46.840 195.120 46.980 ;
+        RECT 196.905 46.840 197.420 46.980 ;
+        RECT 169.055 46.795 169.345 46.840 ;
+        RECT 177.780 46.780 178.100 46.840 ;
+        RECT 184.680 46.780 185.000 46.840 ;
+        RECT 194.800 46.780 195.120 46.840 ;
+        RECT 197.100 46.780 197.420 46.840 ;
+        RECT 198.495 46.980 198.785 47.025 ;
+        RECT 209.150 46.980 209.290 47.180 ;
+        RECT 218.260 47.120 218.580 47.180 ;
+        RECT 222.875 47.320 223.165 47.365 ;
+        RECT 230.220 47.320 230.540 47.380 ;
+        RECT 222.875 47.180 230.540 47.320 ;
+        RECT 222.875 47.135 223.165 47.180 ;
+        RECT 230.220 47.120 230.540 47.180 ;
+        RECT 230.680 47.120 231.000 47.380 ;
+        RECT 231.600 47.365 231.920 47.380 ;
+        RECT 231.430 47.135 231.920 47.365 ;
+        RECT 232.535 47.320 232.825 47.365 ;
+        RECT 232.980 47.320 233.300 47.380 ;
+        RECT 232.535 47.180 233.300 47.320 ;
+        RECT 232.535 47.135 232.825 47.180 ;
+        RECT 231.600 47.120 231.920 47.135 ;
+        RECT 232.980 47.120 233.300 47.180 ;
+        RECT 234.375 47.320 234.665 47.365 ;
+        RECT 234.820 47.320 235.140 47.380 ;
+        RECT 239.510 47.365 239.650 47.520 ;
+        RECT 242.640 47.460 242.960 47.520 ;
+        RECT 251.165 47.475 251.455 47.520 ;
+        RECT 251.855 47.660 252.145 47.705 ;
+        RECT 253.680 47.660 254.000 47.720 ;
+        RECT 251.855 47.520 254.000 47.660 ;
+        RECT 251.855 47.475 252.145 47.520 ;
+        RECT 253.680 47.460 254.000 47.520 ;
+        RECT 264.260 47.660 264.580 47.720 ;
+        RECT 271.250 47.660 271.390 47.860 ;
+        RECT 276.220 47.800 276.540 47.860 ;
+        RECT 283.580 48.000 283.900 48.060 ;
+        RECT 311.640 48.000 311.960 48.060 ;
+        RECT 317.620 48.000 317.940 48.060 ;
+        RECT 283.580 47.860 311.410 48.000 ;
+        RECT 283.580 47.800 283.900 47.860 ;
+        RECT 264.260 47.520 271.390 47.660 ;
+        RECT 274.380 47.660 274.700 47.720 ;
+        RECT 309.800 47.660 310.120 47.720 ;
+        RECT 274.380 47.520 310.120 47.660 ;
+        RECT 311.270 47.660 311.410 47.860 ;
+        RECT 311.640 47.860 317.940 48.000 ;
+        RECT 311.640 47.800 311.960 47.860 ;
+        RECT 317.620 47.800 317.940 47.860 ;
+        RECT 325.900 48.000 326.220 48.060 ;
+        RECT 333.720 48.000 334.040 48.060 ;
+        RECT 325.900 47.860 334.040 48.000 ;
+        RECT 325.900 47.800 326.220 47.860 ;
+        RECT 333.720 47.800 334.040 47.860 ;
+        RECT 334.180 48.000 334.500 48.060 ;
+        RECT 342.920 48.000 343.240 48.060 ;
+        RECT 361.780 48.000 362.100 48.060 ;
+        RECT 334.180 47.860 339.010 48.000 ;
+        RECT 334.180 47.800 334.500 47.860 ;
+        RECT 332.340 47.660 332.660 47.720 ;
+        RECT 311.270 47.520 332.660 47.660 ;
+        RECT 264.260 47.460 264.580 47.520 ;
+        RECT 274.380 47.460 274.700 47.520 ;
+        RECT 309.800 47.460 310.120 47.520 ;
+        RECT 332.340 47.460 332.660 47.520 ;
+        RECT 234.375 47.180 235.140 47.320 ;
+        RECT 234.375 47.135 234.665 47.180 ;
+        RECT 234.820 47.120 235.140 47.180 ;
+        RECT 239.435 47.135 239.725 47.365 ;
+        RECT 241.740 47.320 242.030 47.365 ;
+        RECT 244.520 47.320 244.810 47.365 ;
+        RECT 245.400 47.320 245.720 47.380 ;
+        RECT 252.300 47.320 252.620 47.380 ;
+        RECT 292.320 47.320 292.640 47.380 ;
+        RECT 239.970 47.180 241.490 47.320 ;
+        RECT 198.495 46.840 209.290 46.980 ;
+        RECT 198.495 46.795 198.785 46.840 ;
+        RECT 212.110 46.795 212.400 47.025 ;
+        RECT 213.070 46.980 213.360 47.025 ;
+        RECT 214.120 46.980 214.440 47.040 ;
+        RECT 220.100 46.980 220.420 47.040 ;
+        RECT 213.070 46.840 214.440 46.980 ;
+        RECT 219.905 46.840 220.420 46.980 ;
+        RECT 213.070 46.795 213.360 46.840 ;
+        RECT 137.760 46.500 147.190 46.640 ;
+        RECT 156.180 46.640 156.470 46.685 ;
+        RECT 157.580 46.640 157.870 46.685 ;
+        RECT 159.420 46.640 159.710 46.685 ;
+        RECT 156.180 46.500 159.710 46.640 ;
+        RECT 137.760 46.440 138.080 46.500 ;
+        RECT 156.180 46.455 156.470 46.500 ;
+        RECT 157.580 46.455 157.870 46.500 ;
+        RECT 159.420 46.455 159.710 46.500 ;
+        RECT 169.980 46.640 170.270 46.685 ;
+        RECT 171.380 46.640 171.670 46.685 ;
+        RECT 173.220 46.640 173.510 46.685 ;
+        RECT 185.620 46.640 185.910 46.685 ;
+        RECT 187.020 46.640 187.310 46.685 ;
+        RECT 188.860 46.640 189.150 46.685 ;
+        RECT 196.640 46.640 196.960 46.700 ;
+        RECT 169.980 46.500 173.510 46.640 ;
+        RECT 169.980 46.455 170.270 46.500 ;
+        RECT 171.380 46.455 171.670 46.500 ;
+        RECT 173.220 46.455 173.510 46.500 ;
+        RECT 173.730 46.500 176.630 46.640 ;
+        RECT 140.980 46.300 141.300 46.360 ;
+        RECT 122.670 46.160 141.300 46.300 ;
+        RECT 92.680 46.100 93.000 46.160 ;
+        RECT 98.200 46.100 98.520 46.160 ;
+        RECT 104.640 46.100 104.960 46.160 ;
+        RECT 140.980 46.100 141.300 46.160 ;
+        RECT 142.360 46.300 142.680 46.360 ;
+        RECT 156.620 46.300 156.940 46.360 ;
+        RECT 142.360 46.160 156.940 46.300 ;
+        RECT 142.360 46.100 142.680 46.160 ;
+        RECT 156.620 46.100 156.940 46.160 ;
+        RECT 160.300 46.300 160.620 46.360 ;
+        RECT 162.155 46.300 162.445 46.345 ;
+        RECT 160.300 46.160 162.445 46.300 ;
+        RECT 160.300 46.100 160.620 46.160 ;
+        RECT 162.155 46.115 162.445 46.160 ;
+        RECT 165.820 46.300 166.140 46.360 ;
+        RECT 173.730 46.300 173.870 46.500 ;
+        RECT 165.820 46.160 173.870 46.300 ;
+        RECT 176.490 46.300 176.630 46.500 ;
+        RECT 185.620 46.500 189.150 46.640 ;
+        RECT 185.620 46.455 185.910 46.500 ;
+        RECT 187.020 46.455 187.310 46.500 ;
+        RECT 188.860 46.455 189.150 46.500 ;
+        RECT 189.370 46.500 196.960 46.640 ;
+        RECT 189.370 46.300 189.510 46.500 ;
+        RECT 196.640 46.440 196.960 46.500 ;
+        RECT 198.040 46.640 198.330 46.685 ;
+        RECT 199.440 46.640 199.730 46.685 ;
+        RECT 201.280 46.640 201.570 46.685 ;
+        RECT 198.040 46.500 201.570 46.640 ;
+        RECT 198.040 46.455 198.330 46.500 ;
+        RECT 199.440 46.455 199.730 46.500 ;
+        RECT 201.280 46.455 201.570 46.500 ;
+        RECT 202.160 46.640 202.480 46.700 ;
+        RECT 205.395 46.640 205.685 46.685 ;
+        RECT 202.160 46.500 205.685 46.640 ;
+        RECT 202.160 46.440 202.480 46.500 ;
+        RECT 205.395 46.455 205.685 46.500 ;
+        RECT 211.375 46.455 211.665 46.685 ;
+        RECT 212.185 46.640 212.325 46.795 ;
+        RECT 214.120 46.780 214.440 46.840 ;
+        RECT 220.100 46.780 220.420 46.840 ;
+        RECT 220.575 46.980 220.865 47.025 ;
+        RECT 228.380 46.980 228.700 47.040 ;
+        RECT 220.575 46.840 228.700 46.980 ;
+        RECT 230.770 46.980 230.910 47.120 ;
+        RECT 239.970 46.980 240.110 47.180 ;
+        RECT 230.770 46.840 240.110 46.980 ;
+        RECT 240.340 46.980 240.660 47.040 ;
+        RECT 240.340 46.840 240.855 46.980 ;
+        RECT 220.575 46.795 220.865 46.840 ;
+        RECT 228.380 46.780 228.700 46.840 ;
+        RECT 240.340 46.780 240.660 46.840 ;
+        RECT 227.460 46.640 227.780 46.700 ;
+        RECT 212.185 46.500 227.780 46.640 ;
+        RECT 176.490 46.160 189.510 46.300 ;
+        RECT 211.450 46.300 211.590 46.455 ;
+        RECT 227.460 46.440 227.780 46.500 ;
+        RECT 230.695 46.640 230.985 46.685 ;
+        RECT 231.140 46.640 231.460 46.700 ;
+        RECT 230.695 46.500 231.460 46.640 ;
+        RECT 230.695 46.455 230.985 46.500 ;
+        RECT 231.140 46.440 231.460 46.500 ;
+        RECT 231.600 46.640 231.920 46.700 ;
+        RECT 233.440 46.640 233.760 46.700 ;
+        RECT 231.600 46.500 233.760 46.640 ;
+        RECT 231.600 46.440 231.920 46.500 ;
+        RECT 233.440 46.440 233.760 46.500 ;
+        RECT 233.900 46.640 234.220 46.700 ;
+        RECT 238.040 46.640 238.360 46.700 ;
+        RECT 238.960 46.640 239.280 46.700 ;
+        RECT 233.900 46.500 239.280 46.640 ;
+        RECT 241.350 46.640 241.490 47.180 ;
+        RECT 241.740 47.180 244.810 47.320 ;
+        RECT 245.205 47.180 245.720 47.320 ;
+        RECT 252.105 47.180 252.620 47.320 ;
+        RECT 241.740 47.135 242.030 47.180 ;
+        RECT 244.520 47.135 244.810 47.180 ;
+        RECT 245.400 47.120 245.720 47.180 ;
+        RECT 252.300 47.120 252.620 47.180 ;
+        RECT 254.230 47.180 287.950 47.320 ;
+        RECT 242.685 46.795 242.975 47.025 ;
+        RECT 243.115 46.980 243.405 47.025 ;
+        RECT 243.560 46.980 243.880 47.040 ;
+        RECT 243.115 46.840 243.880 46.980 ;
+        RECT 243.115 46.795 243.405 46.840 ;
+        RECT 242.730 46.640 242.870 46.795 ;
+        RECT 243.560 46.780 243.880 46.840 ;
+        RECT 244.020 46.980 244.340 47.040 ;
+        RECT 250.475 46.980 250.765 47.025 ;
+        RECT 251.840 46.980 252.160 47.040 ;
+        RECT 244.020 46.840 246.550 46.980 ;
+        RECT 244.020 46.780 244.340 46.840 ;
+        RECT 241.350 46.500 242.870 46.640 ;
+        RECT 246.410 46.640 246.550 46.840 ;
+        RECT 250.475 46.840 252.160 46.980 ;
+        RECT 250.475 46.795 250.765 46.840 ;
+        RECT 251.840 46.780 252.160 46.840 ;
+        RECT 253.220 46.980 253.540 47.040 ;
+        RECT 254.230 46.980 254.370 47.180 ;
+        RECT 253.220 46.840 254.370 46.980 ;
+        RECT 255.980 46.980 256.300 47.040 ;
+        RECT 259.215 46.980 259.505 47.025 ;
+        RECT 261.040 46.980 261.360 47.040 ;
+        RECT 255.980 46.840 259.505 46.980 ;
+        RECT 260.845 46.840 261.360 46.980 ;
+        RECT 253.220 46.780 253.540 46.840 ;
+        RECT 255.980 46.780 256.300 46.840 ;
+        RECT 259.215 46.795 259.505 46.840 ;
+        RECT 261.040 46.780 261.360 46.840 ;
+        RECT 267.495 46.980 267.785 47.025 ;
+        RECT 270.700 46.980 271.020 47.040 ;
+        RECT 267.495 46.840 271.020 46.980 ;
+        RECT 267.495 46.795 267.785 46.840 ;
+        RECT 270.700 46.780 271.020 46.840 ;
+        RECT 274.395 46.795 274.685 47.025 ;
+        RECT 275.760 46.980 276.080 47.040 ;
+        RECT 284.040 46.980 284.360 47.040 ;
+        RECT 287.275 46.980 287.565 47.025 ;
+        RECT 275.565 46.840 276.080 46.980 ;
+        RECT 283.845 46.840 287.565 46.980 ;
+        RECT 254.600 46.640 254.920 46.700 ;
+        RECT 246.410 46.500 254.920 46.640 ;
+        RECT 233.900 46.440 234.220 46.500 ;
+        RECT 238.040 46.440 238.360 46.500 ;
+        RECT 238.960 46.440 239.280 46.500 ;
+        RECT 254.600 46.440 254.920 46.500 ;
+        RECT 256.440 46.640 256.760 46.700 ;
+        RECT 258.295 46.640 258.585 46.685 ;
+        RECT 274.470 46.640 274.610 46.795 ;
+        RECT 275.760 46.780 276.080 46.840 ;
+        RECT 284.040 46.780 284.360 46.840 ;
+        RECT 287.275 46.795 287.565 46.840 ;
+        RECT 286.800 46.640 287.120 46.700 ;
+        RECT 256.440 46.500 258.585 46.640 ;
+        RECT 256.440 46.440 256.760 46.500 ;
+        RECT 258.295 46.455 258.585 46.500 ;
+        RECT 262.050 46.500 274.150 46.640 ;
+        RECT 274.470 46.500 287.120 46.640 ;
+        RECT 214.580 46.300 214.900 46.360 ;
+        RECT 211.450 46.160 214.900 46.300 ;
+        RECT 165.820 46.100 166.140 46.160 ;
+        RECT 214.580 46.100 214.900 46.160 ;
+        RECT 228.380 46.300 228.700 46.360 ;
+        RECT 246.320 46.300 246.640 46.360 ;
+        RECT 228.380 46.160 246.640 46.300 ;
+        RECT 228.380 46.100 228.700 46.160 ;
+        RECT 246.320 46.100 246.640 46.160 ;
+        RECT 246.780 46.300 247.100 46.360 ;
+        RECT 262.050 46.300 262.190 46.500 ;
+        RECT 246.780 46.160 262.190 46.300 ;
+        RECT 262.420 46.300 262.740 46.360 ;
+        RECT 273.475 46.300 273.765 46.345 ;
+        RECT 262.420 46.160 273.765 46.300 ;
+        RECT 274.010 46.300 274.150 46.500 ;
+        RECT 286.800 46.440 287.120 46.500 ;
+        RECT 284.500 46.300 284.820 46.360 ;
+        RECT 285.420 46.300 285.740 46.360 ;
+        RECT 274.010 46.160 284.820 46.300 ;
+        RECT 285.225 46.160 285.740 46.300 ;
+        RECT 287.810 46.300 287.950 47.180 ;
+        RECT 288.270 47.180 292.640 47.320 ;
+        RECT 288.270 47.025 288.410 47.180 ;
+        RECT 292.320 47.120 292.640 47.180 ;
+        RECT 293.240 47.320 293.560 47.380 ;
+        RECT 297.855 47.320 298.145 47.365 ;
+        RECT 316.240 47.320 316.560 47.380 ;
+        RECT 338.320 47.320 338.640 47.380 ;
+        RECT 293.240 47.180 298.145 47.320 ;
+        RECT 293.240 47.120 293.560 47.180 ;
+        RECT 297.855 47.135 298.145 47.180 ;
+        RECT 304.830 47.180 316.560 47.320 ;
+        RECT 288.195 46.795 288.485 47.025 ;
+        RECT 289.100 46.980 289.420 47.040 ;
+        RECT 290.035 46.980 290.325 47.025 ;
+        RECT 290.940 46.980 291.260 47.040 ;
+        RECT 298.300 46.980 298.620 47.040 ;
+        RECT 289.100 46.840 290.325 46.980 ;
+        RECT 290.745 46.840 291.260 46.980 ;
+        RECT 298.105 46.840 298.620 46.980 ;
+        RECT 289.100 46.780 289.420 46.840 ;
+        RECT 290.035 46.795 290.325 46.840 ;
+        RECT 290.940 46.780 291.260 46.840 ;
+        RECT 298.300 46.780 298.620 46.840 ;
+        RECT 299.680 46.980 300.000 47.040 ;
+        RECT 304.830 47.025 304.970 47.180 ;
+        RECT 316.240 47.120 316.560 47.180 ;
+        RECT 316.790 47.180 338.640 47.320 ;
+        RECT 338.870 47.320 339.010 47.860 ;
+        RECT 342.920 47.860 362.100 48.000 ;
+        RECT 342.920 47.800 343.240 47.860 ;
+        RECT 361.780 47.800 362.100 47.860 ;
+        RECT 362.240 48.000 362.560 48.060 ;
+        RECT 380.180 48.000 380.500 48.060 ;
+        RECT 362.240 47.860 380.500 48.000 ;
+        RECT 362.240 47.800 362.560 47.860 ;
+        RECT 380.180 47.800 380.500 47.860 ;
+        RECT 390.775 47.660 391.065 47.705 ;
+        RECT 381.650 47.520 391.065 47.660 ;
+        RECT 350.740 47.320 351.060 47.380 ;
+        RECT 370.520 47.320 370.840 47.380 ;
+        RECT 373.740 47.320 374.060 47.380 ;
+        RECT 381.650 47.320 381.790 47.520 ;
+        RECT 390.775 47.475 391.065 47.520 ;
+        RECT 338.870 47.180 351.060 47.320 ;
+        RECT 299.680 46.840 304.050 46.980 ;
+        RECT 299.680 46.780 300.000 46.840 ;
+        RECT 295.630 46.500 296.690 46.640 ;
+        RECT 295.630 46.300 295.770 46.500 ;
+        RECT 287.810 46.160 295.770 46.300 ;
+        RECT 296.550 46.300 296.690 46.500 ;
+        RECT 302.900 46.300 303.220 46.360 ;
+        RECT 303.910 46.345 304.050 46.840 ;
+        RECT 304.755 46.795 305.045 47.025 ;
+        RECT 306.120 46.980 306.440 47.040 ;
+        RECT 305.925 46.840 306.440 46.980 ;
+        RECT 306.120 46.780 306.440 46.840 ;
+        RECT 311.195 46.795 311.485 47.025 ;
+        RECT 313.955 46.980 314.245 47.025 ;
+        RECT 314.400 46.980 314.720 47.040 ;
+        RECT 313.955 46.840 314.720 46.980 ;
+        RECT 313.955 46.795 314.245 46.840 ;
+        RECT 311.270 46.360 311.410 46.795 ;
+        RECT 314.400 46.780 314.720 46.840 ;
+        RECT 314.860 46.980 315.180 47.040 ;
+        RECT 316.790 46.980 316.930 47.180 ;
+        RECT 338.320 47.120 338.640 47.180 ;
+        RECT 350.740 47.120 351.060 47.180 ;
+        RECT 354.050 47.180 362.010 47.320 ;
+        RECT 314.860 46.840 316.930 46.980 ;
+        RECT 314.860 46.780 315.180 46.840 ;
+        RECT 324.995 46.795 325.285 47.025 ;
+        RECT 326.360 46.980 326.680 47.040 ;
+        RECT 332.800 46.980 333.120 47.040 ;
+        RECT 326.165 46.840 326.680 46.980 ;
+        RECT 332.605 46.840 333.120 46.980 ;
+        RECT 325.070 46.640 325.210 46.795 ;
+        RECT 326.360 46.780 326.680 46.840 ;
+        RECT 332.800 46.780 333.120 46.840 ;
+        RECT 333.260 46.980 333.580 47.040 ;
+        RECT 333.735 46.980 334.025 47.025 ;
+        RECT 340.160 46.980 340.480 47.040 ;
+        RECT 333.260 46.840 340.480 46.980 ;
+        RECT 333.260 46.780 333.580 46.840 ;
+        RECT 333.735 46.795 334.025 46.840 ;
+        RECT 340.160 46.780 340.480 46.840 ;
+        RECT 340.635 46.980 340.925 47.025 ;
+        RECT 341.540 46.980 341.860 47.040 ;
+        RECT 342.015 46.980 342.305 47.025 ;
+        RECT 347.520 46.980 347.840 47.040 ;
+        RECT 340.635 46.840 341.235 46.980 ;
+        RECT 340.635 46.795 340.925 46.840 ;
+        RECT 330.960 46.640 331.280 46.700 ;
+        RECT 325.070 46.500 331.280 46.640 ;
+        RECT 330.960 46.440 331.280 46.500 ;
+        RECT 334.180 46.640 334.500 46.700 ;
+        RECT 335.115 46.640 335.405 46.685 ;
+        RECT 334.180 46.500 335.405 46.640 ;
+        RECT 334.180 46.440 334.500 46.500 ;
+        RECT 335.115 46.455 335.405 46.500 ;
+        RECT 296.550 46.160 303.220 46.300 ;
+        RECT 246.780 46.100 247.100 46.160 ;
+        RECT 262.420 46.100 262.740 46.160 ;
+        RECT 273.475 46.115 273.765 46.160 ;
+        RECT 284.500 46.100 284.820 46.160 ;
+        RECT 285.420 46.100 285.740 46.160 ;
+        RECT 302.900 46.100 303.220 46.160 ;
+        RECT 303.835 46.115 304.125 46.345 ;
+        RECT 311.180 46.100 311.500 46.360 ;
+        RECT 312.560 46.300 312.880 46.360 ;
+        RECT 324.060 46.300 324.380 46.360 ;
+        RECT 312.365 46.160 312.880 46.300 ;
+        RECT 323.865 46.160 324.380 46.300 ;
+        RECT 312.560 46.100 312.880 46.160 ;
+        RECT 324.060 46.100 324.380 46.160 ;
+        RECT 325.440 46.300 325.760 46.360 ;
+        RECT 338.780 46.300 339.100 46.360 ;
+        RECT 339.700 46.300 340.020 46.360 ;
+        RECT 325.440 46.160 339.100 46.300 ;
+        RECT 339.505 46.160 340.020 46.300 ;
+        RECT 341.095 46.300 341.235 46.840 ;
+        RECT 341.540 46.840 347.840 46.980 ;
+        RECT 341.540 46.780 341.860 46.840 ;
+        RECT 342.015 46.795 342.305 46.840 ;
+        RECT 347.520 46.780 347.840 46.840 ;
+        RECT 353.055 46.795 353.345 47.025 ;
+        RECT 353.500 46.980 353.820 47.040 ;
+        RECT 354.050 47.025 354.190 47.180 ;
+        RECT 361.870 47.025 362.010 47.180 ;
+        RECT 368.310 47.180 370.290 47.320 ;
+        RECT 353.975 46.980 354.265 47.025 ;
+        RECT 353.500 46.840 354.265 46.980 ;
+        RECT 342.460 46.640 342.780 46.700 ;
+        RECT 353.130 46.640 353.270 46.795 ;
+        RECT 353.500 46.780 353.820 46.840 ;
+        RECT 353.975 46.795 354.265 46.840 ;
+        RECT 360.875 46.795 361.165 47.025 ;
+        RECT 361.795 46.795 362.085 47.025 ;
+        RECT 356.720 46.640 357.040 46.700 ;
+        RECT 360.950 46.640 361.090 46.795 ;
+        RECT 367.760 46.640 368.080 46.700 ;
+        RECT 342.460 46.500 352.350 46.640 ;
+        RECT 353.130 46.500 357.040 46.640 ;
+        RECT 342.460 46.440 342.780 46.500 ;
+        RECT 345.680 46.300 346.000 46.360 ;
+        RECT 352.210 46.345 352.350 46.500 ;
+        RECT 356.720 46.440 357.040 46.500 ;
+        RECT 357.270 46.500 360.630 46.640 ;
+        RECT 360.950 46.500 368.080 46.640 ;
+        RECT 341.095 46.160 346.000 46.300 ;
+        RECT 325.440 46.100 325.760 46.160 ;
+        RECT 338.780 46.100 339.100 46.160 ;
+        RECT 339.700 46.100 340.020 46.160 ;
+        RECT 345.680 46.100 346.000 46.160 ;
+        RECT 352.135 46.115 352.425 46.345 ;
+        RECT 352.580 46.300 352.900 46.360 ;
+        RECT 357.270 46.300 357.410 46.500 ;
+        RECT 352.580 46.160 357.410 46.300 ;
+        RECT 357.640 46.300 357.960 46.360 ;
+        RECT 359.955 46.300 360.245 46.345 ;
+        RECT 357.640 46.160 360.245 46.300 ;
+        RECT 360.490 46.300 360.630 46.500 ;
+        RECT 367.760 46.440 368.080 46.500 ;
+        RECT 368.310 46.300 368.450 47.180 ;
+        RECT 368.695 46.795 368.985 47.025 ;
+        RECT 369.140 46.980 369.460 47.040 ;
+        RECT 369.615 46.980 369.905 47.025 ;
+        RECT 369.140 46.840 369.905 46.980 ;
+        RECT 370.150 46.980 370.290 47.180 ;
+        RECT 370.520 47.180 371.035 47.320 ;
+        RECT 373.740 47.180 381.790 47.320 ;
+        RECT 370.520 47.120 370.840 47.180 ;
+        RECT 373.740 47.120 374.060 47.180 ;
+        RECT 385.715 46.980 386.005 47.025 ;
+        RECT 370.150 46.840 386.005 46.980 ;
+        RECT 368.770 46.640 368.910 46.795 ;
+        RECT 369.140 46.780 369.460 46.840 ;
+        RECT 369.615 46.795 369.905 46.840 ;
+        RECT 385.715 46.795 386.005 46.840 ;
+        RECT 399.500 46.980 399.820 47.040 ;
+        RECT 400.895 46.980 401.185 47.025 ;
+        RECT 399.500 46.840 401.185 46.980 ;
+        RECT 399.500 46.780 399.820 46.840 ;
+        RECT 400.895 46.795 401.185 46.840 ;
+        RECT 406.860 46.980 407.180 47.040 ;
+        RECT 408.715 46.980 409.005 47.025 ;
+        RECT 406.860 46.840 409.005 46.980 ;
+        RECT 406.860 46.780 407.180 46.840 ;
+        RECT 408.715 46.795 409.005 46.840 ;
+        RECT 410.540 46.980 410.860 47.040 ;
+        RECT 413.775 46.980 414.065 47.025 ;
+        RECT 410.540 46.840 414.065 46.980 ;
+        RECT 410.540 46.780 410.860 46.840 ;
+        RECT 413.775 46.795 414.065 46.840 ;
+        RECT 417.900 46.980 418.220 47.040 ;
+        RECT 418.835 46.980 419.125 47.025 ;
+        RECT 417.900 46.840 419.125 46.980 ;
+        RECT 417.900 46.780 418.220 46.840 ;
+        RECT 418.835 46.795 419.125 46.840 ;
+        RECT 421.580 46.980 421.900 47.040 ;
+        RECT 423.895 46.980 424.185 47.025 ;
+        RECT 421.580 46.840 424.185 46.980 ;
+        RECT 421.580 46.780 421.900 46.840 ;
+        RECT 423.895 46.795 424.185 46.840 ;
+        RECT 425.260 46.980 425.580 47.040 ;
+        RECT 428.955 46.980 429.245 47.025 ;
+        RECT 425.260 46.840 429.245 46.980 ;
+        RECT 425.260 46.780 425.580 46.840 ;
+        RECT 428.955 46.795 429.245 46.840 ;
+        RECT 439.980 46.980 440.300 47.040 ;
+        RECT 441.835 46.980 442.125 47.025 ;
+        RECT 439.980 46.840 442.125 46.980 ;
+        RECT 439.980 46.780 440.300 46.840 ;
+        RECT 441.835 46.795 442.125 46.840 ;
+        RECT 443.660 46.980 443.980 47.040 ;
+        RECT 446.895 46.980 447.185 47.025 ;
+        RECT 443.660 46.840 447.185 46.980 ;
+        RECT 443.660 46.780 443.980 46.840 ;
+        RECT 446.895 46.795 447.185 46.840 ;
+        RECT 451.020 46.980 451.340 47.040 ;
+        RECT 451.955 46.980 452.245 47.025 ;
+        RECT 451.020 46.840 452.245 46.980 ;
+        RECT 451.020 46.780 451.340 46.840 ;
+        RECT 451.955 46.795 452.245 46.840 ;
+        RECT 454.700 46.980 455.020 47.040 ;
+        RECT 457.015 46.980 457.305 47.025 ;
+        RECT 454.700 46.840 457.305 46.980 ;
+        RECT 454.700 46.780 455.020 46.840 ;
+        RECT 457.015 46.795 457.305 46.840 ;
+        RECT 465.740 46.980 466.060 47.040 ;
+        RECT 469.895 46.980 470.185 47.025 ;
+        RECT 465.740 46.840 470.185 46.980 ;
+        RECT 465.740 46.780 466.060 46.840 ;
+        RECT 469.895 46.795 470.185 46.840 ;
+        RECT 473.100 46.980 473.420 47.040 ;
+        RECT 474.955 46.980 475.245 47.025 ;
+        RECT 473.100 46.840 475.245 46.980 ;
+        RECT 473.100 46.780 473.420 46.840 ;
+        RECT 474.955 46.795 475.245 46.840 ;
+        RECT 476.780 46.980 477.100 47.040 ;
+        RECT 480.015 46.980 480.305 47.025 ;
+        RECT 476.780 46.840 480.305 46.980 ;
+        RECT 476.780 46.780 477.100 46.840 ;
+        RECT 480.015 46.795 480.305 46.840 ;
+        RECT 484.140 46.980 484.460 47.040 ;
+        RECT 485.075 46.980 485.365 47.025 ;
+        RECT 484.140 46.840 485.365 46.980 ;
+        RECT 484.140 46.780 484.460 46.840 ;
+        RECT 485.075 46.795 485.365 46.840 ;
+        RECT 491.500 46.980 491.820 47.040 ;
+        RECT 492.895 46.980 493.185 47.025 ;
+        RECT 491.500 46.840 493.185 46.980 ;
+        RECT 491.500 46.780 491.820 46.840 ;
+        RECT 492.895 46.795 493.185 46.840 ;
+        RECT 495.180 46.980 495.500 47.040 ;
+        RECT 497.955 46.980 498.245 47.025 ;
+        RECT 495.180 46.840 498.245 46.980 ;
+        RECT 495.180 46.780 495.500 46.840 ;
+        RECT 497.955 46.795 498.245 46.840 ;
+        RECT 498.860 46.980 499.180 47.040 ;
+        RECT 503.015 46.980 503.305 47.025 ;
+        RECT 498.860 46.840 503.305 46.980 ;
+        RECT 498.860 46.780 499.180 46.840 ;
+        RECT 503.015 46.795 503.305 46.840 ;
+        RECT 506.220 46.980 506.540 47.040 ;
+        RECT 508.075 46.980 508.365 47.025 ;
+        RECT 506.220 46.840 508.365 46.980 ;
+        RECT 506.220 46.780 506.540 46.840 ;
+        RECT 508.075 46.795 508.365 46.840 ;
+        RECT 517.260 46.980 517.580 47.040 ;
+        RECT 520.955 46.980 521.245 47.025 ;
+        RECT 517.260 46.840 521.245 46.980 ;
+        RECT 517.260 46.780 517.580 46.840 ;
+        RECT 520.955 46.795 521.245 46.840 ;
+        RECT 524.620 46.980 524.940 47.040 ;
+        RECT 526.015 46.980 526.305 47.025 ;
+        RECT 524.620 46.840 526.305 46.980 ;
+        RECT 524.620 46.780 524.940 46.840 ;
+        RECT 526.015 46.795 526.305 46.840 ;
+        RECT 528.300 46.980 528.620 47.040 ;
+        RECT 531.075 46.980 531.365 47.025 ;
+        RECT 528.300 46.840 531.365 46.980 ;
+        RECT 528.300 46.780 528.620 46.840 ;
+        RECT 531.075 46.795 531.365 46.840 ;
+        RECT 531.980 46.980 532.300 47.040 ;
+        RECT 536.135 46.980 536.425 47.025 ;
+        RECT 531.980 46.840 536.425 46.980 ;
+        RECT 531.980 46.780 532.300 46.840 ;
+        RECT 536.135 46.795 536.425 46.840 ;
+        RECT 539.340 46.980 539.660 47.040 ;
+        RECT 541.195 46.980 541.485 47.025 ;
+        RECT 539.340 46.840 541.485 46.980 ;
+        RECT 539.340 46.780 539.660 46.840 ;
+        RECT 541.195 46.795 541.485 46.840 ;
+        RECT 546.700 46.980 547.020 47.040 ;
+        RECT 549.015 46.980 549.305 47.025 ;
+        RECT 546.700 46.840 549.305 46.980 ;
+        RECT 546.700 46.780 547.020 46.840 ;
+        RECT 549.015 46.795 549.305 46.840 ;
+        RECT 550.380 46.980 550.700 47.040 ;
+        RECT 554.075 46.980 554.365 47.025 ;
+        RECT 550.380 46.840 554.365 46.980 ;
+        RECT 550.380 46.780 550.700 46.840 ;
+        RECT 554.075 46.795 554.365 46.840 ;
+        RECT 557.740 46.980 558.060 47.040 ;
+        RECT 559.135 46.980 559.425 47.025 ;
+        RECT 557.740 46.840 559.425 46.980 ;
+        RECT 557.740 46.780 558.060 46.840 ;
+        RECT 559.135 46.795 559.425 46.840 ;
+        RECT 561.420 46.980 561.740 47.040 ;
+        RECT 564.195 46.980 564.485 47.025 ;
+        RECT 561.420 46.840 564.485 46.980 ;
+        RECT 561.420 46.780 561.740 46.840 ;
+        RECT 564.195 46.795 564.485 46.840 ;
+        RECT 568.320 46.980 568.640 47.040 ;
+        RECT 569.255 46.980 569.545 47.025 ;
+        RECT 568.320 46.840 569.545 46.980 ;
+        RECT 568.320 46.780 568.640 46.840 ;
+        RECT 569.255 46.795 569.545 46.840 ;
+        RECT 575.680 46.980 576.000 47.040 ;
+        RECT 577.075 46.980 577.365 47.025 ;
+        RECT 575.680 46.840 577.365 46.980 ;
+        RECT 575.680 46.780 576.000 46.840 ;
+        RECT 577.075 46.795 577.365 46.840 ;
+        RECT 579.360 46.980 579.680 47.040 ;
+        RECT 582.135 46.980 582.425 47.025 ;
+        RECT 579.360 46.840 582.425 46.980 ;
+        RECT 579.360 46.780 579.680 46.840 ;
+        RECT 582.135 46.795 582.425 46.840 ;
+        RECT 583.040 46.980 583.360 47.040 ;
+        RECT 587.195 46.980 587.485 47.025 ;
+        RECT 583.040 46.840 587.485 46.980 ;
+        RECT 583.040 46.780 583.360 46.840 ;
+        RECT 587.195 46.795 587.485 46.840 ;
+        RECT 590.400 46.980 590.720 47.040 ;
+        RECT 592.255 46.980 592.545 47.025 ;
+        RECT 590.400 46.840 592.545 46.980 ;
+        RECT 590.400 46.780 590.720 46.840 ;
+        RECT 592.255 46.795 592.545 46.840 ;
+        RECT 594.080 46.980 594.400 47.040 ;
+        RECT 597.315 46.980 597.605 47.025 ;
+        RECT 594.080 46.840 597.605 46.980 ;
+        RECT 594.080 46.780 594.400 46.840 ;
+        RECT 597.315 46.795 597.605 46.840 ;
+        RECT 601.440 46.980 601.760 47.040 ;
+        RECT 605.135 46.980 605.425 47.025 ;
+        RECT 601.440 46.840 605.425 46.980 ;
+        RECT 601.440 46.780 601.760 46.840 ;
+        RECT 605.135 46.795 605.425 46.840 ;
+        RECT 608.800 46.980 609.120 47.040 ;
+        RECT 610.195 46.980 610.485 47.025 ;
+        RECT 608.800 46.840 610.485 46.980 ;
+        RECT 608.800 46.780 609.120 46.840 ;
+        RECT 610.195 46.795 610.485 46.840 ;
+        RECT 612.480 46.980 612.800 47.040 ;
+        RECT 615.255 46.980 615.545 47.025 ;
+        RECT 612.480 46.840 615.545 46.980 ;
+        RECT 612.480 46.780 612.800 46.840 ;
+        RECT 615.255 46.795 615.545 46.840 ;
+        RECT 616.160 46.980 616.480 47.040 ;
+        RECT 620.315 46.980 620.605 47.025 ;
+        RECT 616.160 46.840 620.605 46.980 ;
+        RECT 616.160 46.780 616.480 46.840 ;
+        RECT 620.315 46.795 620.605 46.840 ;
+        RECT 623.520 46.980 623.840 47.040 ;
+        RECT 625.375 46.980 625.665 47.025 ;
+        RECT 623.520 46.840 625.665 46.980 ;
+        RECT 623.520 46.780 623.840 46.840 ;
+        RECT 625.375 46.795 625.665 46.840 ;
+        RECT 375.120 46.640 375.440 46.700 ;
+        RECT 368.770 46.500 375.440 46.640 ;
+        RECT 375.120 46.440 375.440 46.500 ;
+        RECT 381.100 46.640 381.420 46.700 ;
+        RECT 389.840 46.640 390.160 46.700 ;
+        RECT 381.100 46.500 390.160 46.640 ;
+        RECT 381.100 46.440 381.420 46.500 ;
+        RECT 389.840 46.440 390.160 46.500 ;
+        RECT 360.490 46.160 368.450 46.300 ;
+        RECT 370.060 46.300 370.380 46.360 ;
+        RECT 386.160 46.300 386.480 46.360 ;
+        RECT 370.060 46.160 386.480 46.300 ;
+        RECT 352.580 46.100 352.900 46.160 ;
+        RECT 357.640 46.100 357.960 46.160 ;
+        RECT 359.955 46.115 360.245 46.160 ;
+        RECT 370.060 46.100 370.380 46.160 ;
+        RECT 386.160 46.100 386.480 46.160 ;
+        RECT 386.620 46.300 386.940 46.360 ;
+        RECT 398.580 46.300 398.900 46.360 ;
+        RECT 386.620 46.160 398.900 46.300 ;
+        RECT 386.620 46.100 386.940 46.160 ;
+        RECT 398.580 46.100 398.900 46.160 ;
+        RECT 42.470 45.480 631.270 45.960 ;
+        RECT 101.435 45.280 101.725 45.325 ;
+        RECT 118.455 45.280 118.745 45.325 ;
+        RECT 128.100 45.280 128.420 45.340 ;
+        RECT 64.710 45.140 101.190 45.280 ;
+        RECT 39.780 44.260 40.100 44.320 ;
+        RECT 64.710 44.260 64.850 45.140 ;
+        RECT 78.420 44.940 78.740 45.000 ;
+        RECT 78.225 44.800 78.740 44.940 ;
+        RECT 78.420 44.740 78.740 44.800 ;
+        RECT 81.195 44.940 81.485 44.985 ;
+        RECT 89.460 44.940 89.780 45.000 ;
+        RECT 81.195 44.800 89.780 44.940 ;
+        RECT 81.195 44.755 81.485 44.800 ;
+        RECT 89.460 44.740 89.780 44.800 ;
+        RECT 95.455 44.940 95.745 44.985 ;
+        RECT 97.280 44.940 97.600 45.000 ;
+        RECT 95.455 44.800 97.600 44.940 ;
+        RECT 95.455 44.755 95.745 44.800 ;
+        RECT 97.280 44.740 97.600 44.800 ;
+        RECT 65.540 44.600 65.860 44.660 ;
+        RECT 79.355 44.600 79.645 44.645 ;
+        RECT 86.675 44.600 86.965 44.645 ;
+        RECT 65.540 44.460 79.645 44.600 ;
+        RECT 65.540 44.400 65.860 44.460 ;
+        RECT 79.355 44.415 79.645 44.460 ;
+        RECT 79.890 44.460 86.965 44.600 ;
+        RECT 79.890 44.260 80.030 44.460 ;
+        RECT 86.675 44.415 86.965 44.460 ;
+        RECT 87.175 44.600 87.465 44.645 ;
+        RECT 90.840 44.600 91.160 44.660 ;
+        RECT 94.060 44.600 94.380 44.660 ;
+        RECT 100.500 44.600 100.820 44.660 ;
+        RECT 87.175 44.460 91.160 44.600 ;
+        RECT 93.865 44.460 94.380 44.600 ;
+        RECT 100.305 44.460 100.820 44.600 ;
+        RECT 101.050 44.600 101.190 45.140 ;
+        RECT 101.435 45.140 117.750 45.280 ;
+        RECT 101.435 45.095 101.725 45.140 ;
+        RECT 101.880 44.940 102.200 45.000 ;
+        RECT 106.035 44.940 106.325 44.985 ;
+        RECT 101.880 44.800 106.325 44.940 ;
+        RECT 101.880 44.740 102.200 44.800 ;
+        RECT 106.035 44.755 106.325 44.800 ;
+        RECT 109.715 44.940 110.005 44.985 ;
+        RECT 110.160 44.940 110.480 45.000 ;
+        RECT 109.715 44.800 110.480 44.940 ;
+        RECT 117.610 44.940 117.750 45.140 ;
+        RECT 118.455 45.140 128.420 45.280 ;
+        RECT 118.455 45.095 118.745 45.140 ;
+        RECT 128.100 45.080 128.420 45.140 ;
+        RECT 140.980 45.280 141.300 45.340 ;
+        RECT 150.195 45.280 150.485 45.325 ;
+        RECT 186.060 45.280 186.380 45.340 ;
+        RECT 140.980 45.140 149.030 45.280 ;
+        RECT 140.980 45.080 141.300 45.140 ;
+        RECT 123.500 44.940 123.820 45.000 ;
+        RECT 117.610 44.800 119.130 44.940 ;
+        RECT 109.715 44.755 110.005 44.800 ;
+        RECT 110.160 44.740 110.480 44.800 ;
+        RECT 115.220 44.600 115.540 44.660 ;
+        RECT 101.050 44.460 108.090 44.600 ;
+        RECT 115.025 44.460 115.540 44.600 ;
+        RECT 87.175 44.415 87.465 44.460 ;
+        RECT 90.840 44.400 91.160 44.460 ;
+        RECT 94.060 44.400 94.380 44.460 ;
+        RECT 100.500 44.400 100.820 44.460 ;
+        RECT 107.950 44.305 108.090 44.460 ;
+        RECT 115.220 44.400 115.540 44.460 ;
+        RECT 39.780 44.120 64.850 44.260 ;
+        RECT 65.170 44.120 80.030 44.260 ;
+        RECT 107.875 44.260 108.165 44.305 ;
+        RECT 117.075 44.260 117.365 44.305 ;
+        RECT 118.440 44.260 118.760 44.320 ;
+        RECT 107.875 44.120 118.760 44.260 ;
+        RECT 118.990 44.260 119.130 44.800 ;
+        RECT 123.130 44.800 123.820 44.940 ;
+        RECT 123.130 44.645 123.270 44.800 ;
+        RECT 123.500 44.740 123.820 44.800 ;
+        RECT 127.640 44.940 127.960 45.000 ;
+        RECT 128.575 44.940 128.865 44.985 ;
+        RECT 127.640 44.800 128.865 44.940 ;
+        RECT 127.640 44.740 127.960 44.800 ;
+        RECT 128.575 44.755 128.865 44.800 ;
+        RECT 123.055 44.415 123.345 44.645 ;
+        RECT 135.920 44.600 136.240 44.660 ;
+        RECT 136.840 44.600 137.160 44.660 ;
+        RECT 123.590 44.460 136.240 44.600 ;
+        RECT 136.645 44.460 137.160 44.600 ;
+        RECT 123.590 44.260 123.730 44.460 ;
+        RECT 135.920 44.400 136.240 44.460 ;
+        RECT 136.840 44.400 137.160 44.460 ;
+        RECT 137.300 44.600 137.620 44.660 ;
+        RECT 148.890 44.645 149.030 45.140 ;
+        RECT 150.195 45.140 186.380 45.280 ;
+        RECT 150.195 45.095 150.485 45.140 ;
+        RECT 186.060 45.080 186.380 45.140 ;
+        RECT 191.120 45.280 191.440 45.340 ;
+        RECT 192.975 45.280 193.265 45.325 ;
+        RECT 191.120 45.140 193.265 45.280 ;
+        RECT 191.120 45.080 191.440 45.140 ;
+        RECT 192.975 45.095 193.265 45.140 ;
+        RECT 216.420 45.280 216.740 45.340 ;
+        RECT 222.875 45.280 223.165 45.325 ;
+        RECT 216.420 45.140 223.165 45.280 ;
+        RECT 216.420 45.080 216.740 45.140 ;
+        RECT 222.875 45.095 223.165 45.140 ;
+        RECT 226.080 45.280 226.400 45.340 ;
+        RECT 232.060 45.280 232.380 45.340 ;
+        RECT 237.135 45.280 237.425 45.325 ;
+        RECT 258.295 45.280 258.585 45.325 ;
+        RECT 226.080 45.140 229.530 45.280 ;
+        RECT 226.080 45.080 226.400 45.140 ;
+        RECT 158.020 44.940 158.310 44.985 ;
+        RECT 159.420 44.940 159.710 44.985 ;
+        RECT 161.260 44.940 161.550 44.985 ;
+        RECT 158.020 44.800 161.550 44.940 ;
+        RECT 158.020 44.755 158.310 44.800 ;
+        RECT 159.420 44.755 159.710 44.800 ;
+        RECT 161.260 44.755 161.550 44.800 ;
+        RECT 162.600 44.940 162.920 45.000 ;
+        RECT 172.260 44.940 172.580 45.000 ;
+        RECT 185.140 44.940 185.460 45.000 ;
+        RECT 162.600 44.800 185.460 44.940 ;
+        RECT 162.600 44.740 162.920 44.800 ;
+        RECT 172.260 44.740 172.580 44.800 ;
+        RECT 185.140 44.740 185.460 44.800 ;
+        RECT 187.000 44.940 187.290 44.985 ;
+        RECT 188.400 44.940 188.690 44.985 ;
+        RECT 190.240 44.940 190.530 44.985 ;
+        RECT 209.980 44.940 210.300 45.000 ;
+        RECT 219.180 44.940 219.500 45.000 ;
+        RECT 187.000 44.800 190.530 44.940 ;
+        RECT 187.000 44.755 187.290 44.800 ;
+        RECT 188.400 44.755 188.690 44.800 ;
+        RECT 190.240 44.755 190.530 44.800 ;
+        RECT 206.390 44.800 210.300 44.940 ;
+        RECT 143.295 44.600 143.585 44.645 ;
+        RECT 137.300 44.460 143.585 44.600 ;
+        RECT 137.300 44.400 137.620 44.460 ;
+        RECT 143.295 44.415 143.585 44.460 ;
+        RECT 148.815 44.415 149.105 44.645 ;
+        RECT 151.115 44.415 151.405 44.645 ;
+        RECT 153.860 44.600 154.180 44.660 ;
+        RECT 157.095 44.600 157.385 44.645 ;
+        RECT 174.560 44.600 174.880 44.660 ;
+        RECT 177.335 44.600 177.625 44.645 ;
+        RECT 178.240 44.600 178.560 44.660 ;
+        RECT 153.860 44.460 157.385 44.600 ;
+        RECT 174.365 44.460 177.625 44.600 ;
+        RECT 178.045 44.460 178.560 44.600 ;
+        RECT 118.990 44.120 123.730 44.260 ;
+        RECT 129.020 44.305 129.340 44.320 ;
+        RECT 39.780 44.060 40.100 44.120 ;
+        RECT 62.780 43.920 63.100 43.980 ;
+        RECT 65.170 43.920 65.310 44.120 ;
+        RECT 107.875 44.075 108.165 44.120 ;
+        RECT 117.075 44.075 117.365 44.120 ;
+        RECT 118.440 44.060 118.760 44.120 ;
+        RECT 129.020 44.075 129.600 44.305 ;
+        RECT 130.415 44.260 130.705 44.305 ;
+        RECT 151.190 44.260 151.330 44.415 ;
+        RECT 153.860 44.400 154.180 44.460 ;
+        RECT 157.095 44.415 157.385 44.460 ;
+        RECT 174.560 44.400 174.880 44.460 ;
+        RECT 177.335 44.415 177.625 44.460 ;
+        RECT 178.240 44.400 178.560 44.460 ;
+        RECT 184.680 44.600 185.000 44.660 ;
+        RECT 186.075 44.600 186.365 44.645 ;
+        RECT 184.680 44.460 186.365 44.600 ;
+        RECT 184.680 44.400 185.000 44.460 ;
+        RECT 186.075 44.415 186.365 44.460 ;
+        RECT 187.455 44.600 187.745 44.645 ;
+        RECT 205.840 44.600 206.160 44.660 ;
+        RECT 206.390 44.645 206.530 44.800 ;
+        RECT 209.980 44.740 210.300 44.800 ;
+        RECT 214.670 44.800 219.500 44.940 ;
+        RECT 229.390 44.940 229.530 45.140 ;
+        RECT 232.060 45.140 237.425 45.280 ;
+        RECT 232.060 45.080 232.380 45.140 ;
+        RECT 237.135 45.095 237.425 45.140 ;
+        RECT 238.590 45.140 258.585 45.280 ;
+        RECT 238.590 44.940 238.730 45.140 ;
+        RECT 258.295 45.095 258.585 45.140 ;
+        RECT 259.200 45.280 259.520 45.340 ;
+        RECT 277.155 45.280 277.445 45.325 ;
+        RECT 293.700 45.280 294.020 45.340 ;
+        RECT 259.200 45.140 277.445 45.280 ;
+        RECT 259.200 45.080 259.520 45.140 ;
+        RECT 277.155 45.095 277.445 45.140 ;
+        RECT 278.150 45.140 294.020 45.280 ;
+        RECT 229.390 44.800 238.730 44.940 ;
+        RECT 240.800 44.940 241.120 45.000 ;
+        RECT 247.240 44.940 247.560 45.000 ;
+        RECT 249.555 44.940 249.845 44.985 ;
+        RECT 256.915 44.940 257.205 44.985 ;
+        RECT 240.800 44.800 244.250 44.940 ;
+        RECT 187.455 44.460 206.160 44.600 ;
+        RECT 187.455 44.415 187.745 44.460 ;
+        RECT 205.840 44.400 206.160 44.460 ;
+        RECT 206.315 44.415 206.605 44.645 ;
+        RECT 208.140 44.600 208.460 44.660 ;
+        RECT 214.670 44.645 214.810 44.800 ;
+        RECT 219.180 44.740 219.500 44.800 ;
+        RECT 240.800 44.740 241.120 44.800 ;
+        RECT 207.945 44.460 208.460 44.600 ;
+        RECT 208.140 44.400 208.460 44.460 ;
+        RECT 214.595 44.415 214.885 44.645 ;
+        RECT 215.040 44.600 215.360 44.660 ;
+        RECT 215.720 44.600 216.010 44.645 ;
+        RECT 216.420 44.600 216.740 44.660 ;
+        RECT 215.040 44.460 215.555 44.600 ;
+        RECT 215.720 44.460 216.740 44.600 ;
+        RECT 215.040 44.400 215.360 44.460 ;
+        RECT 215.720 44.415 216.010 44.460 ;
+        RECT 216.420 44.400 216.740 44.460 ;
+        RECT 217.815 44.600 218.105 44.645 ;
+        RECT 221.020 44.600 221.340 44.660 ;
+        RECT 217.815 44.460 221.340 44.600 ;
+        RECT 217.815 44.415 218.105 44.460 ;
+        RECT 221.020 44.400 221.340 44.460 ;
+        RECT 221.480 44.600 221.800 44.660 ;
+        RECT 221.985 44.600 222.275 44.645 ;
+        RECT 228.380 44.600 228.700 44.660 ;
+        RECT 229.760 44.600 230.080 44.660 ;
+        RECT 221.480 44.460 222.275 44.600 ;
+        RECT 228.185 44.460 228.700 44.600 ;
+        RECT 229.565 44.460 230.080 44.600 ;
+        RECT 221.480 44.400 221.800 44.460 ;
+        RECT 221.985 44.415 222.275 44.460 ;
+        RECT 228.380 44.400 228.700 44.460 ;
+        RECT 229.760 44.400 230.080 44.460 ;
+        RECT 235.740 44.600 236.060 44.660 ;
+        RECT 236.215 44.600 236.505 44.645 ;
+        RECT 235.740 44.460 236.505 44.600 ;
+        RECT 235.740 44.400 236.060 44.460 ;
+        RECT 236.215 44.415 236.505 44.460 ;
+        RECT 238.960 44.600 239.280 44.660 ;
+        RECT 242.655 44.600 242.945 44.645 ;
+        RECT 243.560 44.600 243.880 44.660 ;
+        RECT 238.960 44.460 242.945 44.600 ;
+        RECT 243.365 44.460 243.880 44.600 ;
+        RECT 244.110 44.600 244.250 44.800 ;
+        RECT 247.240 44.800 249.845 44.940 ;
+        RECT 247.240 44.740 247.560 44.800 ;
+        RECT 249.555 44.755 249.845 44.800 ;
+        RECT 250.090 44.800 257.205 44.940 ;
+        RECT 250.090 44.600 250.230 44.800 ;
+        RECT 256.915 44.755 257.205 44.800 ;
+        RECT 244.110 44.460 250.230 44.600 ;
+        RECT 238.960 44.400 239.280 44.460 ;
+        RECT 242.655 44.415 242.945 44.460 ;
+        RECT 243.560 44.400 243.880 44.460 ;
+        RECT 250.475 44.415 250.765 44.645 ;
+        RECT 257.820 44.600 258.140 44.660 ;
+        RECT 257.625 44.460 258.140 44.600 ;
+        RECT 156.160 44.260 156.480 44.320 ;
+        RECT 130.415 44.120 137.070 44.260 ;
+        RECT 130.415 44.075 130.705 44.120 ;
+        RECT 129.020 44.060 129.340 44.075 ;
+        RECT 136.930 43.980 137.070 44.120 ;
+        RECT 137.850 44.120 156.480 44.260 ;
+        RECT 115.925 43.920 116.215 43.965 ;
+        RECT 119.360 43.920 119.680 43.980 ;
+        RECT 129.955 43.920 130.245 43.965 ;
+        RECT 62.780 43.780 65.310 43.920 ;
+        RECT 106.800 43.780 119.680 43.920 ;
+        RECT 62.780 43.720 63.100 43.780 ;
+        RECT 85.320 43.580 85.640 43.640 ;
+        RECT 106.800 43.625 106.940 43.780 ;
+        RECT 115.925 43.735 116.215 43.780 ;
+        RECT 119.360 43.720 119.680 43.780 ;
+        RECT 120.370 43.780 132.440 43.920 ;
+        RECT 120.370 43.640 120.510 43.780 ;
+        RECT 129.955 43.735 130.245 43.780 ;
+        RECT 106.725 43.580 107.015 43.625 ;
+        RECT 85.320 43.440 107.015 43.580 ;
+        RECT 85.320 43.380 85.640 43.440 ;
+        RECT 106.725 43.395 107.015 43.440 ;
+        RECT 107.415 43.580 107.705 43.625 ;
+        RECT 116.615 43.580 116.905 43.625 ;
+        RECT 120.280 43.580 120.600 43.640 ;
+        RECT 123.500 43.580 123.820 43.640 ;
+        RECT 131.780 43.580 132.100 43.640 ;
+        RECT 107.415 43.440 120.600 43.580 ;
+        RECT 123.305 43.440 123.820 43.580 ;
+        RECT 131.585 43.440 132.100 43.580 ;
+        RECT 132.300 43.580 132.440 43.780 ;
+        RECT 136.840 43.720 137.160 43.980 ;
+        RECT 137.850 43.965 137.990 44.120 ;
+        RECT 156.160 44.060 156.480 44.120 ;
+        RECT 158.475 44.260 158.765 44.305 ;
+        RECT 174.100 44.260 174.420 44.320 ;
+        RECT 158.475 44.120 169.270 44.260 ;
+        RECT 173.905 44.120 174.420 44.260 ;
+        RECT 158.475 44.075 158.765 44.120 ;
+        RECT 137.775 43.735 138.065 43.965 ;
+        RECT 156.620 43.920 156.940 43.980 ;
+        RECT 138.310 43.780 156.940 43.920 ;
+        RECT 138.310 43.580 138.450 43.780 ;
+        RECT 156.620 43.720 156.940 43.780 ;
+        RECT 157.560 43.920 157.850 43.965 ;
+        RECT 159.880 43.920 160.170 43.965 ;
+        RECT 161.260 43.920 161.550 43.965 ;
+        RECT 157.560 43.780 161.550 43.920 ;
+        RECT 169.130 43.920 169.270 44.120 ;
+        RECT 174.100 44.060 174.420 44.120 ;
+        RECT 175.960 44.260 176.250 44.305 ;
+        RECT 178.740 44.260 179.030 44.305 ;
+        RECT 175.960 44.120 179.030 44.260 ;
+        RECT 175.960 44.075 176.250 44.120 ;
+        RECT 178.740 44.075 179.030 44.120 ;
+        RECT 179.620 44.260 179.940 44.320 ;
+        RECT 204.015 44.260 204.305 44.305 ;
+        RECT 179.620 44.120 204.305 44.260 ;
+        RECT 179.620 44.060 179.940 44.120 ;
+        RECT 204.015 44.075 204.305 44.120 ;
+        RECT 207.680 44.260 208.000 44.320 ;
+        RECT 208.615 44.260 208.905 44.305 ;
+        RECT 230.680 44.260 231.000 44.320 ;
+        RECT 207.680 44.120 208.905 44.260 ;
+        RECT 230.485 44.120 231.000 44.260 ;
+        RECT 207.680 44.060 208.000 44.120 ;
+        RECT 208.615 44.075 208.905 44.120 ;
+        RECT 230.680 44.060 231.000 44.120 ;
+        RECT 232.980 44.260 233.300 44.320 ;
+        RECT 243.650 44.260 243.790 44.400 ;
+        RECT 232.980 44.120 243.790 44.260 ;
+        RECT 232.980 44.060 233.300 44.120 ;
+        RECT 245.415 44.075 245.705 44.305 ;
+        RECT 250.550 44.260 250.690 44.415 ;
+        RECT 257.820 44.400 258.140 44.460 ;
+        RECT 258.740 44.600 259.060 44.660 ;
+        RECT 263.815 44.600 264.105 44.645 ;
+        RECT 258.740 44.460 264.105 44.600 ;
+        RECT 258.740 44.400 259.060 44.460 ;
+        RECT 263.815 44.415 264.105 44.460 ;
+        RECT 270.700 44.600 271.020 44.660 ;
+        RECT 278.150 44.645 278.290 45.140 ;
+        RECT 293.700 45.080 294.020 45.140 ;
+        RECT 294.175 45.280 294.465 45.325 ;
+        RECT 297.840 45.280 298.160 45.340 ;
+        RECT 294.175 45.140 298.160 45.280 ;
+        RECT 294.175 45.095 294.465 45.140 ;
+        RECT 292.320 44.940 292.640 45.000 ;
+        RECT 286.890 44.800 292.640 44.940 ;
+        RECT 271.175 44.600 271.465 44.645 ;
+        RECT 270.700 44.460 271.465 44.600 ;
+        RECT 270.700 44.400 271.020 44.460 ;
+        RECT 271.175 44.415 271.465 44.460 ;
+        RECT 278.075 44.415 278.365 44.645 ;
+        RECT 278.995 44.415 279.285 44.645 ;
+        RECT 279.440 44.600 279.760 44.660 ;
+        RECT 286.890 44.645 287.030 44.800 ;
+        RECT 292.320 44.740 292.640 44.800 ;
+        RECT 292.780 44.940 293.100 45.000 ;
+        RECT 294.250 44.940 294.390 45.095 ;
+        RECT 297.840 45.080 298.160 45.140 ;
+        RECT 299.220 45.280 299.540 45.340 ;
+        RECT 305.200 45.280 305.520 45.340 ;
+        RECT 299.220 45.140 305.520 45.280 ;
+        RECT 299.220 45.080 299.540 45.140 ;
+        RECT 305.200 45.080 305.520 45.140 ;
+        RECT 305.660 45.280 305.980 45.340 ;
+        RECT 328.675 45.280 328.965 45.325 ;
+        RECT 357.180 45.280 357.500 45.340 ;
+        RECT 305.660 45.140 328.965 45.280 ;
+        RECT 356.985 45.140 357.500 45.280 ;
+        RECT 305.660 45.080 305.980 45.140 ;
+        RECT 328.675 45.095 328.965 45.140 ;
+        RECT 357.180 45.080 357.500 45.140 ;
+        RECT 358.100 45.280 358.420 45.340 ;
+        RECT 371.455 45.280 371.745 45.325 ;
+        RECT 358.100 45.140 371.745 45.280 ;
+        RECT 358.100 45.080 358.420 45.140 ;
+        RECT 371.455 45.095 371.745 45.140 ;
+        RECT 312.560 44.940 312.880 45.000 ;
+        RECT 326.360 44.940 326.680 45.000 ;
+        RECT 292.780 44.800 294.390 44.940 ;
+        RECT 301.150 44.800 312.880 44.940 ;
+        RECT 292.780 44.740 293.100 44.800 ;
+        RECT 288.640 44.645 288.960 44.660 ;
+        RECT 279.440 44.460 284.730 44.600 ;
+        RECT 267.940 44.260 268.260 44.320 ;
+        RECT 275.760 44.260 276.080 44.320 ;
+        RECT 279.070 44.260 279.210 44.415 ;
+        RECT 279.440 44.400 279.760 44.460 ;
+        RECT 250.550 44.120 268.260 44.260 ;
+        RECT 179.175 43.920 179.465 43.965 ;
+        RECT 169.130 43.780 179.465 43.920 ;
+        RECT 157.560 43.735 157.850 43.780 ;
+        RECT 159.880 43.735 160.170 43.780 ;
+        RECT 161.260 43.735 161.550 43.780 ;
+        RECT 179.175 43.735 179.465 43.780 ;
+        RECT 186.540 43.920 186.830 43.965 ;
+        RECT 188.860 43.920 189.150 43.965 ;
+        RECT 190.240 43.920 190.530 43.965 ;
+        RECT 186.540 43.780 190.530 43.920 ;
+        RECT 186.540 43.735 186.830 43.780 ;
+        RECT 188.860 43.735 189.150 43.780 ;
+        RECT 190.240 43.735 190.530 43.780 ;
+        RECT 213.660 43.920 213.980 43.980 ;
+        RECT 228.855 43.920 229.145 43.965 ;
+        RECT 232.520 43.920 232.840 43.980 ;
+        RECT 213.660 43.780 232.840 43.920 ;
+        RECT 213.660 43.720 213.980 43.780 ;
+        RECT 228.855 43.735 229.145 43.780 ;
+        RECT 232.520 43.720 232.840 43.780 ;
+        RECT 237.580 43.920 237.900 43.980 ;
+        RECT 244.940 43.920 245.260 43.980 ;
+        RECT 237.580 43.780 245.260 43.920 ;
+        RECT 245.490 43.920 245.630 44.075 ;
+        RECT 267.940 44.060 268.260 44.120 ;
+        RECT 272.170 44.120 279.210 44.260 ;
+        RECT 284.590 44.260 284.730 44.460 ;
+        RECT 286.815 44.415 287.105 44.645 ;
+        RECT 288.425 44.415 288.960 44.645 ;
+        RECT 293.255 44.600 293.545 44.645 ;
+        RECT 298.760 44.600 299.080 44.660 ;
+        RECT 301.150 44.645 301.290 44.800 ;
+        RECT 312.560 44.740 312.880 44.800 ;
+        RECT 313.110 44.800 321.990 44.940 ;
+        RECT 288.640 44.400 288.960 44.415 ;
+        RECT 292.870 44.460 299.080 44.600 ;
+        RECT 292.870 44.320 293.010 44.460 ;
+        RECT 293.255 44.415 293.545 44.460 ;
+        RECT 298.760 44.400 299.080 44.460 ;
+        RECT 301.075 44.415 301.365 44.645 ;
+        RECT 302.455 44.600 302.745 44.645 ;
+        RECT 306.120 44.600 306.440 44.660 ;
+        RECT 302.455 44.460 306.440 44.600 ;
+        RECT 302.455 44.415 302.745 44.460 ;
+        RECT 306.120 44.400 306.440 44.460 ;
+        RECT 307.515 44.600 307.805 44.645 ;
+        RECT 313.110 44.600 313.250 44.800 ;
+        RECT 321.850 44.645 321.990 44.800 ;
+        RECT 326.360 44.800 373.510 44.940 ;
+        RECT 326.360 44.740 326.680 44.800 ;
+        RECT 330.590 44.645 330.730 44.800 ;
+        RECT 307.515 44.460 313.250 44.600 ;
+        RECT 307.515 44.415 307.805 44.460 ;
+        RECT 315.335 44.415 315.625 44.645 ;
+        RECT 316.715 44.415 317.005 44.645 ;
+        RECT 321.775 44.415 322.065 44.645 ;
+        RECT 329.595 44.415 329.885 44.645 ;
+        RECT 330.515 44.415 330.805 44.645 ;
+        RECT 336.035 44.600 336.325 44.645 ;
+        RECT 336.480 44.600 336.800 44.660 ;
+        RECT 345.220 44.600 345.540 44.660 ;
+        RECT 347.995 44.600 348.285 44.645 ;
+        RECT 336.035 44.460 336.800 44.600 ;
+        RECT 344.785 44.460 348.285 44.600 ;
+        RECT 336.035 44.415 336.325 44.460 ;
+        RECT 287.735 44.260 288.025 44.305 ;
+        RECT 284.590 44.120 288.025 44.260 ;
+        RECT 258.280 43.920 258.600 43.980 ;
+        RECT 272.170 43.965 272.310 44.120 ;
+        RECT 275.760 44.060 276.080 44.120 ;
+        RECT 245.490 43.780 258.600 43.920 ;
+        RECT 237.580 43.720 237.900 43.780 ;
+        RECT 244.940 43.720 245.260 43.780 ;
+        RECT 258.280 43.720 258.600 43.780 ;
+        RECT 272.095 43.735 272.385 43.965 ;
+        RECT 279.070 43.920 279.210 44.120 ;
+        RECT 287.735 44.075 288.025 44.120 ;
+        RECT 292.780 44.060 293.100 44.320 ;
+        RECT 298.300 44.260 298.620 44.320 ;
+        RECT 301.980 44.260 302.300 44.320 ;
+        RECT 298.300 44.120 300.370 44.260 ;
+        RECT 301.785 44.120 302.300 44.260 ;
+        RECT 298.300 44.060 298.620 44.120 ;
+        RECT 300.230 43.920 300.370 44.120 ;
+        RECT 301.980 44.060 302.300 44.120 ;
+        RECT 307.590 43.920 307.730 44.415 ;
+        RECT 313.480 43.920 313.800 43.980 ;
+        RECT 279.070 43.780 293.010 43.920 ;
+        RECT 300.230 43.780 307.730 43.920 ;
+        RECT 308.510 43.780 313.800 43.920 ;
+        RECT 315.410 43.920 315.550 44.415 ;
+        RECT 315.780 44.260 316.100 44.320 ;
+        RECT 316.255 44.260 316.545 44.305 ;
+        RECT 315.780 44.120 316.545 44.260 ;
+        RECT 316.790 44.260 316.930 44.415 ;
+        RECT 316.790 44.120 322.910 44.260 ;
+        RECT 315.780 44.060 316.100 44.120 ;
+        RECT 316.255 44.075 316.545 44.120 ;
+        RECT 319.920 43.920 320.240 43.980 ;
+        RECT 315.410 43.780 320.240 43.920 ;
+        RECT 132.300 43.440 138.450 43.580 ;
+        RECT 144.215 43.580 144.505 43.625 ;
+        RECT 163.060 43.580 163.380 43.640 ;
+        RECT 163.980 43.580 164.300 43.640 ;
+        RECT 144.215 43.440 163.380 43.580 ;
+        RECT 163.785 43.440 164.300 43.580 ;
+        RECT 107.415 43.395 107.705 43.440 ;
+        RECT 116.615 43.395 116.905 43.440 ;
+        RECT 120.280 43.380 120.600 43.440 ;
+        RECT 123.500 43.380 123.820 43.440 ;
+        RECT 131.780 43.380 132.100 43.440 ;
+        RECT 144.215 43.395 144.505 43.440 ;
+        RECT 163.060 43.380 163.380 43.440 ;
+        RECT 163.980 43.380 164.300 43.440 ;
+        RECT 216.420 43.580 216.740 43.640 ;
+        RECT 221.940 43.580 222.260 43.640 ;
+        RECT 216.420 43.440 222.260 43.580 ;
+        RECT 216.420 43.380 216.740 43.440 ;
+        RECT 221.940 43.380 222.260 43.440 ;
+        RECT 236.660 43.580 236.980 43.640 ;
+        RECT 250.935 43.580 251.225 43.625 ;
+        RECT 264.720 43.580 265.040 43.640 ;
+        RECT 236.660 43.440 251.225 43.580 ;
+        RECT 264.525 43.440 265.040 43.580 ;
+        RECT 292.870 43.580 293.010 43.780 ;
+        RECT 308.510 43.640 308.650 43.780 ;
+        RECT 313.480 43.720 313.800 43.780 ;
+        RECT 319.920 43.720 320.240 43.780 ;
+        RECT 298.300 43.580 298.620 43.640 ;
+        RECT 308.420 43.580 308.740 43.640 ;
+        RECT 292.870 43.440 298.620 43.580 ;
+        RECT 308.225 43.440 308.740 43.580 ;
+        RECT 236.660 43.380 236.980 43.440 ;
+        RECT 250.935 43.395 251.225 43.440 ;
+        RECT 264.720 43.380 265.040 43.440 ;
+        RECT 298.300 43.380 298.620 43.440 ;
+        RECT 308.420 43.380 308.740 43.440 ;
+        RECT 309.800 43.580 310.120 43.640 ;
+        RECT 317.620 43.580 317.940 43.640 ;
+        RECT 322.770 43.625 322.910 44.120 ;
+        RECT 329.670 43.920 329.810 44.415 ;
+        RECT 336.480 44.400 336.800 44.460 ;
+        RECT 345.220 44.400 345.540 44.460 ;
+        RECT 347.995 44.415 348.285 44.460 ;
+        RECT 348.915 44.415 349.205 44.645 ;
+        RECT 350.755 44.415 351.045 44.645 ;
+        RECT 351.675 44.600 351.965 44.645 ;
+        RECT 352.120 44.600 352.440 44.660 ;
+        RECT 358.100 44.600 358.420 44.660 ;
+        RECT 351.675 44.460 352.440 44.600 ;
+        RECT 357.905 44.460 358.420 44.600 ;
+        RECT 351.675 44.415 351.965 44.460 ;
+        RECT 342.920 44.260 343.240 44.320 ;
+        RECT 345.695 44.260 345.985 44.305 ;
+        RECT 342.920 44.120 345.985 44.260 ;
+        RECT 342.920 44.060 343.240 44.120 ;
+        RECT 345.695 44.075 345.985 44.120 ;
+        RECT 338.320 43.920 338.640 43.980 ;
+        RECT 329.670 43.780 338.640 43.920 ;
+        RECT 348.990 43.920 349.130 44.415 ;
+        RECT 350.830 44.260 350.970 44.415 ;
+        RECT 352.120 44.400 352.440 44.460 ;
+        RECT 358.100 44.400 358.420 44.460 ;
+        RECT 358.560 44.600 358.880 44.660 ;
+        RECT 373.370 44.645 373.510 44.800 ;
+        RECT 359.035 44.600 359.325 44.645 ;
+        RECT 358.560 44.460 359.325 44.600 ;
+        RECT 358.560 44.400 358.880 44.460 ;
+        RECT 359.035 44.415 359.325 44.460 ;
+        RECT 364.555 44.600 364.845 44.645 ;
+        RECT 364.555 44.460 365.690 44.600 ;
+        RECT 364.555 44.415 364.845 44.460 ;
+        RECT 365.550 44.260 365.690 44.460 ;
+        RECT 372.375 44.415 372.665 44.645 ;
+        RECT 373.295 44.415 373.585 44.645 ;
+        RECT 381.100 44.600 381.420 44.660 ;
+        RECT 386.160 44.600 386.480 44.660 ;
+        RECT 373.830 44.460 381.420 44.600 ;
+        RECT 385.965 44.460 386.480 44.600 ;
+        RECT 350.830 44.120 365.690 44.260 ;
+        RECT 372.450 44.260 372.590 44.415 ;
+        RECT 373.830 44.260 373.970 44.460 ;
+        RECT 381.100 44.400 381.420 44.460 ;
+        RECT 386.160 44.400 386.480 44.460 ;
+        RECT 372.450 44.120 373.970 44.260 ;
+        RECT 365.015 43.920 365.305 43.965 ;
+        RECT 348.990 43.780 365.305 43.920 ;
+        RECT 365.550 43.920 365.690 44.120 ;
+        RECT 381.100 43.920 381.420 43.980 ;
+        RECT 391.235 43.920 391.525 43.965 ;
+        RECT 365.550 43.780 380.410 43.920 ;
+        RECT 338.320 43.720 338.640 43.780 ;
+        RECT 365.015 43.735 365.305 43.780 ;
+        RECT 309.800 43.440 317.940 43.580 ;
+        RECT 309.800 43.380 310.120 43.440 ;
+        RECT 317.620 43.380 317.940 43.440 ;
+        RECT 322.695 43.580 322.985 43.625 ;
+        RECT 333.260 43.580 333.580 43.640 ;
+        RECT 336.940 43.580 337.260 43.640 ;
+        RECT 322.695 43.440 333.580 43.580 ;
+        RECT 336.745 43.440 337.260 43.580 ;
+        RECT 322.695 43.395 322.985 43.440 ;
+        RECT 333.260 43.380 333.580 43.440 ;
+        RECT 336.940 43.380 337.260 43.440 ;
+        RECT 358.100 43.580 358.420 43.640 ;
+        RECT 371.440 43.580 371.760 43.640 ;
+        RECT 379.720 43.580 380.040 43.640 ;
+        RECT 358.100 43.440 371.760 43.580 ;
+        RECT 379.525 43.440 380.040 43.580 ;
+        RECT 380.270 43.580 380.410 43.780 ;
+        RECT 381.100 43.780 391.525 43.920 ;
+        RECT 381.100 43.720 381.420 43.780 ;
+        RECT 391.235 43.735 391.525 43.780 ;
+        RECT 404.560 43.580 404.880 43.640 ;
+        RECT 502.540 43.580 502.860 43.640 ;
+        RECT 586.720 43.580 587.040 43.640 ;
+        RECT 380.270 43.440 404.880 43.580 ;
+        RECT 502.345 43.440 502.860 43.580 ;
+        RECT 586.525 43.440 587.040 43.580 ;
+        RECT 358.100 43.380 358.420 43.440 ;
+        RECT 371.440 43.380 371.760 43.440 ;
+        RECT 379.720 43.380 380.040 43.440 ;
+        RECT 404.560 43.380 404.880 43.440 ;
+        RECT 502.540 43.380 502.860 43.440 ;
+        RECT 586.720 43.380 587.040 43.440 ;
+        RECT 42.470 42.760 631.270 43.240 ;
+        RECT 77.500 42.560 77.820 42.620 ;
+        RECT 115.220 42.560 115.540 42.620 ;
+        RECT 77.500 42.420 115.540 42.560 ;
+        RECT 77.500 42.360 77.820 42.420 ;
+        RECT 115.220 42.360 115.540 42.420 ;
+        RECT 117.520 42.560 117.840 42.620 ;
+        RECT 156.620 42.560 156.940 42.620 ;
+        RECT 213.660 42.560 213.980 42.620 ;
+        RECT 215.040 42.560 215.360 42.620 ;
+        RECT 221.480 42.560 221.800 42.620 ;
+        RECT 117.520 42.420 156.390 42.560 ;
+        RECT 117.520 42.360 117.840 42.420 ;
+        RECT 84.860 42.220 85.180 42.280 ;
+        RECT 137.300 42.220 137.620 42.280 ;
+        RECT 84.860 42.080 137.620 42.220 ;
+        RECT 84.860 42.020 85.180 42.080 ;
+        RECT 137.300 42.020 137.620 42.080 ;
+        RECT 137.760 42.220 138.080 42.280 ;
+        RECT 155.715 42.220 156.005 42.265 ;
+        RECT 137.760 42.080 156.005 42.220 ;
+        RECT 156.250 42.220 156.390 42.420 ;
+        RECT 156.620 42.420 213.980 42.560 ;
+        RECT 214.845 42.420 215.360 42.560 ;
+        RECT 221.285 42.420 221.800 42.560 ;
+        RECT 156.620 42.360 156.940 42.420 ;
+        RECT 213.660 42.360 213.980 42.420 ;
+        RECT 215.040 42.360 215.360 42.420 ;
+        RECT 221.480 42.360 221.800 42.420 ;
+        RECT 221.940 42.560 222.260 42.620 ;
+        RECT 237.120 42.560 237.440 42.620 ;
+        RECT 221.940 42.420 237.440 42.560 ;
+        RECT 221.940 42.360 222.260 42.420 ;
+        RECT 237.120 42.360 237.440 42.420 ;
+        RECT 243.560 42.560 243.880 42.620 ;
+        RECT 282.200 42.560 282.520 42.620 ;
+        RECT 336.940 42.560 337.260 42.620 ;
+        RECT 357.180 42.560 357.500 42.620 ;
+        RECT 243.560 42.420 281.970 42.560 ;
+        RECT 243.560 42.360 243.880 42.420 ;
+        RECT 178.240 42.220 178.560 42.280 ;
+        RECT 156.250 42.080 178.560 42.220 ;
+        RECT 137.760 42.020 138.080 42.080 ;
+        RECT 155.715 42.035 156.005 42.080 ;
+        RECT 178.240 42.020 178.560 42.080 ;
+        RECT 224.715 42.220 225.005 42.265 ;
+        RECT 232.980 42.220 233.300 42.280 ;
+        RECT 224.715 42.080 233.300 42.220 ;
+        RECT 281.830 42.220 281.970 42.420 ;
+        RECT 282.200 42.420 337.260 42.560 ;
+        RECT 282.200 42.360 282.520 42.420 ;
+        RECT 336.940 42.360 337.260 42.420 ;
+        RECT 337.490 42.420 357.500 42.560 ;
+        RECT 287.260 42.220 287.580 42.280 ;
+        RECT 281.830 42.080 287.580 42.220 ;
+        RECT 224.715 42.035 225.005 42.080 ;
+        RECT 232.980 42.020 233.300 42.080 ;
+        RECT 287.260 42.020 287.580 42.080 ;
+        RECT 289.560 42.220 289.880 42.280 ;
+        RECT 301.060 42.220 301.380 42.280 ;
+        RECT 289.560 42.080 301.380 42.220 ;
+        RECT 289.560 42.020 289.880 42.080 ;
+        RECT 301.060 42.020 301.380 42.080 ;
+        RECT 329.580 42.220 329.900 42.280 ;
+        RECT 337.490 42.220 337.630 42.420 ;
+        RECT 357.180 42.360 357.500 42.420 ;
+        RECT 329.580 42.080 337.630 42.220 ;
+        RECT 352.120 42.220 352.440 42.280 ;
+        RECT 402.260 42.220 402.580 42.280 ;
+        RECT 352.120 42.080 402.580 42.220 ;
+        RECT 329.580 42.020 329.900 42.080 ;
+        RECT 352.120 42.020 352.440 42.080 ;
+        RECT 402.260 42.020 402.580 42.080 ;
+        RECT 86.240 41.880 86.560 41.940 ;
+        RECT 163.075 41.880 163.365 41.925 ;
+        RECT 86.240 41.740 163.365 41.880 ;
+        RECT 86.240 41.680 86.560 41.740 ;
+        RECT 163.075 41.695 163.365 41.740 ;
+        RECT 164.440 41.880 164.760 41.940 ;
+        RECT 175.035 41.880 175.325 41.925 ;
+        RECT 164.440 41.740 175.325 41.880 ;
+        RECT 164.440 41.680 164.760 41.740 ;
+        RECT 175.035 41.695 175.325 41.740 ;
+        RECT 201.240 41.880 201.560 41.940 ;
+        RECT 223.795 41.880 224.085 41.925 ;
+        RECT 201.240 41.740 224.085 41.880 ;
+        RECT 201.240 41.680 201.560 41.740 ;
+        RECT 223.795 41.695 224.085 41.740 ;
+        RECT 224.255 41.880 224.545 41.925 ;
+        RECT 264.720 41.880 265.040 41.940 ;
+        RECT 224.255 41.740 265.040 41.880 ;
+        RECT 224.255 41.695 224.545 41.740 ;
+        RECT 264.720 41.680 265.040 41.740 ;
+        RECT 273.920 41.880 274.240 41.940 ;
+        RECT 301.980 41.880 302.300 41.940 ;
+        RECT 273.920 41.740 302.300 41.880 ;
+        RECT 273.920 41.680 274.240 41.740 ;
+        RECT 301.980 41.680 302.300 41.740 ;
+        RECT 344.300 41.880 344.620 41.940 ;
+        RECT 379.720 41.880 380.040 41.940 ;
+        RECT 344.300 41.740 380.040 41.880 ;
+        RECT 344.300 41.680 344.620 41.740 ;
+        RECT 379.720 41.680 380.040 41.740 ;
+        RECT 37.480 41.540 37.800 41.600 ;
+        RECT 345.220 41.540 345.540 41.600 ;
+        RECT 37.480 41.400 345.540 41.540 ;
+        RECT 37.480 41.340 37.800 41.400 ;
+        RECT 345.220 41.340 345.540 41.400 ;
+        RECT 87.160 41.200 87.480 41.260 ;
+        RECT 175.480 41.200 175.800 41.260 ;
+        RECT 87.160 41.060 175.800 41.200 ;
+        RECT 87.160 41.000 87.480 41.060 ;
+        RECT 175.480 41.000 175.800 41.060 ;
+        RECT 208.140 41.200 208.460 41.260 ;
+        RECT 223.335 41.200 223.625 41.245 ;
+        RECT 208.140 41.060 223.625 41.200 ;
+        RECT 208.140 41.000 208.460 41.060 ;
+        RECT 223.335 41.015 223.625 41.060 ;
+        RECT 239.880 41.200 240.200 41.260 ;
+        RECT 342.920 41.200 343.240 41.260 ;
+        RECT 239.880 41.060 343.240 41.200 ;
+        RECT 239.880 41.000 240.200 41.060 ;
+        RECT 342.920 41.000 343.240 41.060 ;
+        RECT 118.440 40.860 118.760 40.920 ;
+        RECT 136.840 40.860 137.160 40.920 ;
+        RECT 118.440 40.720 137.160 40.860 ;
+        RECT 118.440 40.660 118.760 40.720 ;
+        RECT 136.840 40.660 137.160 40.720 ;
+        RECT 143.740 40.860 144.060 40.920 ;
+        RECT 154.780 40.860 155.100 40.920 ;
+        RECT 143.740 40.720 155.100 40.860 ;
+        RECT 143.740 40.660 144.060 40.720 ;
+        RECT 154.780 40.660 155.100 40.720 ;
+        RECT 155.715 40.860 156.005 40.905 ;
+        RECT 162.600 40.860 162.920 40.920 ;
+        RECT 155.715 40.720 162.920 40.860 ;
+        RECT 155.715 40.675 156.005 40.720 ;
+        RECT 162.600 40.660 162.920 40.720 ;
+        RECT 163.060 40.860 163.380 40.920 ;
+        RECT 258.295 40.860 258.585 40.905 ;
+        RECT 163.060 40.720 258.585 40.860 ;
+        RECT 163.060 40.660 163.380 40.720 ;
+        RECT 258.295 40.675 258.585 40.720 ;
+        RECT 280.360 40.860 280.680 40.920 ;
+        RECT 315.780 40.860 316.100 40.920 ;
+        RECT 280.360 40.720 316.100 40.860 ;
+        RECT 280.360 40.660 280.680 40.720 ;
+        RECT 315.780 40.660 316.100 40.720 ;
+        RECT 336.480 40.860 336.800 40.920 ;
+        RECT 358.100 40.860 358.420 40.920 ;
+        RECT 336.480 40.720 358.420 40.860 ;
+        RECT 336.480 40.660 336.800 40.720 ;
+        RECT 358.100 40.660 358.420 40.720 ;
+        RECT 111.540 40.520 111.860 40.580 ;
+        RECT 230.680 40.520 231.000 40.580 ;
+        RECT 111.540 40.380 231.000 40.520 ;
+        RECT 111.540 40.320 111.860 40.380 ;
+        RECT 230.680 40.320 231.000 40.380 ;
+        RECT 244.940 40.520 245.260 40.580 ;
+        RECT 308.420 40.520 308.740 40.580 ;
+        RECT 244.940 40.380 308.740 40.520 ;
+        RECT 244.940 40.320 245.260 40.380 ;
+        RECT 308.420 40.320 308.740 40.380 ;
+        RECT 311.180 40.520 311.500 40.580 ;
+        RECT 323.600 40.520 323.920 40.580 ;
+        RECT 311.180 40.380 323.920 40.520 ;
+        RECT 311.180 40.320 311.500 40.380 ;
+        RECT 323.600 40.320 323.920 40.380 ;
+        RECT 329.120 40.520 329.440 40.580 ;
+        RECT 369.140 40.520 369.460 40.580 ;
+        RECT 329.120 40.380 369.460 40.520 ;
+        RECT 329.120 40.320 329.440 40.380 ;
+        RECT 369.140 40.320 369.460 40.380 ;
+        RECT 123.500 40.180 123.820 40.240 ;
+        RECT 169.040 40.180 169.360 40.240 ;
+        RECT 123.500 40.040 169.360 40.180 ;
+        RECT 123.500 39.980 123.820 40.040 ;
+        RECT 169.040 39.980 169.360 40.040 ;
+        RECT 174.100 40.180 174.420 40.240 ;
+        RECT 220.560 40.180 220.880 40.240 ;
+        RECT 174.100 40.040 220.880 40.180 ;
+        RECT 174.100 39.980 174.420 40.040 ;
+        RECT 220.560 39.980 220.880 40.040 ;
+        RECT 228.380 40.180 228.700 40.240 ;
+        RECT 285.420 40.180 285.740 40.240 ;
+        RECT 228.380 40.040 285.740 40.180 ;
+        RECT 228.380 39.980 228.700 40.040 ;
+        RECT 285.420 39.980 285.740 40.040 ;
+        RECT 341.080 40.180 341.400 40.240 ;
+        RECT 372.820 40.180 373.140 40.240 ;
+        RECT 341.080 40.040 373.140 40.180 ;
+        RECT 341.080 39.980 341.400 40.040 ;
+        RECT 372.820 39.980 373.140 40.040 ;
+        RECT 88.540 39.840 88.860 39.900 ;
+        RECT 130.400 39.840 130.720 39.900 ;
+        RECT 88.540 39.700 130.720 39.840 ;
+        RECT 88.540 39.640 88.860 39.700 ;
+        RECT 130.400 39.640 130.720 39.700 ;
+        RECT 131.780 39.840 132.100 39.900 ;
+        RECT 155.700 39.840 156.020 39.900 ;
+        RECT 131.780 39.700 156.020 39.840 ;
+        RECT 131.780 39.640 132.100 39.700 ;
+        RECT 155.700 39.640 156.020 39.700 ;
+        RECT 163.075 39.840 163.365 39.885 ;
+        RECT 179.160 39.840 179.480 39.900 ;
+        RECT 163.075 39.700 179.480 39.840 ;
+        RECT 163.075 39.655 163.365 39.700 ;
+        RECT 179.160 39.640 179.480 39.700 ;
+        RECT 230.680 39.840 231.000 39.900 ;
+        RECT 255.060 39.840 255.380 39.900 ;
+        RECT 230.680 39.700 255.380 39.840 ;
+        RECT 230.680 39.640 231.000 39.700 ;
+        RECT 255.060 39.640 255.380 39.700 ;
+        RECT 258.295 39.840 258.585 39.885 ;
+        RECT 270.700 39.840 271.020 39.900 ;
+        RECT 292.780 39.840 293.100 39.900 ;
+        RECT 258.295 39.700 293.100 39.840 ;
+        RECT 258.295 39.655 258.585 39.700 ;
+        RECT 270.700 39.640 271.020 39.700 ;
+        RECT 292.780 39.640 293.100 39.700 ;
+        RECT 293.240 39.840 293.560 39.900 ;
+        RECT 303.820 39.840 304.140 39.900 ;
+        RECT 293.240 39.700 304.140 39.840 ;
+        RECT 293.240 39.640 293.560 39.700 ;
+        RECT 303.820 39.640 304.140 39.700 ;
+        RECT 332.800 39.840 333.120 39.900 ;
+        RECT 342.000 39.840 342.320 39.900 ;
+        RECT 332.800 39.700 342.320 39.840 ;
+        RECT 332.800 39.640 333.120 39.700 ;
+        RECT 342.000 39.640 342.320 39.700 ;
+        RECT 117.060 39.500 117.380 39.560 ;
+        RECT 117.980 39.500 118.300 39.560 ;
+        RECT 163.980 39.500 164.300 39.560 ;
+        RECT 117.060 39.360 164.300 39.500 ;
+        RECT 117.060 39.300 117.380 39.360 ;
+        RECT 117.980 39.300 118.300 39.360 ;
+        RECT 163.980 39.300 164.300 39.360 ;
+        RECT 165.360 39.500 165.680 39.560 ;
+        RECT 221.495 39.500 221.785 39.545 ;
+        RECT 165.360 39.360 221.785 39.500 ;
+        RECT 165.360 39.300 165.680 39.360 ;
+        RECT 221.495 39.315 221.785 39.360 ;
+        RECT 238.040 39.500 238.360 39.560 ;
+        RECT 245.860 39.500 246.180 39.560 ;
+        RECT 238.040 39.360 246.180 39.500 ;
+        RECT 238.040 39.300 238.360 39.360 ;
+        RECT 245.860 39.300 246.180 39.360 ;
+        RECT 256.440 39.500 256.760 39.560 ;
+        RECT 276.680 39.500 277.000 39.560 ;
+        RECT 256.440 39.360 277.000 39.500 ;
+        RECT 256.440 39.300 256.760 39.360 ;
+        RECT 276.680 39.300 277.000 39.360 ;
+        RECT 281.740 39.500 282.060 39.560 ;
+        RECT 299.220 39.500 299.540 39.560 ;
+        RECT 281.740 39.360 299.540 39.500 ;
+        RECT 281.740 39.300 282.060 39.360 ;
+        RECT 299.220 39.300 299.540 39.360 ;
+        RECT 106.020 39.160 106.340 39.220 ;
+        RECT 126.720 39.160 127.040 39.220 ;
+        RECT 106.020 39.020 127.040 39.160 ;
+        RECT 106.020 38.960 106.340 39.020 ;
+        RECT 126.720 38.960 127.040 39.020 ;
+        RECT 158.460 39.160 158.780 39.220 ;
+        RECT 170.880 39.160 171.200 39.220 ;
+        RECT 158.460 39.020 171.200 39.160 ;
+        RECT 158.460 38.960 158.780 39.020 ;
+        RECT 170.880 38.960 171.200 39.020 ;
+        RECT 172.720 39.160 173.040 39.220 ;
+        RECT 215.055 39.160 215.345 39.205 ;
+        RECT 172.720 39.020 215.345 39.160 ;
+        RECT 172.720 38.960 173.040 39.020 ;
+        RECT 215.055 38.975 215.345 39.020 ;
+        RECT 245.400 39.160 245.720 39.220 ;
+        RECT 250.460 39.160 250.780 39.220 ;
+        RECT 245.400 39.020 250.780 39.160 ;
+        RECT 245.400 38.960 245.720 39.020 ;
+        RECT 250.460 38.960 250.780 39.020 ;
+        RECT 286.340 39.160 286.660 39.220 ;
+        RECT 291.860 39.160 292.180 39.220 ;
+        RECT 286.340 39.020 292.180 39.160 ;
+        RECT 286.340 38.960 286.660 39.020 ;
+        RECT 291.860 38.960 292.180 39.020 ;
+        RECT 292.320 39.160 292.640 39.220 ;
+        RECT 297.840 39.160 298.160 39.220 ;
+        RECT 292.320 39.020 298.160 39.160 ;
+        RECT 292.320 38.960 292.640 39.020 ;
+        RECT 297.840 38.960 298.160 39.020 ;
+        RECT 135.920 38.820 136.240 38.880 ;
+        RECT 171.800 38.820 172.120 38.880 ;
+        RECT 135.920 38.680 172.120 38.820 ;
+        RECT 135.920 38.620 136.240 38.680 ;
+        RECT 171.800 38.620 172.120 38.680 ;
+        RECT 175.035 38.820 175.325 38.865 ;
+        RECT 222.860 38.820 223.180 38.880 ;
+        RECT 175.035 38.680 223.180 38.820 ;
+        RECT 175.035 38.635 175.325 38.680 ;
+        RECT 222.860 38.620 223.180 38.680 ;
+        RECT 127.640 38.480 127.960 38.540 ;
+        RECT 129.940 38.480 130.260 38.540 ;
+        RECT 127.640 38.340 130.260 38.480 ;
+        RECT 127.640 38.280 127.960 38.340 ;
+        RECT 129.940 38.280 130.260 38.340 ;
+        RECT 147.420 38.480 147.740 38.540 ;
+        RECT 175.940 38.480 176.260 38.540 ;
+        RECT 147.420 38.340 176.260 38.480 ;
+        RECT 147.420 38.280 147.740 38.340 ;
+        RECT 175.940 38.280 176.260 38.340 ;
+        RECT 282.660 38.480 282.980 38.540 ;
+        RECT 324.980 38.480 325.300 38.540 ;
+        RECT 282.660 38.340 325.300 38.480 ;
+        RECT 282.660 38.280 282.980 38.340 ;
+        RECT 324.980 38.280 325.300 38.340 ;
+        RECT 154.780 38.140 155.100 38.200 ;
+        RECT 175.020 38.140 175.340 38.200 ;
+        RECT 154.780 38.000 175.340 38.140 ;
+        RECT 154.780 37.940 155.100 38.000 ;
+        RECT 175.020 37.940 175.340 38.000 ;
+        RECT 347.980 37.800 348.300 37.860 ;
+        RECT 359.480 37.800 359.800 37.860 ;
+        RECT 347.980 37.660 359.800 37.800 ;
+        RECT 347.980 37.600 348.300 37.660 ;
+        RECT 359.480 37.600 359.800 37.660 ;
+        RECT 149.720 37.460 150.040 37.520 ;
+        RECT 178.700 37.460 179.020 37.520 ;
+        RECT 149.720 37.320 179.020 37.460 ;
+        RECT 149.720 37.260 150.040 37.320 ;
+        RECT 178.700 37.260 179.020 37.320 ;
+      LAYER via ;
+        RECT 58.180 53.750 58.440 54.010 ;
+        RECT 58.500 53.750 58.760 54.010 ;
+        RECT 58.820 53.750 59.080 54.010 ;
+        RECT 59.140 53.750 59.400 54.010 ;
+        RECT 211.780 53.750 212.040 54.010 ;
+        RECT 212.100 53.750 212.360 54.010 ;
+        RECT 212.420 53.750 212.680 54.010 ;
+        RECT 212.740 53.750 213.000 54.010 ;
+        RECT 365.380 53.750 365.640 54.010 ;
+        RECT 365.700 53.750 365.960 54.010 ;
+        RECT 366.020 53.750 366.280 54.010 ;
+        RECT 366.340 53.750 366.600 54.010 ;
+        RECT 518.980 53.750 519.240 54.010 ;
+        RECT 519.300 53.750 519.560 54.010 ;
+        RECT 519.620 53.750 519.880 54.010 ;
+        RECT 519.940 53.750 520.200 54.010 ;
+        RECT 50.850 52.560 51.110 52.820 ;
+        RECT 65.110 52.560 65.370 52.820 ;
+        RECT 72.930 52.560 73.190 52.820 ;
+        RECT 92.710 53.240 92.970 53.500 ;
+        RECT 88.110 52.900 88.370 53.160 ;
+        RECT 138.710 53.240 138.970 53.500 ;
+        RECT 53.150 52.220 53.410 52.480 ;
+        RECT 85.350 52.220 85.610 52.480 ;
+        RECT 91.790 52.560 92.050 52.820 ;
+        RECT 99.150 52.900 99.410 53.160 ;
+        RECT 133.190 52.900 133.450 53.160 ;
+        RECT 139.170 52.900 139.430 53.160 ;
+        RECT 145.150 53.240 145.410 53.500 ;
+        RECT 152.050 53.240 152.310 53.500 ;
+        RECT 152.510 53.240 152.770 53.500 ;
+        RECT 163.550 53.240 163.810 53.500 ;
+        RECT 184.250 53.240 184.510 53.500 ;
+        RECT 186.550 53.240 186.810 53.500 ;
+        RECT 188.390 53.240 188.650 53.500 ;
+        RECT 148.370 52.900 148.630 53.160 ;
+        RECT 210.930 53.240 211.190 53.500 ;
+        RECT 219.210 53.240 219.470 53.500 ;
+        RECT 248.190 53.240 248.450 53.500 ;
+        RECT 249.110 53.240 249.370 53.500 ;
+        RECT 260.150 53.240 260.410 53.500 ;
+        RECT 98.690 52.560 98.950 52.820 ;
+        RECT 100.070 52.560 100.330 52.820 ;
+        RECT 107.430 52.560 107.690 52.820 ;
+        RECT 121.690 52.560 121.950 52.820 ;
+        RECT 113.410 52.220 113.670 52.480 ;
+        RECT 114.330 52.220 114.590 52.480 ;
+        RECT 141.930 52.560 142.190 52.820 ;
+        RECT 153.890 52.560 154.150 52.820 ;
+        RECT 125.830 52.220 126.090 52.480 ;
+        RECT 128.590 52.220 128.850 52.480 ;
+        RECT 132.270 52.220 132.530 52.480 ;
+        RECT 139.630 52.220 139.890 52.480 ;
+        RECT 149.750 52.220 150.010 52.480 ;
+        RECT 156.650 52.560 156.910 52.820 ;
+        RECT 158.950 52.560 159.210 52.820 ;
+        RECT 170.450 52.220 170.710 52.480 ;
+        RECT 171.830 52.560 172.090 52.820 ;
+        RECT 178.730 52.560 178.990 52.820 ;
+        RECT 181.950 52.220 182.210 52.480 ;
+        RECT 183.790 52.220 184.050 52.480 ;
+        RECT 190.690 52.560 190.950 52.820 ;
+        RECT 212.310 52.560 212.570 52.820 ;
+        RECT 197.590 52.220 197.850 52.480 ;
+        RECT 202.650 52.220 202.910 52.480 ;
+        RECT 47.170 51.540 47.430 51.800 ;
+        RECT 81.670 51.880 81.930 52.140 ;
+        RECT 125.370 51.880 125.630 52.140 ;
+        RECT 127.670 51.880 127.930 52.140 ;
+        RECT 136.870 51.880 137.130 52.140 ;
+        RECT 140.090 51.880 140.350 52.140 ;
+        RECT 77.530 51.540 77.790 51.800 ;
+        RECT 89.950 51.540 90.210 51.800 ;
+        RECT 108.350 51.540 108.610 51.800 ;
+        RECT 119.390 51.540 119.650 51.800 ;
+        RECT 133.190 51.540 133.450 51.800 ;
+        RECT 138.710 51.540 138.970 51.800 ;
+        RECT 154.810 51.880 155.070 52.140 ;
+        RECT 178.270 51.880 178.530 52.140 ;
+        RECT 190.690 51.880 190.950 52.140 ;
+        RECT 191.610 51.540 191.870 51.800 ;
+        RECT 197.130 51.540 197.390 51.800 ;
+        RECT 213.230 52.220 213.490 52.480 ;
+        RECT 220.130 52.220 220.390 52.480 ;
+        RECT 228.870 52.900 229.130 53.160 ;
+        RECT 238.070 52.900 238.330 53.160 ;
+        RECT 238.990 52.900 239.250 53.160 ;
+        RECT 252.790 52.900 253.050 53.160 ;
+        RECT 204.030 51.540 204.290 51.800 ;
+        RECT 205.410 51.540 205.670 51.800 ;
+        RECT 225.190 51.540 225.450 51.800 ;
+        RECT 226.570 52.220 226.830 52.480 ;
+        RECT 228.870 52.220 229.130 52.480 ;
+        RECT 243.130 52.560 243.390 52.820 ;
+        RECT 256.470 52.560 256.730 52.820 ;
+        RECT 235.310 52.220 235.570 52.480 ;
+        RECT 238.070 52.220 238.330 52.480 ;
+        RECT 230.250 51.880 230.510 52.140 ;
+        RECT 235.770 51.880 236.030 52.140 ;
+        RECT 249.110 52.220 249.370 52.480 ;
+        RECT 249.570 52.220 249.830 52.480 ;
+        RECT 258.770 52.220 259.030 52.480 ;
+        RECT 261.990 53.240 262.250 53.500 ;
+        RECT 279.470 53.240 279.730 53.500 ;
+        RECT 279.930 53.240 280.190 53.500 ;
+        RECT 290.510 53.240 290.770 53.500 ;
+        RECT 328.690 53.240 328.950 53.500 ;
+        RECT 350.310 53.240 350.570 53.500 ;
+        RECT 268.430 52.900 268.690 53.160 ;
+        RECT 302.930 52.900 303.190 53.160 ;
+        RECT 261.990 52.560 262.250 52.820 ;
+        RECT 273.030 52.560 273.290 52.820 ;
+        RECT 277.170 52.560 277.430 52.820 ;
+        RECT 277.630 52.560 277.890 52.820 ;
+        RECT 284.070 52.560 284.330 52.820 ;
+        RECT 288.210 52.560 288.470 52.820 ;
+        RECT 327.770 52.900 328.030 53.160 ;
+        RECT 270.270 52.220 270.530 52.480 ;
+        RECT 272.570 52.220 272.830 52.480 ;
+        RECT 274.410 52.220 274.670 52.480 ;
+        RECT 275.330 52.220 275.590 52.480 ;
+        RECT 282.690 52.220 282.950 52.480 ;
+        RECT 227.030 51.540 227.290 51.800 ;
+        RECT 241.750 51.540 242.010 51.800 ;
+        RECT 244.970 51.540 245.230 51.800 ;
+        RECT 251.410 51.540 251.670 51.800 ;
+        RECT 251.870 51.540 252.130 51.800 ;
+        RECT 253.710 51.540 253.970 51.800 ;
+        RECT 256.470 51.540 256.730 51.800 ;
+        RECT 281.770 51.880 282.030 52.140 ;
+        RECT 283.610 52.220 283.870 52.480 ;
+        RECT 285.450 52.220 285.710 52.480 ;
+        RECT 295.570 52.220 295.830 52.480 ;
+        RECT 286.370 51.880 286.630 52.140 ;
+        RECT 274.870 51.540 275.130 51.800 ;
+        RECT 280.390 51.540 280.650 51.800 ;
+        RECT 287.750 51.540 288.010 51.800 ;
+        RECT 298.330 52.220 298.590 52.480 ;
+        RECT 315.350 52.560 315.610 52.820 ;
+        RECT 320.870 52.560 321.130 52.820 ;
+        RECT 308.910 52.220 309.170 52.480 ;
+        RECT 309.830 52.220 310.090 52.480 ;
+        RECT 311.670 52.220 311.930 52.480 ;
+        RECT 317.190 52.220 317.450 52.480 ;
+        RECT 318.110 52.220 318.370 52.480 ;
+        RECT 323.630 52.220 323.890 52.480 ;
+        RECT 326.850 52.560 327.110 52.820 ;
+        RECT 329.610 52.560 329.870 52.820 ;
+        RECT 335.130 52.560 335.390 52.820 ;
+        RECT 342.950 52.900 343.210 53.160 ;
+        RECT 346.170 52.560 346.430 52.820 ;
+        RECT 352.610 52.900 352.870 53.160 ;
+        RECT 371.930 53.240 372.190 53.500 ;
+        RECT 382.050 53.240 382.310 53.500 ;
+        RECT 357.670 52.560 357.930 52.820 ;
+        RECT 358.590 52.560 358.850 52.820 ;
+        RECT 327.770 52.220 328.030 52.480 ;
+        RECT 329.150 52.220 329.410 52.480 ;
+        RECT 330.070 52.220 330.330 52.480 ;
+        RECT 332.370 52.220 332.630 52.480 ;
+        RECT 332.830 52.220 333.090 52.480 ;
+        RECT 334.210 51.880 334.470 52.140 ;
+        RECT 343.410 52.220 343.670 52.480 ;
+        RECT 351.690 52.220 351.950 52.480 ;
+        RECT 353.530 52.220 353.790 52.480 ;
+        RECT 358.130 52.220 358.390 52.480 ;
+        RECT 359.510 51.880 359.770 52.140 ;
+        RECT 368.710 52.220 368.970 52.480 ;
+        RECT 372.850 52.220 373.110 52.480 ;
+        RECT 377.450 52.900 377.710 53.160 ;
+        RECT 375.150 52.560 375.410 52.820 ;
+        RECT 387.570 52.220 387.830 52.480 ;
+        RECT 388.490 52.220 388.750 52.480 ;
+        RECT 630.910 52.220 631.170 52.480 ;
+        RECT 308.910 51.540 309.170 51.800 ;
+        RECT 312.130 51.540 312.390 51.800 ;
+        RECT 318.110 51.540 318.370 51.800 ;
+        RECT 318.570 51.540 318.830 51.800 ;
+        RECT 327.310 51.540 327.570 51.800 ;
+        RECT 328.230 51.540 328.490 51.800 ;
+        RECT 330.070 51.540 330.330 51.800 ;
+        RECT 332.830 51.540 333.090 51.800 ;
+        RECT 336.050 51.540 336.310 51.800 ;
+        RECT 354.910 51.540 355.170 51.800 ;
+        RECT 393.550 51.880 393.810 52.140 ;
+        RECT 385.730 51.540 385.990 51.800 ;
+        RECT 134.980 51.030 135.240 51.290 ;
+        RECT 135.300 51.030 135.560 51.290 ;
+        RECT 135.620 51.030 135.880 51.290 ;
+        RECT 135.940 51.030 136.200 51.290 ;
+        RECT 288.580 51.030 288.840 51.290 ;
+        RECT 288.900 51.030 289.160 51.290 ;
+        RECT 289.220 51.030 289.480 51.290 ;
+        RECT 289.540 51.030 289.800 51.290 ;
+        RECT 442.180 51.030 442.440 51.290 ;
+        RECT 442.500 51.030 442.760 51.290 ;
+        RECT 442.820 51.030 443.080 51.290 ;
+        RECT 443.140 51.030 443.400 51.290 ;
+        RECT 595.780 51.030 596.040 51.290 ;
+        RECT 596.100 51.030 596.360 51.290 ;
+        RECT 596.420 51.030 596.680 51.290 ;
+        RECT 596.740 51.030 597.000 51.290 ;
+        RECT 56.830 50.520 57.090 50.780 ;
+        RECT 72.470 50.520 72.730 50.780 ;
+        RECT 98.690 50.520 98.950 50.780 ;
+        RECT 100.530 50.520 100.790 50.780 ;
+        RECT 60.050 50.180 60.310 50.440 ;
+        RECT 86.730 50.180 86.990 50.440 ;
+        RECT 61.890 49.500 62.150 49.760 ;
+        RECT 77.990 49.840 78.250 50.100 ;
+        RECT 78.910 49.840 79.170 50.100 ;
+        RECT 80.750 49.840 81.010 50.100 ;
+        RECT 91.790 49.840 92.050 50.100 ;
+        RECT 106.050 50.180 106.310 50.440 ;
+        RECT 99.610 49.840 99.870 50.100 ;
+        RECT 89.950 49.500 90.210 49.760 ;
+        RECT 91.330 49.500 91.590 49.760 ;
+        RECT 106.050 49.500 106.310 49.760 ;
+        RECT 112.030 49.840 112.290 50.100 ;
+        RECT 133.190 50.520 133.450 50.780 ;
+        RECT 157.110 50.520 157.370 50.780 ;
+        RECT 162.630 50.520 162.890 50.780 ;
+        RECT 130.890 50.180 131.150 50.440 ;
+        RECT 141.930 50.180 142.190 50.440 ;
+        RECT 112.490 49.500 112.750 49.760 ;
+        RECT 120.310 49.840 120.570 50.100 ;
+        RECT 126.290 49.840 126.550 50.100 ;
+        RECT 133.650 49.840 133.910 50.100 ;
+        RECT 139.630 49.840 139.890 50.100 ;
+        RECT 148.830 50.180 149.090 50.440 ;
+        RECT 162.170 50.180 162.430 50.440 ;
+        RECT 194.830 50.520 195.090 50.780 ;
+        RECT 191.610 50.180 191.870 50.440 ;
+        RECT 213.690 50.520 213.950 50.780 ;
+        RECT 249.570 50.520 249.830 50.780 ;
+        RECT 250.030 50.520 250.290 50.780 ;
+        RECT 256.930 50.520 257.190 50.780 ;
+        RECT 259.690 50.520 259.950 50.780 ;
+        RECT 272.570 50.520 272.830 50.780 ;
+        RECT 282.690 50.520 282.950 50.780 ;
+        RECT 147.910 49.840 148.170 50.100 ;
+        RECT 125.830 49.500 126.090 49.760 ;
+        RECT 130.430 49.500 130.690 49.760 ;
+        RECT 134.570 49.500 134.830 49.760 ;
+        RECT 153.890 49.500 154.150 49.760 ;
+        RECT 155.270 49.840 155.530 50.100 ;
+        RECT 163.090 49.840 163.350 50.100 ;
+        RECT 170.910 49.840 171.170 50.100 ;
+        RECT 181.950 49.840 182.210 50.100 ;
+        RECT 192.070 49.840 192.330 50.100 ;
+        RECT 194.370 49.840 194.630 50.100 ;
+        RECT 205.410 49.840 205.670 50.100 ;
+        RECT 213.230 49.840 213.490 50.100 ;
+        RECT 214.610 49.840 214.870 50.100 ;
+        RECT 219.670 49.840 219.930 50.100 ;
+        RECT 224.730 49.840 224.990 50.100 ;
+        RECT 66.490 49.160 66.750 49.420 ;
+        RECT 90.870 49.160 91.130 49.420 ;
+        RECT 70.170 48.820 70.430 49.080 ;
+        RECT 86.270 48.820 86.530 49.080 ;
+        RECT 87.190 48.820 87.450 49.080 ;
+        RECT 96.850 48.820 97.110 49.080 ;
+        RECT 108.810 48.820 109.070 49.080 ;
+        RECT 150.670 48.820 150.930 49.080 ;
+        RECT 175.970 49.500 176.230 49.760 ;
+        RECT 191.150 49.500 191.410 49.760 ;
+        RECT 185.170 48.820 185.430 49.080 ;
+        RECT 193.450 49.160 193.710 49.420 ;
+        RECT 188.850 48.820 189.110 49.080 ;
+        RECT 197.590 49.500 197.850 49.760 ;
+        RECT 210.470 49.500 210.730 49.760 ;
+        RECT 202.650 49.160 202.910 49.420 ;
+        RECT 223.350 49.160 223.610 49.420 ;
+        RECT 230.710 50.180 230.970 50.440 ;
+        RECT 228.870 49.840 229.130 50.100 ;
+        RECT 238.990 50.180 239.250 50.440 ;
+        RECT 241.290 49.840 241.550 50.100 ;
+        RECT 242.210 49.840 242.470 50.100 ;
+        RECT 252.790 49.840 253.050 50.100 ;
+        RECT 256.930 49.840 257.190 50.100 ;
+        RECT 257.850 50.180 258.110 50.440 ;
+        RECT 258.770 50.180 259.030 50.440 ;
+        RECT 260.610 49.840 260.870 50.100 ;
+        RECT 265.670 49.840 265.930 50.100 ;
+        RECT 272.110 49.840 272.370 50.100 ;
+        RECT 275.790 50.180 276.050 50.440 ;
+        RECT 291.890 50.520 292.150 50.780 ;
+        RECT 292.350 50.520 292.610 50.780 ;
+        RECT 280.390 49.840 280.650 50.100 ;
+        RECT 282.230 49.840 282.490 50.100 ;
+        RECT 283.150 49.840 283.410 50.100 ;
+        RECT 295.110 50.180 295.370 50.440 ;
+        RECT 284.990 49.840 285.250 50.100 ;
+        RECT 287.750 49.840 288.010 50.100 ;
+        RECT 292.810 49.840 293.070 50.100 ;
+        RECT 294.190 49.840 294.450 50.100 ;
+        RECT 299.250 49.840 299.510 50.100 ;
+        RECT 303.390 49.840 303.650 50.100 ;
+        RECT 318.110 50.520 318.370 50.780 ;
+        RECT 331.910 50.520 332.170 50.780 ;
+        RECT 319.030 50.180 319.290 50.440 ;
+        RECT 325.470 50.180 325.730 50.440 ;
+        RECT 325.930 50.180 326.190 50.440 ;
+        RECT 227.030 49.500 227.290 49.760 ;
+        RECT 234.850 49.500 235.110 49.760 ;
+        RECT 235.770 49.160 236.030 49.420 ;
+        RECT 259.690 49.500 259.950 49.760 ;
+        RECT 197.130 48.820 197.390 49.080 ;
+        RECT 197.590 48.820 197.850 49.080 ;
+        RECT 202.190 48.820 202.450 49.080 ;
+        RECT 203.110 48.820 203.370 49.080 ;
+        RECT 205.410 48.820 205.670 49.080 ;
+        RECT 217.830 48.820 218.090 49.080 ;
+        RECT 228.410 48.820 228.670 49.080 ;
+        RECT 241.750 48.820 242.010 49.080 ;
+        RECT 243.130 48.820 243.390 49.080 ;
+        RECT 246.350 49.160 246.610 49.420 ;
+        RECT 270.270 49.500 270.530 49.760 ;
+        RECT 276.250 49.500 276.510 49.760 ;
+        RECT 287.750 49.160 288.010 49.420 ;
+        RECT 296.490 49.500 296.750 49.760 ;
+        RECT 304.310 49.500 304.570 49.760 ;
+        RECT 310.750 49.500 311.010 49.760 ;
+        RECT 295.110 49.160 295.370 49.420 ;
+        RECT 259.690 48.820 259.950 49.080 ;
+        RECT 270.270 48.820 270.530 49.080 ;
+        RECT 274.410 48.820 274.670 49.080 ;
+        RECT 276.250 48.820 276.510 49.080 ;
+        RECT 283.150 48.820 283.410 49.080 ;
+        RECT 290.510 48.820 290.770 49.080 ;
+        RECT 292.350 48.820 292.610 49.080 ;
+        RECT 314.890 48.820 315.150 49.080 ;
+        RECT 326.850 49.840 327.110 50.100 ;
+        RECT 328.230 50.180 328.490 50.440 ;
+        RECT 331.450 49.840 331.710 50.100 ;
+        RECT 336.050 49.840 336.310 50.100 ;
+        RECT 337.430 49.840 337.690 50.100 ;
+        RECT 345.250 50.180 345.510 50.440 ;
+        RECT 348.010 50.520 348.270 50.780 ;
+        RECT 354.910 50.520 355.170 50.780 ;
+        RECT 347.550 50.180 347.810 50.440 ;
+        RECT 353.070 49.840 353.330 50.100 ;
+        RECT 359.050 50.180 359.310 50.440 ;
+        RECT 374.690 50.520 374.950 50.780 ;
+        RECT 376.070 50.520 376.330 50.780 ;
+        RECT 382.050 50.520 382.310 50.780 ;
+        RECT 394.930 50.520 395.190 50.780 ;
+        RECT 343.410 49.500 343.670 49.760 ;
+        RECT 327.310 48.820 327.570 49.080 ;
+        RECT 344.330 49.160 344.590 49.420 ;
+        RECT 353.070 49.160 353.330 49.420 ;
+        RECT 382.510 50.180 382.770 50.440 ;
+        RECT 382.970 50.180 383.230 50.440 ;
+        RECT 391.250 50.180 391.510 50.440 ;
+        RECT 368.710 49.840 368.970 50.100 ;
+        RECT 360.430 49.500 360.690 49.760 ;
+        RECT 375.150 49.840 375.410 50.100 ;
+        RECT 400.910 49.840 401.170 50.100 ;
+        RECT 366.870 49.160 367.130 49.420 ;
+        RECT 342.950 48.820 343.210 49.080 ;
+        RECT 350.310 48.820 350.570 49.080 ;
+        RECT 357.670 48.820 357.930 49.080 ;
+        RECT 359.510 48.820 359.770 49.080 ;
+        RECT 392.170 48.820 392.430 49.080 ;
+        RECT 395.850 48.820 396.110 49.080 ;
+        RECT 403.210 48.820 403.470 49.080 ;
+        RECT 414.250 48.820 414.510 49.080 ;
+        RECT 428.970 48.820 429.230 49.080 ;
+        RECT 436.330 48.820 436.590 49.080 ;
+        RECT 447.370 48.820 447.630 49.080 ;
+        RECT 458.410 48.820 458.670 49.080 ;
+        RECT 469.450 48.820 469.710 49.080 ;
+        RECT 480.490 48.820 480.750 49.080 ;
+        RECT 487.850 48.820 488.110 49.080 ;
+        RECT 513.610 48.820 513.870 49.080 ;
+        RECT 520.970 48.820 521.230 49.080 ;
+        RECT 535.690 48.820 535.950 49.080 ;
+        RECT 543.050 48.820 543.310 49.080 ;
+        RECT 554.090 48.820 554.350 49.080 ;
+        RECT 564.670 48.820 564.930 49.080 ;
+        RECT 572.030 48.820 572.290 49.080 ;
+        RECT 597.790 48.820 598.050 49.080 ;
+        RECT 619.870 48.820 620.130 49.080 ;
+        RECT 627.230 48.820 627.490 49.080 ;
+        RECT 58.180 48.310 58.440 48.570 ;
+        RECT 58.500 48.310 58.760 48.570 ;
+        RECT 58.820 48.310 59.080 48.570 ;
+        RECT 59.140 48.310 59.400 48.570 ;
+        RECT 211.780 48.310 212.040 48.570 ;
+        RECT 212.100 48.310 212.360 48.570 ;
+        RECT 212.420 48.310 212.680 48.570 ;
+        RECT 212.740 48.310 213.000 48.570 ;
+        RECT 365.380 48.310 365.640 48.570 ;
+        RECT 365.700 48.310 365.960 48.570 ;
+        RECT 366.020 48.310 366.280 48.570 ;
+        RECT 366.340 48.310 366.600 48.570 ;
+        RECT 518.980 48.310 519.240 48.570 ;
+        RECT 519.300 48.310 519.560 48.570 ;
+        RECT 519.620 48.310 519.880 48.570 ;
+        RECT 519.940 48.310 520.200 48.570 ;
+        RECT 83.970 47.800 84.230 48.060 ;
+        RECT 99.150 47.800 99.410 48.060 ;
+        RECT 106.970 47.800 107.230 48.060 ;
+        RECT 146.530 47.800 146.790 48.060 ;
+        RECT 149.750 47.800 150.010 48.060 ;
+        RECT 153.430 47.800 153.690 48.060 ;
+        RECT 176.890 47.800 177.150 48.060 ;
+        RECT 184.710 47.800 184.970 48.060 ;
+        RECT 213.690 47.800 213.950 48.060 ;
+        RECT 219.670 47.800 219.930 48.060 ;
+        RECT 223.810 47.800 224.070 48.060 ;
+        RECT 224.730 47.800 224.990 48.060 ;
+        RECT 230.710 47.800 230.970 48.060 ;
+        RECT 233.930 47.800 234.190 48.060 ;
+        RECT 234.390 47.800 234.650 48.060 ;
+        RECT 240.370 47.800 240.630 48.060 ;
+        RECT 55.450 47.460 55.710 47.720 ;
+        RECT 42.110 47.120 42.370 47.380 ;
+        RECT 78.450 47.120 78.710 47.380 ;
+        RECT 89.030 47.120 89.290 47.380 ;
+        RECT 43.490 46.780 43.750 47.040 ;
+        RECT 48.090 46.440 48.350 46.700 ;
+        RECT 63.730 46.440 63.990 46.700 ;
+        RECT 90.410 46.780 90.670 47.040 ;
+        RECT 91.790 47.460 92.050 47.720 ;
+        RECT 105.590 47.460 105.850 47.720 ;
+        RECT 91.330 47.120 91.590 47.380 ;
+        RECT 119.390 47.460 119.650 47.720 ;
+        RECT 120.310 47.460 120.570 47.720 ;
+        RECT 125.830 47.460 126.090 47.720 ;
+        RECT 93.170 46.780 93.430 47.040 ;
+        RECT 114.790 47.120 115.050 47.380 ;
+        RECT 106.050 46.780 106.310 47.040 ;
+        RECT 40.730 46.100 40.990 46.360 ;
+        RECT 84.890 46.440 85.150 46.700 ;
+        RECT 102.370 46.440 102.630 46.700 ;
+        RECT 112.490 46.780 112.750 47.040 ;
+        RECT 118.010 47.120 118.270 47.380 ;
+        RECT 118.470 47.120 118.730 47.380 ;
+        RECT 134.570 47.460 134.830 47.720 ;
+        RECT 128.590 47.120 128.850 47.380 ;
+        RECT 119.390 46.780 119.650 47.040 ;
+        RECT 136.870 47.120 137.130 47.380 ;
+        RECT 143.770 46.780 144.030 47.040 ;
+        RECT 147.450 47.460 147.710 47.720 ;
+        RECT 167.230 47.460 167.490 47.720 ;
+        RECT 153.890 47.120 154.150 47.380 ;
+        RECT 189.770 47.460 190.030 47.720 ;
+        RECT 217.830 47.460 218.090 47.720 ;
+        RECT 219.210 47.460 219.470 47.720 ;
+        RECT 226.570 47.460 226.830 47.720 ;
+        RECT 228.410 47.460 228.670 47.720 ;
+        RECT 238.990 47.460 239.250 47.720 ;
+        RECT 246.810 47.800 247.070 48.060 ;
+        RECT 247.270 47.800 247.530 48.060 ;
+        RECT 268.430 47.800 268.690 48.060 ;
+        RECT 170.910 47.120 171.170 47.380 ;
+        RECT 145.150 46.780 145.410 47.040 ;
+        RECT 112.950 46.440 113.210 46.700 ;
+        RECT 115.710 46.440 115.970 46.700 ;
+        RECT 118.930 46.440 119.190 46.700 ;
+        RECT 120.770 46.440 121.030 46.700 ;
+        RECT 85.350 46.100 85.610 46.360 ;
+        RECT 86.270 46.100 86.530 46.360 ;
+        RECT 92.710 46.100 92.970 46.360 ;
+        RECT 98.230 46.100 98.490 46.360 ;
+        RECT 104.670 46.100 104.930 46.360 ;
+        RECT 137.790 46.440 138.050 46.700 ;
+        RECT 147.450 46.780 147.710 47.040 ;
+        RECT 160.330 46.780 160.590 47.040 ;
+        RECT 177.810 46.780 178.070 47.040 ;
+        RECT 184.710 46.780 184.970 47.040 ;
+        RECT 205.410 47.120 205.670 47.380 ;
+        RECT 194.830 46.780 195.090 47.040 ;
+        RECT 197.130 46.780 197.390 47.040 ;
+        RECT 218.290 47.120 218.550 47.380 ;
+        RECT 230.250 47.120 230.510 47.380 ;
+        RECT 230.710 47.120 230.970 47.380 ;
+        RECT 231.630 47.120 231.890 47.380 ;
+        RECT 233.010 47.120 233.270 47.380 ;
+        RECT 234.850 47.120 235.110 47.380 ;
+        RECT 242.670 47.460 242.930 47.720 ;
+        RECT 253.710 47.460 253.970 47.720 ;
+        RECT 264.290 47.460 264.550 47.720 ;
+        RECT 276.250 47.800 276.510 48.060 ;
+        RECT 283.610 47.800 283.870 48.060 ;
+        RECT 274.410 47.460 274.670 47.720 ;
+        RECT 309.830 47.460 310.090 47.720 ;
+        RECT 311.670 47.800 311.930 48.060 ;
+        RECT 317.650 47.800 317.910 48.060 ;
+        RECT 325.930 47.800 326.190 48.060 ;
+        RECT 333.750 47.800 334.010 48.060 ;
+        RECT 334.210 47.800 334.470 48.060 ;
+        RECT 332.370 47.460 332.630 47.720 ;
+        RECT 141.010 46.100 141.270 46.360 ;
+        RECT 142.390 46.100 142.650 46.360 ;
+        RECT 156.650 46.100 156.910 46.360 ;
+        RECT 160.330 46.100 160.590 46.360 ;
+        RECT 165.850 46.100 166.110 46.360 ;
+        RECT 196.670 46.440 196.930 46.700 ;
+        RECT 202.190 46.440 202.450 46.700 ;
+        RECT 214.150 46.780 214.410 47.040 ;
+        RECT 220.130 46.780 220.390 47.040 ;
+        RECT 228.410 46.780 228.670 47.040 ;
+        RECT 240.370 46.780 240.630 47.040 ;
+        RECT 227.490 46.440 227.750 46.700 ;
+        RECT 231.170 46.440 231.430 46.700 ;
+        RECT 231.630 46.440 231.890 46.700 ;
+        RECT 233.470 46.440 233.730 46.700 ;
+        RECT 233.930 46.440 234.190 46.700 ;
+        RECT 238.070 46.440 238.330 46.700 ;
+        RECT 238.990 46.440 239.250 46.700 ;
+        RECT 245.430 47.120 245.690 47.380 ;
+        RECT 252.330 47.120 252.590 47.380 ;
+        RECT 243.590 46.780 243.850 47.040 ;
+        RECT 244.050 46.780 244.310 47.040 ;
+        RECT 251.870 46.780 252.130 47.040 ;
+        RECT 253.250 46.780 253.510 47.040 ;
+        RECT 256.010 46.780 256.270 47.040 ;
+        RECT 261.070 46.780 261.330 47.040 ;
+        RECT 270.730 46.780 270.990 47.040 ;
+        RECT 254.630 46.440 254.890 46.700 ;
+        RECT 256.470 46.440 256.730 46.700 ;
+        RECT 275.790 46.780 276.050 47.040 ;
+        RECT 284.070 46.780 284.330 47.040 ;
+        RECT 214.610 46.100 214.870 46.360 ;
+        RECT 228.410 46.100 228.670 46.360 ;
+        RECT 246.350 46.100 246.610 46.360 ;
+        RECT 246.810 46.100 247.070 46.360 ;
+        RECT 262.450 46.100 262.710 46.360 ;
+        RECT 286.830 46.440 287.090 46.700 ;
+        RECT 284.530 46.100 284.790 46.360 ;
+        RECT 285.450 46.100 285.710 46.360 ;
+        RECT 292.350 47.120 292.610 47.380 ;
+        RECT 293.270 47.120 293.530 47.380 ;
+        RECT 289.130 46.780 289.390 47.040 ;
+        RECT 290.970 46.780 291.230 47.040 ;
+        RECT 298.330 46.780 298.590 47.040 ;
+        RECT 299.710 46.780 299.970 47.040 ;
+        RECT 316.270 47.120 316.530 47.380 ;
+        RECT 302.930 46.100 303.190 46.360 ;
+        RECT 306.150 46.780 306.410 47.040 ;
+        RECT 314.430 46.780 314.690 47.040 ;
+        RECT 314.890 46.780 315.150 47.040 ;
+        RECT 338.350 47.120 338.610 47.380 ;
+        RECT 342.950 47.800 343.210 48.060 ;
+        RECT 361.810 47.800 362.070 48.060 ;
+        RECT 362.270 47.800 362.530 48.060 ;
+        RECT 380.210 47.800 380.470 48.060 ;
+        RECT 350.770 47.120 351.030 47.380 ;
+        RECT 326.390 46.780 326.650 47.040 ;
+        RECT 332.830 46.780 333.090 47.040 ;
+        RECT 333.290 46.780 333.550 47.040 ;
+        RECT 340.190 46.780 340.450 47.040 ;
+        RECT 330.990 46.440 331.250 46.700 ;
+        RECT 334.210 46.440 334.470 46.700 ;
+        RECT 311.210 46.100 311.470 46.360 ;
+        RECT 312.590 46.100 312.850 46.360 ;
+        RECT 324.090 46.100 324.350 46.360 ;
+        RECT 325.470 46.100 325.730 46.360 ;
+        RECT 338.810 46.100 339.070 46.360 ;
+        RECT 339.730 46.100 339.990 46.360 ;
+        RECT 341.570 46.780 341.830 47.040 ;
+        RECT 347.550 46.780 347.810 47.040 ;
+        RECT 342.490 46.440 342.750 46.700 ;
+        RECT 353.530 46.780 353.790 47.040 ;
+        RECT 345.710 46.100 345.970 46.360 ;
+        RECT 356.750 46.440 357.010 46.700 ;
+        RECT 352.610 46.100 352.870 46.360 ;
+        RECT 357.670 46.100 357.930 46.360 ;
+        RECT 367.790 46.440 368.050 46.700 ;
+        RECT 369.170 46.780 369.430 47.040 ;
+        RECT 370.550 47.120 370.810 47.380 ;
+        RECT 373.770 47.120 374.030 47.380 ;
+        RECT 399.530 46.780 399.790 47.040 ;
+        RECT 406.890 46.780 407.150 47.040 ;
+        RECT 410.570 46.780 410.830 47.040 ;
+        RECT 417.930 46.780 418.190 47.040 ;
+        RECT 421.610 46.780 421.870 47.040 ;
+        RECT 425.290 46.780 425.550 47.040 ;
+        RECT 440.010 46.780 440.270 47.040 ;
+        RECT 443.690 46.780 443.950 47.040 ;
+        RECT 451.050 46.780 451.310 47.040 ;
+        RECT 454.730 46.780 454.990 47.040 ;
+        RECT 465.770 46.780 466.030 47.040 ;
+        RECT 473.130 46.780 473.390 47.040 ;
+        RECT 476.810 46.780 477.070 47.040 ;
+        RECT 484.170 46.780 484.430 47.040 ;
+        RECT 491.530 46.780 491.790 47.040 ;
+        RECT 495.210 46.780 495.470 47.040 ;
+        RECT 498.890 46.780 499.150 47.040 ;
+        RECT 506.250 46.780 506.510 47.040 ;
+        RECT 517.290 46.780 517.550 47.040 ;
+        RECT 524.650 46.780 524.910 47.040 ;
+        RECT 528.330 46.780 528.590 47.040 ;
+        RECT 532.010 46.780 532.270 47.040 ;
+        RECT 539.370 46.780 539.630 47.040 ;
+        RECT 546.730 46.780 546.990 47.040 ;
+        RECT 550.410 46.780 550.670 47.040 ;
+        RECT 557.770 46.780 558.030 47.040 ;
+        RECT 561.450 46.780 561.710 47.040 ;
+        RECT 568.350 46.780 568.610 47.040 ;
+        RECT 575.710 46.780 575.970 47.040 ;
+        RECT 579.390 46.780 579.650 47.040 ;
+        RECT 583.070 46.780 583.330 47.040 ;
+        RECT 590.430 46.780 590.690 47.040 ;
+        RECT 594.110 46.780 594.370 47.040 ;
+        RECT 601.470 46.780 601.730 47.040 ;
+        RECT 608.830 46.780 609.090 47.040 ;
+        RECT 612.510 46.780 612.770 47.040 ;
+        RECT 616.190 46.780 616.450 47.040 ;
+        RECT 623.550 46.780 623.810 47.040 ;
+        RECT 375.150 46.440 375.410 46.700 ;
+        RECT 381.130 46.440 381.390 46.700 ;
+        RECT 389.870 46.440 390.130 46.700 ;
+        RECT 370.090 46.100 370.350 46.360 ;
+        RECT 386.190 46.100 386.450 46.360 ;
+        RECT 386.650 46.100 386.910 46.360 ;
+        RECT 398.610 46.100 398.870 46.360 ;
+        RECT 134.980 45.590 135.240 45.850 ;
+        RECT 135.300 45.590 135.560 45.850 ;
+        RECT 135.620 45.590 135.880 45.850 ;
+        RECT 135.940 45.590 136.200 45.850 ;
+        RECT 288.580 45.590 288.840 45.850 ;
+        RECT 288.900 45.590 289.160 45.850 ;
+        RECT 289.220 45.590 289.480 45.850 ;
+        RECT 289.540 45.590 289.800 45.850 ;
+        RECT 442.180 45.590 442.440 45.850 ;
+        RECT 442.500 45.590 442.760 45.850 ;
+        RECT 442.820 45.590 443.080 45.850 ;
+        RECT 443.140 45.590 443.400 45.850 ;
+        RECT 595.780 45.590 596.040 45.850 ;
+        RECT 596.100 45.590 596.360 45.850 ;
+        RECT 596.420 45.590 596.680 45.850 ;
+        RECT 596.740 45.590 597.000 45.850 ;
+        RECT 39.810 44.060 40.070 44.320 ;
+        RECT 78.450 44.740 78.710 45.000 ;
+        RECT 89.490 44.740 89.750 45.000 ;
+        RECT 97.310 44.740 97.570 45.000 ;
+        RECT 65.570 44.400 65.830 44.660 ;
+        RECT 90.870 44.400 91.130 44.660 ;
+        RECT 94.090 44.400 94.350 44.660 ;
+        RECT 100.530 44.400 100.790 44.660 ;
+        RECT 101.910 44.740 102.170 45.000 ;
+        RECT 110.190 44.740 110.450 45.000 ;
+        RECT 128.130 45.080 128.390 45.340 ;
+        RECT 141.010 45.080 141.270 45.340 ;
+        RECT 115.250 44.400 115.510 44.660 ;
+        RECT 62.810 43.720 63.070 43.980 ;
+        RECT 118.470 44.060 118.730 44.320 ;
+        RECT 123.530 44.740 123.790 45.000 ;
+        RECT 127.670 44.740 127.930 45.000 ;
+        RECT 135.950 44.400 136.210 44.660 ;
+        RECT 136.870 44.400 137.130 44.660 ;
+        RECT 137.330 44.400 137.590 44.660 ;
+        RECT 186.090 45.080 186.350 45.340 ;
+        RECT 191.150 45.080 191.410 45.340 ;
+        RECT 216.450 45.080 216.710 45.340 ;
+        RECT 226.110 45.080 226.370 45.340 ;
+        RECT 162.630 44.740 162.890 45.000 ;
+        RECT 172.290 44.740 172.550 45.000 ;
+        RECT 185.170 44.740 185.430 45.000 ;
+        RECT 129.050 44.060 129.310 44.320 ;
+        RECT 153.890 44.400 154.150 44.660 ;
+        RECT 174.590 44.400 174.850 44.660 ;
+        RECT 178.270 44.400 178.530 44.660 ;
+        RECT 184.710 44.400 184.970 44.660 ;
+        RECT 205.870 44.400 206.130 44.660 ;
+        RECT 210.010 44.740 210.270 45.000 ;
+        RECT 208.170 44.400 208.430 44.660 ;
+        RECT 219.210 44.740 219.470 45.000 ;
+        RECT 232.090 45.080 232.350 45.340 ;
+        RECT 259.230 45.080 259.490 45.340 ;
+        RECT 240.830 44.740 241.090 45.000 ;
+        RECT 215.070 44.400 215.330 44.660 ;
+        RECT 216.450 44.400 216.710 44.660 ;
+        RECT 221.050 44.400 221.310 44.660 ;
+        RECT 221.510 44.400 221.770 44.660 ;
+        RECT 228.410 44.400 228.670 44.660 ;
+        RECT 229.790 44.400 230.050 44.660 ;
+        RECT 235.770 44.400 236.030 44.660 ;
+        RECT 238.990 44.400 239.250 44.660 ;
+        RECT 243.590 44.400 243.850 44.660 ;
+        RECT 247.270 44.740 247.530 45.000 ;
+        RECT 85.350 43.380 85.610 43.640 ;
+        RECT 119.390 43.720 119.650 43.980 ;
+        RECT 120.310 43.380 120.570 43.640 ;
+        RECT 123.530 43.380 123.790 43.640 ;
+        RECT 131.810 43.380 132.070 43.640 ;
+        RECT 136.870 43.720 137.130 43.980 ;
+        RECT 156.190 44.060 156.450 44.320 ;
+        RECT 156.650 43.720 156.910 43.980 ;
+        RECT 174.130 44.060 174.390 44.320 ;
+        RECT 179.650 44.060 179.910 44.320 ;
+        RECT 207.710 44.060 207.970 44.320 ;
+        RECT 230.710 44.060 230.970 44.320 ;
+        RECT 233.010 44.060 233.270 44.320 ;
+        RECT 257.850 44.400 258.110 44.660 ;
+        RECT 258.770 44.400 259.030 44.660 ;
+        RECT 270.730 44.400 270.990 44.660 ;
+        RECT 293.730 45.080 293.990 45.340 ;
+        RECT 213.690 43.720 213.950 43.980 ;
+        RECT 232.550 43.720 232.810 43.980 ;
+        RECT 237.610 43.720 237.870 43.980 ;
+        RECT 244.970 43.720 245.230 43.980 ;
+        RECT 267.970 44.060 268.230 44.320 ;
+        RECT 258.310 43.720 258.570 43.980 ;
+        RECT 275.790 44.060 276.050 44.320 ;
+        RECT 279.470 44.400 279.730 44.660 ;
+        RECT 292.350 44.740 292.610 45.000 ;
+        RECT 292.810 44.740 293.070 45.000 ;
+        RECT 297.870 45.080 298.130 45.340 ;
+        RECT 299.250 45.080 299.510 45.340 ;
+        RECT 305.230 45.080 305.490 45.340 ;
+        RECT 305.690 45.080 305.950 45.340 ;
+        RECT 357.210 45.080 357.470 45.340 ;
+        RECT 358.130 45.080 358.390 45.340 ;
+        RECT 288.670 44.400 288.930 44.660 ;
+        RECT 298.790 44.400 299.050 44.660 ;
+        RECT 312.590 44.740 312.850 45.000 ;
+        RECT 306.150 44.400 306.410 44.660 ;
+        RECT 326.390 44.740 326.650 45.000 ;
+        RECT 292.810 44.060 293.070 44.320 ;
+        RECT 298.330 44.060 298.590 44.320 ;
+        RECT 302.010 44.060 302.270 44.320 ;
+        RECT 163.090 43.380 163.350 43.640 ;
+        RECT 164.010 43.380 164.270 43.640 ;
+        RECT 216.450 43.380 216.710 43.640 ;
+        RECT 221.970 43.380 222.230 43.640 ;
+        RECT 236.690 43.380 236.950 43.640 ;
+        RECT 264.750 43.380 265.010 43.640 ;
+        RECT 313.510 43.720 313.770 43.980 ;
+        RECT 315.810 44.060 316.070 44.320 ;
+        RECT 319.950 43.720 320.210 43.980 ;
+        RECT 298.330 43.380 298.590 43.640 ;
+        RECT 308.450 43.380 308.710 43.640 ;
+        RECT 309.830 43.380 310.090 43.640 ;
+        RECT 317.650 43.380 317.910 43.640 ;
+        RECT 336.510 44.400 336.770 44.660 ;
+        RECT 345.250 44.400 345.510 44.660 ;
+        RECT 342.950 44.060 343.210 44.320 ;
+        RECT 338.350 43.720 338.610 43.980 ;
+        RECT 352.150 44.400 352.410 44.660 ;
+        RECT 358.130 44.400 358.390 44.660 ;
+        RECT 358.590 44.400 358.850 44.660 ;
+        RECT 381.130 44.400 381.390 44.660 ;
+        RECT 386.190 44.400 386.450 44.660 ;
+        RECT 333.290 43.380 333.550 43.640 ;
+        RECT 336.970 43.380 337.230 43.640 ;
+        RECT 358.130 43.380 358.390 43.640 ;
+        RECT 371.470 43.380 371.730 43.640 ;
+        RECT 379.750 43.380 380.010 43.640 ;
+        RECT 381.130 43.720 381.390 43.980 ;
+        RECT 404.590 43.380 404.850 43.640 ;
+        RECT 502.570 43.380 502.830 43.640 ;
+        RECT 586.750 43.380 587.010 43.640 ;
+        RECT 58.180 42.870 58.440 43.130 ;
+        RECT 58.500 42.870 58.760 43.130 ;
+        RECT 58.820 42.870 59.080 43.130 ;
+        RECT 59.140 42.870 59.400 43.130 ;
+        RECT 211.780 42.870 212.040 43.130 ;
+        RECT 212.100 42.870 212.360 43.130 ;
+        RECT 212.420 42.870 212.680 43.130 ;
+        RECT 212.740 42.870 213.000 43.130 ;
+        RECT 365.380 42.870 365.640 43.130 ;
+        RECT 365.700 42.870 365.960 43.130 ;
+        RECT 366.020 42.870 366.280 43.130 ;
+        RECT 366.340 42.870 366.600 43.130 ;
+        RECT 518.980 42.870 519.240 43.130 ;
+        RECT 519.300 42.870 519.560 43.130 ;
+        RECT 519.620 42.870 519.880 43.130 ;
+        RECT 519.940 42.870 520.200 43.130 ;
+        RECT 77.530 42.360 77.790 42.620 ;
+        RECT 115.250 42.360 115.510 42.620 ;
+        RECT 117.550 42.360 117.810 42.620 ;
+        RECT 84.890 42.020 85.150 42.280 ;
+        RECT 137.330 42.020 137.590 42.280 ;
+        RECT 137.790 42.020 138.050 42.280 ;
+        RECT 156.650 42.360 156.910 42.620 ;
+        RECT 213.690 42.360 213.950 42.620 ;
+        RECT 215.070 42.360 215.330 42.620 ;
+        RECT 221.510 42.360 221.770 42.620 ;
+        RECT 221.970 42.360 222.230 42.620 ;
+        RECT 237.150 42.360 237.410 42.620 ;
+        RECT 243.590 42.360 243.850 42.620 ;
+        RECT 178.270 42.020 178.530 42.280 ;
+        RECT 233.010 42.020 233.270 42.280 ;
+        RECT 282.230 42.360 282.490 42.620 ;
+        RECT 336.970 42.360 337.230 42.620 ;
+        RECT 287.290 42.020 287.550 42.280 ;
+        RECT 289.590 42.020 289.850 42.280 ;
+        RECT 301.090 42.020 301.350 42.280 ;
+        RECT 329.610 42.020 329.870 42.280 ;
+        RECT 357.210 42.360 357.470 42.620 ;
+        RECT 352.150 42.020 352.410 42.280 ;
+        RECT 402.290 42.020 402.550 42.280 ;
+        RECT 86.270 41.680 86.530 41.940 ;
+        RECT 164.470 41.680 164.730 41.940 ;
+        RECT 201.270 41.680 201.530 41.940 ;
+        RECT 264.750 41.680 265.010 41.940 ;
+        RECT 273.950 41.680 274.210 41.940 ;
+        RECT 302.010 41.680 302.270 41.940 ;
+        RECT 344.330 41.680 344.590 41.940 ;
+        RECT 379.750 41.680 380.010 41.940 ;
+        RECT 37.510 41.340 37.770 41.600 ;
+        RECT 345.250 41.340 345.510 41.600 ;
+        RECT 87.190 41.000 87.450 41.260 ;
+        RECT 175.510 41.000 175.770 41.260 ;
+        RECT 208.170 41.000 208.430 41.260 ;
+        RECT 239.910 41.000 240.170 41.260 ;
+        RECT 342.950 41.000 343.210 41.260 ;
+        RECT 118.470 40.660 118.730 40.920 ;
+        RECT 136.870 40.660 137.130 40.920 ;
+        RECT 143.770 40.660 144.030 40.920 ;
+        RECT 154.810 40.660 155.070 40.920 ;
+        RECT 162.630 40.660 162.890 40.920 ;
+        RECT 163.090 40.660 163.350 40.920 ;
+        RECT 280.390 40.660 280.650 40.920 ;
+        RECT 315.810 40.660 316.070 40.920 ;
+        RECT 336.510 40.660 336.770 40.920 ;
+        RECT 358.130 40.660 358.390 40.920 ;
+        RECT 111.570 40.320 111.830 40.580 ;
+        RECT 230.710 40.320 230.970 40.580 ;
+        RECT 244.970 40.320 245.230 40.580 ;
+        RECT 308.450 40.320 308.710 40.580 ;
+        RECT 311.210 40.320 311.470 40.580 ;
+        RECT 323.630 40.320 323.890 40.580 ;
+        RECT 329.150 40.320 329.410 40.580 ;
+        RECT 369.170 40.320 369.430 40.580 ;
+        RECT 123.530 39.980 123.790 40.240 ;
+        RECT 169.070 39.980 169.330 40.240 ;
+        RECT 174.130 39.980 174.390 40.240 ;
+        RECT 220.590 39.980 220.850 40.240 ;
+        RECT 228.410 39.980 228.670 40.240 ;
+        RECT 285.450 39.980 285.710 40.240 ;
+        RECT 341.110 39.980 341.370 40.240 ;
+        RECT 372.850 39.980 373.110 40.240 ;
+        RECT 88.570 39.640 88.830 39.900 ;
+        RECT 130.430 39.640 130.690 39.900 ;
+        RECT 131.810 39.640 132.070 39.900 ;
+        RECT 155.730 39.640 155.990 39.900 ;
+        RECT 179.190 39.640 179.450 39.900 ;
+        RECT 230.710 39.640 230.970 39.900 ;
+        RECT 255.090 39.640 255.350 39.900 ;
+        RECT 270.730 39.640 270.990 39.900 ;
+        RECT 292.810 39.640 293.070 39.900 ;
+        RECT 293.270 39.640 293.530 39.900 ;
+        RECT 303.850 39.640 304.110 39.900 ;
+        RECT 332.830 39.640 333.090 39.900 ;
+        RECT 342.030 39.640 342.290 39.900 ;
+        RECT 117.090 39.300 117.350 39.560 ;
+        RECT 118.010 39.300 118.270 39.560 ;
+        RECT 164.010 39.300 164.270 39.560 ;
+        RECT 165.390 39.300 165.650 39.560 ;
+        RECT 238.070 39.300 238.330 39.560 ;
+        RECT 245.890 39.300 246.150 39.560 ;
+        RECT 256.470 39.300 256.730 39.560 ;
+        RECT 276.710 39.300 276.970 39.560 ;
+        RECT 281.770 39.300 282.030 39.560 ;
+        RECT 299.250 39.300 299.510 39.560 ;
+        RECT 106.050 38.960 106.310 39.220 ;
+        RECT 126.750 38.960 127.010 39.220 ;
+        RECT 158.490 38.960 158.750 39.220 ;
+        RECT 170.910 38.960 171.170 39.220 ;
+        RECT 172.750 38.960 173.010 39.220 ;
+        RECT 245.430 38.960 245.690 39.220 ;
+        RECT 250.490 38.960 250.750 39.220 ;
+        RECT 286.370 38.960 286.630 39.220 ;
+        RECT 291.890 38.960 292.150 39.220 ;
+        RECT 292.350 38.960 292.610 39.220 ;
+        RECT 297.870 38.960 298.130 39.220 ;
+        RECT 135.950 38.620 136.210 38.880 ;
+        RECT 171.830 38.620 172.090 38.880 ;
+        RECT 222.890 38.620 223.150 38.880 ;
+        RECT 127.670 38.280 127.930 38.540 ;
+        RECT 129.970 38.280 130.230 38.540 ;
+        RECT 147.450 38.280 147.710 38.540 ;
+        RECT 175.970 38.280 176.230 38.540 ;
+        RECT 282.690 38.280 282.950 38.540 ;
+        RECT 325.010 38.280 325.270 38.540 ;
+        RECT 154.810 37.940 155.070 38.200 ;
+        RECT 175.050 37.940 175.310 38.200 ;
+        RECT 348.010 37.600 348.270 37.860 ;
+        RECT 359.510 37.600 359.770 37.860 ;
+        RECT 149.750 37.260 150.010 37.520 ;
+        RECT 178.730 37.260 178.990 37.520 ;
+        RECT 44.410 610.840 44.670 611.100 ;
+        RECT 49.470 609.140 49.730 609.400 ;
+      LAYER met2 ;
+        RECT 39.340 629.720 39.620 632.120 ;
+        RECT 44.400 629.720 44.680 632.120 ;
+        RECT 49.460 629.720 49.740 632.120 ;
+        RECT 44.470 611.130 44.610 629.720 ;
+        RECT 44.410 610.810 44.670 611.130 ;
+        RECT 49.530 609.430 49.670 629.720 ;
+        RECT 49.470 609.110 49.730 609.430 ;
+        RECT 54.000 54.000 636.240 632.120 ;
+        RECT 58.050 53.640 59.530 54.000 ;
+        RECT 50.850 52.530 51.110 52.850 ;
+        RECT 47.170 51.510 47.430 51.830 ;
+        RECT 42.110 47.090 42.370 47.410 ;
+        RECT 38.420 46.555 38.700 46.925 ;
+        RECT 37.510 41.310 37.770 41.630 ;
+        RECT 37.570 34.520 37.710 41.310 ;
+        RECT 38.490 34.520 38.630 46.555 ;
+        RECT 40.730 46.070 40.990 46.390 ;
+        RECT 39.810 44.030 40.070 44.350 ;
+        RECT 39.870 34.520 40.010 44.030 ;
+        RECT 40.790 34.520 40.930 46.070 ;
+        RECT 42.170 34.520 42.310 47.090 ;
+        RECT 43.490 46.750 43.750 47.070 ;
+        RECT 43.550 34.520 43.690 46.750 ;
+        RECT 47.230 34.520 47.370 51.510 ;
+        RECT 48.090 46.410 48.350 46.730 ;
+        RECT 48.150 34.520 48.290 46.410 ;
+        RECT 50.910 34.520 51.050 52.530 ;
+        RECT 53.150 52.190 53.410 52.510 ;
+        RECT 53.210 34.520 53.350 52.190 ;
+        RECT 56.830 50.490 57.090 50.810 ;
+        RECT 55.450 47.430 55.710 47.750 ;
+        RECT 55.510 34.520 55.650 47.430 ;
+        RECT 56.890 34.520 57.030 50.490 ;
+        RECT 60.050 50.150 60.310 50.470 ;
+        RECT 58.050 48.200 59.530 48.680 ;
+        RECT 58.050 42.760 59.530 43.240 ;
+        RECT 60.110 39.330 60.250 50.150 ;
+        RECT 58.270 39.190 60.250 39.330 ;
+        RECT 58.270 34.520 58.410 39.190 ;
+        RECT 60.570 34.520 60.710 54.000 ;
+        RECT 61.890 49.470 62.150 49.790 ;
+        RECT 61.950 34.520 62.090 49.470 ;
+        RECT 64.710 46.810 64.850 54.000 ;
+        RECT 65.170 52.850 65.310 54.000 ;
+        RECT 65.110 52.530 65.370 52.850 ;
+        RECT 72.930 52.530 73.190 52.850 ;
+        RECT 72.470 50.490 72.730 50.810 ;
+        RECT 72.530 50.325 72.670 50.490 ;
+        RECT 72.460 49.955 72.740 50.325 ;
+        RECT 66.490 49.130 66.750 49.450 ;
+        RECT 69.240 49.275 69.520 49.645 ;
+        RECT 63.790 46.730 64.850 46.810 ;
+        RECT 63.730 46.670 64.850 46.730 ;
+        RECT 63.730 46.410 63.990 46.670 ;
+        RECT 65.570 44.370 65.830 44.690 ;
+        RECT 62.810 43.690 63.070 44.010 ;
+        RECT 62.870 34.520 63.010 43.690 ;
+        RECT 65.630 34.520 65.770 44.370 ;
+        RECT 66.550 34.520 66.690 49.130 ;
+        RECT 69.310 34.520 69.450 49.275 ;
+        RECT 70.170 48.790 70.430 49.110 ;
+        RECT 70.230 34.520 70.370 48.790 ;
+        RECT 72.990 34.520 73.130 52.530 ;
+        RECT 73.910 34.520 74.050 54.000 ;
+        RECT 76.670 34.520 76.810 54.000 ;
+        RECT 77.530 51.510 77.790 51.830 ;
+        RECT 77.060 50.635 77.340 51.005 ;
+        RECT 77.130 37.290 77.270 50.635 ;
+        RECT 77.590 42.650 77.730 51.510 ;
+        RECT 77.990 49.810 78.250 50.130 ;
+        RECT 78.910 49.810 79.170 50.130 ;
+        RECT 78.050 49.530 78.190 49.810 ;
+        RECT 78.970 49.645 79.110 49.810 ;
+        RECT 78.050 49.390 78.650 49.530 ;
+        RECT 78.510 47.410 78.650 49.390 ;
+        RECT 78.900 49.275 79.180 49.645 ;
+        RECT 78.450 47.090 78.710 47.410 ;
+        RECT 78.510 45.030 78.650 47.090 ;
+        RECT 78.450 44.710 78.710 45.030 ;
+        RECT 77.530 42.330 77.790 42.650 ;
+        RECT 77.130 37.150 77.730 37.290 ;
+        RECT 77.590 34.520 77.730 37.150 ;
+        RECT 80.350 34.520 80.490 54.000 ;
+        RECT 80.810 50.130 80.950 54.000 ;
+        RECT 81.730 52.170 81.870 54.000 ;
+        RECT 85.410 52.510 85.550 54.000 ;
+        RECT 85.350 52.190 85.610 52.510 ;
+        RECT 81.670 51.850 81.930 52.170 ;
+        RECT 86.790 50.470 86.930 54.000 ;
+        RECT 86.730 50.150 86.990 50.470 ;
+        RECT 80.750 49.810 81.010 50.130 ;
+        RECT 87.250 49.700 87.390 54.000 ;
+        RECT 88.170 53.190 88.310 54.000 ;
+        RECT 88.110 52.870 88.370 53.190 ;
+        RECT 84.420 49.275 84.700 49.645 ;
+        RECT 86.330 49.560 87.390 49.700 ;
+        RECT 81.200 48.595 81.480 48.965 ;
+        RECT 81.270 34.520 81.410 48.595 ;
+        RECT 83.970 47.770 84.230 48.090 ;
+        RECT 84.030 34.520 84.170 47.770 ;
+        RECT 84.490 37.290 84.630 49.275 ;
+        RECT 86.330 49.110 86.470 49.560 ;
+        RECT 86.270 48.790 86.530 49.110 ;
+        RECT 87.190 48.790 87.450 49.110 ;
+        RECT 84.890 46.410 85.150 46.730 ;
+        RECT 84.950 42.310 85.090 46.410 ;
+        RECT 85.350 46.070 85.610 46.390 ;
+        RECT 86.270 46.070 86.530 46.390 ;
+        RECT 85.410 43.670 85.550 46.070 ;
+        RECT 85.350 43.350 85.610 43.670 ;
+        RECT 84.890 41.990 85.150 42.310 ;
+        RECT 86.330 41.970 86.470 46.070 ;
+        RECT 86.270 41.650 86.530 41.970 ;
+        RECT 87.250 41.290 87.390 48.790 ;
+        RECT 89.090 47.410 89.230 54.000 ;
+        RECT 89.030 47.090 89.290 47.410 ;
+        RECT 89.550 45.030 89.690 54.000 ;
+        RECT 89.950 51.510 90.210 51.830 ;
+        RECT 90.010 49.790 90.150 51.510 ;
+        RECT 89.950 49.470 90.210 49.790 ;
+        RECT 90.470 47.070 90.610 54.000 ;
+        RECT 91.390 49.790 91.530 54.000 ;
+        RECT 92.770 53.530 92.910 54.000 ;
+        RECT 92.710 53.210 92.970 53.530 ;
+        RECT 91.790 52.530 92.050 52.850 ;
+        RECT 91.850 50.130 91.990 52.530 ;
+        RECT 91.790 49.810 92.050 50.130 ;
+        RECT 91.330 49.470 91.590 49.790 ;
+        RECT 90.870 49.130 91.130 49.450 ;
+        RECT 90.930 48.170 91.070 49.130 ;
+        RECT 90.930 48.030 91.990 48.170 ;
+        RECT 91.850 47.750 91.990 48.030 ;
+        RECT 91.790 47.430 92.050 47.750 ;
+        RECT 91.330 47.090 91.590 47.410 ;
+        RECT 90.410 46.750 90.670 47.070 ;
+        RECT 89.490 44.710 89.750 45.030 ;
+        RECT 90.860 44.515 91.140 44.885 ;
+        RECT 90.870 44.370 91.130 44.515 ;
+        RECT 87.190 40.970 87.450 41.290 ;
+        RECT 88.570 39.610 88.830 39.930 ;
+        RECT 84.490 37.150 85.090 37.290 ;
+        RECT 84.950 34.520 85.090 37.150 ;
+        RECT 88.630 34.520 88.770 39.610 ;
+        RECT 91.390 34.520 91.530 47.090 ;
+        RECT 93.230 47.070 93.370 54.000 ;
+        RECT 93.690 50.325 93.830 54.000 ;
+        RECT 93.620 49.955 93.900 50.325 ;
+        RECT 93.170 46.810 93.430 47.070 ;
+        RECT 93.170 46.750 94.290 46.810 ;
+        RECT 93.230 46.670 94.290 46.750 ;
+        RECT 92.710 46.070 92.970 46.390 ;
+        RECT 92.770 37.290 92.910 46.070 ;
+        RECT 94.150 44.690 94.290 46.670 ;
+        RECT 94.090 44.370 94.350 44.690 ;
+        RECT 92.310 37.150 92.910 37.290 ;
+        RECT 92.310 34.520 92.450 37.150 ;
+        RECT 95.070 34.520 95.210 54.000 ;
+        RECT 95.990 34.520 96.130 54.000 ;
+        RECT 96.840 49.955 97.120 50.325 ;
+        RECT 96.910 49.110 97.050 49.955 ;
+        RECT 96.850 48.790 97.110 49.110 ;
+        RECT 97.370 45.030 97.510 54.000 ;
+        RECT 97.310 44.710 97.570 45.030 ;
+        RECT 97.830 39.330 97.970 54.000 ;
+        RECT 99.140 53.355 99.420 53.725 ;
+        RECT 99.210 53.190 99.350 53.355 ;
+        RECT 99.150 52.870 99.410 53.190 ;
+        RECT 100.130 52.850 100.270 54.000 ;
+        RECT 98.690 52.530 98.950 52.850 ;
+        RECT 100.070 52.530 100.330 52.850 ;
+        RECT 98.750 50.810 98.890 52.530 ;
+        RECT 100.590 50.810 100.730 54.000 ;
+        RECT 98.690 50.490 98.950 50.810 ;
+        RECT 100.530 50.490 100.790 50.810 ;
+        RECT 99.610 50.040 99.870 50.130 ;
+        RECT 99.210 49.900 99.870 50.040 ;
+        RECT 99.210 48.090 99.350 49.900 ;
+        RECT 99.610 49.810 99.870 49.900 ;
+        RECT 99.150 47.770 99.410 48.090 ;
+        RECT 98.220 47.235 98.500 47.605 ;
+        RECT 98.290 46.390 98.430 47.235 ;
+        RECT 98.230 46.070 98.490 46.390 ;
+        RECT 100.530 44.600 100.790 44.690 ;
+        RECT 101.050 44.600 101.190 54.000 ;
+        RECT 101.970 45.030 102.110 54.000 ;
+        RECT 103.350 53.725 103.490 54.000 ;
+        RECT 103.280 53.355 103.560 53.725 ;
+        RECT 103.280 52.675 103.560 53.045 ;
+        RECT 102.370 46.410 102.630 46.730 ;
+        RECT 101.910 44.710 102.170 45.030 ;
+        RECT 100.530 44.460 101.190 44.600 ;
+        RECT 100.530 44.370 100.790 44.460 ;
+        RECT 97.830 39.190 98.890 39.330 ;
+        RECT 98.750 34.520 98.890 39.190 ;
+        RECT 102.430 34.520 102.570 46.410 ;
+        RECT 103.350 34.520 103.490 52.675 ;
+        RECT 104.730 46.390 104.870 54.000 ;
+        RECT 105.650 47.750 105.790 54.000 ;
+        RECT 106.110 50.470 106.250 54.000 ;
+        RECT 107.490 52.850 107.630 54.000 ;
+        RECT 107.430 52.530 107.690 52.850 ;
+        RECT 108.410 51.830 108.550 54.000 ;
+        RECT 108.350 51.510 108.610 51.830 ;
+        RECT 108.870 51.005 109.010 54.000 ;
+        RECT 108.800 50.635 109.080 51.005 ;
+        RECT 106.050 50.150 106.310 50.470 ;
+        RECT 106.050 49.470 106.310 49.790 ;
+        RECT 105.590 47.430 105.850 47.750 ;
+        RECT 106.110 47.070 106.250 49.470 ;
+        RECT 108.870 49.110 109.010 50.635 ;
+        RECT 108.810 48.790 109.070 49.110 ;
+        RECT 109.330 48.170 109.470 54.000 ;
+        RECT 109.790 51.685 109.930 54.000 ;
+        RECT 109.720 51.315 110.000 51.685 ;
+        RECT 109.790 48.965 109.930 51.315 ;
+        RECT 109.720 48.595 110.000 48.965 ;
+        RECT 106.970 47.770 107.230 48.090 ;
+        RECT 109.330 48.030 109.930 48.170 ;
+        RECT 106.050 46.750 106.310 47.070 ;
+        RECT 104.670 46.070 104.930 46.390 ;
+        RECT 106.050 38.930 106.310 39.250 ;
+        RECT 106.110 34.520 106.250 38.930 ;
+        RECT 107.030 34.520 107.170 47.770 ;
+        RECT 109.790 34.520 109.930 48.030 ;
+        RECT 110.250 45.030 110.390 54.000 ;
+        RECT 110.640 51.995 110.920 52.365 ;
+        RECT 110.190 44.710 110.450 45.030 ;
+        RECT 110.710 34.520 110.850 51.995 ;
+        RECT 111.630 40.610 111.770 54.000 ;
+        RECT 112.090 50.130 112.230 54.000 ;
+        RECT 113.470 52.510 113.610 54.000 ;
+        RECT 113.410 52.190 113.670 52.510 ;
+        RECT 114.330 52.190 114.590 52.510 ;
+        RECT 114.390 51.685 114.530 52.190 ;
+        RECT 114.320 51.315 114.600 51.685 ;
+        RECT 112.030 49.810 112.290 50.130 ;
+        RECT 114.780 49.955 115.060 50.325 ;
+        RECT 112.490 49.470 112.750 49.790 ;
+        RECT 112.550 47.070 112.690 49.470 ;
+        RECT 114.320 49.275 114.600 49.645 ;
+        RECT 112.490 46.750 112.750 47.070 ;
+        RECT 112.950 46.410 113.210 46.730 ;
+        RECT 111.570 40.290 111.830 40.610 ;
+        RECT 113.010 34.520 113.150 46.410 ;
+        RECT 114.390 34.520 114.530 49.275 ;
+        RECT 114.850 47.410 114.990 49.955 ;
+        RECT 114.790 47.090 115.050 47.410 ;
+        RECT 115.770 46.730 115.910 54.000 ;
+        RECT 116.230 48.965 116.370 54.000 ;
+        RECT 116.160 48.595 116.440 48.965 ;
+        RECT 115.710 46.410 115.970 46.730 ;
+        RECT 115.250 44.370 115.510 44.690 ;
+        RECT 115.310 42.650 115.450 44.370 ;
+        RECT 115.250 42.330 115.510 42.650 ;
+        RECT 116.690 34.520 116.830 54.000 ;
+        RECT 117.150 39.590 117.290 54.000 ;
+        RECT 117.610 42.650 117.750 54.000 ;
+        RECT 118.070 47.410 118.210 54.000 ;
+        RECT 118.010 47.090 118.270 47.410 ;
+        RECT 118.470 47.090 118.730 47.410 ;
+        RECT 118.530 44.350 118.670 47.090 ;
+        RECT 118.990 46.730 119.130 54.000 ;
+        RECT 119.390 51.510 119.650 51.830 ;
+        RECT 119.450 48.965 119.590 51.510 ;
+        RECT 119.380 48.595 119.660 48.965 ;
+        RECT 119.390 47.660 119.650 47.750 ;
+        RECT 119.910 47.660 120.050 54.000 ;
+        RECT 120.370 50.130 120.510 54.000 ;
+        RECT 121.750 52.850 121.890 54.000 ;
+        RECT 121.690 52.530 121.950 52.850 ;
+        RECT 120.310 49.810 120.570 50.130 ;
+        RECT 119.390 47.520 120.050 47.660 ;
+        RECT 119.390 47.430 119.650 47.520 ;
+        RECT 120.310 47.430 120.570 47.750 ;
+        RECT 119.390 46.750 119.650 47.070 ;
+        RECT 118.930 46.410 119.190 46.730 ;
+        RECT 118.470 44.030 118.730 44.350 ;
+        RECT 119.450 44.205 119.590 46.750 ;
+        RECT 117.550 42.330 117.810 42.650 ;
+        RECT 118.530 40.950 118.670 44.030 ;
+        RECT 119.380 43.835 119.660 44.205 ;
+        RECT 119.390 43.690 119.650 43.835 ;
+        RECT 119.450 43.535 119.590 43.690 ;
+        RECT 120.370 43.670 120.510 47.430 ;
+        RECT 120.770 46.410 121.030 46.730 ;
+        RECT 120.310 43.350 120.570 43.670 ;
+        RECT 118.470 40.630 118.730 40.950 ;
+        RECT 117.090 39.270 117.350 39.590 ;
+        RECT 118.010 39.270 118.270 39.590 ;
+        RECT 120.830 39.330 120.970 46.410 ;
+        RECT 123.130 46.300 123.270 54.000 ;
+        RECT 118.070 34.520 118.210 39.270 ;
+        RECT 120.370 39.190 120.970 39.330 ;
+        RECT 121.750 46.160 123.270 46.300 ;
+        RECT 120.370 34.520 120.510 39.190 ;
+        RECT 121.750 34.520 121.890 46.160 ;
+        RECT 123.590 45.030 123.730 54.000 ;
+        RECT 123.530 44.710 123.790 45.030 ;
+        RECT 123.530 43.350 123.790 43.670 ;
+        RECT 123.590 40.270 123.730 43.350 ;
+        RECT 123.530 39.950 123.790 40.270 ;
+        RECT 124.050 34.520 124.190 54.000 ;
+        RECT 125.830 52.190 126.090 52.510 ;
+        RECT 125.370 51.850 125.630 52.170 ;
+        RECT 125.430 34.520 125.570 51.850 ;
+        RECT 125.890 51.685 126.030 52.190 ;
+        RECT 125.820 51.315 126.100 51.685 ;
+        RECT 125.890 49.790 126.030 51.315 ;
+        RECT 126.350 50.130 126.490 54.000 ;
+        RECT 126.290 49.810 126.550 50.130 ;
+        RECT 125.830 49.470 126.090 49.790 ;
+        RECT 125.890 47.750 126.030 49.470 ;
+        RECT 125.830 47.430 126.090 47.750 ;
+        RECT 126.810 39.250 126.950 54.000 ;
+        RECT 127.730 53.045 127.870 54.000 ;
+        RECT 127.660 52.675 127.940 53.045 ;
+        RECT 127.730 52.170 127.870 52.675 ;
+        RECT 127.670 51.850 127.930 52.170 ;
+        RECT 128.190 45.370 128.330 54.000 ;
+        RECT 128.650 52.510 128.790 54.000 ;
+        RECT 128.590 52.190 128.850 52.510 ;
+        RECT 128.590 47.320 128.850 47.410 ;
+        RECT 129.110 47.320 129.250 54.000 ;
+        RECT 128.590 47.180 129.250 47.320 ;
+        RECT 128.590 47.090 128.850 47.180 ;
+        RECT 128.130 45.050 128.390 45.370 ;
+        RECT 127.670 44.885 127.930 45.030 ;
+        RECT 127.660 44.515 127.940 44.885 ;
+        RECT 129.050 44.205 129.310 44.350 ;
+        RECT 129.040 43.835 129.320 44.205 ;
+        RECT 126.750 38.930 127.010 39.250 ;
+        RECT 130.030 38.570 130.170 54.000 ;
+        RECT 130.950 50.470 131.090 54.000 ;
+        RECT 130.890 50.150 131.150 50.470 ;
+        RECT 130.430 49.470 130.690 49.790 ;
+        RECT 130.490 39.930 130.630 49.470 ;
+        RECT 130.950 47.605 131.090 50.150 ;
+        RECT 130.880 47.235 131.160 47.605 ;
+        RECT 130.430 39.610 130.690 39.930 ;
+        RECT 127.670 38.250 127.930 38.570 ;
+        RECT 129.970 38.250 130.230 38.570 ;
+        RECT 127.730 34.520 127.870 38.250 ;
+        RECT 131.410 34.520 131.550 54.000 ;
+        RECT 132.270 52.190 132.530 52.510 ;
+        RECT 132.330 51.685 132.470 52.190 ;
+        RECT 132.260 51.315 132.540 51.685 ;
+        RECT 131.810 43.350 132.070 43.670 ;
+        RECT 131.870 39.930 132.010 43.350 ;
+        RECT 131.810 39.610 132.070 39.930 ;
+        RECT 132.790 34.520 132.930 54.000 ;
+        RECT 133.250 53.190 133.390 54.000 ;
+        RECT 133.190 52.870 133.450 53.190 ;
+        RECT 133.190 51.510 133.450 51.830 ;
+        RECT 133.250 50.810 133.390 51.510 ;
+        RECT 133.190 50.490 133.450 50.810 ;
+        RECT 133.710 50.130 133.850 54.000 ;
+        RECT 136.870 51.850 137.130 52.170 ;
+        RECT 134.850 50.920 136.330 51.400 ;
+        RECT 133.650 49.810 133.910 50.130 ;
+        RECT 134.570 49.470 134.830 49.790 ;
+        RECT 134.630 47.750 134.770 49.470 ;
+        RECT 136.400 48.595 136.680 48.965 ;
+        RECT 134.570 47.430 134.830 47.750 ;
+        RECT 134.850 45.480 136.330 45.960 ;
+        RECT 135.950 44.370 136.210 44.690 ;
+        RECT 136.010 38.910 136.150 44.370 ;
+        RECT 135.950 38.590 136.210 38.910 ;
+        RECT 136.470 34.520 136.610 48.595 ;
+        RECT 136.930 47.410 137.070 51.850 ;
+        RECT 136.870 47.090 137.130 47.410 ;
+        RECT 136.930 44.690 137.070 47.090 ;
+        RECT 137.850 46.730 137.990 54.000 ;
+        RECT 138.770 53.530 138.910 54.000 ;
+        RECT 138.710 53.210 138.970 53.530 ;
+        RECT 139.230 53.190 139.370 54.000 ;
+        RECT 139.170 52.870 139.430 53.190 ;
+        RECT 139.690 52.510 139.830 54.000 ;
+        RECT 139.630 52.190 139.890 52.510 ;
+        RECT 138.710 51.510 138.970 51.830 ;
+        RECT 137.790 46.410 138.050 46.730 ;
+        RECT 136.870 44.370 137.130 44.690 ;
+        RECT 137.330 44.370 137.590 44.690 ;
+        RECT 136.870 43.690 137.130 44.010 ;
+        RECT 136.930 41.370 137.070 43.690 ;
+        RECT 137.390 42.310 137.530 44.370 ;
+        RECT 137.330 41.990 137.590 42.310 ;
+        RECT 137.790 41.990 138.050 42.310 ;
+        RECT 137.850 41.370 137.990 41.990 ;
+        RECT 136.930 41.230 137.990 41.370 ;
+        RECT 136.930 40.950 137.070 41.230 ;
+        RECT 136.870 40.630 137.130 40.950 ;
+        RECT 138.770 34.520 138.910 51.510 ;
+        RECT 139.690 50.130 139.830 52.190 ;
+        RECT 140.150 52.170 140.290 54.000 ;
+        RECT 141.930 52.530 142.190 52.850 ;
+        RECT 140.090 51.850 140.350 52.170 ;
+        RECT 141.990 50.470 142.130 52.530 ;
+        RECT 141.930 50.150 142.190 50.470 ;
+        RECT 139.630 49.810 139.890 50.130 ;
+        RECT 140.080 47.235 140.360 47.605 ;
+        RECT 140.150 34.520 140.290 47.235 ;
+        RECT 143.830 47.070 143.970 54.000 ;
+        RECT 145.670 53.725 145.810 54.000 ;
+        RECT 145.150 53.210 145.410 53.530 ;
+        RECT 145.600 53.355 145.880 53.725 ;
+        RECT 145.210 47.070 145.350 53.210 ;
+        RECT 143.770 46.750 144.030 47.070 ;
+        RECT 145.150 46.750 145.410 47.070 ;
+        RECT 141.010 46.070 141.270 46.390 ;
+        RECT 142.390 46.070 142.650 46.390 ;
+        RECT 141.070 45.370 141.210 46.070 ;
+        RECT 141.010 45.050 141.270 45.370 ;
+        RECT 142.450 34.520 142.590 46.070 ;
+        RECT 143.770 40.630 144.030 40.950 ;
+        RECT 143.830 34.520 143.970 40.630 ;
+        RECT 146.130 34.520 146.270 54.000 ;
+        RECT 146.520 51.995 146.800 52.365 ;
+        RECT 146.590 48.090 146.730 51.995 ;
+        RECT 147.970 50.130 148.110 54.000 ;
+        RECT 148.430 53.190 148.570 54.000 ;
+        RECT 148.370 52.870 148.630 53.190 ;
+        RECT 148.890 50.470 149.030 54.000 ;
+        RECT 149.750 52.190 150.010 52.510 ;
+        RECT 150.730 52.365 150.870 54.000 ;
+        RECT 152.110 53.530 152.250 54.000 ;
+        RECT 152.570 53.530 152.710 54.000 ;
+        RECT 152.050 53.210 152.310 53.530 ;
+        RECT 152.510 53.210 152.770 53.530 ;
+        RECT 148.830 50.150 149.090 50.470 ;
+        RECT 147.910 49.810 148.170 50.130 ;
+        RECT 149.810 48.090 149.950 52.190 ;
+        RECT 150.660 51.995 150.940 52.365 ;
+        RECT 150.730 49.110 150.870 51.995 ;
+        RECT 152.570 51.685 152.710 53.210 ;
+        RECT 152.500 51.315 152.780 51.685 ;
+        RECT 150.670 48.790 150.930 49.110 ;
+        RECT 153.030 49.020 153.170 54.000 ;
+        RECT 153.950 52.850 154.090 54.000 ;
+        RECT 153.890 52.530 154.150 52.850 ;
+        RECT 154.870 52.170 155.010 54.000 ;
+        RECT 154.810 51.850 155.070 52.170 ;
+        RECT 154.800 51.315 155.080 51.685 ;
+        RECT 153.890 49.470 154.150 49.790 ;
+        RECT 153.950 49.020 154.090 49.470 ;
+        RECT 151.120 48.595 151.400 48.965 ;
+        RECT 153.030 48.880 154.090 49.020 ;
+        RECT 146.530 47.770 146.790 48.090 ;
+        RECT 149.750 47.770 150.010 48.090 ;
+        RECT 147.450 47.430 147.710 47.750 ;
+        RECT 147.510 47.070 147.650 47.430 ;
+        RECT 147.450 46.750 147.710 47.070 ;
+        RECT 147.450 38.250 147.710 38.570 ;
+        RECT 147.510 34.520 147.650 38.250 ;
+        RECT 149.750 37.230 150.010 37.550 ;
+        RECT 149.810 34.520 149.950 37.230 ;
+        RECT 151.190 34.520 151.330 48.595 ;
+        RECT 153.430 47.770 153.690 48.090 ;
+        RECT 153.490 34.520 153.630 47.770 ;
+        RECT 153.950 47.410 154.090 48.880 ;
+        RECT 153.890 47.090 154.150 47.410 ;
+        RECT 153.950 44.690 154.090 47.090 ;
+        RECT 153.890 44.370 154.150 44.690 ;
+        RECT 154.870 40.950 155.010 51.315 ;
+        RECT 155.330 50.130 155.470 54.000 ;
+        RECT 155.270 49.810 155.530 50.130 ;
+        RECT 154.810 40.630 155.070 40.950 ;
+        RECT 155.790 39.930 155.930 54.000 ;
+        RECT 156.710 52.850 156.850 54.000 ;
+        RECT 156.650 52.530 156.910 52.850 ;
+        RECT 157.630 52.250 157.770 54.000 ;
+        RECT 156.250 52.110 157.770 52.250 ;
+        RECT 156.250 44.350 156.390 52.110 ;
+        RECT 158.020 51.995 158.300 52.365 ;
+        RECT 157.110 50.490 157.370 50.810 ;
+        RECT 156.640 49.275 156.920 49.645 ;
+        RECT 156.710 46.390 156.850 49.275 ;
+        RECT 156.650 46.070 156.910 46.390 ;
+        RECT 156.190 44.030 156.450 44.350 ;
+        RECT 156.650 43.690 156.910 44.010 ;
+        RECT 156.710 42.650 156.850 43.690 ;
+        RECT 156.650 42.330 156.910 42.650 ;
+        RECT 155.730 39.610 155.990 39.930 ;
+        RECT 154.810 37.910 155.070 38.230 ;
+        RECT 154.870 34.520 155.010 37.910 ;
+        RECT 157.170 34.520 157.310 50.490 ;
+        RECT 158.090 47.605 158.230 51.995 ;
+        RECT 158.550 48.000 158.690 54.000 ;
+        RECT 158.940 52.675 159.220 53.045 ;
+        RECT 158.950 52.530 159.210 52.675 ;
+        RECT 159.470 50.325 159.610 54.000 ;
+        RECT 159.400 49.955 159.680 50.325 ;
+        RECT 158.550 47.860 159.150 48.000 ;
+        RECT 159.010 47.605 159.150 47.860 ;
+        RECT 158.020 47.235 158.300 47.605 ;
+        RECT 158.940 47.235 159.220 47.605 ;
+        RECT 159.470 46.130 159.610 49.955 ;
+        RECT 160.390 47.070 160.530 54.000 ;
+        RECT 160.330 46.750 160.590 47.070 ;
+        RECT 160.330 46.130 160.590 46.390 ;
+        RECT 159.470 46.070 160.590 46.130 ;
+        RECT 159.470 45.990 160.530 46.070 ;
+        RECT 158.490 38.930 158.750 39.250 ;
+        RECT 158.550 34.520 158.690 38.930 ;
+        RECT 160.850 34.520 160.990 54.000 ;
+        RECT 162.230 51.005 162.370 54.000 ;
+        RECT 162.160 50.635 162.440 51.005 ;
+        RECT 162.690 50.810 162.830 54.000 ;
+        RECT 162.630 50.490 162.890 50.810 ;
+        RECT 162.170 50.150 162.430 50.470 ;
+        RECT 162.230 34.520 162.370 50.150 ;
+        RECT 163.150 50.130 163.290 54.000 ;
+        RECT 163.610 53.530 163.750 54.000 ;
+        RECT 163.550 53.210 163.810 53.530 ;
+        RECT 164.990 50.325 165.130 54.000 ;
+        RECT 163.090 49.810 163.350 50.130 ;
+        RECT 164.920 49.955 165.200 50.325 ;
+        RECT 162.630 44.710 162.890 45.030 ;
+        RECT 162.690 40.950 162.830 44.710 ;
+        RECT 163.090 43.350 163.350 43.670 ;
+        RECT 164.010 43.350 164.270 43.670 ;
+        RECT 163.150 40.950 163.290 43.350 ;
+        RECT 162.630 40.630 162.890 40.950 ;
+        RECT 163.090 40.630 163.350 40.950 ;
+        RECT 164.070 39.590 164.210 43.350 ;
+        RECT 164.470 41.650 164.730 41.970 ;
+        RECT 164.010 39.270 164.270 39.590 ;
+        RECT 164.530 34.520 164.670 41.650 ;
+        RECT 165.450 39.590 165.590 54.000 ;
+        RECT 167.290 47.750 167.430 54.000 ;
+        RECT 167.230 47.430 167.490 47.750 ;
+        RECT 165.850 46.070 166.110 46.390 ;
+        RECT 165.390 39.270 165.650 39.590 ;
+        RECT 165.910 34.520 166.050 46.070 ;
+        RECT 168.210 34.520 168.350 54.000 ;
+        RECT 169.130 40.270 169.270 54.000 ;
+        RECT 170.440 52.675 170.720 53.045 ;
+        RECT 170.510 52.510 170.650 52.675 ;
+        RECT 170.450 52.190 170.710 52.510 ;
+        RECT 170.970 50.130 171.110 54.000 ;
+        RECT 171.890 52.850 172.030 54.000 ;
+        RECT 171.830 52.530 172.090 52.850 ;
+        RECT 170.910 49.810 171.170 50.130 ;
+        RECT 170.910 47.090 171.170 47.410 ;
+        RECT 169.070 39.950 169.330 40.270 ;
+        RECT 170.970 39.250 171.110 47.090 ;
+        RECT 172.350 45.030 172.490 54.000 ;
+        RECT 172.290 44.710 172.550 45.030 ;
+        RECT 172.810 39.250 172.950 54.000 ;
+        RECT 174.650 44.690 174.790 54.000 ;
+        RECT 174.590 44.370 174.850 44.690 ;
+        RECT 174.130 44.030 174.390 44.350 ;
+        RECT 174.190 40.270 174.330 44.030 ;
+        RECT 174.130 39.950 174.390 40.270 ;
+        RECT 170.910 38.930 171.170 39.250 ;
+        RECT 172.750 38.930 173.010 39.250 ;
+        RECT 171.830 38.590 172.090 38.910 ;
+        RECT 171.890 34.520 172.030 38.590 ;
+        RECT 175.110 38.230 175.250 54.000 ;
+        RECT 175.970 49.470 176.230 49.790 ;
+        RECT 176.490 49.645 176.630 54.000 ;
+        RECT 175.510 40.970 175.770 41.290 ;
+        RECT 175.050 37.910 175.310 38.230 ;
+        RECT 175.570 34.520 175.710 40.970 ;
+        RECT 176.030 38.570 176.170 49.470 ;
+        RECT 176.420 49.275 176.700 49.645 ;
+        RECT 176.950 48.090 177.090 54.000 ;
+        RECT 177.870 53.045 178.010 54.000 ;
+        RECT 177.800 52.675 178.080 53.045 ;
+        RECT 176.890 47.770 177.150 48.090 ;
+        RECT 177.870 47.070 178.010 52.675 ;
+        RECT 178.330 52.170 178.470 54.000 ;
+        RECT 178.730 52.530 178.990 52.850 ;
+        RECT 178.270 51.850 178.530 52.170 ;
+        RECT 177.810 46.750 178.070 47.070 ;
+        RECT 178.270 44.370 178.530 44.690 ;
+        RECT 178.330 42.310 178.470 44.370 ;
+        RECT 178.270 41.990 178.530 42.310 ;
+        RECT 175.970 38.250 176.230 38.570 ;
+        RECT 178.790 37.550 178.930 52.530 ;
+        RECT 179.710 44.350 179.850 54.000 ;
+        RECT 183.850 52.510 183.990 54.000 ;
+        RECT 184.310 53.530 184.450 54.000 ;
+        RECT 184.250 53.210 184.510 53.530 ;
+        RECT 181.950 52.190 182.210 52.510 ;
+        RECT 183.790 52.190 184.050 52.510 ;
+        RECT 182.010 50.130 182.150 52.190 ;
+        RECT 181.950 49.810 182.210 50.130 ;
+        RECT 184.770 48.090 184.910 54.000 ;
+        RECT 185.230 52.365 185.370 54.000 ;
+        RECT 185.160 51.995 185.440 52.365 ;
+        RECT 185.170 48.790 185.430 49.110 ;
+        RECT 184.710 47.770 184.970 48.090 ;
+        RECT 184.710 46.980 184.970 47.070 ;
+        RECT 185.230 46.980 185.370 48.790 ;
+        RECT 184.710 46.840 185.370 46.980 ;
+        RECT 184.710 46.750 184.970 46.840 ;
+        RECT 184.770 44.690 184.910 46.750 ;
+        RECT 186.150 45.370 186.290 54.000 ;
+        RECT 186.610 53.530 186.750 54.000 ;
+        RECT 186.550 53.210 186.810 53.530 ;
+        RECT 187.070 50.040 187.210 54.000 ;
+        RECT 188.450 53.530 188.590 54.000 ;
+        RECT 188.390 53.210 188.650 53.530 ;
+        RECT 188.910 51.685 189.050 54.000 ;
+        RECT 188.840 51.315 189.120 51.685 ;
+        RECT 189.760 51.315 190.040 51.685 ;
+        RECT 186.610 49.900 187.210 50.040 ;
+        RECT 186.090 45.050 186.350 45.370 ;
+        RECT 185.170 44.885 185.430 45.030 ;
+        RECT 184.710 44.370 184.970 44.690 ;
+        RECT 185.160 44.515 185.440 44.885 ;
+        RECT 179.650 44.030 179.910 44.350 ;
+        RECT 179.190 39.610 179.450 39.930 ;
+        RECT 178.730 37.230 178.990 37.550 ;
+        RECT 179.250 34.520 179.390 39.610 ;
+        RECT 186.610 34.520 186.750 49.900 ;
+        RECT 188.850 48.790 189.110 49.110 ;
+        RECT 188.910 48.285 189.050 48.790 ;
+        RECT 188.840 47.915 189.120 48.285 ;
+        RECT 189.830 47.750 189.970 51.315 ;
+        RECT 189.770 47.430 190.030 47.750 ;
+        RECT 190.290 34.520 190.430 54.000 ;
+        RECT 190.750 52.850 190.890 54.000 ;
+        RECT 190.690 52.530 190.950 52.850 ;
+        RECT 190.680 51.995 190.960 52.365 ;
+        RECT 190.690 51.850 190.950 51.995 ;
+        RECT 191.210 49.790 191.350 54.000 ;
+        RECT 191.610 51.510 191.870 51.830 ;
+        RECT 191.670 50.470 191.810 51.510 ;
+        RECT 191.610 50.150 191.870 50.470 ;
+        RECT 192.130 50.130 192.270 54.000 ;
+        RECT 192.070 49.810 192.330 50.130 ;
+        RECT 191.150 49.470 191.410 49.790 ;
+        RECT 191.210 45.370 191.350 49.470 ;
+        RECT 193.510 49.450 193.650 54.000 ;
+        RECT 194.430 50.130 194.570 54.000 ;
+        RECT 194.820 51.995 195.100 52.365 ;
+        RECT 194.890 50.810 195.030 51.995 ;
+        RECT 194.830 50.490 195.090 50.810 ;
+        RECT 194.370 49.810 194.630 50.130 ;
+        RECT 195.350 49.645 195.490 54.000 ;
+        RECT 196.270 52.365 196.410 54.000 ;
+        RECT 196.200 51.995 196.480 52.365 ;
+        RECT 193.450 49.130 193.710 49.450 ;
+        RECT 195.280 49.275 195.560 49.645 ;
+        RECT 194.830 46.750 195.090 47.070 ;
+        RECT 194.890 46.245 195.030 46.750 ;
+        RECT 196.730 46.730 196.870 54.000 ;
+        RECT 197.190 51.830 197.330 54.000 ;
+        RECT 197.590 52.190 197.850 52.510 ;
+        RECT 197.130 51.510 197.390 51.830 ;
+        RECT 197.650 49.790 197.790 52.190 ;
+        RECT 199.490 51.685 199.630 54.000 ;
+        RECT 201.260 51.995 201.540 52.365 ;
+        RECT 199.420 51.315 199.700 51.685 ;
+        RECT 197.590 49.470 197.850 49.790 ;
+        RECT 197.130 48.790 197.390 49.110 ;
+        RECT 197.590 48.790 197.850 49.110 ;
+        RECT 197.190 47.070 197.330 48.790 ;
+        RECT 197.130 46.750 197.390 47.070 ;
+        RECT 196.670 46.410 196.930 46.730 ;
+        RECT 194.820 45.875 195.100 46.245 ;
+        RECT 191.150 45.050 191.410 45.370 ;
+        RECT 193.900 45.195 194.180 45.565 ;
+        RECT 193.970 34.520 194.110 45.195 ;
+        RECT 197.650 34.520 197.790 48.790 ;
+        RECT 199.490 46.245 199.630 51.315 ;
+        RECT 201.330 47.490 201.470 51.995 ;
+        RECT 201.790 48.965 201.930 54.000 ;
+        RECT 202.250 49.110 202.390 54.000 ;
+        RECT 202.650 52.190 202.910 52.510 ;
+        RECT 202.710 49.450 202.850 52.190 ;
+        RECT 202.650 49.130 202.910 49.450 ;
+        RECT 201.720 48.595 202.000 48.965 ;
+        RECT 202.190 48.790 202.450 49.110 ;
+        RECT 203.110 48.790 203.370 49.110 ;
+        RECT 201.790 48.170 201.930 48.595 ;
+        RECT 203.170 48.170 203.310 48.790 ;
+        RECT 201.790 48.030 203.310 48.170 ;
+        RECT 203.630 48.170 203.770 54.000 ;
+        RECT 204.030 51.685 204.290 51.830 ;
+        RECT 204.020 51.315 204.300 51.685 ;
+        RECT 205.410 51.510 205.670 51.830 ;
+        RECT 205.470 50.130 205.610 51.510 ;
+        RECT 205.410 49.810 205.670 50.130 ;
+        RECT 205.410 48.790 205.670 49.110 ;
+        RECT 203.630 48.030 205.150 48.170 ;
+        RECT 201.330 47.350 202.390 47.490 ;
+        RECT 202.250 46.730 202.390 47.350 ;
+        RECT 202.190 46.410 202.450 46.730 ;
+        RECT 199.420 45.875 199.700 46.245 ;
+        RECT 201.270 41.650 201.530 41.970 ;
+        RECT 201.330 34.520 201.470 41.650 ;
+        RECT 205.010 34.520 205.150 48.030 ;
+        RECT 205.470 47.410 205.610 48.790 ;
+        RECT 205.410 47.090 205.670 47.410 ;
+        RECT 205.930 44.690 206.070 54.000 ;
+        RECT 205.870 44.370 206.130 44.690 ;
+        RECT 207.770 44.350 207.910 54.000 ;
+        RECT 208.620 51.995 208.900 52.365 ;
+        RECT 208.170 44.370 208.430 44.690 ;
+        RECT 207.710 44.030 207.970 44.350 ;
+        RECT 208.230 41.290 208.370 44.370 ;
+        RECT 208.170 40.970 208.430 41.290 ;
+        RECT 208.690 34.520 208.830 51.995 ;
+        RECT 210.070 45.030 210.210 54.000 ;
+        RECT 210.990 53.530 211.130 54.000 ;
+        RECT 211.650 53.640 213.130 54.000 ;
+        RECT 210.930 53.210 211.190 53.530 ;
+        RECT 212.310 52.530 212.570 52.850 ;
+        RECT 212.370 51.685 212.510 52.530 ;
+        RECT 213.290 52.510 213.430 54.000 ;
+        RECT 214.140 52.675 214.420 53.045 ;
+        RECT 213.230 52.190 213.490 52.510 ;
+        RECT 212.300 51.315 212.580 51.685 ;
+        RECT 210.460 50.635 210.740 51.005 ;
+        RECT 210.530 49.790 210.670 50.635 ;
+        RECT 213.290 50.130 213.430 52.190 ;
+        RECT 214.210 51.005 214.350 52.675 ;
+        RECT 213.690 50.490 213.950 50.810 ;
+        RECT 214.140 50.635 214.420 51.005 ;
+        RECT 213.230 49.810 213.490 50.130 ;
+        RECT 210.470 49.470 210.730 49.790 ;
+        RECT 211.650 48.200 213.130 48.680 ;
+        RECT 213.750 48.090 213.890 50.490 ;
+        RECT 213.690 47.770 213.950 48.090 ;
+        RECT 214.210 47.070 214.350 50.635 ;
+        RECT 214.610 49.810 214.870 50.130 ;
+        RECT 214.670 49.645 214.810 49.810 ;
+        RECT 214.600 49.275 214.880 49.645 ;
+        RECT 214.150 46.750 214.410 47.070 ;
+        RECT 214.610 46.300 214.870 46.390 ;
+        RECT 215.130 46.300 215.270 54.000 ;
+        RECT 215.590 49.020 215.730 54.000 ;
+        RECT 216.050 51.685 216.190 54.000 ;
+        RECT 215.980 51.315 216.260 51.685 ;
+        RECT 215.590 48.880 216.190 49.020 ;
+        RECT 214.610 46.160 215.270 46.300 ;
+        RECT 214.610 46.070 214.870 46.160 ;
+        RECT 210.010 44.710 210.270 45.030 ;
+        RECT 215.070 44.370 215.330 44.690 ;
+        RECT 213.690 43.690 213.950 44.010 ;
+        RECT 211.650 42.760 213.130 43.240 ;
+        RECT 213.750 42.650 213.890 43.690 ;
+        RECT 215.130 42.650 215.270 44.370 ;
+        RECT 213.690 42.330 213.950 42.650 ;
+        RECT 215.070 42.330 215.330 42.650 ;
+        RECT 216.050 34.520 216.190 48.880 ;
+        RECT 216.510 45.370 216.650 54.000 ;
+        RECT 217.830 48.790 218.090 49.110 ;
+        RECT 217.890 47.750 218.030 48.790 ;
+        RECT 217.830 47.430 218.090 47.750 ;
+        RECT 218.350 47.410 218.490 54.000 ;
+        RECT 219.270 53.530 219.410 54.000 ;
+        RECT 219.210 53.210 219.470 53.530 ;
+        RECT 219.730 50.130 219.870 54.000 ;
+        RECT 220.190 52.510 220.330 54.000 ;
+        RECT 220.130 52.190 220.390 52.510 ;
+        RECT 219.670 49.810 219.930 50.130 ;
+        RECT 219.670 47.770 219.930 48.090 ;
+        RECT 219.210 47.430 219.470 47.750 ;
+        RECT 218.290 47.090 218.550 47.410 ;
+        RECT 216.450 45.050 216.710 45.370 ;
+        RECT 219.270 45.030 219.410 47.430 ;
+        RECT 219.210 44.710 219.470 45.030 ;
+        RECT 216.450 44.370 216.710 44.690 ;
+        RECT 216.510 43.670 216.650 44.370 ;
+        RECT 216.450 43.350 216.710 43.670 ;
+        RECT 219.730 34.520 219.870 47.770 ;
+        RECT 220.120 47.235 220.400 47.605 ;
+        RECT 220.190 47.070 220.330 47.235 ;
+        RECT 220.130 46.750 220.390 47.070 ;
+        RECT 220.650 40.270 220.790 54.000 ;
+        RECT 221.570 45.280 221.710 54.000 ;
+        RECT 223.410 49.450 223.550 54.000 ;
+        RECT 223.350 49.130 223.610 49.450 ;
+        RECT 223.870 48.090 224.010 54.000 ;
+        RECT 223.810 47.770 224.070 48.090 ;
+        RECT 221.110 45.140 221.710 45.280 ;
+        RECT 221.110 44.690 221.250 45.140 ;
+        RECT 221.050 44.370 221.310 44.690 ;
+        RECT 221.510 44.370 221.770 44.690 ;
+        RECT 221.570 42.650 221.710 44.370 ;
+        RECT 221.970 43.350 222.230 43.670 ;
+        RECT 222.030 42.650 222.170 43.350 ;
+        RECT 221.510 42.330 221.770 42.650 ;
+        RECT 221.970 42.330 222.230 42.650 ;
+        RECT 222.950 42.165 224.010 42.220 ;
+        RECT 222.950 42.080 224.080 42.165 ;
+        RECT 220.590 39.950 220.850 40.270 ;
+        RECT 222.950 38.910 223.090 42.080 ;
+        RECT 223.800 41.795 224.080 42.080 ;
+        RECT 224.330 41.370 224.470 54.000 ;
+        RECT 225.180 52.675 225.460 53.045 ;
+        RECT 225.250 51.830 225.390 52.675 ;
+        RECT 225.190 51.510 225.450 51.830 ;
+        RECT 224.730 49.810 224.990 50.130 ;
+        RECT 224.790 48.090 224.930 49.810 ;
+        RECT 225.710 49.645 225.850 54.000 ;
+        RECT 225.640 49.275 225.920 49.645 ;
+        RECT 224.730 47.770 224.990 48.090 ;
+        RECT 226.170 45.370 226.310 54.000 ;
+        RECT 226.630 52.510 226.770 54.000 ;
+        RECT 226.570 52.190 226.830 52.510 ;
+        RECT 226.630 47.750 226.770 52.190 ;
+        RECT 227.090 51.830 227.230 54.000 ;
+        RECT 227.030 51.510 227.290 51.830 ;
+        RECT 227.030 49.470 227.290 49.790 ;
+        RECT 226.570 47.430 226.830 47.750 ;
+        RECT 226.110 45.050 226.370 45.370 ;
+        RECT 223.410 41.230 224.470 41.370 ;
+        RECT 222.890 38.590 223.150 38.910 ;
+        RECT 223.410 34.520 223.550 41.230 ;
+        RECT 227.090 34.520 227.230 49.470 ;
+        RECT 227.550 46.730 227.690 54.000 ;
+        RECT 227.490 46.410 227.750 46.730 ;
+        RECT 228.010 44.770 228.150 54.000 ;
+        RECT 228.470 49.110 228.610 54.000 ;
+        RECT 228.870 53.045 229.130 53.190 ;
+        RECT 228.860 52.675 229.140 53.045 ;
+        RECT 228.870 52.190 229.130 52.510 ;
+        RECT 228.930 50.130 229.070 52.190 ;
+        RECT 230.250 51.850 230.510 52.170 ;
+        RECT 228.870 49.810 229.130 50.130 ;
+        RECT 228.410 48.790 228.670 49.110 ;
+        RECT 228.410 47.430 228.670 47.750 ;
+        RECT 228.470 47.070 228.610 47.430 ;
+        RECT 230.310 47.410 230.450 51.850 ;
+        RECT 230.710 50.325 230.970 50.470 ;
+        RECT 230.700 49.955 230.980 50.325 ;
+        RECT 230.710 47.770 230.970 48.090 ;
+        RECT 231.160 47.915 231.440 48.285 ;
+        RECT 230.770 47.410 230.910 47.770 ;
+        RECT 230.250 47.090 230.510 47.410 ;
+        RECT 230.710 47.090 230.970 47.410 ;
+        RECT 228.410 46.750 228.670 47.070 ;
+        RECT 231.230 46.730 231.370 47.915 ;
+        RECT 231.630 47.090 231.890 47.410 ;
+        RECT 231.690 46.730 231.830 47.090 ;
+        RECT 231.170 46.410 231.430 46.730 ;
+        RECT 231.630 46.410 231.890 46.730 ;
+        RECT 228.410 46.070 228.670 46.390 ;
+        RECT 228.470 45.565 228.610 46.070 ;
+        RECT 228.400 45.195 228.680 45.565 ;
+        RECT 232.150 45.370 232.290 54.000 ;
+        RECT 232.090 45.050 232.350 45.370 ;
+        RECT 228.010 44.690 228.610 44.770 ;
+        RECT 228.010 44.630 228.670 44.690 ;
+        RECT 228.410 44.370 228.670 44.630 ;
+        RECT 229.780 44.515 230.060 44.885 ;
+        RECT 229.790 44.370 230.050 44.515 ;
+        RECT 228.470 40.270 228.610 44.370 ;
+        RECT 230.710 44.030 230.970 44.350 ;
+        RECT 230.770 40.610 230.910 44.030 ;
+        RECT 232.610 44.010 232.750 54.000 ;
+        RECT 233.070 47.410 233.210 54.000 ;
+        RECT 233.010 47.090 233.270 47.410 ;
+        RECT 233.070 44.350 233.210 47.090 ;
+        RECT 233.530 46.730 233.670 54.000 ;
+        RECT 234.450 48.850 234.590 54.000 ;
+        RECT 234.910 49.790 235.050 54.000 ;
+        RECT 235.370 52.510 235.510 54.000 ;
+        RECT 235.310 52.190 235.570 52.510 ;
+        RECT 235.830 52.170 235.970 54.000 ;
+        RECT 235.770 51.850 236.030 52.170 ;
+        RECT 236.220 51.995 236.500 52.365 ;
+        RECT 236.290 50.720 236.430 51.995 ;
+        RECT 235.830 50.580 236.430 50.720 ;
+        RECT 234.850 49.470 235.110 49.790 ;
+        RECT 235.830 49.450 235.970 50.580 ;
+        RECT 235.770 49.130 236.030 49.450 ;
+        RECT 233.990 48.710 234.590 48.850 ;
+        RECT 233.990 48.090 234.130 48.710 ;
+        RECT 233.930 47.770 234.190 48.090 ;
+        RECT 234.390 47.770 234.650 48.090 ;
+        RECT 234.840 47.915 235.120 48.285 ;
+        RECT 233.990 46.730 234.130 47.770 ;
+        RECT 233.470 46.410 233.730 46.730 ;
+        RECT 233.930 46.410 234.190 46.730 ;
+        RECT 233.010 44.030 233.270 44.350 ;
+        RECT 232.550 43.690 232.810 44.010 ;
+        RECT 233.070 42.310 233.210 44.030 ;
+        RECT 233.010 41.990 233.270 42.310 ;
+        RECT 230.710 40.290 230.970 40.610 ;
+        RECT 228.410 39.950 228.670 40.270 ;
+        RECT 230.710 39.610 230.970 39.930 ;
+        RECT 230.770 34.520 230.910 39.610 ;
+        RECT 234.450 34.520 234.590 47.770 ;
+        RECT 234.910 47.410 235.050 47.915 ;
+        RECT 234.850 47.090 235.110 47.410 ;
+        RECT 235.830 44.690 235.970 49.130 ;
+        RECT 235.770 44.370 236.030 44.690 ;
+        RECT 236.750 43.670 236.890 54.000 ;
+        RECT 236.690 43.350 236.950 43.670 ;
+        RECT 237.210 42.650 237.350 54.000 ;
+        RECT 237.670 44.010 237.810 54.000 ;
+        RECT 238.130 53.190 238.270 54.000 ;
+        RECT 238.070 52.870 238.330 53.190 ;
+        RECT 238.990 52.870 239.250 53.190 ;
+        RECT 238.070 52.365 238.330 52.510 ;
+        RECT 238.060 51.995 238.340 52.365 ;
+        RECT 238.060 51.315 238.340 51.685 ;
+        RECT 238.130 46.730 238.270 51.315 ;
+        RECT 239.050 50.470 239.190 52.870 ;
+        RECT 238.990 50.150 239.250 50.470 ;
+        RECT 238.990 47.660 239.250 47.750 ;
+        RECT 239.510 47.660 239.650 54.000 ;
+        RECT 238.990 47.520 239.650 47.660 ;
+        RECT 238.990 47.430 239.250 47.520 ;
+        RECT 238.070 46.410 238.330 46.730 ;
+        RECT 238.990 46.410 239.250 46.730 ;
+        RECT 239.050 44.690 239.190 46.410 ;
+        RECT 238.990 44.370 239.250 44.690 ;
+        RECT 237.610 43.690 237.870 44.010 ;
+        RECT 237.150 42.330 237.410 42.650 ;
+        RECT 239.970 41.290 240.110 54.000 ;
+        RECT 240.430 48.090 240.570 54.000 ;
+        RECT 240.370 47.770 240.630 48.090 ;
+        RECT 240.360 47.235 240.640 47.605 ;
+        RECT 240.430 47.070 240.570 47.235 ;
+        RECT 240.370 46.750 240.630 47.070 ;
+        RECT 240.890 45.030 241.030 54.000 ;
+        RECT 241.750 51.510 242.010 51.830 ;
+        RECT 241.280 49.955 241.560 50.325 ;
+        RECT 241.290 49.810 241.550 49.955 ;
+        RECT 241.810 49.110 241.950 51.510 ;
+        RECT 242.270 50.130 242.410 54.000 ;
+        RECT 242.210 49.810 242.470 50.130 ;
+        RECT 241.750 48.790 242.010 49.110 ;
+        RECT 242.730 47.750 242.870 54.000 ;
+        RECT 243.120 52.675 243.400 53.045 ;
+        RECT 243.130 52.530 243.390 52.675 ;
+        RECT 245.030 51.830 245.170 54.000 ;
+        RECT 244.970 51.510 245.230 51.830 ;
+        RECT 243.120 49.275 243.400 49.645 ;
+        RECT 243.190 49.110 243.330 49.275 ;
+        RECT 243.130 48.790 243.390 49.110 ;
+        RECT 244.500 48.170 244.780 48.285 ;
+        RECT 243.650 48.030 244.780 48.170 ;
+        RECT 242.670 47.430 242.930 47.750 ;
+        RECT 243.650 47.605 243.790 48.030 ;
+        RECT 244.500 47.915 244.780 48.030 ;
+        RECT 243.580 47.235 243.860 47.605 ;
+        RECT 245.490 47.410 245.630 54.000 ;
+        RECT 243.650 47.070 243.790 47.235 ;
+        RECT 245.430 47.090 245.690 47.410 ;
+        RECT 243.590 46.750 243.850 47.070 ;
+        RECT 244.050 46.750 244.310 47.070 ;
+        RECT 244.110 46.130 244.250 46.750 ;
+        RECT 241.810 45.990 244.250 46.130 ;
+        RECT 240.830 44.710 241.090 45.030 ;
+        RECT 239.910 40.970 240.170 41.290 ;
+        RECT 238.070 39.270 238.330 39.590 ;
+        RECT 238.130 34.520 238.270 39.270 ;
+        RECT 241.810 34.520 241.950 45.990 ;
+        RECT 243.590 44.370 243.850 44.690 ;
+        RECT 243.650 42.650 243.790 44.370 ;
+        RECT 244.970 43.690 245.230 44.010 ;
+        RECT 243.590 42.330 243.850 42.650 ;
+        RECT 245.030 40.610 245.170 43.690 ;
+        RECT 244.970 40.290 245.230 40.610 ;
+        RECT 245.950 39.590 246.090 54.000 ;
+        RECT 246.350 49.130 246.610 49.450 ;
+        RECT 246.410 46.390 246.550 49.130 ;
+        RECT 247.330 48.090 247.470 54.000 ;
+        RECT 248.250 53.530 248.390 54.000 ;
+        RECT 248.190 53.210 248.450 53.530 ;
+        RECT 246.810 47.770 247.070 48.090 ;
+        RECT 247.270 47.770 247.530 48.090 ;
+        RECT 246.870 46.390 247.010 47.770 ;
+        RECT 246.350 46.070 246.610 46.390 ;
+        RECT 246.810 46.070 247.070 46.390 ;
+        RECT 247.330 45.030 247.470 47.770 ;
+        RECT 247.270 44.710 247.530 45.030 ;
+        RECT 248.710 44.940 248.850 54.000 ;
+        RECT 249.110 53.210 249.370 53.530 ;
+        RECT 249.170 52.510 249.310 53.210 ;
+        RECT 249.110 52.190 249.370 52.510 ;
+        RECT 249.570 52.190 249.830 52.510 ;
+        RECT 249.630 50.810 249.770 52.190 ;
+        RECT 250.090 51.570 250.230 54.000 ;
+        RECT 250.090 51.430 250.690 51.570 ;
+        RECT 249.570 50.490 249.830 50.810 ;
+        RECT 250.020 50.635 250.300 51.005 ;
+        RECT 250.030 50.490 250.290 50.635 ;
+        RECT 248.710 44.800 249.310 44.940 ;
+        RECT 245.890 39.270 246.150 39.590 ;
+        RECT 245.430 38.930 245.690 39.250 ;
+        RECT 245.490 34.520 245.630 38.930 ;
+        RECT 249.170 34.520 249.310 44.800 ;
+        RECT 250.550 39.250 250.690 51.430 ;
+        RECT 251.010 51.005 251.150 54.000 ;
+        RECT 251.470 51.830 251.610 54.000 ;
+        RECT 251.930 53.045 252.070 54.000 ;
+        RECT 251.860 52.675 252.140 53.045 ;
+        RECT 251.410 51.510 251.670 51.830 ;
+        RECT 251.870 51.510 252.130 51.830 ;
+        RECT 250.940 50.635 251.220 51.005 ;
+        RECT 251.930 47.070 252.070 51.510 ;
+        RECT 252.390 48.965 252.530 54.000 ;
+        RECT 252.850 53.190 252.990 54.000 ;
+        RECT 252.790 52.870 253.050 53.190 ;
+        RECT 253.770 51.830 253.910 54.000 ;
+        RECT 254.230 53.045 254.370 54.000 ;
+        RECT 254.160 52.675 254.440 53.045 ;
+        RECT 253.710 51.510 253.970 51.830 ;
+        RECT 252.790 49.810 253.050 50.130 ;
+        RECT 252.320 48.595 252.600 48.965 ;
+        RECT 252.320 47.235 252.600 47.605 ;
+        RECT 252.330 47.090 252.590 47.235 ;
+        RECT 251.870 46.750 252.130 47.070 ;
+        RECT 252.850 46.980 252.990 49.810 ;
+        RECT 254.230 48.850 254.370 52.675 ;
+        RECT 253.770 48.710 254.370 48.850 ;
+        RECT 253.770 47.750 253.910 48.710 ;
+        RECT 253.710 47.430 253.970 47.750 ;
+        RECT 253.250 46.980 253.510 47.070 ;
+        RECT 252.850 46.840 253.510 46.980 ;
+        RECT 253.250 46.750 253.510 46.840 ;
+        RECT 254.690 46.730 254.830 54.000 ;
+        RECT 254.630 46.410 254.890 46.730 ;
+        RECT 252.780 45.875 253.060 46.245 ;
+        RECT 250.490 38.930 250.750 39.250 ;
+        RECT 252.850 34.520 252.990 45.875 ;
+        RECT 255.150 39.930 255.290 54.000 ;
+        RECT 256.070 47.070 256.210 54.000 ;
+        RECT 256.470 52.530 256.730 52.850 ;
+        RECT 256.530 52.365 256.670 52.530 ;
+        RECT 256.460 51.995 256.740 52.365 ;
+        RECT 256.470 51.510 256.730 51.830 ;
+        RECT 256.990 51.570 257.130 54.000 ;
+        RECT 256.010 46.750 256.270 47.070 ;
+        RECT 256.530 46.730 256.670 51.510 ;
+        RECT 256.990 51.430 258.050 51.570 ;
+        RECT 256.930 50.490 257.190 50.810 ;
+        RECT 256.990 50.130 257.130 50.490 ;
+        RECT 257.910 50.470 258.050 51.430 ;
+        RECT 257.850 50.150 258.110 50.470 ;
+        RECT 256.930 49.810 257.190 50.130 ;
+        RECT 256.470 46.410 256.730 46.730 ;
+        RECT 257.850 44.370 258.110 44.690 ;
+        RECT 257.910 42.165 258.050 44.370 ;
+        RECT 258.370 44.010 258.510 54.000 ;
+        RECT 258.830 52.510 258.970 54.000 ;
+        RECT 258.770 52.190 259.030 52.510 ;
+        RECT 258.770 50.150 259.030 50.470 ;
+        RECT 258.830 44.690 258.970 50.150 ;
+        RECT 259.290 45.370 259.430 54.000 ;
+        RECT 259.750 50.810 259.890 54.000 ;
+        RECT 260.210 53.530 260.350 54.000 ;
+        RECT 260.150 53.210 260.410 53.530 ;
+        RECT 259.690 50.490 259.950 50.810 ;
+        RECT 260.670 50.130 260.810 54.000 ;
+        RECT 260.610 49.810 260.870 50.130 ;
+        RECT 259.690 49.470 259.950 49.790 ;
+        RECT 259.750 49.110 259.890 49.470 ;
+        RECT 259.690 48.790 259.950 49.110 ;
+        RECT 261.130 47.070 261.270 54.000 ;
+        RECT 261.520 53.355 261.800 53.725 ;
+        RECT 262.050 53.530 262.190 54.000 ;
+        RECT 261.590 52.760 261.730 53.355 ;
+        RECT 261.990 53.210 262.250 53.530 ;
+        RECT 261.990 52.760 262.250 52.850 ;
+        RECT 261.590 52.620 262.250 52.760 ;
+        RECT 261.990 52.530 262.250 52.620 ;
+        RECT 262.510 48.285 262.650 54.000 ;
+        RECT 262.970 53.725 263.110 54.000 ;
+        RECT 262.900 53.355 263.180 53.725 ;
+        RECT 262.970 50.325 263.110 53.355 ;
+        RECT 262.900 49.955 263.180 50.325 ;
+        RECT 262.440 47.915 262.720 48.285 ;
+        RECT 261.070 46.750 261.330 47.070 ;
+        RECT 262.510 46.390 262.650 47.915 ;
+        RECT 262.450 46.070 262.710 46.390 ;
+        RECT 259.230 45.050 259.490 45.370 ;
+        RECT 258.770 44.370 259.030 44.690 ;
+        RECT 258.310 43.690 258.570 44.010 ;
+        RECT 257.840 41.795 258.120 42.165 ;
+        RECT 255.090 39.610 255.350 39.930 ;
+        RECT 256.470 39.270 256.730 39.590 ;
+        RECT 256.530 34.520 256.670 39.270 ;
+        RECT 263.890 34.520 264.030 54.000 ;
+        RECT 264.350 47.750 264.490 54.000 ;
+        RECT 265.730 50.130 265.870 54.000 ;
+        RECT 266.190 53.045 266.330 54.000 ;
+        RECT 266.120 52.675 266.400 53.045 ;
+        RECT 265.670 49.810 265.930 50.130 ;
+        RECT 264.290 47.430 264.550 47.750 ;
+        RECT 266.650 47.605 266.790 54.000 ;
+        RECT 266.580 47.235 266.860 47.605 ;
+        RECT 264.750 43.350 265.010 43.670 ;
+        RECT 264.810 41.970 264.950 43.350 ;
+        RECT 264.750 41.650 265.010 41.970 ;
+        RECT 267.570 34.520 267.710 54.000 ;
+        RECT 268.030 47.605 268.170 54.000 ;
+        RECT 268.490 53.190 268.630 54.000 ;
+        RECT 268.430 52.870 268.690 53.190 ;
+        RECT 270.260 52.675 270.540 53.045 ;
+        RECT 270.330 52.510 270.470 52.675 ;
+        RECT 270.270 52.190 270.530 52.510 ;
+        RECT 270.790 50.325 270.930 54.000 ;
+        RECT 270.720 49.955 271.000 50.325 ;
+        RECT 270.270 49.470 270.530 49.790 ;
+        RECT 270.330 49.110 270.470 49.470 ;
+        RECT 270.270 48.790 270.530 49.110 ;
+        RECT 268.420 47.915 268.700 48.285 ;
+        RECT 268.430 47.770 268.690 47.915 ;
+        RECT 267.960 47.235 268.240 47.605 ;
+        RECT 268.030 44.350 268.170 47.235 ;
+        RECT 270.730 46.750 270.990 47.070 ;
+        RECT 270.790 44.690 270.930 46.750 ;
+        RECT 270.730 44.370 270.990 44.690 ;
+        RECT 267.970 44.030 268.230 44.350 ;
+        RECT 270.790 39.930 270.930 44.370 ;
+        RECT 270.730 39.610 270.990 39.930 ;
+        RECT 271.250 34.520 271.390 54.000 ;
+        RECT 272.170 50.130 272.310 54.000 ;
+        RECT 273.030 52.760 273.290 52.850 ;
+        RECT 274.010 52.760 274.150 54.000 ;
+        RECT 273.030 52.620 274.150 52.760 ;
+        RECT 273.030 52.530 273.290 52.620 ;
+        RECT 272.570 52.190 272.830 52.510 ;
+        RECT 272.630 50.810 272.770 52.190 ;
+        RECT 272.570 50.490 272.830 50.810 ;
+        RECT 272.110 49.810 272.370 50.130 ;
+        RECT 274.010 41.970 274.150 52.620 ;
+        RECT 274.470 52.510 274.610 54.000 ;
+        RECT 276.240 53.355 276.520 53.725 ;
+        RECT 275.320 52.675 275.600 53.045 ;
+        RECT 275.390 52.510 275.530 52.675 ;
+        RECT 274.410 52.190 274.670 52.510 ;
+        RECT 275.330 52.190 275.590 52.510 ;
+        RECT 274.870 51.510 275.130 51.830 ;
+        RECT 274.410 48.790 274.670 49.110 ;
+        RECT 274.470 47.750 274.610 48.790 ;
+        RECT 274.410 47.430 274.670 47.750 ;
+        RECT 273.950 41.650 274.210 41.970 ;
+        RECT 274.930 34.520 275.070 51.510 ;
+        RECT 275.790 50.150 276.050 50.470 ;
+        RECT 275.850 48.285 275.990 50.150 ;
+        RECT 276.310 49.790 276.450 53.355 ;
+        RECT 276.250 49.470 276.510 49.790 ;
+        RECT 276.250 48.790 276.510 49.110 ;
+        RECT 275.780 47.915 276.060 48.285 ;
+        RECT 276.310 48.090 276.450 48.790 ;
+        RECT 276.250 47.770 276.510 48.090 ;
+        RECT 275.790 46.750 276.050 47.070 ;
+        RECT 275.850 44.350 275.990 46.750 ;
+        RECT 275.790 44.030 276.050 44.350 ;
+        RECT 276.770 39.590 276.910 54.000 ;
+        RECT 277.230 52.850 277.370 54.000 ;
+        RECT 277.690 52.850 277.830 54.000 ;
+        RECT 277.170 52.530 277.430 52.850 ;
+        RECT 277.630 52.530 277.890 52.850 ;
+        RECT 276.710 39.270 276.970 39.590 ;
+        RECT 278.610 34.520 278.750 54.000 ;
+        RECT 279.990 53.530 280.130 54.000 ;
+        RECT 279.470 53.210 279.730 53.530 ;
+        RECT 279.930 53.210 280.190 53.530 ;
+        RECT 279.530 44.690 279.670 53.210 ;
+        RECT 280.450 51.830 280.590 54.000 ;
+        RECT 281.770 51.850 282.030 52.170 ;
+        RECT 280.390 51.510 280.650 51.830 ;
+        RECT 280.390 49.810 280.650 50.130 ;
+        RECT 279.470 44.370 279.730 44.690 ;
+        RECT 280.450 40.950 280.590 49.810 ;
+        RECT 280.390 40.630 280.650 40.950 ;
+        RECT 281.830 39.590 281.970 51.850 ;
+        RECT 282.290 50.130 282.430 54.000 ;
+        RECT 282.750 53.045 282.890 54.000 ;
+        RECT 282.680 52.675 282.960 53.045 ;
+        RECT 284.070 52.760 284.330 52.850 ;
+        RECT 282.750 52.510 282.890 52.675 ;
+        RECT 284.070 52.620 285.190 52.760 ;
+        RECT 284.070 52.530 284.330 52.620 ;
+        RECT 282.690 52.190 282.950 52.510 ;
+        RECT 283.610 52.190 283.870 52.510 ;
+        RECT 282.690 50.490 282.950 50.810 ;
+        RECT 282.230 49.810 282.490 50.130 ;
+        RECT 282.230 42.330 282.490 42.650 ;
+        RECT 281.770 39.270 282.030 39.590 ;
+        RECT 282.290 34.520 282.430 42.330 ;
+        RECT 282.750 38.570 282.890 50.490 ;
+        RECT 283.150 49.810 283.410 50.130 ;
+        RECT 283.210 49.110 283.350 49.810 ;
+        RECT 283.150 48.790 283.410 49.110 ;
+        RECT 283.670 48.090 283.810 52.190 ;
+        RECT 285.050 50.130 285.190 52.620 ;
+        RECT 285.450 52.190 285.710 52.510 ;
+        RECT 285.510 51.685 285.650 52.190 ;
+        RECT 286.430 52.170 286.570 54.000 ;
+        RECT 286.370 51.850 286.630 52.170 ;
+        RECT 285.440 51.315 285.720 51.685 ;
+        RECT 286.890 51.570 287.030 54.000 ;
+        RECT 287.810 52.760 287.950 54.000 ;
+        RECT 288.210 52.760 288.470 52.850 ;
+        RECT 287.810 52.620 288.470 52.760 ;
+        RECT 288.210 52.530 288.470 52.620 ;
+        RECT 288.730 52.250 288.870 54.000 ;
+        RECT 290.570 53.530 290.710 54.000 ;
+        RECT 290.510 53.210 290.770 53.530 ;
+        RECT 285.970 51.430 287.030 51.570 ;
+        RECT 287.350 52.110 288.870 52.250 ;
+        RECT 284.990 49.810 285.250 50.130 ;
+        RECT 283.610 47.770 283.870 48.090 ;
+        RECT 284.070 46.925 284.330 47.070 ;
+        RECT 284.060 46.555 284.340 46.925 ;
+        RECT 284.530 46.070 284.790 46.390 ;
+        RECT 285.450 46.070 285.710 46.390 ;
+        RECT 282.690 38.250 282.950 38.570 ;
+        RECT 284.590 34.520 284.730 46.070 ;
+        RECT 285.510 40.270 285.650 46.070 ;
+        RECT 285.450 39.950 285.710 40.270 ;
+        RECT 285.970 34.520 286.110 51.430 ;
+        RECT 286.360 50.635 286.640 51.005 ;
+        RECT 286.430 39.250 286.570 50.635 ;
+        RECT 286.830 46.410 287.090 46.730 ;
+        RECT 286.370 38.930 286.630 39.250 ;
+        RECT 286.890 34.520 287.030 46.410 ;
+        RECT 287.350 42.310 287.490 52.110 ;
+        RECT 287.750 51.510 288.010 51.830 ;
+        RECT 287.810 50.130 287.950 51.510 ;
+        RECT 288.450 50.920 289.930 51.400 ;
+        RECT 290.500 50.890 290.780 51.005 ;
+        RECT 290.110 50.750 290.780 50.890 ;
+        RECT 291.950 50.810 292.090 54.000 ;
+        RECT 292.340 52.675 292.620 53.045 ;
+        RECT 292.410 50.810 292.550 52.675 ;
+        RECT 287.750 49.810 288.010 50.130 ;
+        RECT 287.750 49.130 288.010 49.450 ;
+        RECT 287.290 41.990 287.550 42.310 ;
+        RECT 287.810 38.650 287.950 49.130 ;
+        RECT 289.120 47.915 289.400 48.285 ;
+        RECT 289.190 47.070 289.330 47.915 ;
+        RECT 290.110 47.605 290.250 50.750 ;
+        RECT 290.500 50.635 290.780 50.750 ;
+        RECT 291.890 50.490 292.150 50.810 ;
+        RECT 292.350 50.490 292.610 50.810 ;
+        RECT 292.810 49.810 293.070 50.130 ;
+        RECT 290.510 48.790 290.770 49.110 ;
+        RECT 292.350 48.790 292.610 49.110 ;
+        RECT 290.040 47.235 290.320 47.605 ;
+        RECT 289.130 46.750 289.390 47.070 ;
+        RECT 288.450 45.480 289.930 45.960 ;
+        RECT 288.660 44.515 288.940 44.885 ;
+        RECT 288.670 44.370 288.930 44.515 ;
+        RECT 289.590 41.990 289.850 42.310 ;
+        RECT 287.810 38.510 288.410 38.650 ;
+        RECT 288.270 34.520 288.410 38.510 ;
+        RECT 289.650 34.520 289.790 41.990 ;
+        RECT 290.570 34.520 290.710 48.790 ;
+        RECT 290.960 47.235 291.240 47.605 ;
+        RECT 292.410 47.410 292.550 48.790 ;
+        RECT 291.030 47.070 291.170 47.235 ;
+        RECT 292.350 47.090 292.610 47.410 ;
+        RECT 290.970 46.750 291.230 47.070 ;
+        RECT 292.870 46.245 293.010 49.810 ;
+        RECT 293.330 47.410 293.470 54.000 ;
+        RECT 294.250 50.130 294.390 54.000 ;
+        RECT 295.170 50.470 295.310 54.000 ;
+        RECT 295.630 52.510 295.770 54.000 ;
+        RECT 295.570 52.190 295.830 52.510 ;
+        RECT 295.110 50.150 295.370 50.470 ;
+        RECT 294.190 49.810 294.450 50.130 ;
+        RECT 295.110 49.130 295.370 49.450 ;
+        RECT 293.270 47.090 293.530 47.410 ;
+        RECT 292.800 45.875 293.080 46.245 ;
+        RECT 293.730 45.050 293.990 45.370 ;
+        RECT 292.350 44.710 292.610 45.030 ;
+        RECT 292.810 44.885 293.070 45.030 ;
+        RECT 292.410 39.250 292.550 44.710 ;
+        RECT 292.800 44.515 293.080 44.885 ;
+        RECT 293.790 44.770 293.930 45.050 ;
+        RECT 295.170 44.885 295.310 49.130 ;
+        RECT 296.090 46.245 296.230 54.000 ;
+        RECT 296.550 49.790 296.690 54.000 ;
+        RECT 296.490 49.470 296.750 49.790 ;
+        RECT 296.020 45.875 296.300 46.245 ;
+        RECT 293.790 44.630 294.390 44.770 ;
+        RECT 292.810 44.030 293.070 44.350 ;
+        RECT 292.870 39.930 293.010 44.030 ;
+        RECT 292.810 39.610 293.070 39.930 ;
+        RECT 293.270 39.610 293.530 39.930 ;
+        RECT 291.890 38.930 292.150 39.250 ;
+        RECT 292.350 38.930 292.610 39.250 ;
+        RECT 291.950 34.520 292.090 38.930 ;
+        RECT 293.330 34.520 293.470 39.610 ;
+        RECT 294.250 34.520 294.390 44.630 ;
+        RECT 295.100 44.515 295.380 44.885 ;
+        RECT 297.010 34.520 297.150 54.000 ;
+        RECT 298.390 53.725 298.530 54.000 ;
+        RECT 298.320 53.355 298.600 53.725 ;
+        RECT 298.390 52.930 298.530 53.355 ;
+        RECT 298.390 52.790 298.990 52.930 ;
+        RECT 298.330 52.365 298.590 52.510 ;
+        RECT 298.320 52.250 298.600 52.365 ;
+        RECT 297.930 52.110 298.600 52.250 ;
+        RECT 297.930 45.370 298.070 52.110 ;
+        RECT 298.320 51.995 298.600 52.110 ;
+        RECT 298.330 46.750 298.590 47.070 ;
+        RECT 297.870 45.050 298.130 45.370 ;
+        RECT 298.390 44.350 298.530 46.750 ;
+        RECT 298.850 44.690 298.990 52.790 ;
+        RECT 299.250 49.810 299.510 50.130 ;
+        RECT 299.310 45.370 299.450 49.810 ;
+        RECT 299.770 47.070 299.910 54.000 ;
+        RECT 299.710 46.750 299.970 47.070 ;
+        RECT 299.250 45.050 299.510 45.370 ;
+        RECT 298.790 44.370 299.050 44.690 ;
+        RECT 298.330 44.030 298.590 44.350 ;
+        RECT 298.390 43.670 298.530 44.030 ;
+        RECT 298.330 43.350 298.590 43.670 ;
+        RECT 299.250 39.270 299.510 39.590 ;
+        RECT 297.870 38.930 298.130 39.250 ;
+        RECT 297.930 34.520 298.070 38.930 ;
+        RECT 299.310 34.520 299.450 39.270 ;
+        RECT 300.690 34.520 300.830 54.000 ;
+        RECT 301.150 42.310 301.290 54.000 ;
+        RECT 302.990 53.190 303.130 54.000 ;
+        RECT 302.930 52.870 303.190 53.190 ;
+        RECT 303.450 53.045 303.590 54.000 ;
+        RECT 303.380 52.675 303.660 53.045 ;
+        RECT 303.450 50.130 303.590 52.675 ;
+        RECT 303.390 49.810 303.650 50.130 ;
+        RECT 302.930 46.070 303.190 46.390 ;
+        RECT 302.010 44.030 302.270 44.350 ;
+        RECT 301.090 41.990 301.350 42.310 ;
+        RECT 302.070 41.970 302.210 44.030 ;
+        RECT 302.010 41.650 302.270 41.970 ;
+        RECT 302.990 34.520 303.130 46.070 ;
+        RECT 303.910 39.930 304.050 54.000 ;
+        RECT 304.310 49.470 304.570 49.790 ;
+        RECT 303.850 39.610 304.110 39.930 ;
+        RECT 304.370 34.520 304.510 49.470 ;
+        RECT 304.830 46.640 304.970 54.000 ;
+        RECT 305.290 46.980 305.430 54.000 ;
+        RECT 306.600 48.595 306.880 48.965 ;
+        RECT 306.150 46.980 306.410 47.070 ;
+        RECT 305.290 46.840 306.410 46.980 ;
+        RECT 306.150 46.750 306.410 46.840 ;
+        RECT 304.830 46.500 305.890 46.640 ;
+        RECT 305.750 45.370 305.890 46.500 ;
+        RECT 306.210 45.565 306.350 46.750 ;
+        RECT 305.230 45.050 305.490 45.370 ;
+        RECT 305.690 45.050 305.950 45.370 ;
+        RECT 306.140 45.195 306.420 45.565 ;
+        RECT 305.290 34.520 305.430 45.050 ;
+        RECT 306.210 44.690 306.350 45.195 ;
+        RECT 306.150 44.370 306.410 44.690 ;
+        RECT 306.670 34.520 306.810 48.595 ;
+        RECT 308.050 34.520 308.190 54.000 ;
+        RECT 309.890 52.510 310.030 54.000 ;
+        RECT 308.910 52.420 309.170 52.510 ;
+        RECT 309.830 52.420 310.090 52.510 ;
+        RECT 308.910 52.280 310.090 52.420 ;
+        RECT 308.910 52.190 309.170 52.280 ;
+        RECT 309.830 52.190 310.090 52.280 ;
+        RECT 308.910 51.510 309.170 51.830 ;
+        RECT 308.450 43.350 308.710 43.670 ;
+        RECT 308.510 40.610 308.650 43.350 ;
+        RECT 308.450 40.290 308.710 40.610 ;
+        RECT 308.970 34.520 309.110 51.510 ;
+        RECT 310.280 51.315 310.560 51.685 ;
+        RECT 309.830 47.430 310.090 47.750 ;
+        RECT 309.890 43.670 310.030 47.430 ;
+        RECT 309.830 43.350 310.090 43.670 ;
+        RECT 310.350 34.520 310.490 51.315 ;
+        RECT 310.810 49.790 310.950 54.000 ;
+        RECT 311.670 52.190 311.930 52.510 ;
+        RECT 311.730 51.005 311.870 52.190 ;
+        RECT 312.190 51.830 312.330 54.000 ;
+        RECT 312.130 51.510 312.390 51.830 ;
+        RECT 311.660 50.635 311.940 51.005 ;
+        RECT 310.750 49.470 311.010 49.790 ;
+        RECT 311.670 47.770 311.930 48.090 ;
+        RECT 311.210 46.070 311.470 46.390 ;
+        RECT 311.270 40.610 311.410 46.070 ;
+        RECT 311.210 40.290 311.470 40.610 ;
+        RECT 311.730 34.520 311.870 47.770 ;
+        RECT 312.650 46.390 312.790 54.000 ;
+        RECT 312.590 46.070 312.850 46.390 ;
+        RECT 312.590 44.710 312.850 45.030 ;
+        RECT 312.650 34.520 312.790 44.710 ;
+        RECT 313.570 44.010 313.710 54.000 ;
+        RECT 313.510 43.690 313.770 44.010 ;
+        RECT 314.030 34.520 314.170 54.000 ;
+        RECT 317.180 53.355 317.460 53.725 ;
+        RECT 315.350 52.530 315.610 52.850 ;
+        RECT 314.890 48.790 315.150 49.110 ;
+        RECT 314.950 47.070 315.090 48.790 ;
+        RECT 314.430 46.750 314.690 47.070 ;
+        RECT 314.890 46.750 315.150 47.070 ;
+        RECT 314.490 45.565 314.630 46.750 ;
+        RECT 314.420 45.195 314.700 45.565 ;
+        RECT 315.410 34.520 315.550 52.530 ;
+        RECT 317.250 52.510 317.390 53.355 ;
+        RECT 317.190 52.190 317.450 52.510 ;
+        RECT 317.710 48.090 317.850 54.000 ;
+        RECT 318.170 52.510 318.310 54.000 ;
+        RECT 320.930 52.850 321.070 54.000 ;
+        RECT 320.870 52.530 321.130 52.850 ;
+        RECT 318.110 52.190 318.370 52.510 ;
+        RECT 318.110 51.510 318.370 51.830 ;
+        RECT 318.570 51.510 318.830 51.830 ;
+        RECT 318.170 50.810 318.310 51.510 ;
+        RECT 318.110 50.490 318.370 50.810 ;
+        RECT 317.650 47.770 317.910 48.090 ;
+        RECT 316.270 47.090 316.530 47.410 ;
+        RECT 315.810 44.030 316.070 44.350 ;
+        RECT 315.870 40.950 316.010 44.030 ;
+        RECT 315.810 40.630 316.070 40.950 ;
+        RECT 316.330 34.520 316.470 47.090 ;
+        RECT 318.630 46.925 318.770 51.510 ;
+        RECT 319.030 50.150 319.290 50.470 ;
+        RECT 318.560 46.555 318.840 46.925 ;
+        RECT 317.650 43.350 317.910 43.670 ;
+        RECT 317.710 34.520 317.850 43.350 ;
+        RECT 319.090 34.520 319.230 50.150 ;
+        RECT 321.320 49.955 321.600 50.325 ;
+        RECT 319.950 43.690 320.210 44.010 ;
+        RECT 320.010 34.520 320.150 43.690 ;
+        RECT 321.390 34.520 321.530 49.955 ;
+        RECT 322.770 34.520 322.910 54.000 ;
+        RECT 323.690 52.510 323.830 54.000 ;
+        RECT 323.630 52.190 323.890 52.510 ;
+        RECT 324.150 46.390 324.290 54.000 ;
+        RECT 325.530 50.470 325.670 54.000 ;
+        RECT 325.990 50.470 326.130 54.000 ;
+        RECT 326.380 52.675 326.660 53.045 ;
+        RECT 325.470 50.150 325.730 50.470 ;
+        RECT 325.930 50.150 326.190 50.470 ;
+        RECT 325.930 47.770 326.190 48.090 ;
+        RECT 324.090 46.070 324.350 46.390 ;
+        RECT 325.470 46.070 325.730 46.390 ;
+        RECT 325.530 44.885 325.670 46.070 ;
+        RECT 325.460 44.515 325.740 44.885 ;
+        RECT 323.630 40.290 323.890 40.610 ;
+        RECT 323.690 34.520 323.830 40.290 ;
+        RECT 325.010 38.250 325.270 38.570 ;
+        RECT 325.070 34.520 325.210 38.250 ;
+        RECT 325.990 37.290 326.130 47.770 ;
+        RECT 326.450 47.070 326.590 52.675 ;
+        RECT 326.850 52.530 327.110 52.850 ;
+        RECT 326.910 50.130 327.050 52.530 ;
+        RECT 327.370 51.830 327.510 54.000 ;
+        RECT 327.830 53.610 327.970 54.000 ;
+        RECT 327.830 53.470 328.430 53.610 ;
+        RECT 328.750 53.530 328.890 54.000 ;
+        RECT 327.770 53.045 328.030 53.190 ;
+        RECT 327.760 52.675 328.040 53.045 ;
+        RECT 328.290 52.930 328.430 53.470 ;
+        RECT 328.690 53.210 328.950 53.530 ;
+        RECT 328.290 52.790 328.890 52.930 ;
+        RECT 329.670 52.850 329.810 54.000 ;
+        RECT 327.770 52.190 328.030 52.510 ;
+        RECT 327.310 51.510 327.570 51.830 ;
+        RECT 326.850 49.810 327.110 50.130 ;
+        RECT 327.310 48.790 327.570 49.110 ;
+        RECT 327.830 48.965 327.970 52.190 ;
+        RECT 328.230 51.510 328.490 51.830 ;
+        RECT 328.290 50.470 328.430 51.510 ;
+        RECT 328.230 50.150 328.490 50.470 ;
+        RECT 326.390 46.750 326.650 47.070 ;
+        RECT 326.450 45.030 326.590 46.750 ;
+        RECT 326.390 44.710 326.650 45.030 ;
+        RECT 325.990 37.150 326.590 37.290 ;
+        RECT 326.450 34.520 326.590 37.150 ;
+        RECT 327.370 34.520 327.510 48.790 ;
+        RECT 327.760 48.595 328.040 48.965 ;
+        RECT 328.750 34.520 328.890 52.790 ;
+        RECT 329.610 52.530 329.870 52.850 ;
+        RECT 330.130 52.510 330.270 54.000 ;
+        RECT 329.150 52.190 329.410 52.510 ;
+        RECT 330.070 52.190 330.330 52.510 ;
+        RECT 329.210 40.610 329.350 52.190 ;
+        RECT 330.130 51.830 330.270 52.190 ;
+        RECT 330.070 51.740 330.330 51.830 ;
+        RECT 329.670 51.600 330.330 51.740 ;
+        RECT 329.670 42.310 329.810 51.600 ;
+        RECT 330.070 51.510 330.330 51.600 ;
+        RECT 331.050 49.700 331.190 54.000 ;
+        RECT 331.440 52.675 331.720 53.045 ;
+        RECT 331.510 50.130 331.650 52.675 ;
+        RECT 331.970 52.365 332.110 54.000 ;
+        RECT 332.430 52.510 332.570 54.000 ;
+        RECT 331.900 51.995 332.180 52.365 ;
+        RECT 332.370 52.190 332.630 52.510 ;
+        RECT 332.830 52.190 333.090 52.510 ;
+        RECT 332.890 51.830 333.030 52.190 ;
+        RECT 332.830 51.510 333.090 51.830 ;
+        RECT 331.910 50.490 332.170 50.810 ;
+        RECT 331.450 49.810 331.710 50.130 ;
+        RECT 330.130 49.560 331.190 49.700 ;
+        RECT 329.610 41.990 329.870 42.310 ;
+        RECT 329.150 40.290 329.410 40.610 ;
+        RECT 330.130 34.520 330.270 49.560 ;
+        RECT 330.990 46.410 331.250 46.730 ;
+        RECT 331.050 34.520 331.190 46.410 ;
+        RECT 331.970 44.885 332.110 50.490 ;
+        RECT 333.810 48.090 333.950 54.000 ;
+        RECT 334.210 51.850 334.470 52.170 ;
+        RECT 334.270 48.090 334.410 51.850 ;
+        RECT 333.750 47.770 334.010 48.090 ;
+        RECT 334.210 47.770 334.470 48.090 ;
+        RECT 332.370 47.430 332.630 47.750 ;
+        RECT 334.730 47.490 334.870 54.000 ;
+        RECT 335.190 52.850 335.330 54.000 ;
+        RECT 335.130 52.530 335.390 52.850 ;
+        RECT 331.900 44.515 332.180 44.885 ;
+        RECT 332.430 34.520 332.570 47.430 ;
+        RECT 333.810 47.350 334.870 47.490 ;
+        RECT 332.830 46.750 333.090 47.070 ;
+        RECT 333.290 46.750 333.550 47.070 ;
+        RECT 332.890 39.930 333.030 46.750 ;
+        RECT 333.350 43.670 333.490 46.750 ;
+        RECT 333.290 43.350 333.550 43.670 ;
+        RECT 332.830 39.610 333.090 39.930 ;
+        RECT 333.810 34.520 333.950 47.350 ;
+        RECT 334.210 46.410 334.470 46.730 ;
+        RECT 334.270 46.245 334.410 46.410 ;
+        RECT 335.650 46.300 335.790 54.000 ;
+        RECT 336.110 51.830 336.250 54.000 ;
+        RECT 336.050 51.510 336.310 51.830 ;
+        RECT 336.050 49.810 336.310 50.130 ;
+        RECT 334.200 45.875 334.480 46.245 ;
+        RECT 334.730 46.160 335.790 46.300 ;
+        RECT 334.730 34.520 334.870 46.160 ;
+        RECT 336.110 34.520 336.250 49.810 ;
+        RECT 336.570 44.690 336.710 54.000 ;
+        RECT 336.510 44.370 336.770 44.690 ;
+        RECT 337.030 44.090 337.170 54.000 ;
+        RECT 337.490 50.130 337.630 54.000 ;
+        RECT 337.950 50.325 338.090 54.000 ;
+        RECT 337.430 49.810 337.690 50.130 ;
+        RECT 337.880 49.955 338.160 50.325 ;
+        RECT 337.950 48.285 338.090 49.955 ;
+        RECT 337.880 47.915 338.160 48.285 ;
+        RECT 338.410 47.410 338.550 54.000 ;
+        RECT 339.720 48.595 340.000 48.965 ;
+        RECT 338.350 47.090 338.610 47.410 ;
+        RECT 339.790 46.390 339.930 48.595 ;
+        RECT 340.190 46.980 340.450 47.070 ;
+        RECT 340.710 46.980 340.850 54.000 ;
+        RECT 340.190 46.840 340.850 46.980 ;
+        RECT 340.190 46.750 340.450 46.840 ;
+        RECT 338.810 46.070 339.070 46.390 ;
+        RECT 339.730 46.070 339.990 46.390 ;
+        RECT 338.870 45.450 339.010 46.070 ;
+        RECT 340.640 45.875 340.920 46.245 ;
+        RECT 338.870 45.310 339.930 45.450 ;
+        RECT 336.570 43.950 337.170 44.090 ;
+        RECT 336.570 40.950 336.710 43.950 ;
+        RECT 338.350 43.690 338.610 44.010 ;
+        RECT 336.970 43.350 337.230 43.670 ;
+        RECT 337.030 42.650 337.170 43.350 ;
+        RECT 336.970 42.330 337.230 42.650 ;
+        RECT 336.510 40.630 336.770 40.950 ;
+        RECT 338.410 34.520 338.550 43.690 ;
+        RECT 339.790 34.520 339.930 45.310 ;
+        RECT 340.710 34.520 340.850 45.875 ;
+        RECT 341.170 40.270 341.310 54.000 ;
+        RECT 341.560 51.995 341.840 52.365 ;
+        RECT 341.630 47.070 341.770 51.995 ;
+        RECT 341.570 46.750 341.830 47.070 ;
+        RECT 342.550 46.730 342.690 54.000 ;
+        RECT 342.950 52.870 343.210 53.190 ;
+        RECT 343.010 52.365 343.150 52.870 ;
+        RECT 343.470 52.510 343.610 54.000 ;
+        RECT 342.940 51.995 343.220 52.365 ;
+        RECT 343.410 52.190 343.670 52.510 ;
+        RECT 343.410 49.700 343.670 49.790 ;
+        RECT 343.410 49.560 344.070 49.700 ;
+        RECT 343.410 49.470 343.670 49.560 ;
+        RECT 342.950 48.790 343.210 49.110 ;
+        RECT 343.930 48.965 344.070 49.560 ;
+        RECT 344.390 49.450 344.530 54.000 ;
+        RECT 345.310 50.470 345.450 54.000 ;
+        RECT 346.230 52.850 346.370 54.000 ;
+        RECT 346.170 52.530 346.430 52.850 ;
+        RECT 345.250 50.150 345.510 50.470 ;
+        RECT 344.330 49.130 344.590 49.450 ;
+        RECT 343.010 48.090 343.150 48.790 ;
+        RECT 343.860 48.595 344.140 48.965 ;
+        RECT 342.950 47.770 343.210 48.090 ;
+        RECT 342.490 46.410 342.750 46.730 ;
+        RECT 345.710 46.070 345.970 46.390 ;
+        RECT 345.250 44.370 345.510 44.690 ;
+        RECT 342.950 44.030 343.210 44.350 ;
+        RECT 343.010 41.290 343.150 44.030 ;
+        RECT 344.330 41.650 344.590 41.970 ;
+        RECT 342.950 40.970 343.210 41.290 ;
+        RECT 341.110 39.950 341.370 40.270 ;
+        RECT 342.030 39.610 342.290 39.930 ;
+        RECT 342.090 34.520 342.230 39.610 ;
+        RECT 344.390 34.520 344.530 41.650 ;
+        RECT 345.310 41.630 345.450 44.370 ;
+        RECT 345.250 41.310 345.510 41.630 ;
+        RECT 345.770 34.520 345.910 46.070 ;
+        RECT 347.150 34.520 347.290 54.000 ;
+        RECT 348.070 50.810 348.210 54.000 ;
+        RECT 348.010 50.490 348.270 50.810 ;
+        RECT 347.550 50.150 347.810 50.470 ;
+        RECT 347.610 47.070 347.750 50.150 ;
+        RECT 347.550 46.750 347.810 47.070 ;
+        RECT 348.530 46.245 348.670 54.000 ;
+        RECT 350.310 53.210 350.570 53.530 ;
+        RECT 350.370 49.110 350.510 53.210 ;
+        RECT 351.750 52.510 351.890 54.000 ;
+        RECT 352.670 53.190 352.810 54.000 ;
+        RECT 352.610 52.870 352.870 53.190 ;
+        RECT 351.690 52.190 351.950 52.510 ;
+        RECT 353.130 50.130 353.270 54.000 ;
+        RECT 353.590 52.510 353.730 54.000 ;
+        RECT 353.530 52.190 353.790 52.510 ;
+        RECT 353.070 49.810 353.330 50.130 ;
+        RECT 353.070 49.130 353.330 49.450 ;
+        RECT 350.310 48.790 350.570 49.110 ;
+        RECT 350.770 47.090 351.030 47.410 ;
+        RECT 348.460 45.875 348.740 46.245 ;
+        RECT 348.010 37.570 348.270 37.890 ;
+        RECT 348.070 34.520 348.210 37.570 ;
+        RECT 350.830 34.520 350.970 47.090 ;
+        RECT 352.610 46.070 352.870 46.390 ;
+        RECT 352.150 44.370 352.410 44.690 ;
+        RECT 352.210 42.310 352.350 44.370 ;
+        RECT 352.150 41.990 352.410 42.310 ;
+        RECT 352.670 39.330 352.810 46.070 ;
+        RECT 351.750 39.190 352.810 39.330 ;
+        RECT 351.750 34.520 351.890 39.190 ;
+        RECT 353.130 34.520 353.270 49.130 ;
+        RECT 353.530 46.750 353.790 47.070 ;
+        RECT 353.590 45.565 353.730 46.750 ;
+        RECT 353.520 45.195 353.800 45.565 ;
+        RECT 354.510 34.520 354.650 54.000 ;
+        RECT 357.730 52.850 357.870 54.000 ;
+        RECT 357.670 52.530 357.930 52.850 ;
+        RECT 358.190 52.510 358.330 54.000 ;
+        RECT 358.590 52.530 358.850 52.850 ;
+        RECT 358.130 52.190 358.390 52.510 ;
+        RECT 354.910 51.510 355.170 51.830 ;
+        RECT 354.970 50.810 355.110 51.510 ;
+        RECT 354.910 50.490 355.170 50.810 ;
+        RECT 357.670 48.790 357.930 49.110 ;
+        RECT 356.750 46.410 357.010 46.730 ;
+        RECT 356.810 34.520 356.950 46.410 ;
+        RECT 357.730 46.390 357.870 48.790 ;
+        RECT 357.670 46.070 357.930 46.390 ;
+        RECT 358.190 45.370 358.330 52.190 ;
+        RECT 357.210 45.050 357.470 45.370 ;
+        RECT 358.130 45.050 358.390 45.370 ;
+        RECT 357.270 42.650 357.410 45.050 ;
+        RECT 358.650 44.885 358.790 52.530 ;
+        RECT 359.570 52.170 359.710 54.000 ;
+        RECT 359.510 51.850 359.770 52.170 ;
+        RECT 359.050 50.150 359.310 50.470 ;
+        RECT 358.130 44.370 358.390 44.690 ;
+        RECT 358.580 44.515 358.860 44.885 ;
+        RECT 358.590 44.370 358.850 44.515 ;
+        RECT 358.190 43.670 358.330 44.370 ;
+        RECT 358.130 43.350 358.390 43.670 ;
+        RECT 357.210 42.330 357.470 42.650 ;
+        RECT 358.130 40.630 358.390 40.950 ;
+        RECT 358.190 34.520 358.330 40.630 ;
+        RECT 359.110 34.520 359.250 50.150 ;
+        RECT 360.430 49.470 360.690 49.790 ;
+        RECT 359.510 48.790 359.770 49.110 ;
+        RECT 359.570 37.890 359.710 48.790 ;
+        RECT 359.510 37.570 359.770 37.890 ;
+        RECT 360.490 34.520 360.630 49.470 ;
+        RECT 362.260 48.595 362.540 48.965 ;
+        RECT 362.330 48.090 362.470 48.595 ;
+        RECT 361.810 47.770 362.070 48.090 ;
+        RECT 362.270 47.770 362.530 48.090 ;
+        RECT 361.870 34.520 362.010 47.770 ;
+        RECT 362.790 34.520 362.930 54.000 ;
+        RECT 364.170 34.520 364.310 54.000 ;
+        RECT 364.630 42.560 364.770 54.000 ;
+        RECT 365.250 53.640 366.730 54.000 ;
+        RECT 368.710 52.190 368.970 52.510 ;
+        RECT 368.770 50.130 368.910 52.190 ;
+        RECT 368.710 49.810 368.970 50.130 ;
+        RECT 366.870 49.130 367.130 49.450 ;
+        RECT 365.250 48.200 366.730 48.680 ;
+        RECT 365.250 42.760 366.730 43.240 ;
+        RECT 364.630 42.420 365.690 42.560 ;
+        RECT 365.550 34.520 365.690 42.420 ;
+        RECT 366.930 39.330 367.070 49.130 ;
+        RECT 368.770 46.980 368.910 49.810 ;
+        RECT 370.610 47.410 370.750 54.000 ;
+        RECT 371.990 53.530 372.130 54.000 ;
+        RECT 371.930 53.210 372.190 53.530 ;
+        RECT 372.910 52.510 373.050 54.000 ;
+        RECT 375.150 52.530 375.410 52.850 ;
+        RECT 372.850 52.420 373.110 52.510 ;
+        RECT 372.850 52.280 374.430 52.420 ;
+        RECT 372.850 52.190 373.110 52.280 ;
+        RECT 374.290 50.210 374.430 52.280 ;
+        RECT 374.690 50.720 374.950 50.810 ;
+        RECT 375.210 50.720 375.350 52.530 ;
+        RECT 376.130 50.810 376.270 54.000 ;
+        RECT 382.050 53.210 382.310 53.530 ;
+        RECT 377.450 52.870 377.710 53.190 ;
+        RECT 376.520 51.995 376.800 52.365 ;
+        RECT 374.690 50.580 375.350 50.720 ;
+        RECT 374.690 50.490 374.950 50.580 ;
+        RECT 376.070 50.490 376.330 50.810 ;
+        RECT 374.290 50.130 375.350 50.210 ;
+        RECT 374.290 50.070 375.410 50.130 ;
+        RECT 375.150 49.810 375.410 50.070 ;
+        RECT 370.550 47.090 370.810 47.410 ;
+        RECT 373.770 47.090 374.030 47.410 ;
+        RECT 369.170 46.980 369.430 47.070 ;
+        RECT 368.770 46.840 369.430 46.980 ;
+        RECT 369.170 46.750 369.430 46.840 ;
+        RECT 367.790 46.410 368.050 46.730 ;
+        RECT 366.470 39.190 367.070 39.330 ;
+        RECT 366.470 34.520 366.610 39.190 ;
+        RECT 367.850 34.520 367.990 46.410 ;
+        RECT 370.090 46.070 370.350 46.390 ;
+        RECT 369.170 40.290 369.430 40.610 ;
+        RECT 369.230 34.520 369.370 40.290 ;
+        RECT 370.150 34.520 370.290 46.070 ;
+        RECT 371.470 43.350 371.730 43.670 ;
+        RECT 371.530 34.520 371.670 43.350 ;
+        RECT 372.850 39.950 373.110 40.270 ;
+        RECT 372.910 34.520 373.050 39.950 ;
+        RECT 373.830 34.520 373.970 47.090 ;
+        RECT 375.150 46.410 375.410 46.730 ;
+        RECT 375.210 34.520 375.350 46.410 ;
+        RECT 376.590 34.520 376.730 51.995 ;
+        RECT 377.510 34.520 377.650 52.870 ;
+        RECT 382.110 50.810 382.250 53.210 ;
+        RECT 382.050 50.490 382.310 50.810 ;
+        RECT 383.030 50.470 383.170 54.000 ;
+        RECT 382.510 50.150 382.770 50.470 ;
+        RECT 382.970 50.150 383.230 50.470 ;
+        RECT 380.210 47.770 380.470 48.090 ;
+        RECT 379.750 43.350 380.010 43.670 ;
+        RECT 379.810 41.970 379.950 43.350 ;
+        RECT 379.750 41.650 380.010 41.970 ;
+        RECT 380.270 34.520 380.410 47.770 ;
+        RECT 381.130 46.410 381.390 46.730 ;
+        RECT 381.190 44.690 381.330 46.410 ;
+        RECT 381.130 44.370 381.390 44.690 ;
+        RECT 381.130 43.690 381.390 44.010 ;
+        RECT 381.190 34.520 381.330 43.690 ;
+        RECT 382.570 34.520 382.710 50.150 ;
+        RECT 383.950 34.520 384.090 54.000 ;
+        RECT 385.730 51.510 385.990 51.830 ;
+        RECT 385.790 37.290 385.930 51.510 ;
+        RECT 386.710 46.390 386.850 54.000 ;
+        RECT 387.570 52.190 387.830 52.510 ;
+        RECT 388.490 52.190 388.750 52.510 ;
+        RECT 395.450 52.420 395.590 54.000 ;
+        RECT 518.850 53.640 520.330 54.000 ;
+        RECT 395.450 52.280 397.430 52.420 ;
+        RECT 386.190 46.070 386.450 46.390 ;
+        RECT 386.650 46.070 386.910 46.390 ;
+        RECT 386.250 44.690 386.390 46.070 ;
+        RECT 386.190 44.370 386.450 44.690 ;
+        RECT 385.790 37.150 386.390 37.290 ;
+        RECT 386.250 34.520 386.390 37.150 ;
+        RECT 387.630 34.520 387.770 52.190 ;
+        RECT 388.550 34.520 388.690 52.190 ;
+        RECT 393.550 51.850 393.810 52.170 ;
+        RECT 391.250 50.150 391.510 50.470 ;
+        RECT 389.870 46.410 390.130 46.730 ;
+        RECT 389.930 34.520 390.070 46.410 ;
+        RECT 391.310 34.520 391.450 50.150 ;
+        RECT 392.170 48.790 392.430 49.110 ;
+        RECT 392.230 34.520 392.370 48.790 ;
+        RECT 393.610 34.520 393.750 51.850 ;
+        RECT 394.930 50.490 395.190 50.810 ;
+        RECT 394.990 34.520 395.130 50.490 ;
+        RECT 395.850 48.790 396.110 49.110 ;
+        RECT 395.910 34.520 396.050 48.790 ;
+        RECT 397.290 34.520 397.430 52.280 ;
+        RECT 630.910 52.190 631.170 52.510 ;
+        RECT 442.050 50.920 443.530 51.400 ;
+        RECT 595.650 50.920 597.130 51.400 ;
+        RECT 400.910 49.810 401.170 50.130 ;
+        RECT 408.260 49.955 408.540 50.325 ;
+        RECT 399.530 46.750 399.790 47.070 ;
+        RECT 398.610 46.070 398.870 46.390 ;
+        RECT 398.670 34.520 398.810 46.070 ;
+        RECT 399.590 34.520 399.730 46.750 ;
+        RECT 400.970 34.520 401.110 49.810 ;
+        RECT 403.210 48.790 403.470 49.110 ;
+        RECT 402.290 41.990 402.550 42.310 ;
+        RECT 402.350 34.520 402.490 41.990 ;
+        RECT 403.270 34.520 403.410 48.790 ;
+        RECT 405.960 47.235 406.240 47.605 ;
+        RECT 404.590 43.350 404.850 43.670 ;
+        RECT 404.650 34.520 404.790 43.350 ;
+        RECT 406.030 34.520 406.170 47.235 ;
+        RECT 406.890 46.750 407.150 47.070 ;
+        RECT 406.950 34.520 407.090 46.750 ;
+        RECT 408.330 34.520 408.470 49.955 ;
+        RECT 414.250 48.790 414.510 49.110 ;
+        RECT 428.970 48.790 429.230 49.110 ;
+        RECT 436.330 48.790 436.590 49.110 ;
+        RECT 447.370 48.790 447.630 49.110 ;
+        RECT 458.410 48.790 458.670 49.110 ;
+        RECT 469.450 48.790 469.710 49.110 ;
+        RECT 480.490 48.790 480.750 49.110 ;
+        RECT 487.850 48.790 488.110 49.110 ;
+        RECT 513.610 48.790 513.870 49.110 ;
+        RECT 520.970 48.790 521.230 49.110 ;
+        RECT 535.690 48.790 535.950 49.110 ;
+        RECT 543.050 48.790 543.310 49.110 ;
+        RECT 554.090 48.790 554.350 49.110 ;
+        RECT 564.670 48.790 564.930 49.110 ;
+        RECT 572.030 48.790 572.290 49.110 ;
+        RECT 597.790 48.790 598.050 49.110 ;
+        RECT 619.870 48.790 620.130 49.110 ;
+        RECT 627.230 48.790 627.490 49.110 ;
+        RECT 410.570 46.750 410.830 47.070 ;
+        RECT 410.630 34.520 410.770 46.750 ;
+        RECT 414.310 34.520 414.450 48.790 ;
+        RECT 417.930 46.750 418.190 47.070 ;
+        RECT 421.610 46.750 421.870 47.070 ;
+        RECT 425.290 46.750 425.550 47.070 ;
+        RECT 417.990 34.520 418.130 46.750 ;
+        RECT 421.670 34.520 421.810 46.750 ;
+        RECT 425.350 34.520 425.490 46.750 ;
+        RECT 429.030 34.520 429.170 48.790 ;
+        RECT 436.390 34.520 436.530 48.790 ;
+        RECT 440.010 46.750 440.270 47.070 ;
+        RECT 443.690 46.750 443.950 47.070 ;
+        RECT 440.070 34.520 440.210 46.750 ;
+        RECT 442.050 45.480 443.530 45.960 ;
+        RECT 443.750 34.520 443.890 46.750 ;
+        RECT 447.430 34.520 447.570 48.790 ;
+        RECT 451.050 46.750 451.310 47.070 ;
+        RECT 454.730 46.750 454.990 47.070 ;
+        RECT 451.110 34.520 451.250 46.750 ;
+        RECT 454.790 34.520 454.930 46.750 ;
+        RECT 458.470 34.520 458.610 48.790 ;
+        RECT 465.770 46.750 466.030 47.070 ;
+        RECT 465.830 34.520 465.970 46.750 ;
+        RECT 469.510 34.520 469.650 48.790 ;
+        RECT 473.130 46.750 473.390 47.070 ;
+        RECT 476.810 46.750 477.070 47.070 ;
+        RECT 473.190 34.520 473.330 46.750 ;
+        RECT 476.870 34.520 477.010 46.750 ;
+        RECT 480.550 34.520 480.690 48.790 ;
+        RECT 484.170 46.750 484.430 47.070 ;
+        RECT 484.230 34.520 484.370 46.750 ;
+        RECT 487.910 34.520 488.050 48.790 ;
+        RECT 491.530 46.750 491.790 47.070 ;
+        RECT 495.210 46.750 495.470 47.070 ;
+        RECT 498.890 46.750 499.150 47.070 ;
+        RECT 506.250 46.750 506.510 47.070 ;
+        RECT 491.590 34.520 491.730 46.750 ;
+        RECT 495.270 34.520 495.410 46.750 ;
+        RECT 498.950 34.520 499.090 46.750 ;
+        RECT 502.570 43.350 502.830 43.670 ;
+        RECT 502.630 34.520 502.770 43.350 ;
+        RECT 506.310 34.520 506.450 46.750 ;
+        RECT 513.670 34.520 513.810 48.790 ;
+        RECT 518.850 48.200 520.330 48.680 ;
+        RECT 517.290 46.750 517.550 47.070 ;
+        RECT 517.350 34.520 517.490 46.750 ;
+        RECT 518.850 42.760 520.330 43.240 ;
+        RECT 521.030 34.520 521.170 48.790 ;
+        RECT 524.650 46.750 524.910 47.070 ;
+        RECT 528.330 46.750 528.590 47.070 ;
+        RECT 532.010 46.750 532.270 47.070 ;
+        RECT 524.710 34.520 524.850 46.750 ;
+        RECT 528.390 34.520 528.530 46.750 ;
+        RECT 532.070 34.520 532.210 46.750 ;
+        RECT 535.750 34.520 535.890 48.790 ;
+        RECT 539.370 46.750 539.630 47.070 ;
+        RECT 539.430 34.520 539.570 46.750 ;
+        RECT 543.110 34.520 543.250 48.790 ;
+        RECT 546.730 46.750 546.990 47.070 ;
+        RECT 550.410 46.750 550.670 47.070 ;
+        RECT 546.790 34.520 546.930 46.750 ;
+        RECT 550.470 34.520 550.610 46.750 ;
+        RECT 554.150 34.520 554.290 48.790 ;
+        RECT 557.770 46.750 558.030 47.070 ;
+        RECT 561.450 46.750 561.710 47.070 ;
+        RECT 557.830 34.520 557.970 46.750 ;
+        RECT 561.510 34.520 561.650 46.750 ;
+        RECT 564.730 34.520 564.870 48.790 ;
+        RECT 568.350 46.750 568.610 47.070 ;
+        RECT 568.410 34.520 568.550 46.750 ;
+        RECT 572.090 34.520 572.230 48.790 ;
+        RECT 575.710 46.750 575.970 47.070 ;
+        RECT 579.390 46.750 579.650 47.070 ;
+        RECT 583.070 46.750 583.330 47.070 ;
+        RECT 590.430 46.750 590.690 47.070 ;
+        RECT 594.110 46.750 594.370 47.070 ;
+        RECT 575.770 34.520 575.910 46.750 ;
+        RECT 579.450 34.520 579.590 46.750 ;
+        RECT 583.130 34.520 583.270 46.750 ;
+        RECT 586.750 43.350 587.010 43.670 ;
+        RECT 586.810 34.520 586.950 43.350 ;
+        RECT 590.490 34.520 590.630 46.750 ;
+        RECT 594.170 34.520 594.310 46.750 ;
+        RECT 595.650 45.480 597.130 45.960 ;
+        RECT 597.850 34.520 597.990 48.790 ;
+        RECT 601.470 46.750 601.730 47.070 ;
+        RECT 608.830 46.750 609.090 47.070 ;
+        RECT 612.510 46.750 612.770 47.070 ;
+        RECT 616.190 46.750 616.450 47.070 ;
+        RECT 601.530 34.520 601.670 46.750 ;
+        RECT 608.890 34.520 609.030 46.750 ;
+        RECT 612.570 34.520 612.710 46.750 ;
+        RECT 616.250 34.520 616.390 46.750 ;
+        RECT 619.930 34.520 620.070 48.790 ;
+        RECT 623.550 46.750 623.810 47.070 ;
+        RECT 623.610 34.520 623.750 46.750 ;
+        RECT 627.290 34.520 627.430 48.790 ;
+        RECT 630.970 34.520 631.110 52.190 ;
+        RECT 37.500 32.120 37.780 34.520 ;
+        RECT 38.420 32.120 38.700 34.520 ;
+        RECT 39.800 32.120 40.080 34.520 ;
+        RECT 40.720 32.120 41.000 34.520 ;
+        RECT 42.100 32.120 42.380 34.520 ;
+        RECT 43.480 32.120 43.760 34.520 ;
+        RECT 44.400 32.120 44.680 34.520 ;
+        RECT 47.160 32.120 47.440 34.520 ;
+        RECT 48.080 32.120 48.360 34.520 ;
+        RECT 49.460 32.120 49.740 34.520 ;
+        RECT 50.840 32.120 51.120 34.520 ;
+        RECT 53.140 32.120 53.420 34.520 ;
+        RECT 54.520 32.120 54.800 34.520 ;
+        RECT 55.440 32.120 55.720 34.520 ;
+        RECT 56.820 32.120 57.100 34.520 ;
+        RECT 58.200 32.120 58.480 34.520 ;
+        RECT 59.120 32.120 59.400 34.520 ;
+        RECT 60.500 32.120 60.780 34.520 ;
+        RECT 61.880 32.120 62.160 34.520 ;
+        RECT 62.800 32.120 63.080 34.520 ;
+        RECT 64.180 32.120 64.460 34.520 ;
+        RECT 65.560 32.120 65.840 34.520 ;
+        RECT 66.480 32.120 66.760 34.520 ;
+        RECT 67.860 32.120 68.140 34.520 ;
+        RECT 69.240 32.120 69.520 34.520 ;
+        RECT 70.160 32.120 70.440 34.520 ;
+        RECT 71.540 32.120 71.820 34.520 ;
+        RECT 72.920 32.120 73.200 34.520 ;
+        RECT 73.840 32.120 74.120 34.520 ;
+        RECT 75.220 32.120 75.500 34.520 ;
+        RECT 76.600 32.120 76.880 34.520 ;
+        RECT 77.520 32.120 77.800 34.520 ;
+        RECT 78.900 32.120 79.180 34.520 ;
+        RECT 80.280 32.120 80.560 34.520 ;
+        RECT 81.200 32.120 81.480 34.520 ;
+        RECT 82.580 32.120 82.860 34.520 ;
+        RECT 83.960 32.120 84.240 34.520 ;
+        RECT 84.880 32.120 85.160 34.520 ;
+        RECT 86.260 32.120 86.540 34.520 ;
+        RECT 88.560 32.120 88.840 34.520 ;
+        RECT 89.940 32.120 90.220 34.520 ;
+        RECT 91.320 32.120 91.600 34.520 ;
+        RECT 92.240 32.120 92.520 34.520 ;
+        RECT 95.000 32.120 95.280 34.520 ;
+        RECT 95.920 32.120 96.200 34.520 ;
+        RECT 97.300 32.120 97.580 34.520 ;
+        RECT 98.680 32.120 98.960 34.520 ;
+        RECT 100.980 32.120 101.260 34.520 ;
+        RECT 102.360 32.120 102.640 34.520 ;
+        RECT 103.280 32.120 103.560 34.520 ;
+        RECT 104.660 32.120 104.940 34.520 ;
+        RECT 106.040 32.120 106.320 34.520 ;
+        RECT 106.960 32.120 107.240 34.520 ;
+        RECT 108.340 32.120 108.620 34.520 ;
+        RECT 109.720 32.120 110.000 34.520 ;
+        RECT 110.640 32.120 110.920 34.520 ;
+        RECT 112.020 32.120 112.300 34.520 ;
+        RECT 112.940 32.120 113.220 34.520 ;
+        RECT 114.320 32.120 114.600 34.520 ;
+        RECT 115.700 32.120 115.980 34.520 ;
+        RECT 116.620 32.120 116.900 34.520 ;
+        RECT 118.000 32.120 118.280 34.520 ;
+        RECT 119.380 32.120 119.660 34.520 ;
+        RECT 120.300 32.120 120.580 34.520 ;
+        RECT 121.680 32.120 121.960 34.520 ;
+        RECT 123.060 32.120 123.340 34.520 ;
+        RECT 123.980 32.120 124.260 34.520 ;
+        RECT 125.360 32.120 125.640 34.520 ;
+        RECT 126.740 32.120 127.020 34.520 ;
+        RECT 127.660 32.120 127.940 34.520 ;
+        RECT 130.420 32.120 130.700 34.520 ;
+        RECT 131.340 32.120 131.620 34.520 ;
+        RECT 132.720 32.120 133.000 34.520 ;
+        RECT 134.100 32.120 134.380 34.520 ;
+        RECT 136.400 32.120 136.680 34.520 ;
+        RECT 137.780 32.120 138.060 34.520 ;
+        RECT 138.700 32.120 138.980 34.520 ;
+        RECT 140.080 32.120 140.360 34.520 ;
+        RECT 141.460 32.120 141.740 34.520 ;
+        RECT 142.380 32.120 142.660 34.520 ;
+        RECT 143.760 32.120 144.040 34.520 ;
+        RECT 145.140 32.120 145.420 34.520 ;
+        RECT 146.060 32.120 146.340 34.520 ;
+        RECT 147.440 32.120 147.720 34.520 ;
+        RECT 148.820 32.120 149.100 34.520 ;
+        RECT 149.740 32.120 150.020 34.520 ;
+        RECT 151.120 32.120 151.400 34.520 ;
+        RECT 152.500 32.120 152.780 34.520 ;
+        RECT 153.420 32.120 153.700 34.520 ;
+        RECT 154.800 32.120 155.080 34.520 ;
+        RECT 156.180 32.120 156.460 34.520 ;
+        RECT 157.100 32.120 157.380 34.520 ;
+        RECT 158.480 32.120 158.760 34.520 ;
+        RECT 159.860 32.120 160.140 34.520 ;
+        RECT 160.780 32.120 161.060 34.520 ;
+        RECT 162.160 32.120 162.440 34.520 ;
+        RECT 163.540 32.120 163.820 34.520 ;
+        RECT 164.460 32.120 164.740 34.520 ;
+        RECT 165.840 32.120 166.120 34.520 ;
+        RECT 167.220 32.120 167.500 34.520 ;
+        RECT 168.140 32.120 168.420 34.520 ;
+        RECT 169.520 32.120 169.800 34.520 ;
+        RECT 171.820 32.120 172.100 34.520 ;
+        RECT 173.200 32.120 173.480 34.520 ;
+        RECT 174.580 32.120 174.860 34.520 ;
+        RECT 175.500 32.120 175.780 34.520 ;
+        RECT 178.260 32.120 178.540 34.520 ;
+        RECT 179.180 32.120 179.460 34.520 ;
+        RECT 180.560 32.120 180.840 34.520 ;
+        RECT 181.940 32.120 182.220 34.520 ;
+        RECT 184.240 32.120 184.520 34.520 ;
+        RECT 185.620 32.120 185.900 34.520 ;
+        RECT 186.540 32.120 186.820 34.520 ;
+        RECT 187.920 32.120 188.200 34.520 ;
+        RECT 190.220 32.120 190.500 34.520 ;
+        RECT 191.600 32.120 191.880 34.520 ;
+        RECT 192.520 32.120 192.800 34.520 ;
+        RECT 193.900 32.120 194.180 34.520 ;
+        RECT 195.280 32.120 195.560 34.520 ;
+        RECT 196.200 32.120 196.480 34.520 ;
+        RECT 197.580 32.120 197.860 34.520 ;
+        RECT 198.960 32.120 199.240 34.520 ;
+        RECT 199.880 32.120 200.160 34.520 ;
+        RECT 201.260 32.120 201.540 34.520 ;
+        RECT 202.640 32.120 202.920 34.520 ;
+        RECT 203.560 32.120 203.840 34.520 ;
+        RECT 204.940 32.120 205.220 34.520 ;
+        RECT 206.320 32.120 206.600 34.520 ;
+        RECT 207.240 32.120 207.520 34.520 ;
+        RECT 208.620 32.120 208.900 34.520 ;
+        RECT 210.000 32.120 210.280 34.520 ;
+        RECT 210.920 32.120 211.200 34.520 ;
+        RECT 213.680 32.120 213.960 34.520 ;
+        RECT 214.600 32.120 214.880 34.520 ;
+        RECT 215.980 32.120 216.260 34.520 ;
+        RECT 217.360 32.120 217.640 34.520 ;
+        RECT 219.660 32.120 219.940 34.520 ;
+        RECT 221.040 32.120 221.320 34.520 ;
+        RECT 221.960 32.120 222.240 34.520 ;
+        RECT 223.340 32.120 223.620 34.520 ;
+        RECT 224.720 32.120 225.000 34.520 ;
+        RECT 225.640 32.120 225.920 34.520 ;
+        RECT 227.020 32.120 227.300 34.520 ;
+        RECT 228.400 32.120 228.680 34.520 ;
+        RECT 229.320 32.120 229.600 34.520 ;
+        RECT 230.700 32.120 230.980 34.520 ;
+        RECT 232.080 32.120 232.360 34.520 ;
+        RECT 233.000 32.120 233.280 34.520 ;
+        RECT 234.380 32.120 234.660 34.520 ;
+        RECT 235.760 32.120 236.040 34.520 ;
+        RECT 236.680 32.120 236.960 34.520 ;
+        RECT 238.060 32.120 238.340 34.520 ;
+        RECT 239.440 32.120 239.720 34.520 ;
+        RECT 240.360 32.120 240.640 34.520 ;
+        RECT 241.740 32.120 242.020 34.520 ;
+        RECT 243.120 32.120 243.400 34.520 ;
+        RECT 244.040 32.120 244.320 34.520 ;
+        RECT 245.420 32.120 245.700 34.520 ;
+        RECT 246.800 32.120 247.080 34.520 ;
+        RECT 247.720 32.120 248.000 34.520 ;
+        RECT 249.100 32.120 249.380 34.520 ;
+        RECT 250.480 32.120 250.760 34.520 ;
+        RECT 251.400 32.120 251.680 34.520 ;
+        RECT 252.780 32.120 253.060 34.520 ;
+        RECT 255.080 32.120 255.360 34.520 ;
+        RECT 256.460 32.120 256.740 34.520 ;
+        RECT 257.840 32.120 258.120 34.520 ;
+        RECT 258.760 32.120 259.040 34.520 ;
+        RECT 261.520 32.120 261.800 34.520 ;
+        RECT 262.440 32.120 262.720 34.520 ;
+        RECT 263.820 32.120 264.100 34.520 ;
+        RECT 264.740 32.120 265.020 34.520 ;
+        RECT 267.500 32.120 267.780 34.520 ;
+        RECT 268.420 32.120 268.700 34.520 ;
+        RECT 269.800 32.120 270.080 34.520 ;
+        RECT 271.180 32.120 271.460 34.520 ;
+        RECT 273.480 32.120 273.760 34.520 ;
+        RECT 274.860 32.120 275.140 34.520 ;
+        RECT 275.780 32.120 276.060 34.520 ;
+        RECT 277.160 32.120 277.440 34.520 ;
+        RECT 278.540 32.120 278.820 34.520 ;
+        RECT 279.460 32.120 279.740 34.520 ;
+        RECT 280.840 32.120 281.120 34.520 ;
+        RECT 282.220 32.120 282.500 34.520 ;
+        RECT 283.140 32.120 283.420 34.520 ;
+        RECT 284.520 32.120 284.800 34.520 ;
+        RECT 285.900 32.120 286.180 34.520 ;
+        RECT 286.820 32.120 287.100 34.520 ;
+        RECT 288.200 32.120 288.480 34.520 ;
+        RECT 289.580 32.120 289.860 34.520 ;
+        RECT 290.500 32.120 290.780 34.520 ;
+        RECT 291.880 32.120 292.160 34.520 ;
+        RECT 293.260 32.120 293.540 34.520 ;
+        RECT 294.180 32.120 294.460 34.520 ;
+        RECT 296.940 32.120 297.220 34.520 ;
+        RECT 297.860 32.120 298.140 34.520 ;
+        RECT 299.240 32.120 299.520 34.520 ;
+        RECT 300.620 32.120 300.900 34.520 ;
+        RECT 302.920 32.120 303.200 34.520 ;
+        RECT 304.300 32.120 304.580 34.520 ;
+        RECT 305.220 32.120 305.500 34.520 ;
+        RECT 306.600 32.120 306.880 34.520 ;
+        RECT 307.980 32.120 308.260 34.520 ;
+        RECT 308.900 32.120 309.180 34.520 ;
+        RECT 310.280 32.120 310.560 34.520 ;
+        RECT 311.660 32.120 311.940 34.520 ;
+        RECT 312.580 32.120 312.860 34.520 ;
+        RECT 313.960 32.120 314.240 34.520 ;
+        RECT 315.340 32.120 315.620 34.520 ;
+        RECT 316.260 32.120 316.540 34.520 ;
+        RECT 317.640 32.120 317.920 34.520 ;
+        RECT 319.020 32.120 319.300 34.520 ;
+        RECT 319.940 32.120 320.220 34.520 ;
+        RECT 321.320 32.120 321.600 34.520 ;
+        RECT 322.700 32.120 322.980 34.520 ;
+        RECT 323.620 32.120 323.900 34.520 ;
+        RECT 325.000 32.120 325.280 34.520 ;
+        RECT 326.380 32.120 326.660 34.520 ;
+        RECT 327.300 32.120 327.580 34.520 ;
+        RECT 328.680 32.120 328.960 34.520 ;
+        RECT 330.060 32.120 330.340 34.520 ;
+        RECT 330.980 32.120 331.260 34.520 ;
+        RECT 332.360 32.120 332.640 34.520 ;
+        RECT 333.740 32.120 334.020 34.520 ;
+        RECT 334.660 32.120 334.940 34.520 ;
+        RECT 336.040 32.120 336.320 34.520 ;
+        RECT 338.340 32.120 338.620 34.520 ;
+        RECT 339.720 32.120 340.000 34.520 ;
+        RECT 340.640 32.120 340.920 34.520 ;
+        RECT 342.020 32.120 342.300 34.520 ;
+        RECT 344.320 32.120 344.600 34.520 ;
+        RECT 345.700 32.120 345.980 34.520 ;
+        RECT 347.080 32.120 347.360 34.520 ;
+        RECT 348.000 32.120 348.280 34.520 ;
+        RECT 350.760 32.120 351.040 34.520 ;
+        RECT 351.680 32.120 351.960 34.520 ;
+        RECT 353.060 32.120 353.340 34.520 ;
+        RECT 354.440 32.120 354.720 34.520 ;
+        RECT 356.740 32.120 357.020 34.520 ;
+        RECT 358.120 32.120 358.400 34.520 ;
+        RECT 359.040 32.120 359.320 34.520 ;
+        RECT 360.420 32.120 360.700 34.520 ;
+        RECT 361.800 32.120 362.080 34.520 ;
+        RECT 362.720 32.120 363.000 34.520 ;
+        RECT 364.100 32.120 364.380 34.520 ;
+        RECT 365.480 32.120 365.760 34.520 ;
+        RECT 366.400 32.120 366.680 34.520 ;
+        RECT 367.780 32.120 368.060 34.520 ;
+        RECT 369.160 32.120 369.440 34.520 ;
+        RECT 370.080 32.120 370.360 34.520 ;
+        RECT 371.460 32.120 371.740 34.520 ;
+        RECT 372.840 32.120 373.120 34.520 ;
+        RECT 373.760 32.120 374.040 34.520 ;
+        RECT 375.140 32.120 375.420 34.520 ;
+        RECT 376.520 32.120 376.800 34.520 ;
+        RECT 377.440 32.120 377.720 34.520 ;
+        RECT 380.200 32.120 380.480 34.520 ;
+        RECT 381.120 32.120 381.400 34.520 ;
+        RECT 382.500 32.120 382.780 34.520 ;
+        RECT 383.880 32.120 384.160 34.520 ;
+        RECT 386.180 32.120 386.460 34.520 ;
+        RECT 387.560 32.120 387.840 34.520 ;
+        RECT 388.480 32.120 388.760 34.520 ;
+        RECT 389.860 32.120 390.140 34.520 ;
+        RECT 391.240 32.120 391.520 34.520 ;
+        RECT 392.160 32.120 392.440 34.520 ;
+        RECT 393.540 32.120 393.820 34.520 ;
+        RECT 394.920 32.120 395.200 34.520 ;
+        RECT 395.840 32.120 396.120 34.520 ;
+        RECT 397.220 32.120 397.500 34.520 ;
+        RECT 398.600 32.120 398.880 34.520 ;
+        RECT 399.520 32.120 399.800 34.520 ;
+        RECT 400.900 32.120 401.180 34.520 ;
+        RECT 402.280 32.120 402.560 34.520 ;
+        RECT 403.200 32.120 403.480 34.520 ;
+        RECT 404.580 32.120 404.860 34.520 ;
+        RECT 405.960 32.120 406.240 34.520 ;
+        RECT 406.880 32.120 407.160 34.520 ;
+        RECT 408.260 32.120 408.540 34.520 ;
+        RECT 409.640 32.120 409.920 34.520 ;
+        RECT 410.560 32.120 410.840 34.520 ;
+        RECT 411.940 32.120 412.220 34.520 ;
+        RECT 412.860 32.120 413.140 34.520 ;
+        RECT 414.240 32.120 414.520 34.520 ;
+        RECT 415.620 32.120 415.900 34.520 ;
+        RECT 416.540 32.120 416.820 34.520 ;
+        RECT 417.920 32.120 418.200 34.520 ;
+        RECT 419.300 32.120 419.580 34.520 ;
+        RECT 420.220 32.120 420.500 34.520 ;
+        RECT 421.600 32.120 421.880 34.520 ;
+        RECT 422.980 32.120 423.260 34.520 ;
+        RECT 423.900 32.120 424.180 34.520 ;
+        RECT 425.280 32.120 425.560 34.520 ;
+        RECT 427.580 32.120 427.860 34.520 ;
+        RECT 428.960 32.120 429.240 34.520 ;
+        RECT 430.340 32.120 430.620 34.520 ;
+        RECT 431.260 32.120 431.540 34.520 ;
+        RECT 434.020 32.120 434.300 34.520 ;
+        RECT 434.940 32.120 435.220 34.520 ;
+        RECT 436.320 32.120 436.600 34.520 ;
+        RECT 437.700 32.120 437.980 34.520 ;
+        RECT 440.000 32.120 440.280 34.520 ;
+        RECT 441.380 32.120 441.660 34.520 ;
+        RECT 442.300 32.120 442.580 34.520 ;
+        RECT 443.680 32.120 443.960 34.520 ;
+        RECT 445.060 32.120 445.340 34.520 ;
+        RECT 445.980 32.120 446.260 34.520 ;
+        RECT 447.360 32.120 447.640 34.520 ;
+        RECT 448.740 32.120 449.020 34.520 ;
+        RECT 449.660 32.120 449.940 34.520 ;
+        RECT 451.040 32.120 451.320 34.520 ;
+        RECT 452.420 32.120 452.700 34.520 ;
+        RECT 453.340 32.120 453.620 34.520 ;
+        RECT 454.720 32.120 455.000 34.520 ;
+        RECT 456.100 32.120 456.380 34.520 ;
+        RECT 457.020 32.120 457.300 34.520 ;
+        RECT 458.400 32.120 458.680 34.520 ;
+        RECT 459.780 32.120 460.060 34.520 ;
+        RECT 460.700 32.120 460.980 34.520 ;
+        RECT 463.460 32.120 463.740 34.520 ;
+        RECT 464.380 32.120 464.660 34.520 ;
+        RECT 465.760 32.120 466.040 34.520 ;
+        RECT 467.140 32.120 467.420 34.520 ;
+        RECT 469.440 32.120 469.720 34.520 ;
+        RECT 470.820 32.120 471.100 34.520 ;
+        RECT 471.740 32.120 472.020 34.520 ;
+        RECT 473.120 32.120 473.400 34.520 ;
+        RECT 474.500 32.120 474.780 34.520 ;
+        RECT 475.420 32.120 475.700 34.520 ;
+        RECT 476.800 32.120 477.080 34.520 ;
+        RECT 478.180 32.120 478.460 34.520 ;
+        RECT 479.100 32.120 479.380 34.520 ;
+        RECT 480.480 32.120 480.760 34.520 ;
+        RECT 481.860 32.120 482.140 34.520 ;
+        RECT 482.780 32.120 483.060 34.520 ;
+        RECT 484.160 32.120 484.440 34.520 ;
+        RECT 485.540 32.120 485.820 34.520 ;
+        RECT 486.460 32.120 486.740 34.520 ;
+        RECT 487.840 32.120 488.120 34.520 ;
+        RECT 488.760 32.120 489.040 34.520 ;
+        RECT 490.140 32.120 490.420 34.520 ;
+        RECT 491.520 32.120 491.800 34.520 ;
+        RECT 492.440 32.120 492.720 34.520 ;
+        RECT 493.820 32.120 494.100 34.520 ;
+        RECT 495.200 32.120 495.480 34.520 ;
+        RECT 496.120 32.120 496.400 34.520 ;
+        RECT 497.500 32.120 497.780 34.520 ;
+        RECT 498.880 32.120 499.160 34.520 ;
+        RECT 499.800 32.120 500.080 34.520 ;
+        RECT 501.180 32.120 501.460 34.520 ;
+        RECT 502.560 32.120 502.840 34.520 ;
+        RECT 503.480 32.120 503.760 34.520 ;
+        RECT 504.860 32.120 505.140 34.520 ;
+        RECT 506.240 32.120 506.520 34.520 ;
+        RECT 507.160 32.120 507.440 34.520 ;
+        RECT 508.540 32.120 508.820 34.520 ;
+        RECT 510.840 32.120 511.120 34.520 ;
+        RECT 512.220 32.120 512.500 34.520 ;
+        RECT 513.600 32.120 513.880 34.520 ;
+        RECT 514.520 32.120 514.800 34.520 ;
+        RECT 517.280 32.120 517.560 34.520 ;
+        RECT 518.200 32.120 518.480 34.520 ;
+        RECT 519.580 32.120 519.860 34.520 ;
+        RECT 520.960 32.120 521.240 34.520 ;
+        RECT 523.260 32.120 523.540 34.520 ;
+        RECT 524.640 32.120 524.920 34.520 ;
+        RECT 525.560 32.120 525.840 34.520 ;
+        RECT 526.940 32.120 527.220 34.520 ;
+        RECT 528.320 32.120 528.600 34.520 ;
+        RECT 529.240 32.120 529.520 34.520 ;
+        RECT 530.620 32.120 530.900 34.520 ;
+        RECT 532.000 32.120 532.280 34.520 ;
+        RECT 532.920 32.120 533.200 34.520 ;
+        RECT 534.300 32.120 534.580 34.520 ;
+        RECT 535.680 32.120 535.960 34.520 ;
+        RECT 536.600 32.120 536.880 34.520 ;
+        RECT 537.980 32.120 538.260 34.520 ;
+        RECT 539.360 32.120 539.640 34.520 ;
+        RECT 540.280 32.120 540.560 34.520 ;
+        RECT 541.660 32.120 541.940 34.520 ;
+        RECT 543.040 32.120 543.320 34.520 ;
+        RECT 543.960 32.120 544.240 34.520 ;
+        RECT 546.720 32.120 547.000 34.520 ;
+        RECT 547.640 32.120 547.920 34.520 ;
+        RECT 549.020 32.120 549.300 34.520 ;
+        RECT 550.400 32.120 550.680 34.520 ;
+        RECT 552.700 32.120 552.980 34.520 ;
+        RECT 554.080 32.120 554.360 34.520 ;
+        RECT 555.000 32.120 555.280 34.520 ;
+        RECT 556.380 32.120 556.660 34.520 ;
+        RECT 557.760 32.120 558.040 34.520 ;
+        RECT 558.680 32.120 558.960 34.520 ;
+        RECT 560.060 32.120 560.340 34.520 ;
+        RECT 561.440 32.120 561.720 34.520 ;
+        RECT 562.360 32.120 562.640 34.520 ;
+        RECT 563.740 32.120 564.020 34.520 ;
+        RECT 564.660 32.120 564.940 34.520 ;
+        RECT 566.040 32.120 566.320 34.520 ;
+        RECT 567.420 32.120 567.700 34.520 ;
+        RECT 568.340 32.120 568.620 34.520 ;
+        RECT 569.720 32.120 570.000 34.520 ;
+        RECT 571.100 32.120 571.380 34.520 ;
+        RECT 572.020 32.120 572.300 34.520 ;
+        RECT 573.400 32.120 573.680 34.520 ;
+        RECT 574.780 32.120 575.060 34.520 ;
+        RECT 575.700 32.120 575.980 34.520 ;
+        RECT 577.080 32.120 577.360 34.520 ;
+        RECT 578.460 32.120 578.740 34.520 ;
+        RECT 579.380 32.120 579.660 34.520 ;
+        RECT 580.760 32.120 581.040 34.520 ;
+        RECT 582.140 32.120 582.420 34.520 ;
+        RECT 583.060 32.120 583.340 34.520 ;
+        RECT 584.440 32.120 584.720 34.520 ;
+        RECT 585.820 32.120 586.100 34.520 ;
+        RECT 586.740 32.120 587.020 34.520 ;
+        RECT 588.120 32.120 588.400 34.520 ;
+        RECT 589.500 32.120 589.780 34.520 ;
+        RECT 590.420 32.120 590.700 34.520 ;
+        RECT 591.800 32.120 592.080 34.520 ;
+        RECT 594.100 32.120 594.380 34.520 ;
+        RECT 595.480 32.120 595.760 34.520 ;
+        RECT 596.860 32.120 597.140 34.520 ;
+        RECT 597.780 32.120 598.060 34.520 ;
+        RECT 600.540 32.120 600.820 34.520 ;
+        RECT 601.460 32.120 601.740 34.520 ;
+        RECT 602.840 32.120 603.120 34.520 ;
+        RECT 604.220 32.120 604.500 34.520 ;
+        RECT 606.520 32.120 606.800 34.520 ;
+        RECT 607.900 32.120 608.180 34.520 ;
+        RECT 608.820 32.120 609.100 34.520 ;
+        RECT 610.200 32.120 610.480 34.520 ;
+        RECT 611.580 32.120 611.860 34.520 ;
+        RECT 612.500 32.120 612.780 34.520 ;
+        RECT 613.880 32.120 614.160 34.520 ;
+        RECT 615.260 32.120 615.540 34.520 ;
+        RECT 616.180 32.120 616.460 34.520 ;
+        RECT 617.560 32.120 617.840 34.520 ;
+        RECT 618.940 32.120 619.220 34.520 ;
+        RECT 619.860 32.120 620.140 34.520 ;
+        RECT 621.240 32.120 621.520 34.520 ;
+        RECT 622.620 32.120 622.900 34.520 ;
+        RECT 623.540 32.120 623.820 34.520 ;
+        RECT 624.920 32.120 625.200 34.520 ;
+        RECT 626.300 32.120 626.580 34.520 ;
+        RECT 627.220 32.120 627.500 34.520 ;
+        RECT 629.980 32.120 630.260 34.520 ;
+        RECT 630.900 32.120 631.180 34.520 ;
+        RECT 632.280 32.120 632.560 34.520 ;
+        RECT 633.660 32.120 633.940 34.520 ;
+        RECT 635.960 32.120 636.240 34.520 ;
+      LAYER via2 ;
+        RECT 58.050 53.740 58.330 54.010 ;
+        RECT 58.450 53.740 58.730 54.010 ;
+        RECT 58.850 53.740 59.130 54.010 ;
+        RECT 59.250 53.740 59.530 54.010 ;
+        RECT 38.420 46.600 38.700 46.880 ;
+        RECT 58.050 48.300 58.330 48.580 ;
+        RECT 58.450 48.300 58.730 48.580 ;
+        RECT 58.850 48.300 59.130 48.580 ;
+        RECT 59.250 48.300 59.530 48.580 ;
+        RECT 58.050 42.860 58.330 43.140 ;
+        RECT 58.450 42.860 58.730 43.140 ;
+        RECT 58.850 42.860 59.130 43.140 ;
+        RECT 59.250 42.860 59.530 43.140 ;
+        RECT 72.460 50.000 72.740 50.280 ;
+        RECT 69.240 49.320 69.520 49.600 ;
+        RECT 77.060 50.680 77.340 50.960 ;
+        RECT 78.900 49.320 79.180 49.600 ;
+        RECT 84.420 49.320 84.700 49.600 ;
+        RECT 81.200 48.640 81.480 48.920 ;
+        RECT 90.860 44.560 91.140 44.840 ;
+        RECT 93.620 50.000 93.900 50.280 ;
+        RECT 96.840 50.000 97.120 50.280 ;
+        RECT 99.140 53.400 99.420 53.680 ;
+        RECT 98.220 47.280 98.500 47.560 ;
+        RECT 103.280 53.400 103.560 53.680 ;
+        RECT 103.280 52.720 103.560 53.000 ;
+        RECT 108.800 50.680 109.080 50.960 ;
+        RECT 109.720 51.360 110.000 51.640 ;
+        RECT 109.720 48.640 110.000 48.920 ;
+        RECT 110.640 52.040 110.920 52.320 ;
+        RECT 114.320 51.360 114.600 51.640 ;
+        RECT 114.780 50.000 115.060 50.280 ;
+        RECT 114.320 49.320 114.600 49.600 ;
+        RECT 116.160 48.640 116.440 48.920 ;
+        RECT 119.380 48.640 119.660 48.920 ;
+        RECT 119.380 43.880 119.660 44.160 ;
+        RECT 125.820 51.360 126.100 51.640 ;
+        RECT 127.660 52.720 127.940 53.000 ;
+        RECT 127.660 44.560 127.940 44.840 ;
+        RECT 129.040 43.880 129.320 44.160 ;
+        RECT 130.880 47.280 131.160 47.560 ;
+        RECT 132.260 51.360 132.540 51.640 ;
+        RECT 134.850 51.020 135.130 51.300 ;
+        RECT 135.250 51.020 135.530 51.300 ;
+        RECT 135.650 51.020 135.930 51.300 ;
+        RECT 136.050 51.020 136.330 51.300 ;
+        RECT 136.400 48.640 136.680 48.920 ;
+        RECT 134.850 45.580 135.130 45.860 ;
+        RECT 135.250 45.580 135.530 45.860 ;
+        RECT 135.650 45.580 135.930 45.860 ;
+        RECT 136.050 45.580 136.330 45.860 ;
+        RECT 140.080 47.280 140.360 47.560 ;
+        RECT 145.600 53.400 145.880 53.680 ;
+        RECT 146.520 52.040 146.800 52.320 ;
+        RECT 150.660 52.040 150.940 52.320 ;
+        RECT 152.500 51.360 152.780 51.640 ;
+        RECT 154.800 51.360 155.080 51.640 ;
+        RECT 151.120 48.640 151.400 48.920 ;
+        RECT 158.020 52.040 158.300 52.320 ;
+        RECT 156.640 49.320 156.920 49.600 ;
+        RECT 158.940 52.720 159.220 53.000 ;
+        RECT 159.400 50.000 159.680 50.280 ;
+        RECT 158.020 47.280 158.300 47.560 ;
+        RECT 158.940 47.280 159.220 47.560 ;
+        RECT 162.160 50.680 162.440 50.960 ;
+        RECT 164.920 50.000 165.200 50.280 ;
+        RECT 170.440 52.720 170.720 53.000 ;
+        RECT 176.420 49.320 176.700 49.600 ;
+        RECT 177.800 52.720 178.080 53.000 ;
+        RECT 185.160 52.040 185.440 52.320 ;
+        RECT 188.840 51.360 189.120 51.640 ;
+        RECT 189.760 51.360 190.040 51.640 ;
+        RECT 185.160 44.560 185.440 44.840 ;
+        RECT 188.840 47.960 189.120 48.240 ;
+        RECT 190.680 52.040 190.960 52.320 ;
+        RECT 194.820 52.040 195.100 52.320 ;
+        RECT 196.200 52.040 196.480 52.320 ;
+        RECT 195.280 49.320 195.560 49.600 ;
+        RECT 201.260 52.040 201.540 52.320 ;
+        RECT 199.420 51.360 199.700 51.640 ;
+        RECT 194.820 45.920 195.100 46.200 ;
+        RECT 193.900 45.240 194.180 45.520 ;
+        RECT 201.720 48.640 202.000 48.920 ;
+        RECT 204.020 51.360 204.300 51.640 ;
+        RECT 199.420 45.920 199.700 46.200 ;
+        RECT 208.620 52.040 208.900 52.320 ;
+        RECT 211.650 53.740 211.930 54.010 ;
+        RECT 212.050 53.740 212.330 54.010 ;
+        RECT 212.450 53.740 212.730 54.010 ;
+        RECT 212.850 53.740 213.130 54.010 ;
+        RECT 214.140 52.720 214.420 53.000 ;
+        RECT 212.300 51.360 212.580 51.640 ;
+        RECT 210.460 50.680 210.740 50.960 ;
+        RECT 214.140 50.680 214.420 50.960 ;
+        RECT 211.650 48.300 211.930 48.580 ;
+        RECT 212.050 48.300 212.330 48.580 ;
+        RECT 212.450 48.300 212.730 48.580 ;
+        RECT 212.850 48.300 213.130 48.580 ;
+        RECT 214.600 49.320 214.880 49.600 ;
+        RECT 215.980 51.360 216.260 51.640 ;
+        RECT 211.650 42.860 211.930 43.140 ;
+        RECT 212.050 42.860 212.330 43.140 ;
+        RECT 212.450 42.860 212.730 43.140 ;
+        RECT 212.850 42.860 213.130 43.140 ;
+        RECT 220.120 47.280 220.400 47.560 ;
+        RECT 223.800 41.840 224.080 42.120 ;
+        RECT 225.180 52.720 225.460 53.000 ;
+        RECT 225.640 49.320 225.920 49.600 ;
+        RECT 228.860 52.720 229.140 53.000 ;
+        RECT 230.700 50.000 230.980 50.280 ;
+        RECT 231.160 47.960 231.440 48.240 ;
+        RECT 228.400 45.240 228.680 45.520 ;
+        RECT 229.780 44.560 230.060 44.840 ;
+        RECT 236.220 52.040 236.500 52.320 ;
+        RECT 234.840 47.960 235.120 48.240 ;
+        RECT 238.060 52.040 238.340 52.320 ;
+        RECT 238.060 51.360 238.340 51.640 ;
+        RECT 240.360 47.280 240.640 47.560 ;
+        RECT 241.280 50.000 241.560 50.280 ;
+        RECT 243.120 52.720 243.400 53.000 ;
+        RECT 243.120 49.320 243.400 49.600 ;
+        RECT 244.500 47.960 244.780 48.240 ;
+        RECT 243.580 47.280 243.860 47.560 ;
+        RECT 250.020 50.680 250.300 50.960 ;
+        RECT 251.860 52.720 252.140 53.000 ;
+        RECT 250.940 50.680 251.220 50.960 ;
+        RECT 254.160 52.720 254.440 53.000 ;
+        RECT 252.320 48.640 252.600 48.920 ;
+        RECT 252.320 47.280 252.600 47.560 ;
+        RECT 252.780 45.920 253.060 46.200 ;
+        RECT 256.460 52.040 256.740 52.320 ;
+        RECT 261.520 53.400 261.800 53.680 ;
+        RECT 262.900 53.400 263.180 53.680 ;
+        RECT 262.900 50.000 263.180 50.280 ;
+        RECT 262.440 47.960 262.720 48.240 ;
+        RECT 257.840 41.840 258.120 42.120 ;
+        RECT 266.120 52.720 266.400 53.000 ;
+        RECT 266.580 47.280 266.860 47.560 ;
+        RECT 270.260 52.720 270.540 53.000 ;
+        RECT 270.720 50.000 271.000 50.280 ;
+        RECT 268.420 47.960 268.700 48.240 ;
+        RECT 267.960 47.280 268.240 47.560 ;
+        RECT 276.240 53.400 276.520 53.680 ;
+        RECT 275.320 52.720 275.600 53.000 ;
+        RECT 275.780 47.960 276.060 48.240 ;
+        RECT 282.680 52.720 282.960 53.000 ;
+        RECT 285.440 51.360 285.720 51.640 ;
+        RECT 284.060 46.600 284.340 46.880 ;
+        RECT 286.360 50.680 286.640 50.960 ;
+        RECT 288.450 51.020 288.730 51.300 ;
+        RECT 288.850 51.020 289.130 51.300 ;
+        RECT 289.250 51.020 289.530 51.300 ;
+        RECT 289.650 51.020 289.930 51.300 ;
+        RECT 289.120 47.960 289.400 48.240 ;
+        RECT 290.500 50.680 290.780 50.960 ;
+        RECT 292.340 52.720 292.620 53.000 ;
+        RECT 290.040 47.280 290.320 47.560 ;
+        RECT 288.450 45.580 288.730 45.860 ;
+        RECT 288.850 45.580 289.130 45.860 ;
+        RECT 289.250 45.580 289.530 45.860 ;
+        RECT 289.650 45.580 289.930 45.860 ;
+        RECT 288.660 44.560 288.940 44.840 ;
+        RECT 290.960 47.280 291.240 47.560 ;
+        RECT 292.800 45.920 293.080 46.200 ;
+        RECT 292.800 44.560 293.080 44.840 ;
+        RECT 296.020 45.920 296.300 46.200 ;
+        RECT 295.100 44.560 295.380 44.840 ;
+        RECT 298.320 53.400 298.600 53.680 ;
+        RECT 298.320 52.040 298.600 52.320 ;
+        RECT 303.380 52.720 303.660 53.000 ;
+        RECT 306.600 48.640 306.880 48.920 ;
+        RECT 306.140 45.240 306.420 45.520 ;
+        RECT 310.280 51.360 310.560 51.640 ;
+        RECT 311.660 50.680 311.940 50.960 ;
+        RECT 317.180 53.400 317.460 53.680 ;
+        RECT 314.420 45.240 314.700 45.520 ;
+        RECT 318.560 46.600 318.840 46.880 ;
+        RECT 321.320 50.000 321.600 50.280 ;
+        RECT 326.380 52.720 326.660 53.000 ;
+        RECT 325.460 44.560 325.740 44.840 ;
+        RECT 327.760 52.720 328.040 53.000 ;
+        RECT 327.760 48.640 328.040 48.920 ;
+        RECT 331.440 52.720 331.720 53.000 ;
+        RECT 331.900 52.040 332.180 52.320 ;
+        RECT 331.900 44.560 332.180 44.840 ;
+        RECT 334.200 45.920 334.480 46.200 ;
+        RECT 337.880 50.000 338.160 50.280 ;
+        RECT 337.880 47.960 338.160 48.240 ;
+        RECT 339.720 48.640 340.000 48.920 ;
+        RECT 340.640 45.920 340.920 46.200 ;
+        RECT 341.560 52.040 341.840 52.320 ;
+        RECT 342.940 52.040 343.220 52.320 ;
+        RECT 343.860 48.640 344.140 48.920 ;
+        RECT 348.460 45.920 348.740 46.200 ;
+        RECT 353.520 45.240 353.800 45.520 ;
+        RECT 358.580 44.560 358.860 44.840 ;
+        RECT 362.260 48.640 362.540 48.920 ;
+        RECT 365.250 53.740 365.530 54.010 ;
+        RECT 365.650 53.740 365.930 54.010 ;
+        RECT 366.050 53.740 366.330 54.010 ;
+        RECT 366.450 53.740 366.730 54.010 ;
+        RECT 365.250 48.300 365.530 48.580 ;
+        RECT 365.650 48.300 365.930 48.580 ;
+        RECT 366.050 48.300 366.330 48.580 ;
+        RECT 366.450 48.300 366.730 48.580 ;
+        RECT 365.250 42.860 365.530 43.140 ;
+        RECT 365.650 42.860 365.930 43.140 ;
+        RECT 366.050 42.860 366.330 43.140 ;
+        RECT 366.450 42.860 366.730 43.140 ;
+        RECT 376.520 52.040 376.800 52.320 ;
+        RECT 518.850 53.740 519.130 54.010 ;
+        RECT 519.250 53.740 519.530 54.010 ;
+        RECT 519.650 53.740 519.930 54.010 ;
+        RECT 520.050 53.740 520.330 54.010 ;
+        RECT 442.050 51.020 442.330 51.300 ;
+        RECT 442.450 51.020 442.730 51.300 ;
+        RECT 442.850 51.020 443.130 51.300 ;
+        RECT 443.250 51.020 443.530 51.300 ;
+        RECT 595.650 51.020 595.930 51.300 ;
+        RECT 596.050 51.020 596.330 51.300 ;
+        RECT 596.450 51.020 596.730 51.300 ;
+        RECT 596.850 51.020 597.130 51.300 ;
+        RECT 408.260 50.000 408.540 50.280 ;
+        RECT 405.960 47.280 406.240 47.560 ;
+        RECT 442.050 45.580 442.330 45.860 ;
+        RECT 442.450 45.580 442.730 45.860 ;
+        RECT 442.850 45.580 443.130 45.860 ;
+        RECT 443.250 45.580 443.530 45.860 ;
+        RECT 518.850 48.300 519.130 48.580 ;
+        RECT 519.250 48.300 519.530 48.580 ;
+        RECT 519.650 48.300 519.930 48.580 ;
+        RECT 520.050 48.300 520.330 48.580 ;
+        RECT 518.850 42.860 519.130 43.140 ;
+        RECT 519.250 42.860 519.530 43.140 ;
+        RECT 519.650 42.860 519.930 43.140 ;
+        RECT 520.050 42.860 520.330 43.140 ;
+        RECT 595.650 45.580 595.930 45.860 ;
+        RECT 596.050 45.580 596.330 45.860 ;
+        RECT 596.450 45.580 596.730 45.860 ;
+        RECT 596.850 45.580 597.130 45.860 ;
+      LAYER met3 ;
+        RECT 54.000 54.000 597.190 619.805 ;
+        RECT 57.990 53.715 59.590 54.000 ;
+        RECT 211.590 53.715 213.190 54.000 ;
+        RECT 365.190 53.715 366.790 54.000 ;
+        RECT 518.790 53.715 520.390 54.000 ;
+        RECT 99.115 53.690 99.445 53.705 ;
+        RECT 103.255 53.690 103.585 53.705 ;
+        RECT 145.575 53.690 145.905 53.705 ;
+        RECT 99.115 53.390 103.585 53.690 ;
+        RECT 99.115 53.375 99.445 53.390 ;
+        RECT 103.255 53.375 103.585 53.390 ;
+        RECT 104.420 53.390 145.905 53.690 ;
+        RECT 103.255 53.010 103.585 53.025 ;
+        RECT 104.420 53.010 104.720 53.390 ;
+        RECT 145.575 53.375 145.905 53.390 ;
+        RECT 237.780 53.690 238.160 53.700 ;
+        RECT 261.495 53.690 261.825 53.705 ;
+        RECT 237.780 53.390 261.825 53.690 ;
+        RECT 237.780 53.380 238.160 53.390 ;
+        RECT 261.495 53.375 261.825 53.390 ;
+        RECT 262.875 53.690 263.205 53.705 ;
+        RECT 276.215 53.690 276.545 53.705 ;
+        RECT 262.875 53.390 276.545 53.690 ;
+        RECT 262.875 53.375 263.205 53.390 ;
+        RECT 276.215 53.375 276.545 53.390 ;
+        RECT 298.295 53.690 298.625 53.705 ;
+        RECT 317.155 53.690 317.485 53.705 ;
+        RECT 298.295 53.390 317.485 53.690 ;
+        RECT 298.295 53.375 298.625 53.390 ;
+        RECT 317.155 53.375 317.485 53.390 ;
+        RECT 103.255 52.710 104.720 53.010 ;
+        RECT 127.635 53.010 127.965 53.025 ;
+        RECT 158.915 53.010 159.245 53.025 ;
+        RECT 127.635 52.710 159.245 53.010 ;
+        RECT 103.255 52.695 103.585 52.710 ;
+        RECT 127.635 52.695 127.965 52.710 ;
+        RECT 158.915 52.695 159.245 52.710 ;
+        RECT 170.415 53.010 170.745 53.025 ;
+        RECT 177.775 53.010 178.105 53.025 ;
+        RECT 214.115 53.020 214.445 53.025 ;
+        RECT 170.415 52.710 178.105 53.010 ;
+        RECT 170.415 52.695 170.745 52.710 ;
+        RECT 177.775 52.695 178.105 52.710 ;
+        RECT 213.860 53.010 214.445 53.020 ;
+        RECT 225.155 53.010 225.485 53.025 ;
+        RECT 228.835 53.010 229.165 53.025 ;
+        RECT 213.860 52.710 214.670 53.010 ;
+        RECT 225.155 52.710 229.165 53.010 ;
+        RECT 213.860 52.700 214.445 52.710 ;
+        RECT 214.115 52.695 214.445 52.700 ;
+        RECT 225.155 52.695 225.485 52.710 ;
+        RECT 228.835 52.695 229.165 52.710 ;
+        RECT 243.095 53.010 243.425 53.025 ;
+        RECT 251.835 53.010 252.165 53.025 ;
+        RECT 243.095 52.710 252.165 53.010 ;
+        RECT 243.095 52.695 243.425 52.710 ;
+        RECT 251.835 52.695 252.165 52.710 ;
+        RECT 254.135 53.010 254.465 53.025 ;
+        RECT 266.095 53.010 266.425 53.025 ;
+        RECT 254.135 52.710 266.425 53.010 ;
+        RECT 254.135 52.695 254.465 52.710 ;
+        RECT 266.095 52.695 266.425 52.710 ;
+        RECT 270.235 53.010 270.565 53.025 ;
+        RECT 275.295 53.010 275.625 53.025 ;
+        RECT 270.235 52.710 275.625 53.010 ;
+        RECT 270.235 52.695 270.565 52.710 ;
+        RECT 275.295 52.695 275.625 52.710 ;
+        RECT 282.655 53.010 282.985 53.025 ;
+        RECT 292.315 53.010 292.645 53.025 ;
+        RECT 282.655 52.710 292.645 53.010 ;
+        RECT 282.655 52.695 282.985 52.710 ;
+        RECT 292.315 52.695 292.645 52.710 ;
+        RECT 303.355 53.010 303.685 53.025 ;
+        RECT 326.355 53.010 326.685 53.025 ;
+        RECT 303.355 52.710 326.685 53.010 ;
+        RECT 303.355 52.695 303.685 52.710 ;
+        RECT 326.355 52.695 326.685 52.710 ;
+        RECT 327.735 53.010 328.065 53.025 ;
+        RECT 331.415 53.010 331.745 53.025 ;
+        RECT 327.735 52.710 331.745 53.010 ;
+        RECT 327.735 52.695 328.065 52.710 ;
+        RECT 331.415 52.695 331.745 52.710 ;
+        RECT 110.615 52.330 110.945 52.345 ;
+        RECT 146.495 52.330 146.825 52.345 ;
+        RECT 150.635 52.330 150.965 52.345 ;
+        RECT 110.615 52.030 137.840 52.330 ;
+        RECT 110.615 52.015 110.945 52.030 ;
+        RECT 109.695 51.650 110.025 51.665 ;
+        RECT 114.295 51.650 114.625 51.665 ;
+        RECT 109.695 51.350 114.625 51.650 ;
+        RECT 109.695 51.335 110.025 51.350 ;
+        RECT 114.295 51.335 114.625 51.350 ;
+        RECT 125.795 51.650 126.125 51.665 ;
+        RECT 132.235 51.650 132.565 51.665 ;
+        RECT 125.795 51.350 132.565 51.650 ;
+        RECT 137.540 51.650 137.840 52.030 ;
+        RECT 146.495 52.030 150.965 52.330 ;
+        RECT 146.495 52.015 146.825 52.030 ;
+        RECT 150.635 52.015 150.965 52.030 ;
+        RECT 157.995 52.330 158.325 52.345 ;
+        RECT 185.135 52.330 185.465 52.345 ;
+        RECT 190.655 52.330 190.985 52.345 ;
+        RECT 157.995 52.030 190.985 52.330 ;
+        RECT 157.995 52.015 158.325 52.030 ;
+        RECT 185.135 52.015 185.465 52.030 ;
+        RECT 190.655 52.015 190.985 52.030 ;
+        RECT 194.795 52.330 195.125 52.345 ;
+        RECT 196.175 52.330 196.505 52.345 ;
+        RECT 201.235 52.330 201.565 52.345 ;
+        RECT 194.795 52.030 201.565 52.330 ;
+        RECT 194.795 52.015 195.125 52.030 ;
+        RECT 196.175 52.015 196.505 52.030 ;
+        RECT 201.235 52.015 201.565 52.030 ;
+        RECT 208.595 52.330 208.925 52.345 ;
+        RECT 235.020 52.330 235.400 52.340 ;
+        RECT 208.595 52.030 235.400 52.330 ;
+        RECT 208.595 52.015 208.925 52.030 ;
+        RECT 235.020 52.020 235.400 52.030 ;
+        RECT 236.195 52.330 236.525 52.345 ;
+        RECT 238.035 52.330 238.365 52.345 ;
+        RECT 236.195 52.030 238.365 52.330 ;
+        RECT 236.195 52.015 236.525 52.030 ;
+        RECT 238.035 52.015 238.365 52.030 ;
+        RECT 256.435 52.330 256.765 52.345 ;
+        RECT 298.295 52.330 298.625 52.345 ;
+        RECT 331.875 52.330 332.205 52.345 ;
+        RECT 341.535 52.330 341.865 52.345 ;
+        RECT 256.435 52.030 291.480 52.330 ;
+        RECT 256.435 52.015 256.765 52.030 ;
+        RECT 152.475 51.650 152.805 51.665 ;
+        RECT 137.540 51.350 152.805 51.650 ;
+        RECT 125.795 51.335 126.125 51.350 ;
+        RECT 132.235 51.335 132.565 51.350 ;
+        RECT 152.475 51.335 152.805 51.350 ;
+        RECT 154.775 51.650 155.105 51.665 ;
+        RECT 188.815 51.650 189.145 51.665 ;
+        RECT 189.735 51.650 190.065 51.665 ;
+        RECT 154.775 51.350 190.065 51.650 ;
+        RECT 154.775 51.335 155.105 51.350 ;
+        RECT 188.815 51.335 189.145 51.350 ;
+        RECT 189.735 51.335 190.065 51.350 ;
+        RECT 199.395 51.650 199.725 51.665 ;
+        RECT 203.995 51.650 204.325 51.665 ;
+        RECT 199.395 51.350 204.325 51.650 ;
+        RECT 199.395 51.335 199.725 51.350 ;
+        RECT 203.995 51.335 204.325 51.350 ;
+        RECT 212.275 51.650 212.605 51.665 ;
+        RECT 215.955 51.650 216.285 51.665 ;
+        RECT 212.275 51.350 216.285 51.650 ;
+        RECT 212.275 51.335 212.605 51.350 ;
+        RECT 215.955 51.335 216.285 51.350 ;
+        RECT 238.035 51.650 238.365 51.665 ;
+        RECT 285.415 51.650 285.745 51.665 ;
+        RECT 238.035 51.350 285.745 51.650 ;
+        RECT 291.180 51.650 291.480 52.030 ;
+        RECT 298.295 52.030 341.865 52.330 ;
+        RECT 298.295 52.015 298.625 52.030 ;
+        RECT 331.875 52.015 332.205 52.030 ;
+        RECT 341.535 52.015 341.865 52.030 ;
+        RECT 342.915 52.330 343.245 52.345 ;
+        RECT 376.495 52.330 376.825 52.345 ;
+        RECT 342.915 52.030 376.825 52.330 ;
+        RECT 342.915 52.015 343.245 52.030 ;
+        RECT 376.495 52.015 376.825 52.030 ;
+        RECT 310.255 51.650 310.585 51.665 ;
+        RECT 291.180 51.350 310.585 51.650 ;
+        RECT 238.035 51.335 238.365 51.350 ;
+        RECT 285.415 51.335 285.745 51.350 ;
+        RECT 310.255 51.335 310.585 51.350 ;
+        RECT 134.790 50.995 136.390 51.325 ;
+        RECT 288.390 50.995 289.990 51.325 ;
+        RECT 441.990 50.995 443.590 51.325 ;
+        RECT 595.590 50.995 597.190 51.325 ;
+        RECT 77.035 50.970 77.365 50.985 ;
+        RECT 108.775 50.970 109.105 50.985 ;
+        RECT 162.135 50.970 162.465 50.985 ;
+        RECT 210.435 50.980 210.765 50.985 ;
+        RECT 77.035 50.670 109.105 50.970 ;
+        RECT 77.035 50.655 77.365 50.670 ;
+        RECT 108.775 50.655 109.105 50.670 ;
+        RECT 137.540 50.670 162.465 50.970 ;
+        RECT 72.435 50.290 72.765 50.305 ;
+        RECT 93.595 50.290 93.925 50.305 ;
+        RECT 96.815 50.290 97.145 50.305 ;
+        RECT 72.435 49.990 97.145 50.290 ;
+        RECT 72.435 49.975 72.765 49.990 ;
+        RECT 93.595 49.975 93.925 49.990 ;
+        RECT 96.815 49.975 97.145 49.990 ;
+        RECT 114.755 50.290 115.085 50.305 ;
+        RECT 137.540 50.290 137.840 50.670 ;
+        RECT 162.135 50.655 162.465 50.670 ;
+        RECT 210.180 50.970 210.765 50.980 ;
+        RECT 214.115 50.970 214.445 50.985 ;
+        RECT 249.995 50.970 250.325 50.985 ;
+        RECT 210.180 50.670 210.990 50.970 ;
+        RECT 214.115 50.670 250.325 50.970 ;
+        RECT 210.180 50.660 210.765 50.670 ;
+        RECT 210.435 50.655 210.765 50.660 ;
+        RECT 214.115 50.655 214.445 50.670 ;
+        RECT 249.995 50.655 250.325 50.670 ;
+        RECT 250.915 50.970 251.245 50.985 ;
+        RECT 286.335 50.970 286.665 50.985 ;
+        RECT 250.915 50.670 286.665 50.970 ;
+        RECT 250.915 50.655 251.245 50.670 ;
+        RECT 286.335 50.655 286.665 50.670 ;
+        RECT 290.475 50.970 290.805 50.985 ;
+        RECT 311.635 50.970 311.965 50.985 ;
+        RECT 290.475 50.670 311.965 50.970 ;
+        RECT 290.475 50.655 290.805 50.670 ;
+        RECT 311.635 50.655 311.965 50.670 ;
+        RECT 159.375 50.290 159.705 50.305 ;
+        RECT 114.755 49.990 137.840 50.290 ;
+        RECT 138.460 49.990 159.705 50.290 ;
+        RECT 114.755 49.975 115.085 49.990 ;
+        RECT 69.215 49.610 69.545 49.625 ;
+        RECT 78.875 49.610 79.205 49.625 ;
+        RECT 69.215 49.310 79.205 49.610 ;
+        RECT 69.215 49.295 69.545 49.310 ;
+        RECT 78.875 49.295 79.205 49.310 ;
+        RECT 84.395 49.610 84.725 49.625 ;
+        RECT 114.295 49.610 114.625 49.625 ;
+        RECT 138.460 49.610 138.760 49.990 ;
+        RECT 159.375 49.975 159.705 49.990 ;
+        RECT 164.895 50.290 165.225 50.305 ;
+        RECT 230.675 50.290 231.005 50.305 ;
+        RECT 164.895 49.990 231.005 50.290 ;
+        RECT 164.895 49.975 165.225 49.990 ;
+        RECT 230.675 49.975 231.005 49.990 ;
+        RECT 241.255 50.290 241.585 50.305 ;
+        RECT 262.875 50.290 263.205 50.305 ;
+        RECT 241.255 49.990 263.205 50.290 ;
+        RECT 241.255 49.975 241.585 49.990 ;
+        RECT 262.875 49.975 263.205 49.990 ;
+        RECT 270.695 50.290 271.025 50.305 ;
+        RECT 321.295 50.290 321.625 50.305 ;
+        RECT 270.695 49.990 321.625 50.290 ;
+        RECT 270.695 49.975 271.025 49.990 ;
+        RECT 321.295 49.975 321.625 49.990 ;
+        RECT 337.855 50.290 338.185 50.305 ;
+        RECT 408.235 50.290 408.565 50.305 ;
+        RECT 337.855 49.990 408.565 50.290 ;
+        RECT 337.855 49.975 338.185 49.990 ;
+        RECT 408.235 49.975 408.565 49.990 ;
+        RECT 84.395 49.310 113.920 49.610 ;
+        RECT 84.395 49.295 84.725 49.310 ;
+        RECT 81.175 48.930 81.505 48.945 ;
+        RECT 109.695 48.930 110.025 48.945 ;
+        RECT 81.175 48.630 110.025 48.930 ;
+        RECT 113.620 48.930 113.920 49.310 ;
+        RECT 114.295 49.310 138.760 49.610 ;
+        RECT 156.615 49.610 156.945 49.625 ;
+        RECT 176.395 49.610 176.725 49.625 ;
+        RECT 156.615 49.310 176.725 49.610 ;
+        RECT 114.295 49.295 114.625 49.310 ;
+        RECT 156.615 49.295 156.945 49.310 ;
+        RECT 176.395 49.295 176.725 49.310 ;
+        RECT 195.255 49.610 195.585 49.625 ;
+        RECT 214.575 49.610 214.905 49.625 ;
+        RECT 195.255 49.310 214.905 49.610 ;
+        RECT 195.255 49.295 195.585 49.310 ;
+        RECT 214.575 49.295 214.905 49.310 ;
+        RECT 225.615 49.610 225.945 49.625 ;
+        RECT 243.095 49.610 243.425 49.625 ;
+        RECT 225.615 49.310 243.425 49.610 ;
+        RECT 225.615 49.295 225.945 49.310 ;
+        RECT 243.095 49.295 243.425 49.310 ;
+        RECT 116.135 48.930 116.465 48.945 ;
+        RECT 119.355 48.930 119.685 48.945 ;
+        RECT 113.620 48.630 119.685 48.930 ;
+        RECT 81.175 48.615 81.505 48.630 ;
+        RECT 109.695 48.615 110.025 48.630 ;
+        RECT 116.135 48.615 116.465 48.630 ;
+        RECT 119.355 48.615 119.685 48.630 ;
+        RECT 136.375 48.930 136.705 48.945 ;
+        RECT 151.095 48.930 151.425 48.945 ;
+        RECT 201.695 48.930 202.025 48.945 ;
+        RECT 136.375 48.630 147.960 48.930 ;
+        RECT 136.375 48.615 136.705 48.630 ;
+        RECT 57.990 48.275 59.590 48.605 ;
+        RECT 147.660 48.250 147.960 48.630 ;
+        RECT 151.095 48.630 202.025 48.930 ;
+        RECT 151.095 48.615 151.425 48.630 ;
+        RECT 201.695 48.615 202.025 48.630 ;
+        RECT 252.295 48.930 252.625 48.945 ;
+        RECT 306.575 48.930 306.905 48.945 ;
+        RECT 252.295 48.630 306.905 48.930 ;
+        RECT 252.295 48.615 252.625 48.630 ;
+        RECT 306.575 48.615 306.905 48.630 ;
+        RECT 327.735 48.930 328.065 48.945 ;
+        RECT 339.695 48.930 340.025 48.945 ;
+        RECT 327.735 48.630 340.025 48.930 ;
+        RECT 327.735 48.615 328.065 48.630 ;
+        RECT 339.695 48.615 340.025 48.630 ;
+        RECT 343.835 48.930 344.165 48.945 ;
+        RECT 362.235 48.930 362.565 48.945 ;
+        RECT 343.835 48.630 362.565 48.930 ;
+        RECT 343.835 48.615 344.165 48.630 ;
+        RECT 362.235 48.615 362.565 48.630 ;
+        RECT 211.590 48.275 213.190 48.605 ;
+        RECT 365.190 48.275 366.790 48.605 ;
+        RECT 518.790 48.275 520.390 48.605 ;
+        RECT 181.660 48.250 182.040 48.260 ;
+        RECT 188.815 48.250 189.145 48.265 ;
+        RECT 147.660 47.950 189.145 48.250 ;
+        RECT 181.660 47.940 182.040 47.950 ;
+        RECT 188.815 47.935 189.145 47.950 ;
+        RECT 231.135 48.260 231.465 48.265 ;
+        RECT 231.135 48.250 231.720 48.260 ;
+        RECT 233.180 48.250 233.560 48.260 ;
+        RECT 234.815 48.250 235.145 48.265 ;
+        RECT 231.135 47.950 231.920 48.250 ;
+        RECT 233.180 47.950 235.145 48.250 ;
+        RECT 231.135 47.940 231.720 47.950 ;
+        RECT 233.180 47.940 233.560 47.950 ;
+        RECT 231.135 47.935 231.465 47.940 ;
+        RECT 234.815 47.935 235.145 47.950 ;
+        RECT 244.475 48.250 244.805 48.265 ;
+        RECT 262.415 48.250 262.745 48.265 ;
+        RECT 244.475 47.950 262.745 48.250 ;
+        RECT 244.475 47.935 244.805 47.950 ;
+        RECT 262.415 47.935 262.745 47.950 ;
+        RECT 268.395 48.250 268.725 48.265 ;
+        RECT 275.755 48.250 276.085 48.265 ;
+        RECT 268.395 47.950 276.085 48.250 ;
+        RECT 268.395 47.935 268.725 47.950 ;
+        RECT 275.755 47.935 276.085 47.950 ;
+        RECT 289.095 48.250 289.425 48.265 ;
+        RECT 337.855 48.250 338.185 48.265 ;
+        RECT 289.095 47.950 338.185 48.250 ;
+        RECT 289.095 47.935 289.425 47.950 ;
+        RECT 337.855 47.935 338.185 47.950 ;
+        RECT 98.195 47.570 98.525 47.585 ;
+        RECT 130.855 47.570 131.185 47.585 ;
+        RECT 98.195 47.270 131.185 47.570 ;
+        RECT 98.195 47.255 98.525 47.270 ;
+        RECT 130.855 47.255 131.185 47.270 ;
+        RECT 140.055 47.570 140.385 47.585 ;
+        RECT 157.995 47.570 158.325 47.585 ;
+        RECT 140.055 47.270 158.325 47.570 ;
+        RECT 140.055 47.255 140.385 47.270 ;
+        RECT 157.995 47.255 158.325 47.270 ;
+        RECT 158.915 47.570 159.245 47.585 ;
+        RECT 220.095 47.570 220.425 47.585 ;
+        RECT 158.915 47.270 220.425 47.570 ;
+        RECT 158.915 47.255 159.245 47.270 ;
+        RECT 220.095 47.255 220.425 47.270 ;
+        RECT 240.335 47.570 240.665 47.585 ;
+        RECT 243.555 47.570 243.885 47.585 ;
+        RECT 240.335 47.270 243.885 47.570 ;
+        RECT 240.335 47.255 240.665 47.270 ;
+        RECT 243.555 47.255 243.885 47.270 ;
+        RECT 252.295 47.570 252.625 47.585 ;
+        RECT 266.555 47.570 266.885 47.585 ;
+        RECT 252.295 47.270 266.885 47.570 ;
+        RECT 252.295 47.255 252.625 47.270 ;
+        RECT 266.555 47.255 266.885 47.270 ;
+        RECT 267.935 47.570 268.265 47.585 ;
+        RECT 290.015 47.570 290.345 47.585 ;
+        RECT 267.935 47.270 290.345 47.570 ;
+        RECT 267.935 47.255 268.265 47.270 ;
+        RECT 290.015 47.255 290.345 47.270 ;
+        RECT 290.935 47.570 291.265 47.585 ;
+        RECT 405.935 47.570 406.265 47.585 ;
+        RECT 290.935 47.270 406.265 47.570 ;
+        RECT 290.935 47.255 291.265 47.270 ;
+        RECT 405.935 47.255 406.265 47.270 ;
+        RECT 38.395 46.890 38.725 46.905 ;
+        RECT 284.035 46.890 284.365 46.905 ;
+        RECT 318.535 46.890 318.865 46.905 ;
+        RECT 38.395 46.590 284.365 46.890 ;
+        RECT 38.395 46.575 38.725 46.590 ;
+        RECT 284.035 46.575 284.365 46.590 ;
+        RECT 284.740 46.590 318.865 46.890 ;
+        RECT 194.795 46.210 195.125 46.225 ;
+        RECT 199.395 46.210 199.725 46.225 ;
+        RECT 194.795 45.910 199.725 46.210 ;
+        RECT 194.795 45.895 195.125 45.910 ;
+        RECT 199.395 45.895 199.725 45.910 ;
+        RECT 252.755 46.210 253.085 46.225 ;
+        RECT 284.740 46.210 285.040 46.590 ;
+        RECT 318.535 46.575 318.865 46.590 ;
+        RECT 252.755 45.910 285.040 46.210 ;
+        RECT 292.775 46.210 293.105 46.225 ;
+        RECT 295.995 46.210 296.325 46.225 ;
+        RECT 334.175 46.210 334.505 46.225 ;
+        RECT 292.775 45.910 334.505 46.210 ;
+        RECT 252.755 45.895 253.085 45.910 ;
+        RECT 292.775 45.895 293.105 45.910 ;
+        RECT 295.995 45.895 296.325 45.910 ;
+        RECT 334.175 45.895 334.505 45.910 ;
+        RECT 340.615 46.210 340.945 46.225 ;
+        RECT 348.435 46.210 348.765 46.225 ;
+        RECT 340.615 45.910 348.765 46.210 ;
+        RECT 340.615 45.895 340.945 45.910 ;
+        RECT 348.435 45.895 348.765 45.910 ;
+        RECT 134.790 45.555 136.390 45.885 ;
+        RECT 288.390 45.555 289.990 45.885 ;
+        RECT 441.990 45.555 443.590 45.885 ;
+        RECT 595.590 45.555 597.190 45.885 ;
+        RECT 193.875 45.530 194.205 45.545 ;
+        RECT 228.375 45.530 228.705 45.545 ;
+        RECT 193.875 45.230 228.705 45.530 ;
+        RECT 193.875 45.215 194.205 45.230 ;
+        RECT 228.375 45.215 228.705 45.230 ;
+        RECT 306.115 45.530 306.445 45.545 ;
+        RECT 314.395 45.530 314.725 45.545 ;
+        RECT 353.495 45.530 353.825 45.545 ;
+        RECT 306.115 45.230 353.825 45.530 ;
+        RECT 306.115 45.215 306.445 45.230 ;
+        RECT 314.395 45.215 314.725 45.230 ;
+        RECT 353.495 45.215 353.825 45.230 ;
+        RECT 90.835 44.850 91.165 44.865 ;
+        RECT 127.635 44.850 127.965 44.865 ;
+        RECT 90.835 44.550 127.965 44.850 ;
+        RECT 90.835 44.535 91.165 44.550 ;
+        RECT 127.635 44.535 127.965 44.550 ;
+        RECT 185.135 44.850 185.465 44.865 ;
+        RECT 229.755 44.850 230.085 44.865 ;
+        RECT 185.135 44.550 230.085 44.850 ;
+        RECT 185.135 44.535 185.465 44.550 ;
+        RECT 229.755 44.535 230.085 44.550 ;
+        RECT 288.635 44.850 288.965 44.865 ;
+        RECT 292.775 44.850 293.105 44.865 ;
+        RECT 288.635 44.550 293.105 44.850 ;
+        RECT 288.635 44.535 288.965 44.550 ;
+        RECT 292.775 44.535 293.105 44.550 ;
+        RECT 295.075 44.850 295.405 44.865 ;
+        RECT 325.435 44.850 325.765 44.865 ;
+        RECT 295.075 44.550 325.765 44.850 ;
+        RECT 295.075 44.535 295.405 44.550 ;
+        RECT 325.435 44.535 325.765 44.550 ;
+        RECT 331.875 44.850 332.205 44.865 ;
+        RECT 358.555 44.850 358.885 44.865 ;
+        RECT 331.875 44.550 358.885 44.850 ;
+        RECT 331.875 44.535 332.205 44.550 ;
+        RECT 358.555 44.535 358.885 44.550 ;
+        RECT 119.355 44.170 119.685 44.185 ;
+        RECT 129.015 44.170 129.345 44.185 ;
+        RECT 119.355 43.870 129.345 44.170 ;
+        RECT 119.355 43.855 119.685 43.870 ;
+        RECT 129.015 43.855 129.345 43.870 ;
+        RECT 57.990 42.835 59.590 43.165 ;
+        RECT 211.590 42.835 213.190 43.165 ;
+        RECT 365.190 42.835 366.790 43.165 ;
+        RECT 518.790 42.835 520.390 43.165 ;
+        RECT 223.775 42.130 224.105 42.145 ;
+        RECT 257.815 42.130 258.145 42.145 ;
+        RECT 223.775 41.830 258.145 42.130 ;
+        RECT 223.775 41.815 224.105 41.830 ;
+        RECT 257.815 41.815 258.145 41.830 ;
+      LAYER via3 ;
+        RECT 58.030 53.720 58.350 54.020 ;
+        RECT 58.430 53.720 58.750 54.020 ;
+        RECT 58.830 53.720 59.150 54.020 ;
+        RECT 59.230 53.720 59.550 54.020 ;
+        RECT 211.630 53.720 211.950 54.020 ;
+        RECT 212.030 53.720 212.350 54.020 ;
+        RECT 212.430 53.720 212.750 54.020 ;
+        RECT 212.830 53.720 213.150 54.020 ;
+        RECT 365.230 53.720 365.550 54.020 ;
+        RECT 365.630 53.720 365.950 54.020 ;
+        RECT 366.030 53.720 366.350 54.020 ;
+        RECT 366.430 53.720 366.750 54.020 ;
+        RECT 518.830 53.720 519.150 54.020 ;
+        RECT 519.230 53.720 519.550 54.020 ;
+        RECT 519.630 53.720 519.950 54.020 ;
+        RECT 520.030 53.720 520.350 54.020 ;
+        RECT 237.810 53.380 238.130 53.700 ;
+        RECT 213.890 52.700 214.210 53.020 ;
+        RECT 235.050 52.020 235.370 52.340 ;
+        RECT 134.830 51.000 135.150 51.320 ;
+        RECT 135.230 51.000 135.550 51.320 ;
+        RECT 135.630 51.000 135.950 51.320 ;
+        RECT 136.030 51.000 136.350 51.320 ;
+        RECT 288.430 51.000 288.750 51.320 ;
+        RECT 288.830 51.000 289.150 51.320 ;
+        RECT 289.230 51.000 289.550 51.320 ;
+        RECT 289.630 51.000 289.950 51.320 ;
+        RECT 442.030 51.000 442.350 51.320 ;
+        RECT 442.430 51.000 442.750 51.320 ;
+        RECT 442.830 51.000 443.150 51.320 ;
+        RECT 443.230 51.000 443.550 51.320 ;
+        RECT 595.630 51.000 595.950 51.320 ;
+        RECT 596.030 51.000 596.350 51.320 ;
+        RECT 596.430 51.000 596.750 51.320 ;
+        RECT 596.830 51.000 597.150 51.320 ;
+        RECT 210.210 50.660 210.530 50.980 ;
+        RECT 58.030 48.280 58.350 48.600 ;
+        RECT 58.430 48.280 58.750 48.600 ;
+        RECT 58.830 48.280 59.150 48.600 ;
+        RECT 59.230 48.280 59.550 48.600 ;
+        RECT 211.630 48.280 211.950 48.600 ;
+        RECT 212.030 48.280 212.350 48.600 ;
+        RECT 212.430 48.280 212.750 48.600 ;
+        RECT 212.830 48.280 213.150 48.600 ;
+        RECT 365.230 48.280 365.550 48.600 ;
+        RECT 365.630 48.280 365.950 48.600 ;
+        RECT 366.030 48.280 366.350 48.600 ;
+        RECT 366.430 48.280 366.750 48.600 ;
+        RECT 518.830 48.280 519.150 48.600 ;
+        RECT 519.230 48.280 519.550 48.600 ;
+        RECT 519.630 48.280 519.950 48.600 ;
+        RECT 520.030 48.280 520.350 48.600 ;
+        RECT 181.690 47.940 182.010 48.260 ;
+        RECT 231.370 47.940 231.690 48.260 ;
+        RECT 233.210 47.940 233.530 48.260 ;
+        RECT 134.830 45.560 135.150 45.880 ;
+        RECT 135.230 45.560 135.550 45.880 ;
+        RECT 135.630 45.560 135.950 45.880 ;
+        RECT 136.030 45.560 136.350 45.880 ;
+        RECT 288.430 45.560 288.750 45.880 ;
+        RECT 288.830 45.560 289.150 45.880 ;
+        RECT 289.230 45.560 289.550 45.880 ;
+        RECT 289.630 45.560 289.950 45.880 ;
+        RECT 442.030 45.560 442.350 45.880 ;
+        RECT 442.430 45.560 442.750 45.880 ;
+        RECT 442.830 45.560 443.150 45.880 ;
+        RECT 443.230 45.560 443.550 45.880 ;
+        RECT 595.630 45.560 595.950 45.880 ;
+        RECT 596.030 45.560 596.350 45.880 ;
+        RECT 596.430 45.560 596.750 45.880 ;
+        RECT 596.830 45.560 597.150 45.880 ;
+        RECT 58.030 42.840 58.350 43.160 ;
+        RECT 58.430 42.840 58.750 43.160 ;
+        RECT 58.830 42.840 59.150 43.160 ;
+        RECT 59.230 42.840 59.550 43.160 ;
+        RECT 211.630 42.840 211.950 43.160 ;
+        RECT 212.030 42.840 212.350 43.160 ;
+        RECT 212.430 42.840 212.750 43.160 ;
+        RECT 212.830 42.840 213.150 43.160 ;
+        RECT 365.230 42.840 365.550 43.160 ;
+        RECT 365.630 42.840 365.950 43.160 ;
+        RECT 366.030 42.840 366.350 43.160 ;
+        RECT 366.430 42.840 366.750 43.160 ;
+        RECT 518.830 42.840 519.150 43.160 ;
+        RECT 519.230 42.840 519.550 43.160 ;
+        RECT 519.630 42.840 519.950 43.160 ;
+        RECT 520.030 42.840 520.350 43.160 ;
+      LAYER met4 ;
+        RECT 57.990 54.000 597.190 619.880 ;
+        RECT 57.990 42.760 59.590 54.000 ;
+        RECT 134.790 42.760 136.390 54.000 ;
+        RECT 181.700 48.265 182.000 54.000 ;
+        RECT 210.220 50.985 210.520 54.000 ;
+        RECT 210.205 50.655 210.535 50.985 ;
+        RECT 181.685 47.935 182.015 48.265 ;
+        RECT 211.590 42.760 213.190 54.000 ;
+        RECT 213.900 53.025 214.200 54.000 ;
+        RECT 213.885 52.695 214.215 53.025 ;
+        RECT 231.380 48.265 231.680 54.000 ;
+        RECT 233.220 48.265 233.520 54.000 ;
+        RECT 235.060 52.345 235.360 54.000 ;
+        RECT 237.820 53.705 238.120 54.000 ;
+        RECT 237.805 53.375 238.135 53.705 ;
+        RECT 235.045 52.015 235.375 52.345 ;
+        RECT 231.365 47.935 231.695 48.265 ;
+        RECT 233.205 47.935 233.535 48.265 ;
+        RECT 288.390 42.760 289.990 54.000 ;
+        RECT 365.190 42.760 366.790 54.000 ;
+        RECT 441.990 42.760 443.590 54.000 ;
+        RECT 518.790 42.760 520.390 54.000 ;
+        RECT 595.590 42.760 597.190 54.000 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 8094f31..9fea8b4 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,58843 +1,9445 @@
 magic
 tech sky130A
-magscale 1 2
-timestamp 1605730173
+timestamp 1606369131
 << checkpaint >>
-rect -1260 -1260 586176 705260
-<< locali >>
-rect 288761 700859 288795 701029
-rect 229605 699771 229639 699873
-rect 239265 699703 239299 699873
-rect 259229 699703 259263 699873
-rect 264105 699703 264139 699873
-rect 278549 699703 278583 699941
-rect 278641 699703 278675 699873
-rect 287381 699771 287415 699941
-rect 290049 699907 290083 701097
-rect 292383 700961 292533 700995
-rect 296305 700927 296339 701097
-rect 292291 700825 292475 700859
-rect 292257 699839 292291 700213
-rect 292349 700043 292383 700213
-rect 292441 700043 292475 700825
-rect 294591 699941 294741 699975
-rect 296121 699839 296155 700009
-rect 296213 699907 296247 700893
-rect 292257 699805 292625 699839
-rect 287381 699737 287473 699771
-rect 288853 699737 289037 699771
-rect 291613 699737 291889 699771
-rect 288853 699703 288887 699737
-rect 291613 699703 291647 699737
-rect 378737 685899 378771 695453
-rect 508457 685899 508491 695453
-rect 314153 666587 314187 676073
-rect 378645 666587 378679 676073
-rect 443873 666587 443907 676073
-rect 508365 666587 508399 676073
-rect 573593 666587 573627 676073
-rect 314153 627963 314187 637449
-rect 443873 627963 443907 637449
-rect 573593 627963 573627 637449
-rect 443689 601579 443723 608549
-rect 573409 601579 573443 608549
-rect 313877 589339 313911 598825
-rect 443873 589339 443907 598825
-rect 573593 589339 573627 598825
-rect 378645 579683 378679 589237
-rect 508365 579683 508399 589237
-rect 313969 570027 314003 579581
-rect 378737 562955 378771 569857
-rect 508457 562955 508491 569857
-rect 443505 550647 443539 553401
-rect 573225 550647 573259 553401
-rect 443505 531335 443539 534089
-rect 573225 531335 573259 534089
-rect 443597 524331 443631 531233
-rect 573317 524331 573351 531233
-rect 443505 485707 443539 485877
-rect 573225 485707 573259 485877
-rect 268889 463131 268923 463641
-rect 283701 463063 283735 463233
-rect 284713 463233 284897 463267
-rect 284713 463199 284747 463233
-rect 291613 462179 291647 463097
-rect 292625 462315 292659 463097
-rect 301273 462179 301307 463097
-rect 302285 462247 302319 463165
-rect 302929 462179 302963 463709
-rect 443597 463199 443631 471937
-rect 573317 463063 573351 471937
-rect 225373 459867 225407 460037
-rect 7333 459527 7367 459765
-rect 7425 459595 7459 459765
-rect 16993 459595 17027 459765
-rect 17085 459595 17119 459765
-rect 26653 459527 26687 459765
-rect 26745 459595 26779 459765
-rect 36313 459595 36347 459765
-rect 36405 459595 36439 459765
-rect 45973 459527 46007 459765
-rect 46065 459595 46099 459765
-rect 55633 459595 55667 459765
-rect 55725 459595 55759 459765
-rect 65293 459527 65327 459765
-rect 65385 459595 65419 459765
-rect 74953 459595 74987 459765
-rect 75045 459595 75079 459765
-rect 84613 459527 84647 459765
-rect 84705 459595 84739 459765
-rect 94273 459595 94307 459765
-rect 94365 459595 94399 459765
-rect 103933 459527 103967 459765
-rect 104025 459595 104059 459765
-rect 113593 459595 113627 459765
-rect 113685 459595 113719 459765
-rect 123253 459527 123287 459765
-rect 123345 459595 123379 459765
-rect 132913 459595 132947 459765
-rect 133005 459595 133039 459765
-rect 142573 459527 142607 459765
-rect 142665 459595 142699 459765
-rect 152233 459595 152267 459765
-rect 152325 459595 152359 459765
-rect 161893 459527 161927 459765
-rect 161985 459595 162019 459765
-rect 171553 459595 171587 459765
-rect 171645 459595 171679 459765
-rect 181213 459527 181247 459765
-rect 181305 459595 181339 459765
-rect 190873 459595 190907 459765
-rect 190965 459595 190999 459765
-rect 200533 459527 200567 459765
-rect 200625 459595 200659 459765
-rect 210193 459595 210227 459765
-rect 210285 459595 210319 459765
-rect 229513 459595 229547 459833
-rect 234297 458235 234331 459629
-rect 235067 459561 235125 459595
-rect 242025 459459 242059 459629
-rect 250121 458915 250155 459629
-rect 251593 459459 251627 459561
-rect 251685 459391 251719 459493
-rect 256377 458439 256411 459629
-rect 261253 459391 261287 459629
-rect 261345 459459 261379 459697
-rect 270913 459459 270947 459561
-rect 280665 459527 280699 459697
-rect 293027 459629 293211 459663
-rect 290233 459527 290267 459629
-rect 293177 459595 293211 459629
-rect 312347 459629 312405 459663
-rect 299985 459459 300019 459561
-rect 309553 459459 309587 459629
-rect 323537 458847 323571 459629
-rect 327493 458711 327527 459629
-rect 329517 458779 329551 459629
-rect 331725 458371 331759 459629
-rect 337797 458303 337831 459629
-rect 345617 458507 345651 459629
-rect 26745 337739 26779 337909
-rect 36313 337603 36347 337909
-rect 36405 337603 36439 337977
-rect 113685 337603 113719 338113
-rect 17085 337195 17119 337365
-rect 55725 337195 55759 337569
-rect 75045 336991 75079 337569
-rect 94365 336855 94399 337569
-rect 101081 336651 101115 336821
-rect 103841 336651 103875 337569
-rect 113777 337399 113811 337569
-rect 113719 337365 113811 337399
-rect 113869 336651 113903 337365
-rect 116261 336447 116295 337501
-rect 119205 337399 119239 338249
-rect 119297 337399 119331 338113
-rect 128957 337603 128991 338181
-rect 129417 337943 129451 338113
-rect 129509 337739 129543 337909
-rect 123989 337399 124023 337569
-rect 133189 337467 133223 337569
-rect 138341 337399 138375 338181
-rect 142665 337943 142699 338113
-rect 152325 337943 152359 338113
-rect 161985 337943 162019 338113
-rect 171645 337943 171679 338113
-rect 181305 337943 181339 338113
-rect 190965 337943 190999 338113
-rect 200625 337943 200659 338113
-rect 210285 337943 210319 338113
-rect 219945 337943 219979 338113
-rect 142515 337909 142607 337943
-rect 152175 337909 152267 337943
-rect 161835 337909 161927 337943
-rect 171495 337909 171587 337943
-rect 181155 337909 181247 337943
-rect 190815 337909 190907 337943
-rect 200475 337909 200567 337943
-rect 210135 337909 210227 337943
-rect 219795 337909 219887 337943
-rect 142573 337739 142607 337909
-rect 142757 337739 142791 337909
-rect 142699 337705 142791 337739
-rect 152233 337739 152267 337909
-rect 152417 337739 152451 337909
-rect 152359 337705 152451 337739
-rect 161893 337739 161927 337909
-rect 162077 337739 162111 337909
-rect 162019 337705 162111 337739
-rect 171553 337739 171587 337909
-rect 171737 337739 171771 337909
-rect 171679 337705 171771 337739
-rect 181213 337739 181247 337909
-rect 181397 337739 181431 337909
-rect 181339 337705 181431 337739
-rect 190873 337739 190907 337909
-rect 191057 337739 191091 337909
-rect 190999 337705 191091 337739
-rect 200533 337739 200567 337909
-rect 200717 337739 200751 337909
-rect 200659 337705 200751 337739
-rect 210193 337739 210227 337909
-rect 210377 337739 210411 337909
-rect 210319 337705 210411 337739
-rect 219853 337739 219887 337909
-rect 220037 337739 220071 337909
-rect 219979 337705 220071 337739
-rect 138341 337365 138433 337399
-rect 142389 336651 142423 337637
-rect 142481 337569 142573 337603
-rect 142481 337399 142515 337569
-rect 142849 336651 142883 337637
-rect 152049 336651 152083 337637
-rect 152359 337569 152451 337603
-rect 152417 337399 152451 337569
-rect 152509 336651 152543 337637
-rect 161709 336651 161743 337637
-rect 161801 337569 161893 337603
-rect 161801 337399 161835 337569
-rect 162169 336651 162203 337637
-rect 171369 336651 171403 337637
-rect 171679 337569 171771 337603
-rect 171737 337399 171771 337569
-rect 171829 336651 171863 337637
-rect 181029 336651 181063 337637
-rect 181121 337569 181213 337603
-rect 181121 337399 181155 337569
-rect 181489 336651 181523 337637
-rect 190689 336651 190723 337637
-rect 190999 337569 191091 337603
-rect 191057 337399 191091 337569
-rect 191149 336651 191183 337637
-rect 200349 336651 200383 337637
-rect 200441 337569 200533 337603
-rect 200441 337399 200475 337569
-rect 200809 336651 200843 337637
-rect 210009 336651 210043 337637
-rect 210319 337569 210411 337603
-rect 210377 337399 210411 337569
-rect 210469 336651 210503 337637
-rect 219669 336651 219703 337637
-rect 219761 337569 219853 337603
-rect 219761 337399 219795 337569
-rect 220129 336651 220163 337637
-rect 225465 337603 225499 338181
-rect 225557 337603 225591 338113
-rect 226753 337399 226787 338113
-rect 230157 338011 230191 338249
-rect 230249 337739 230283 337977
-rect 234757 337739 234791 338249
-rect 230341 337467 230375 337705
-rect 230007 337433 230375 337467
-rect 245337 336855 245371 337161
-rect 152233 327131 152267 334645
-rect 170173 327131 170207 327777
-rect 214333 327131 214367 331993
-rect 259045 331211 259079 331381
-rect 259137 331143 259171 338045
-rect 266773 337059 266807 337637
-rect 270913 333251 270947 337909
-rect 278549 337671 278583 338045
-rect 280699 337977 280791 338011
-rect 280757 337739 280791 337977
-rect 314187 337501 314279 337535
-rect 308449 337127 308483 337365
-rect 314245 337127 314279 337501
-rect 315073 336991 315107 337705
-rect 317097 337059 317131 337637
-rect 317189 337467 317223 337909
-rect 318201 337195 318235 337501
-rect 321973 337127 322007 337569
-rect 229881 321419 229915 328389
-rect 235493 318903 235527 328389
-rect 235677 318903 235711 321929
-rect 268613 321419 268647 328389
-rect 276525 324411 276559 333897
-rect 278733 326859 278767 331925
-rect 279837 327131 279871 334305
-rect 284989 331143 285023 336413
-rect 285357 328491 285391 331449
-rect 318661 321419 318695 328389
-rect 261253 320263 261287 320637
-rect 276985 318699 277019 318869
-rect 135673 307819 135707 317373
-rect 152233 307819 152267 317373
-rect 170173 307819 170207 317373
-rect 190873 307819 190907 309757
-rect 214333 307819 214367 317373
-rect 235493 311831 235527 318665
-rect 268705 311627 268739 311933
-rect 285265 309179 285299 318665
-rect 285633 309179 285667 318665
-rect 318937 314075 318971 318665
-rect 309185 309179 309219 311797
-rect 319121 309859 319155 318665
-rect 319949 317475 319983 335257
-rect 371929 331143 371963 337909
-rect 328137 317475 328171 327029
-rect 371929 321419 371963 328389
-rect 373033 318699 373067 327029
-rect 331173 309247 331207 311933
-rect 225373 299523 225407 309077
-rect 259321 299523 259355 309077
-rect 357945 299523 357979 309077
-rect 371837 302175 371871 309077
-rect 385545 307819 385579 317373
-rect 392445 307819 392479 317373
-rect 235677 297415 235711 299421
-rect 275513 296939 275547 299489
-rect 272661 295239 272695 295545
-rect 272753 295307 272787 295477
-rect 91513 280279 91547 286297
-rect 235493 282795 235527 285277
-rect 259413 280279 259447 289765
-rect 276617 285719 276651 295205
-rect 276709 285719 276743 295273
-rect 309185 289867 309219 299421
-rect 319949 292451 319983 297993
-rect 268705 282795 268739 284937
-rect 271465 282795 271499 283033
-rect 276709 280007 276743 285141
-rect 276801 280075 276835 280449
-rect 340925 280279 340959 289765
-rect 341109 282795 341143 282965
-rect 358037 280347 358071 289697
-rect 371929 282795 371963 289697
-rect 553905 280279 553939 289697
-rect 242117 277355 242151 278817
-rect 246625 277355 246659 278817
-rect 259321 270555 259355 273309
-rect 91513 260899 91547 270453
-rect 225373 260899 225407 270453
-rect 242761 267563 242795 269705
-rect 285173 269127 285207 279837
-rect 285265 270555 285299 279837
-rect 309185 270555 309219 280041
-rect 331265 270555 331299 280041
-rect 372941 278783 372975 280245
-rect 235585 253827 235619 260797
-rect 242853 255323 242887 264809
-rect 273029 255323 273063 256853
-rect 91513 241655 91547 251141
-rect 225373 241655 225407 251141
-rect 229973 244171 230007 251141
-rect 242577 245667 242611 255221
-rect 246533 249815 246567 254133
-rect 242761 241995 242795 246789
-rect 235493 230503 235527 240057
-rect 242485 227783 242519 237337
-rect 273029 236011 273063 253861
-rect 275605 245667 275639 255221
-rect 285265 251243 285299 260729
-rect 285633 251243 285667 260797
-rect 305045 249815 305079 259369
-rect 320041 258111 320075 263585
-rect 357945 260899 357979 270453
-rect 535965 269127 535999 271133
-rect 553905 260967 553939 270453
-rect 328321 253895 328355 256649
-rect 331265 251243 331299 260797
-rect 341109 251243 341143 260797
-rect 285357 244171 285391 244341
-rect 357945 241655 357979 251141
-rect 553905 241655 553939 251141
-rect 272845 231591 272879 235909
-rect 268705 222207 268739 227001
-rect 275697 224995 275731 234549
-rect 276525 227783 276559 232577
-rect 285357 231931 285391 236725
-rect 309185 234379 309219 241417
-rect 272937 217991 272971 224893
-rect 242485 207043 242519 216597
-rect 275605 215339 275639 224825
-rect 272845 210443 272879 215237
-rect 275605 210443 275639 211225
-rect 276709 211191 276743 220745
-rect 285265 219487 285299 224961
-rect 320041 220983 320075 224961
-rect 328321 211191 328355 235909
-rect 341017 215271 341051 220745
-rect 373217 212483 373251 220745
-rect 229973 205547 230007 205785
-rect 235493 203031 235527 205717
-rect 268705 205547 268739 207689
-rect 271465 205547 271499 205717
-rect 235585 195755 235619 202793
-rect 242485 189091 242519 198645
-rect 275697 197387 275731 197557
-rect 276985 189091 277019 198101
-rect 279837 190519 279871 200073
-rect 285081 198747 285115 208233
-rect 309093 205547 309127 205717
-rect 328413 204935 328447 209729
-rect 341109 205547 341143 205785
-rect 357945 203031 357979 212449
-rect 320041 195959 320075 200073
-rect 328413 195755 328447 200005
-rect 341109 193375 341143 202793
-rect 372021 191267 372055 200073
-rect 373033 190587 373067 200073
-rect 552433 196299 552467 201433
-rect 229881 176579 229915 182121
-rect 242853 182019 242887 188989
-rect 309001 183583 309035 186337
-rect 341109 183651 341143 186337
-rect 552433 183515 552467 191777
-rect 235493 166923 235527 167161
-rect 135673 153255 135707 162809
-rect 152233 153255 152267 162809
-rect 170173 153255 170207 162809
-rect 190873 153255 190907 162809
-rect 214333 153255 214367 162809
-rect 230065 157131 230099 162809
-rect 235493 157131 235527 159273
-rect 242485 157947 242519 162809
-rect 242669 157131 242703 164169
-rect 246625 153255 246659 162809
-rect 246901 161483 246935 169269
-rect 246809 157131 246843 159477
-rect 259321 157335 259355 164169
-rect 273029 163523 273063 168317
-rect 275605 163523 275639 173213
-rect 278825 171139 278859 180761
-rect 285173 172567 285207 182121
-rect 328321 176579 328355 182121
-rect 268521 157131 268555 161245
-rect 268705 147611 268739 154377
-rect 273029 147679 273063 157301
-rect 275605 147679 275639 157301
-rect 135673 133943 135707 143429
-rect 152233 133943 152267 143429
-rect 170173 133943 170207 143429
-rect 190873 133943 190907 143429
-rect 214333 133943 214367 143429
-rect 225373 135371 225407 144857
-rect 273029 139451 273063 143701
-rect 278733 142171 278767 149821
-rect 259413 128299 259447 135201
-rect 268705 128299 268739 135201
-rect 271465 128503 271499 137921
-rect 279745 129795 279779 139349
-rect 285081 137887 285115 156757
-rect 285265 138091 285299 157369
-rect 305137 157335 305171 164169
-rect 371929 161483 371963 179333
-rect 385545 153255 385579 162809
-rect 392445 153255 392479 162809
-rect 529065 153255 529099 162809
-rect 535965 153255 535999 162809
-rect 552525 153255 552559 162809
-rect 553905 154683 553939 164169
-rect 571845 153255 571879 162809
-rect 373125 145163 373159 153153
-rect 285633 137955 285667 144857
-rect 285449 135303 285483 135541
-rect 309093 134691 309127 140709
-rect 319949 133943 319983 143497
-rect 328413 133943 328447 143497
-rect 371929 128299 371963 135201
-rect 385545 133943 385579 143497
-rect 392445 133943 392479 143497
-rect 529065 133943 529099 143497
-rect 535965 133943 535999 143497
-rect 552249 133943 552283 143497
-rect 553905 135371 553939 144857
-rect 571845 133943 571879 143497
-rect 91513 106335 91547 115753
-rect 135673 114563 135707 124117
-rect 152233 114563 152267 124117
-rect 170173 114563 170207 124117
-rect 190873 114563 190907 124117
-rect 214333 114563 214367 124117
-rect 229789 114699 229823 118745
-rect 242853 116739 242887 125545
-rect 235585 108987 235619 115753
-rect 135673 95319 135707 104805
-rect 152233 95319 152267 104805
-rect 170173 95319 170207 104805
-rect 190873 95319 190907 104805
-rect 214333 95319 214367 104805
-rect 229823 103445 229915 103479
-rect 229881 85595 229915 103445
-rect 235493 96679 235527 106233
-rect 242669 104907 242703 111129
-rect 242853 110551 242887 114461
-rect 272845 111843 272879 121397
-rect 285633 111299 285667 120037
-rect 305137 118507 305171 125545
-rect 309001 119391 309035 125545
-rect 341109 116059 341143 125545
-rect 552249 125443 552283 133705
-rect 235585 89675 235619 96577
-rect 242025 93891 242059 101405
-rect 275513 100759 275547 106301
-rect 320133 104907 320167 109021
-rect 328413 104907 328447 109021
-rect 357945 106335 357979 115753
-rect 373125 114563 373159 124117
-rect 385545 114563 385579 124117
-rect 392445 114563 392479 124117
-rect 529065 114563 529099 124117
-rect 535965 114563 535999 124117
-rect 571845 114563 571879 124117
-rect 285265 102187 285299 102357
-rect 305045 99331 305079 104805
-rect 246533 88451 246567 90049
-rect 268705 89675 268739 96577
-rect 308909 93891 308943 103445
-rect 319949 93891 319983 103445
-rect 373125 95319 373159 104805
-rect 385545 95319 385579 104805
-rect 392445 95319 392479 104805
-rect 529065 95319 529099 104805
-rect 535965 95319 535999 104805
-rect 242485 86887 242519 87193
-rect 91513 67643 91547 77129
-rect 135673 75939 135707 85493
-rect 152233 75939 152267 85493
-rect 170173 75939 170207 85493
-rect 190873 75939 190907 85493
-rect 214333 75939 214367 85493
-rect 242485 85323 242519 85493
-rect 229973 79883 230007 80121
-rect 271373 80087 271407 81481
-rect 235493 67643 235527 77129
-rect 246717 66283 246751 70465
-rect 91513 48331 91547 57885
-rect 135673 56695 135707 66181
-rect 152233 56695 152267 66181
-rect 170173 56695 170207 66181
-rect 190873 56695 190907 66181
-rect 214333 56695 214367 66181
-rect 271465 63563 271499 76585
-rect 276617 73219 276651 82773
-rect 285265 77979 285299 82773
-rect 305137 79883 305171 86921
-rect 319949 75939 319983 85493
-rect 341109 77435 341143 86921
-rect 371929 85595 371963 95081
-rect 552525 93891 552559 103445
-rect 553905 96747 553939 109701
-rect 571845 95319 571879 104805
-rect 552433 86887 552467 87125
-rect 279929 74443 279963 74613
-rect 275605 63563 275639 73117
-rect 341109 67643 341143 77129
-rect 357945 67643 357979 77129
-rect 373125 75939 373159 85493
-rect 385545 75939 385579 85493
-rect 392445 75939 392479 85493
-rect 529065 75939 529099 85493
-rect 535965 75939 535999 85493
-rect 552341 77163 552375 85493
-rect 571845 75939 571879 85493
-rect 235401 48331 235435 57885
-rect 91513 29019 91547 38505
-rect 135673 37315 135707 46869
-rect 152233 37315 152267 46869
-rect 170173 37315 170207 46869
-rect 190873 37315 190907 46869
-rect 214333 37315 214367 46869
-rect 225373 37315 225407 46869
-rect 242485 45611 242519 63461
-rect 277077 62747 277111 67609
-rect 246901 45611 246935 55165
-rect 272845 48331 272879 57885
-rect 275605 52479 275639 57953
-rect 259321 38743 259355 48229
-rect 272845 37315 272879 46869
-rect 275605 42823 275639 46937
-rect 276525 44183 276559 57273
-rect 278641 55267 278675 64821
-rect 279745 55267 279779 64821
-rect 285265 56491 285299 64821
-rect 308909 56695 308943 66181
-rect 320133 56695 320167 66181
-rect 331265 62815 331299 67541
-rect 357945 48331 357979 57885
-rect 371929 55267 371963 64821
-rect 385545 56695 385579 66181
-rect 392445 56695 392479 66181
-rect 529065 56695 529099 66181
-rect 535965 56695 535999 66181
-rect 552525 56695 552559 66181
-rect 571845 56695 571879 66181
-rect 278733 45611 278767 48297
-rect 235493 29019 235527 31773
-rect 91513 9707 91547 19261
-rect 135305 18071 135339 27557
-rect 151865 18071 151899 27557
-rect 169713 18071 169747 27557
-rect 225097 18071 225131 27557
-rect 242577 22695 242611 27557
-rect 254813 21403 254847 28917
-rect 268429 26027 268463 26197
-rect 272845 18071 272879 27557
-rect 273029 26027 273063 26197
-rect 275605 24871 275639 37961
-rect 285725 29019 285759 42041
-rect 319949 37315 319983 46869
-rect 320317 31875 320351 38505
-rect 328229 37315 328263 46869
-rect 341017 29019 341051 31841
-rect 357945 29019 357979 38505
-rect 385545 37315 385579 46869
-rect 392445 46699 392479 46869
-rect 529065 37315 529099 46869
-rect 535965 37315 535999 46869
-rect 552525 37315 552559 46869
-rect 553813 38403 553847 46869
-rect 571845 37315 571879 46869
-rect 278825 26299 278859 27625
-rect 285173 19363 285207 28917
-rect 285725 19363 285759 24157
-rect 305137 18071 305171 27557
-rect 123345 10523 123379 11033
-rect 128957 10795 128991 11101
-rect 129049 10999 129083 11169
-rect 128865 10183 128899 10761
-rect 133557 10251 133591 10693
-rect 133649 10455 133683 10965
-rect 133741 10523 133775 10625
-rect 133833 10455 133867 11033
-rect 133925 10387 133959 10489
-rect 133649 10353 133959 10387
-rect 133649 10183 133683 10353
-rect 128865 10149 128957 10183
-rect 133407 10149 133683 10183
-rect 138157 9639 138191 10149
-rect 138249 10115 138283 11101
-rect 138375 10489 138467 10523
-rect 138433 10183 138467 10489
-rect 138525 10183 138559 11033
-rect 147909 10727 147943 11101
-rect 148093 10795 148127 11033
-rect 148185 10727 148219 11033
-rect 148277 10795 148311 11169
-rect 143343 10693 143527 10727
-rect 148369 10727 148403 11101
-rect 152877 10761 153153 10795
-rect 152877 10727 152911 10761
-rect 143493 10659 143527 10693
-rect 148001 10251 148035 10693
-rect 143217 10115 143251 10217
-rect 147817 10217 148035 10251
-rect 157661 10251 157695 11033
-rect 147817 10115 147851 10217
-rect 157753 10183 157787 11169
-rect 138249 10081 138341 10115
-rect 143217 10081 143401 10115
-rect 147909 9639 147943 10081
-rect 138157 9605 138341 9639
-rect 138525 9605 138709 9639
-rect 148001 9639 148035 10149
-rect 138525 9571 138559 9605
-rect 148093 9571 148127 10149
-rect 18465 8959 18499 9129
-rect 28033 8959 28067 9129
-rect 36405 9027 36439 9129
-rect 31529 8891 31563 8993
-rect 37785 8619 37819 8925
-rect 42661 8891 42695 9129
-rect 47353 8619 47387 8925
-rect 54253 8891 54287 9129
-rect 65385 9027 65419 9129
-rect 75045 9027 75079 9129
-rect 57105 8823 57139 8925
-rect 66673 8823 66707 8925
-rect 68145 8823 68179 8925
-rect 81209 8891 81243 9129
-rect 85717 8993 85993 9027
-rect 85717 8823 85751 8993
-rect 92893 8891 92927 9129
-rect 104025 9027 104059 9129
-rect 113685 9027 113719 9129
-rect 116261 9027 116295 9129
-rect 148093 9027 148127 9333
-rect 85935 8857 85993 8891
-rect 115065 8619 115099 8925
-rect 124633 8619 124667 8925
-rect 134385 8823 134419 8925
-rect 138525 8823 138559 8925
-rect 140089 8891 140123 8993
-rect 148277 8891 148311 9605
-rect 140089 8857 140273 8891
-rect 157845 8891 157879 11033
-rect 167597 10251 167631 11033
-rect 176705 10795 176739 11305
-rect 172381 10659 172415 10693
-rect 172231 10625 172415 10659
-rect 176797 10659 176831 11033
-rect 176889 10727 176923 11101
-rect 177073 10795 177107 11169
-rect 179189 11135 179223 11305
-rect 186917 10795 186951 11033
-rect 187009 10727 187043 11101
-rect 191517 10761 191793 10795
-rect 191517 10727 191551 10761
-rect 176981 10659 177015 10693
-rect 176797 10625 177015 10659
-rect 167539 10217 167631 10251
-rect 196209 10183 196243 11033
-rect 138467 8789 138559 8823
-rect 162537 8551 162571 9605
-rect 196577 9027 196611 11033
-rect 225557 10115 225591 11101
-rect 230065 10829 230375 10863
-rect 230065 10795 230099 10829
-rect 230157 10659 230191 10761
-rect 230341 10727 230375 10829
-rect 232399 10217 232457 10251
-rect 234757 9095 234791 11237
-rect 235493 10795 235527 11033
-rect 235159 10693 235343 10727
-rect 234941 10183 234975 10693
-rect 235309 10251 235343 10693
-rect 235401 10183 235435 10761
-rect 238345 10659 238379 11169
-rect 244877 9707 244911 10149
-rect 244969 9571 245003 9673
-rect 244785 9537 245003 9571
-rect 244785 9503 244819 9537
-rect 244877 9367 244911 9469
-rect 244819 9333 244911 9367
-rect 254353 9163 254387 9673
-rect 254537 9639 254571 10081
-rect 162629 8823 162663 8993
-rect 162905 8619 162939 8857
-rect 172289 8619 172323 8993
-rect 181949 8619 181983 8993
-rect 191609 8619 191643 8993
-rect 201269 8619 201303 8993
-rect 177165 5899 177199 8313
-rect 186641 7803 186675 8381
-rect 186733 5831 186767 7769
-rect 195013 7735 195047 8313
-rect 196393 7871 196427 8381
-rect 210929 8347 210963 8993
-rect 215897 8347 215931 8993
-rect 254629 8823 254663 9877
-rect 254721 8891 254755 9673
-rect 254479 8789 254663 8823
-rect 254813 8823 254847 9673
-rect 259137 9503 259171 9877
-rect 308265 9707 308299 19261
-rect 331265 10251 331299 11169
-rect 331449 10183 331483 11305
-rect 331541 10795 331575 11237
-rect 331541 10115 331575 10149
-rect 331449 10081 331575 10115
-rect 331449 10047 331483 10081
-rect 331633 10047 331667 10761
-rect 331725 10659 331759 11033
-rect 331909 10727 331943 11101
-rect 333749 10999 333783 11305
-rect 340925 10727 340959 11305
-rect 341017 11135 341051 11169
-rect 341017 11101 341235 11135
-rect 341109 10659 341143 11033
-rect 341201 10659 341235 11101
-rect 341293 10727 341327 11237
-rect 331207 10013 331483 10047
-rect 331575 10013 331667 10047
-rect 350677 9469 350895 9503
-rect 350677 9367 350711 9469
-rect 225189 8381 225373 8415
-rect 225189 8347 225223 8381
-rect 341201 8279 341235 9061
-rect 215897 6171 215931 6273
-rect 215839 6137 215931 6171
-rect 36405 3179 36439 3485
-rect 44777 3383 44811 3621
-rect 40177 3111 40211 3349
-rect 45881 3111 45915 3417
-rect 45973 3179 46007 3485
-rect 56277 3179 56311 3485
-rect 56369 3111 56403 3417
-rect 65201 3111 65235 3485
-rect 65293 3179 65327 3485
-rect 75045 2975 75079 3485
-rect 75137 2907 75171 3417
-rect 84521 2907 84555 3417
-rect 84613 2975 84647 3485
-rect 93721 2907 93755 3485
-rect 103933 2975 103967 3485
-rect 103875 2941 103967 2975
-rect 108625 2839 108659 2873
-rect 108625 2805 108809 2839
-rect 112799 2805 113409 2839
-rect 113501 2635 113535 2873
-rect 113685 2635 113719 2805
-rect 123345 2635 123379 4165
-rect 128957 3519 128991 4165
-rect 133005 3995 133039 4165
-rect 134293 3995 134327 4165
-rect 138433 3519 138467 3961
-rect 128255 3485 128899 3519
-rect 138375 3485 138467 3519
-rect 138801 3519 138835 4233
-rect 147909 4233 148127 4267
-rect 147909 4199 147943 4233
-rect 138893 3519 138927 3621
-rect 128865 3451 128899 3485
-rect 128865 3417 129049 3451
-rect 132821 2635 132855 3417
-rect 132913 2805 133315 2839
-rect 132913 2567 132947 2805
-rect 133281 2567 133315 2805
-rect 133373 2635 133407 3417
-rect 142389 2635 142423 3417
-rect 142573 2567 142607 3417
-rect 142757 2635 142791 3621
-rect 148001 3519 148035 4165
-rect 148093 3519 148127 4233
-rect 152233 3655 152267 4165
-rect 148495 3621 149565 3655
-rect 152141 2635 152175 3621
-rect 157753 3519 157787 4233
-rect 157845 3519 157879 4233
-rect 167505 4233 167723 4267
-rect 159133 3655 159167 4165
-rect 167505 3655 167539 4233
-rect 167689 4199 167723 4233
-rect 177165 4233 177441 4267
-rect 162755 3621 163365 3655
-rect 166343 3621 167413 3655
-rect 167597 3655 167631 4165
-rect 152325 2567 152359 3417
-rect 152509 2635 152543 3417
-rect 161617 2635 161651 3485
-rect 161893 2567 161927 3485
-rect 162077 2635 162111 3621
-rect 171461 2635 171495 4165
-rect 171737 2635 171771 4165
-rect 176889 3587 176923 4165
-rect 176981 3655 177015 4165
-rect 177073 3587 177107 3621
-rect 176889 3553 177107 3587
-rect 177165 3519 177199 4233
-rect 177257 3519 177291 4165
-rect 181121 2635 181155 3621
-rect 181247 3553 181489 3587
-rect 186733 3519 186767 4165
-rect 181397 2635 181431 3485
-rect 186951 3077 187043 3111
-rect 187009 3043 187043 3077
-rect 187101 2907 187135 3417
-rect 186951 2873 187135 2907
-rect 186825 2839 186859 2873
-rect 186825 2805 187009 2839
-rect 190781 2635 190815 4165
-rect 190965 2567 190999 4233
-rect 191057 2635 191091 4165
-rect 196117 2635 196151 5661
-rect 229329 5117 229547 5151
-rect 229329 5083 229363 5117
-rect 220497 4743 220531 4981
-rect 220681 4811 220715 5049
-rect 227581 5015 227615 5049
-rect 227581 4981 227765 5015
-rect 220773 4743 220807 4777
-rect 220497 4709 220807 4743
-rect 196243 3009 196577 3043
-rect 196209 2635 196243 2873
-rect 196301 2873 196485 2907
-rect 196301 2839 196335 2873
-rect 198509 2635 198543 2941
-rect 200257 2635 200291 4165
-rect 200533 2805 200659 2839
-rect 200533 2567 200567 2805
-rect 200625 2567 200659 2805
-rect 200717 2635 200751 4165
-rect 206145 3519 206179 4233
-rect 215897 3655 215931 4233
-rect 217461 3995 217495 4233
-rect 218565 3995 218599 4233
-rect 220497 4233 220681 4267
-rect 220497 4199 220531 4233
-rect 219703 3961 219795 3995
-rect 205961 3077 206053 3111
-rect 205961 3043 205995 3077
-rect 205903 2941 206145 2975
-rect 209917 2635 209951 3417
-rect 210193 2567 210227 3417
-rect 210377 2635 210411 3621
-rect 219761 2635 219795 3961
-rect 219853 3587 219887 3961
-rect 219945 3655 219979 3961
-rect 219853 3553 219979 3587
-rect 219945 2567 219979 3553
-rect 225407 3417 225465 3451
-rect 229421 2635 229455 5049
-rect 229513 5015 229547 5117
-rect 229513 4981 229789 5015
-rect 229697 2567 229731 4029
-rect 232917 3995 232951 7837
-rect 230341 3723 230375 3961
-rect 234975 3893 235067 3927
-rect 235033 3587 235067 3893
-rect 235217 595 235251 6273
-rect 245061 5287 245095 6273
-rect 254169 5287 254203 6273
-rect 254353 4063 254387 5185
-rect 259045 4743 259079 6341
-rect 272845 6171 272879 8245
-rect 341293 8075 341327 9129
-rect 322157 6987 322191 7157
-rect 264197 5389 264415 5423
-rect 264197 5355 264231 5389
-rect 264381 5355 264415 5389
-rect 264381 5321 264507 5355
-rect 259263 5253 259447 5287
-rect 263955 5253 264047 5287
-rect 259413 5219 259447 5253
-rect 264013 4743 264047 5253
-rect 263921 4131 263955 4709
-rect 264289 4131 264323 5321
-rect 264473 4539 264507 5321
-rect 302561 5287 302595 5593
-rect 235309 3587 235343 3689
-rect 249569 3519 249603 3689
-rect 264565 3383 264599 4641
-rect 267509 4471 267543 4573
-rect 267417 4131 267451 4437
-rect 268889 4199 268923 4573
-rect 301089 4131 301123 4777
-rect 301181 4063 301215 5117
-rect 302653 5083 302687 5253
-rect 302595 5049 302687 5083
-rect 302745 5117 302837 5151
-rect 307471 5117 307747 5151
-rect 302745 4811 302779 5117
-rect 302837 4607 302871 4777
-rect 307621 4675 307655 4981
-rect 307713 4675 307747 5117
-rect 312129 5083 312163 5661
-rect 312221 5355 312255 5729
-rect 312313 5559 312347 6069
-rect 312313 4675 312347 5321
-rect 312497 5219 312531 5661
-rect 312681 5287 312715 5729
-rect 314613 5695 314647 6273
-rect 317189 5763 317223 6341
-rect 321789 6239 321823 6885
-rect 323813 6443 323847 7225
-rect 336509 6987 336543 7837
-rect 339637 7531 339671 7905
-rect 339637 7497 340925 7531
-rect 341293 7395 341327 7497
-rect 341235 7361 341327 7395
-rect 321881 6375 321915 6409
-rect 321881 6341 322065 6375
-rect 328723 6273 328873 6307
-rect 345341 5389 346479 5423
-rect 312405 4947 312439 5185
-rect 317097 5083 317131 5253
-rect 317281 5151 317315 5321
-rect 341385 5287 341419 5321
-rect 341327 5253 341419 5287
-rect 345341 5287 345375 5389
-rect 346445 5355 346479 5389
-rect 332461 5151 332495 5253
-rect 317373 5083 317407 5117
-rect 317097 5049 317407 5083
-rect 346077 5151 346111 5253
-rect 346077 5117 346261 5151
-rect 312405 4913 312623 4947
-rect 312255 4641 312347 4675
-rect 302779 4573 302871 4607
-rect 302653 4267 302687 4505
-rect 302595 4233 302687 4267
-rect 312405 4131 312439 4709
-rect 283977 3927 284011 4029
-rect 302469 4097 302653 4131
-rect 283977 3893 284161 3927
-rect 278733 3587 278767 3621
-rect 268889 2839 268923 3553
-rect 278549 3553 278767 3587
-rect 278549 3519 278583 3553
-rect 278641 3451 278675 3485
-rect 275697 3043 275731 3417
-rect 278457 3417 278675 3451
-rect 278457 3043 278491 3417
-rect 298513 3383 298547 3893
-rect 299709 3723 299743 4029
-rect 302469 3995 302503 4097
-rect 312497 4063 312531 4709
-rect 312589 4063 312623 4913
-rect 332369 4607 332403 5117
-rect 345433 4675 345467 5049
-rect 346353 4811 346387 5321
-rect 322893 3927 322927 4097
-rect 324181 3927 324215 4165
-rect 325929 3961 326331 3995
-rect 325929 3927 325963 3961
-rect 300997 3451 301031 3553
-rect 326021 3519 326055 3893
-rect 326297 3519 326331 3961
-rect 331541 3723 331575 4233
-rect 331633 3723 331667 4165
-rect 341385 3519 341419 4505
-rect 278549 3043 278583 3349
-rect 298605 2839 298639 3349
-rect 301089 3179 301123 3417
-rect 309093 595 309127 2805
-rect 326205 2635 326239 3485
-rect 345617 2567 345651 4505
-rect 346169 4267 346203 4437
-rect 346261 4267 346295 4777
-rect 346537 4539 346571 9333
-rect 350769 9163 350803 9401
-rect 350861 9299 350895 9469
-rect 350953 9367 350987 11237
-rect 351079 9401 351171 9435
-rect 350861 9265 350987 9299
-rect 350769 9129 350861 9163
-rect 350677 7871 350711 9129
-rect 350953 9095 350987 9265
-rect 351137 9163 351171 9401
-rect 350769 7939 350803 9061
-rect 351045 8959 351079 9129
-rect 351321 9027 351355 11169
-rect 355921 9095 355955 9333
-rect 356013 9027 356047 9333
-rect 355771 8993 356047 9027
-rect 351045 8925 351137 8959
-rect 355553 8449 355737 8483
-rect 355553 8415 355587 8449
-rect 373125 8415 373159 17833
-rect 409097 10523 409131 11101
-rect 418481 10523 418515 11101
-rect 418573 10523 418607 11033
-rect 418757 10591 418791 11101
-rect 418665 10523 418699 10557
-rect 423357 10523 423391 10557
-rect 418665 10489 418791 10523
-rect 423357 10489 423541 10523
-rect 418665 9639 418699 10421
-rect 418757 9571 418791 10489
-rect 529065 9707 529099 27557
-rect 535965 9707 535999 27557
-rect 541485 9707 541519 19261
-rect 552525 9707 552559 27557
-rect 560805 9707 560839 19261
-rect 571845 9707 571879 27557
-rect 428325 9503 428359 9673
-rect 350861 7973 350953 8007
-rect 350861 7871 350895 7973
-rect 350677 7837 350895 7871
-rect 357979 7429 358129 7463
-rect 346939 7361 346997 7395
-rect 424185 6851 424219 7361
-rect 433753 6851 433787 7361
-rect 457305 6783 457339 7701
-rect 457397 6851 457431 7769
-rect 457489 7735 457523 8381
-rect 457581 7803 457615 8313
-rect 473899 7497 474049 7531
-rect 462825 6715 462859 7361
-rect 473991 7361 474141 7395
-rect 472393 6715 472427 7361
-rect 473991 7225 474141 7259
-rect 357979 6341 358129 6375
-rect 346939 6273 346997 6307
-rect 424185 6103 424219 6273
-rect 370767 5593 370951 5627
-rect 350769 2567 350803 3485
-rect 355093 3009 355403 3043
-rect 355093 2975 355127 3009
-rect 355369 2975 355403 3009
-rect 355277 2635 355311 2941
-rect 360705 2839 360739 3485
-rect 370365 2839 370399 3485
-rect 370457 3111 370491 3553
-rect 370825 3179 370859 5525
-rect 370917 3247 370951 5593
-rect 505881 5083 505915 5525
-rect 370917 3213 374815 3247
-rect 370825 3145 374723 3179
-rect 370457 3077 374631 3111
-rect 360705 2805 364695 2839
-rect 364661 2635 364695 2805
-rect 364753 2567 364787 2805
-rect 370365 2805 374355 2839
-rect 364937 2635 364971 2805
-rect 374321 2635 374355 2805
-rect 374597 2635 374631 3077
-rect 374689 2499 374723 3145
-rect 374781 2431 374815 3213
-rect 383981 2635 384015 3553
-rect 384073 2567 384107 3553
-rect 390053 2635 390087 3417
-rect 418757 3043 418791 4097
-rect 505605 4063 505639 4981
-rect 505697 4131 505731 4981
-rect 505789 3995 505823 5049
-rect 515173 5015 515207 5593
-rect 515081 4131 515115 4981
-rect 529525 4267 529559 4777
-rect 534585 4675 534619 4777
-rect 559459 4709 559517 4743
-rect 529617 4267 529651 4573
-rect 538725 4471 538759 4641
-rect 549857 4607 549891 4641
-rect 549799 4573 549891 4607
-rect 548293 4471 548327 4573
-rect 515265 4131 515299 4165
-rect 515265 4097 515449 4131
-rect 420873 595 420907 2805
-<< viali >>
-rect 290049 701097 290083 701131
-rect 288761 701029 288795 701063
-rect 288761 700825 288795 700859
-rect 278549 699941 278583 699975
-rect 229605 699873 229639 699907
-rect 229605 699737 229639 699771
-rect 239265 699873 239299 699907
-rect 239265 699669 239299 699703
-rect 259229 699873 259263 699907
-rect 259229 699669 259263 699703
-rect 264105 699873 264139 699907
-rect 264105 699669 264139 699703
-rect 287381 699941 287415 699975
-rect 278549 699669 278583 699703
-rect 278641 699873 278675 699907
-rect 296305 701097 296339 701131
-rect 292349 700961 292383 700995
-rect 292533 700961 292567 700995
-rect 296213 700893 296247 700927
-rect 296305 700893 296339 700927
-rect 292257 700825 292291 700859
-rect 290049 699873 290083 699907
-rect 292257 700213 292291 700247
-rect 292349 700213 292383 700247
-rect 292349 700009 292383 700043
-rect 292441 700009 292475 700043
-rect 296121 700009 296155 700043
-rect 294557 699941 294591 699975
-rect 294741 699941 294775 699975
-rect 296213 699873 296247 699907
-rect 292625 699805 292659 699839
-rect 296121 699805 296155 699839
-rect 287473 699737 287507 699771
-rect 289037 699737 289071 699771
-rect 291889 699737 291923 699771
-rect 278641 699669 278675 699703
-rect 288853 699669 288887 699703
-rect 291613 699669 291647 699703
-rect 378737 695453 378771 695487
-rect 378737 685865 378771 685899
-rect 508457 695453 508491 695487
-rect 508457 685865 508491 685899
-rect 314153 676073 314187 676107
-rect 314153 666553 314187 666587
-rect 378645 676073 378679 676107
-rect 378645 666553 378679 666587
-rect 443873 676073 443907 676107
-rect 443873 666553 443907 666587
-rect 508365 676073 508399 676107
-rect 508365 666553 508399 666587
-rect 573593 676073 573627 676107
-rect 573593 666553 573627 666587
-rect 314153 637449 314187 637483
-rect 314153 627929 314187 627963
-rect 443873 637449 443907 637483
-rect 443873 627929 443907 627963
-rect 573593 637449 573627 637483
-rect 573593 627929 573627 627963
-rect 443689 608549 443723 608583
-rect 443689 601545 443723 601579
-rect 573409 608549 573443 608583
-rect 573409 601545 573443 601579
-rect 313877 598825 313911 598859
-rect 313877 589305 313911 589339
-rect 443873 598825 443907 598859
-rect 443873 589305 443907 589339
-rect 573593 598825 573627 598859
-rect 573593 589305 573627 589339
-rect 378645 589237 378679 589271
-rect 378645 579649 378679 579683
-rect 508365 589237 508399 589271
-rect 508365 579649 508399 579683
-rect 313969 579581 314003 579615
-rect 313969 569993 314003 570027
-rect 378737 569857 378771 569891
-rect 378737 562921 378771 562955
-rect 508457 569857 508491 569891
-rect 508457 562921 508491 562955
-rect 443505 553401 443539 553435
-rect 443505 550613 443539 550647
-rect 573225 553401 573259 553435
-rect 573225 550613 573259 550647
-rect 443505 534089 443539 534123
-rect 443505 531301 443539 531335
-rect 573225 534089 573259 534123
-rect 573225 531301 573259 531335
-rect 443597 531233 443631 531267
-rect 443597 524297 443631 524331
-rect 573317 531233 573351 531267
-rect 573317 524297 573351 524331
-rect 443505 485877 443539 485911
-rect 443505 485673 443539 485707
-rect 573225 485877 573259 485911
-rect 573225 485673 573259 485707
-rect 443597 471937 443631 471971
-rect 302929 463709 302963 463743
-rect 268889 463641 268923 463675
-rect 268889 463097 268923 463131
-rect 283701 463233 283735 463267
-rect 284897 463233 284931 463267
-rect 284713 463165 284747 463199
-rect 302285 463165 302319 463199
-rect 283701 463029 283735 463063
-rect 291613 463097 291647 463131
-rect 292625 463097 292659 463131
-rect 292625 462281 292659 462315
-rect 301273 463097 301307 463131
-rect 291613 462145 291647 462179
-rect 302285 462213 302319 462247
-rect 301273 462145 301307 462179
-rect 443597 463165 443631 463199
-rect 573317 471937 573351 471971
-rect 573317 463029 573351 463063
-rect 302929 462145 302963 462179
-rect 225373 460037 225407 460071
-rect 225373 459833 225407 459867
-rect 229513 459833 229547 459867
-rect 7333 459765 7367 459799
-rect 7425 459765 7459 459799
-rect 7425 459561 7459 459595
-rect 16993 459765 17027 459799
-rect 16993 459561 17027 459595
-rect 17085 459765 17119 459799
-rect 17085 459561 17119 459595
-rect 26653 459765 26687 459799
-rect 7333 459493 7367 459527
-rect 26745 459765 26779 459799
-rect 26745 459561 26779 459595
-rect 36313 459765 36347 459799
-rect 36313 459561 36347 459595
-rect 36405 459765 36439 459799
-rect 36405 459561 36439 459595
-rect 45973 459765 46007 459799
-rect 26653 459493 26687 459527
-rect 46065 459765 46099 459799
-rect 46065 459561 46099 459595
-rect 55633 459765 55667 459799
-rect 55633 459561 55667 459595
-rect 55725 459765 55759 459799
-rect 55725 459561 55759 459595
-rect 65293 459765 65327 459799
-rect 45973 459493 46007 459527
-rect 65385 459765 65419 459799
-rect 65385 459561 65419 459595
-rect 74953 459765 74987 459799
-rect 74953 459561 74987 459595
-rect 75045 459765 75079 459799
-rect 75045 459561 75079 459595
-rect 84613 459765 84647 459799
-rect 65293 459493 65327 459527
-rect 84705 459765 84739 459799
-rect 84705 459561 84739 459595
-rect 94273 459765 94307 459799
-rect 94273 459561 94307 459595
-rect 94365 459765 94399 459799
-rect 94365 459561 94399 459595
-rect 103933 459765 103967 459799
-rect 84613 459493 84647 459527
-rect 104025 459765 104059 459799
-rect 104025 459561 104059 459595
-rect 113593 459765 113627 459799
-rect 113593 459561 113627 459595
-rect 113685 459765 113719 459799
-rect 113685 459561 113719 459595
-rect 123253 459765 123287 459799
-rect 103933 459493 103967 459527
-rect 123345 459765 123379 459799
-rect 123345 459561 123379 459595
-rect 132913 459765 132947 459799
-rect 132913 459561 132947 459595
-rect 133005 459765 133039 459799
-rect 133005 459561 133039 459595
-rect 142573 459765 142607 459799
-rect 123253 459493 123287 459527
-rect 142665 459765 142699 459799
-rect 142665 459561 142699 459595
-rect 152233 459765 152267 459799
-rect 152233 459561 152267 459595
-rect 152325 459765 152359 459799
-rect 152325 459561 152359 459595
-rect 161893 459765 161927 459799
-rect 142573 459493 142607 459527
-rect 161985 459765 162019 459799
-rect 161985 459561 162019 459595
-rect 171553 459765 171587 459799
-rect 171553 459561 171587 459595
-rect 171645 459765 171679 459799
-rect 171645 459561 171679 459595
-rect 181213 459765 181247 459799
-rect 161893 459493 161927 459527
-rect 181305 459765 181339 459799
-rect 181305 459561 181339 459595
-rect 190873 459765 190907 459799
-rect 190873 459561 190907 459595
-rect 190965 459765 190999 459799
-rect 190965 459561 190999 459595
-rect 200533 459765 200567 459799
-rect 181213 459493 181247 459527
-rect 200625 459765 200659 459799
-rect 200625 459561 200659 459595
-rect 210193 459765 210227 459799
-rect 210193 459561 210227 459595
-rect 210285 459765 210319 459799
-rect 210285 459561 210319 459595
-rect 261345 459697 261379 459731
-rect 229513 459561 229547 459595
-rect 234297 459629 234331 459663
-rect 200533 459493 200567 459527
-rect 242025 459629 242059 459663
-rect 235033 459561 235067 459595
-rect 235125 459561 235159 459595
-rect 242025 459425 242059 459459
-rect 250121 459629 250155 459663
-rect 256377 459629 256411 459663
-rect 251593 459561 251627 459595
-rect 251593 459425 251627 459459
-rect 251685 459493 251719 459527
-rect 251685 459357 251719 459391
-rect 250121 458881 250155 458915
-rect 261253 459629 261287 459663
-rect 280665 459697 280699 459731
-rect 261345 459425 261379 459459
-rect 270913 459561 270947 459595
-rect 280665 459493 280699 459527
-rect 290233 459629 290267 459663
-rect 292993 459629 293027 459663
-rect 309553 459629 309587 459663
-rect 312313 459629 312347 459663
-rect 312405 459629 312439 459663
-rect 323537 459629 323571 459663
-rect 293177 459561 293211 459595
-rect 299985 459561 300019 459595
-rect 290233 459493 290267 459527
-rect 270913 459425 270947 459459
-rect 299985 459425 300019 459459
-rect 309553 459425 309587 459459
-rect 261253 459357 261287 459391
-rect 323537 458813 323571 458847
-rect 327493 459629 327527 459663
-rect 329517 459629 329551 459663
-rect 329517 458745 329551 458779
-rect 331725 459629 331759 459663
-rect 327493 458677 327527 458711
-rect 256377 458405 256411 458439
-rect 331725 458337 331759 458371
-rect 337797 459629 337831 459663
-rect 345617 459629 345651 459663
-rect 345617 458473 345651 458507
-rect 337797 458269 337831 458303
-rect 234297 458201 234331 458235
-rect 119205 338249 119239 338283
-rect 113685 338113 113719 338147
-rect 36405 337977 36439 338011
-rect 26745 337909 26779 337943
-rect 26745 337705 26779 337739
-rect 36313 337909 36347 337943
-rect 36313 337569 36347 337603
-rect 36405 337569 36439 337603
-rect 55725 337569 55759 337603
-rect 17085 337365 17119 337399
-rect 17085 337161 17119 337195
-rect 55725 337161 55759 337195
-rect 75045 337569 75079 337603
-rect 75045 336957 75079 336991
-rect 94365 337569 94399 337603
-rect 103841 337569 103875 337603
-rect 113685 337569 113719 337603
-rect 113777 337569 113811 337603
-rect 94365 336821 94399 336855
-rect 101081 336821 101115 336855
-rect 101081 336617 101115 336651
-rect 116261 337501 116295 337535
-rect 113685 337365 113719 337399
-rect 113869 337365 113903 337399
-rect 103841 336617 103875 336651
-rect 113869 336617 113903 336651
-rect 230157 338249 230191 338283
-rect 128957 338181 128991 338215
-rect 119205 337365 119239 337399
-rect 119297 338113 119331 338147
-rect 138341 338181 138375 338215
-rect 129417 338113 129451 338147
-rect 129417 337909 129451 337943
-rect 129509 337909 129543 337943
-rect 129509 337705 129543 337739
-rect 119297 337365 119331 337399
-rect 123989 337569 124023 337603
-rect 128957 337569 128991 337603
-rect 133189 337569 133223 337603
-rect 133189 337433 133223 337467
-rect 123989 337365 124023 337399
-rect 225465 338181 225499 338215
-rect 142665 338113 142699 338147
-rect 152325 338113 152359 338147
-rect 161985 338113 162019 338147
-rect 171645 338113 171679 338147
-rect 181305 338113 181339 338147
-rect 190965 338113 190999 338147
-rect 200625 338113 200659 338147
-rect 210285 338113 210319 338147
-rect 219945 338113 219979 338147
-rect 142481 337909 142515 337943
-rect 142665 337909 142699 337943
-rect 142757 337909 142791 337943
-rect 152141 337909 152175 337943
-rect 152325 337909 152359 337943
-rect 152417 337909 152451 337943
-rect 161801 337909 161835 337943
-rect 161985 337909 162019 337943
-rect 162077 337909 162111 337943
-rect 171461 337909 171495 337943
-rect 171645 337909 171679 337943
-rect 171737 337909 171771 337943
-rect 181121 337909 181155 337943
-rect 181305 337909 181339 337943
-rect 181397 337909 181431 337943
-rect 190781 337909 190815 337943
-rect 190965 337909 190999 337943
-rect 191057 337909 191091 337943
-rect 200441 337909 200475 337943
-rect 200625 337909 200659 337943
-rect 200717 337909 200751 337943
-rect 210101 337909 210135 337943
-rect 210285 337909 210319 337943
-rect 210377 337909 210411 337943
-rect 219761 337909 219795 337943
-rect 219945 337909 219979 337943
-rect 220037 337909 220071 337943
-rect 142573 337705 142607 337739
-rect 142665 337705 142699 337739
-rect 152233 337705 152267 337739
-rect 152325 337705 152359 337739
-rect 161893 337705 161927 337739
-rect 161985 337705 162019 337739
-rect 171553 337705 171587 337739
-rect 171645 337705 171679 337739
-rect 181213 337705 181247 337739
-rect 181305 337705 181339 337739
-rect 190873 337705 190907 337739
-rect 190965 337705 190999 337739
-rect 200533 337705 200567 337739
-rect 200625 337705 200659 337739
-rect 210193 337705 210227 337739
-rect 210285 337705 210319 337739
-rect 219853 337705 219887 337739
-rect 219945 337705 219979 337739
-rect 142389 337637 142423 337671
-rect 138433 337365 138467 337399
-rect 142849 337637 142883 337671
-rect 142573 337569 142607 337603
-rect 142481 337365 142515 337399
-rect 142389 336617 142423 336651
-rect 142849 336617 142883 336651
-rect 152049 337637 152083 337671
-rect 152509 337637 152543 337671
-rect 152325 337569 152359 337603
-rect 152417 337365 152451 337399
-rect 152049 336617 152083 336651
-rect 152509 336617 152543 336651
-rect 161709 337637 161743 337671
-rect 162169 337637 162203 337671
-rect 161893 337569 161927 337603
-rect 161801 337365 161835 337399
-rect 161709 336617 161743 336651
-rect 162169 336617 162203 336651
-rect 171369 337637 171403 337671
-rect 171829 337637 171863 337671
-rect 171645 337569 171679 337603
-rect 171737 337365 171771 337399
-rect 171369 336617 171403 336651
-rect 171829 336617 171863 336651
-rect 181029 337637 181063 337671
-rect 181489 337637 181523 337671
-rect 181213 337569 181247 337603
-rect 181121 337365 181155 337399
-rect 181029 336617 181063 336651
-rect 181489 336617 181523 336651
-rect 190689 337637 190723 337671
-rect 191149 337637 191183 337671
-rect 190965 337569 190999 337603
-rect 191057 337365 191091 337399
-rect 190689 336617 190723 336651
-rect 191149 336617 191183 336651
-rect 200349 337637 200383 337671
-rect 200809 337637 200843 337671
-rect 200533 337569 200567 337603
-rect 200441 337365 200475 337399
-rect 200349 336617 200383 336651
-rect 200809 336617 200843 336651
-rect 210009 337637 210043 337671
-rect 210469 337637 210503 337671
-rect 210285 337569 210319 337603
-rect 210377 337365 210411 337399
-rect 210009 336617 210043 336651
-rect 210469 336617 210503 336651
-rect 219669 337637 219703 337671
-rect 220129 337637 220163 337671
-rect 219853 337569 219887 337603
-rect 219761 337365 219795 337399
-rect 219669 336617 219703 336651
-rect 225465 337569 225499 337603
-rect 225557 338113 225591 338147
-rect 225557 337569 225591 337603
-rect 226753 338113 226787 338147
-rect 234757 338249 234791 338283
-rect 230157 337977 230191 338011
-rect 230249 337977 230283 338011
-rect 230249 337705 230283 337739
-rect 230341 337705 230375 337739
-rect 234757 337705 234791 337739
-rect 259137 338045 259171 338079
-rect 229973 337433 230007 337467
-rect 226753 337365 226787 337399
-rect 245337 337161 245371 337195
-rect 245337 336821 245371 336855
-rect 220129 336617 220163 336651
-rect 116261 336413 116295 336447
-rect 152233 334645 152267 334679
-rect 214333 331993 214367 332027
-rect 152233 327097 152267 327131
-rect 170173 327777 170207 327811
-rect 170173 327097 170207 327131
-rect 259045 331381 259079 331415
-rect 259045 331177 259079 331211
-rect 278549 338045 278583 338079
-rect 270913 337909 270947 337943
-rect 266773 337637 266807 337671
-rect 266773 337025 266807 337059
-rect 280665 337977 280699 338011
-rect 317189 337909 317223 337943
-rect 280757 337705 280791 337739
-rect 315073 337705 315107 337739
-rect 278549 337637 278583 337671
-rect 314153 337501 314187 337535
-rect 308449 337365 308483 337399
-rect 308449 337093 308483 337127
-rect 314245 337093 314279 337127
-rect 317097 337637 317131 337671
-rect 371929 337909 371963 337943
-rect 321973 337569 322007 337603
-rect 317189 337433 317223 337467
-rect 318201 337501 318235 337535
-rect 318201 337161 318235 337195
-rect 321973 337093 322007 337127
-rect 317097 337025 317131 337059
-rect 315073 336957 315107 336991
-rect 284989 336413 285023 336447
-rect 279837 334305 279871 334339
-rect 270913 333217 270947 333251
-rect 276525 333897 276559 333931
-rect 259137 331109 259171 331143
-rect 214333 327097 214367 327131
-rect 229881 328389 229915 328423
-rect 229881 321385 229915 321419
-rect 235493 328389 235527 328423
-rect 268613 328389 268647 328423
-rect 235493 318869 235527 318903
-rect 235677 321929 235711 321963
-rect 278733 331925 278767 331959
-rect 319949 335257 319983 335291
-rect 284989 331109 285023 331143
-rect 285357 331449 285391 331483
-rect 285357 328457 285391 328491
-rect 279837 327097 279871 327131
-rect 318661 328389 318695 328423
-rect 278733 326825 278767 326859
-rect 276525 324377 276559 324411
-rect 268613 321385 268647 321419
-rect 318661 321385 318695 321419
-rect 261253 320637 261287 320671
-rect 261253 320229 261287 320263
-rect 235677 318869 235711 318903
-rect 276985 318869 277019 318903
-rect 235493 318665 235527 318699
-rect 276985 318665 277019 318699
-rect 285265 318665 285299 318699
-rect 135673 317373 135707 317407
-rect 135673 307785 135707 307819
-rect 152233 317373 152267 317407
-rect 152233 307785 152267 307819
-rect 170173 317373 170207 317407
-rect 214333 317373 214367 317407
-rect 170173 307785 170207 307819
-rect 190873 309757 190907 309791
-rect 190873 307785 190907 307819
-rect 235493 311797 235527 311831
-rect 268705 311933 268739 311967
-rect 268705 311593 268739 311627
-rect 285265 309145 285299 309179
-rect 285633 318665 285667 318699
-rect 318937 318665 318971 318699
-rect 318937 314041 318971 314075
-rect 319121 318665 319155 318699
-rect 285633 309145 285667 309179
-rect 309185 311797 309219 311831
-rect 371929 331109 371963 331143
-rect 371929 328389 371963 328423
-rect 319949 317441 319983 317475
-rect 328137 327029 328171 327063
-rect 371929 321385 371963 321419
-rect 373033 327029 373067 327063
-rect 373033 318665 373067 318699
-rect 328137 317441 328171 317475
-rect 385545 317373 385579 317407
-rect 319121 309825 319155 309859
-rect 331173 311933 331207 311967
-rect 331173 309213 331207 309247
-rect 309185 309145 309219 309179
-rect 214333 307785 214367 307819
-rect 225373 309077 225407 309111
-rect 225373 299489 225407 299523
-rect 259321 309077 259355 309111
-rect 357945 309077 357979 309111
-rect 371837 309077 371871 309111
-rect 385545 307785 385579 307819
-rect 392445 317373 392479 317407
-rect 392445 307785 392479 307819
-rect 371837 302141 371871 302175
-rect 259321 299489 259355 299523
-rect 275513 299489 275547 299523
-rect 357945 299489 357979 299523
-rect 235677 299421 235711 299455
-rect 235677 297381 235711 297415
-rect 275513 296905 275547 296939
-rect 309185 299421 309219 299455
-rect 272661 295545 272695 295579
-rect 272753 295477 272787 295511
-rect 272753 295273 272787 295307
-rect 276709 295273 276743 295307
-rect 272661 295205 272695 295239
-rect 276617 295205 276651 295239
-rect 259413 289765 259447 289799
-rect 91513 286297 91547 286331
-rect 235493 285277 235527 285311
-rect 235493 282761 235527 282795
-rect 91513 280245 91547 280279
-rect 276617 285685 276651 285719
-rect 319949 297993 319983 298027
-rect 319949 292417 319983 292451
-rect 309185 289833 309219 289867
-rect 276709 285685 276743 285719
-rect 340925 289765 340959 289799
-rect 276709 285141 276743 285175
-rect 268705 284937 268739 284971
-rect 268705 282761 268739 282795
-rect 271465 283033 271499 283067
-rect 271465 282761 271499 282795
-rect 259413 280245 259447 280279
-rect 276801 280449 276835 280483
-rect 358037 289697 358071 289731
-rect 341109 282965 341143 282999
-rect 341109 282761 341143 282795
-rect 371929 289697 371963 289731
-rect 371929 282761 371963 282795
-rect 553905 289697 553939 289731
-rect 358037 280313 358071 280347
-rect 340925 280245 340959 280279
-rect 372941 280245 372975 280279
-rect 553905 280245 553939 280279
-rect 276801 280041 276835 280075
-rect 309185 280041 309219 280075
-rect 276709 279973 276743 280007
-rect 285173 279837 285207 279871
-rect 242117 278817 242151 278851
-rect 242117 277321 242151 277355
-rect 246625 278817 246659 278851
-rect 246625 277321 246659 277355
-rect 259321 273309 259355 273343
-rect 259321 270521 259355 270555
-rect 91513 270453 91547 270487
-rect 91513 260865 91547 260899
-rect 225373 270453 225407 270487
-rect 242761 269705 242795 269739
-rect 285265 279837 285299 279871
-rect 285265 270521 285299 270555
-rect 309185 270521 309219 270555
-rect 331265 280041 331299 280075
-rect 372941 278749 372975 278783
-rect 331265 270521 331299 270555
-rect 535965 271133 535999 271167
-rect 285173 269093 285207 269127
-rect 357945 270453 357979 270487
-rect 242761 267529 242795 267563
-rect 225373 260865 225407 260899
-rect 242853 264809 242887 264843
-rect 235585 260797 235619 260831
-rect 320041 263585 320075 263619
-rect 285633 260797 285667 260831
-rect 285265 260729 285299 260763
-rect 242853 255289 242887 255323
-rect 273029 256853 273063 256887
-rect 273029 255289 273063 255323
-rect 235585 253793 235619 253827
-rect 242577 255221 242611 255255
-rect 91513 251141 91547 251175
-rect 91513 241621 91547 241655
-rect 225373 251141 225407 251175
-rect 229973 251141 230007 251175
-rect 275605 255221 275639 255255
-rect 246533 254133 246567 254167
-rect 246533 249781 246567 249815
-rect 273029 253861 273063 253895
-rect 242577 245633 242611 245667
-rect 242761 246789 242795 246823
-rect 229973 244137 230007 244171
-rect 242761 241961 242795 241995
-rect 225373 241621 225407 241655
-rect 235493 240057 235527 240091
-rect 235493 230469 235527 230503
-rect 242485 237337 242519 237371
-rect 285265 251209 285299 251243
-rect 285633 251209 285667 251243
-rect 305045 259369 305079 259403
-rect 535965 269093 535999 269127
-rect 553905 270453 553939 270487
-rect 553905 260933 553939 260967
-rect 357945 260865 357979 260899
-rect 320041 258077 320075 258111
-rect 331265 260797 331299 260831
-rect 328321 256649 328355 256683
-rect 328321 253861 328355 253895
-rect 331265 251209 331299 251243
-rect 341109 260797 341143 260831
-rect 341109 251209 341143 251243
-rect 305045 249781 305079 249815
-rect 357945 251141 357979 251175
-rect 275605 245633 275639 245667
-rect 285357 244341 285391 244375
-rect 285357 244137 285391 244171
-rect 357945 241621 357979 241655
-rect 553905 251141 553939 251175
-rect 553905 241621 553939 241655
-rect 309185 241417 309219 241451
-rect 273029 235977 273063 236011
-rect 285357 236725 285391 236759
-rect 272845 235909 272879 235943
-rect 272845 231557 272879 231591
-rect 275697 234549 275731 234583
-rect 242485 227749 242519 227783
-rect 268705 227001 268739 227035
-rect 276525 232577 276559 232611
-rect 309185 234345 309219 234379
-rect 328321 235909 328355 235943
-rect 285357 231897 285391 231931
-rect 276525 227749 276559 227783
-rect 275697 224961 275731 224995
-rect 285265 224961 285299 224995
-rect 268705 222173 268739 222207
-rect 272937 224893 272971 224927
-rect 272937 217957 272971 217991
-rect 275605 224825 275639 224859
-rect 242485 216597 242519 216631
-rect 275605 215305 275639 215339
-rect 276709 220745 276743 220779
-rect 272845 215237 272879 215271
-rect 272845 210409 272879 210443
-rect 275605 211225 275639 211259
-rect 320041 224961 320075 224995
-rect 320041 220949 320075 220983
-rect 285265 219453 285299 219487
-rect 276709 211157 276743 211191
-rect 341017 220745 341051 220779
-rect 341017 215237 341051 215271
-rect 373217 220745 373251 220779
-rect 328321 211157 328355 211191
-rect 357945 212449 357979 212483
-rect 373217 212449 373251 212483
-rect 275605 210409 275639 210443
-rect 328413 209729 328447 209763
-rect 285081 208233 285115 208267
-rect 242485 207009 242519 207043
-rect 268705 207689 268739 207723
-rect 229973 205785 230007 205819
-rect 229973 205513 230007 205547
-rect 235493 205717 235527 205751
-rect 268705 205513 268739 205547
-rect 271465 205717 271499 205751
-rect 271465 205513 271499 205547
-rect 235493 202997 235527 203031
-rect 235585 202793 235619 202827
-rect 279837 200073 279871 200107
-rect 235585 195721 235619 195755
-rect 242485 198645 242519 198679
-rect 276985 198101 277019 198135
-rect 275697 197557 275731 197591
-rect 275697 197353 275731 197387
-rect 242485 189057 242519 189091
-rect 309093 205717 309127 205751
-rect 309093 205513 309127 205547
-rect 341109 205785 341143 205819
-rect 341109 205513 341143 205547
-rect 328413 204901 328447 204935
-rect 357945 202997 357979 203031
-rect 341109 202793 341143 202827
-rect 285081 198713 285115 198747
-rect 320041 200073 320075 200107
-rect 320041 195925 320075 195959
-rect 328413 200005 328447 200039
-rect 328413 195721 328447 195755
-rect 552433 201433 552467 201467
-rect 341109 193341 341143 193375
-rect 372021 200073 372055 200107
-rect 372021 191233 372055 191267
-rect 373033 200073 373067 200107
-rect 552433 196265 552467 196299
-rect 373033 190553 373067 190587
-rect 552433 191777 552467 191811
-rect 279837 190485 279871 190519
-rect 276985 189057 277019 189091
-rect 242853 188989 242887 189023
-rect 229881 182121 229915 182155
-rect 309001 186337 309035 186371
-rect 341109 186337 341143 186371
-rect 341109 183617 341143 183651
-rect 309001 183549 309035 183583
-rect 552433 183481 552467 183515
-rect 242853 181985 242887 182019
-rect 285173 182121 285207 182155
-rect 229881 176545 229915 176579
-rect 278825 180761 278859 180795
-rect 275605 173213 275639 173247
-rect 246901 169269 246935 169303
-rect 235493 167161 235527 167195
-rect 235493 166889 235527 166923
-rect 242669 164169 242703 164203
-rect 135673 162809 135707 162843
-rect 135673 153221 135707 153255
-rect 152233 162809 152267 162843
-rect 152233 153221 152267 153255
-rect 170173 162809 170207 162843
-rect 170173 153221 170207 153255
-rect 190873 162809 190907 162843
-rect 190873 153221 190907 153255
-rect 214333 162809 214367 162843
-rect 230065 162809 230099 162843
-rect 242485 162809 242519 162843
-rect 230065 157097 230099 157131
-rect 235493 159273 235527 159307
-rect 242485 157913 242519 157947
-rect 235493 157097 235527 157131
-rect 242669 157097 242703 157131
-rect 246625 162809 246659 162843
-rect 214333 153221 214367 153255
-rect 273029 168317 273063 168351
-rect 246901 161449 246935 161483
-rect 259321 164169 259355 164203
-rect 246809 159477 246843 159511
-rect 273029 163489 273063 163523
-rect 328321 182121 328355 182155
-rect 328321 176545 328355 176579
-rect 371929 179333 371963 179367
-rect 285173 172533 285207 172567
-rect 278825 171105 278859 171139
-rect 275605 163489 275639 163523
-rect 305137 164169 305171 164203
-rect 259321 157301 259355 157335
-rect 268521 161245 268555 161279
-rect 246809 157097 246843 157131
-rect 285265 157369 285299 157403
-rect 268521 157097 268555 157131
-rect 273029 157301 273063 157335
-rect 246625 153221 246659 153255
-rect 268705 154377 268739 154411
-rect 273029 147645 273063 147679
-rect 275605 157301 275639 157335
-rect 285081 156757 285115 156791
-rect 275605 147645 275639 147679
-rect 278733 149821 278767 149855
-rect 268705 147577 268739 147611
-rect 225373 144857 225407 144891
-rect 135673 143429 135707 143463
-rect 135673 133909 135707 133943
-rect 152233 143429 152267 143463
-rect 152233 133909 152267 133943
-rect 170173 143429 170207 143463
-rect 170173 133909 170207 133943
-rect 190873 143429 190907 143463
-rect 190873 133909 190907 133943
-rect 214333 143429 214367 143463
-rect 273029 143701 273063 143735
-rect 278733 142137 278767 142171
-rect 273029 139417 273063 139451
-rect 279745 139349 279779 139383
-rect 225373 135337 225407 135371
-rect 271465 137921 271499 137955
-rect 214333 133909 214367 133943
-rect 259413 135201 259447 135235
-rect 259413 128265 259447 128299
-rect 268705 135201 268739 135235
-rect 553905 164169 553939 164203
-rect 371929 161449 371963 161483
-rect 385545 162809 385579 162843
-rect 305137 157301 305171 157335
-rect 385545 153221 385579 153255
-rect 392445 162809 392479 162843
-rect 392445 153221 392479 153255
-rect 529065 162809 529099 162843
-rect 529065 153221 529099 153255
-rect 535965 162809 535999 162843
-rect 535965 153221 535999 153255
-rect 552525 162809 552559 162843
-rect 553905 154649 553939 154683
-rect 571845 162809 571879 162843
-rect 552525 153221 552559 153255
-rect 571845 153221 571879 153255
-rect 373125 153153 373159 153187
-rect 373125 145129 373159 145163
-rect 285265 138057 285299 138091
-rect 285633 144857 285667 144891
-rect 553905 144857 553939 144891
-rect 319949 143497 319983 143531
-rect 285633 137921 285667 137955
-rect 309093 140709 309127 140743
-rect 285081 137853 285115 137887
-rect 285449 135541 285483 135575
-rect 285449 135269 285483 135303
-rect 309093 134657 309127 134691
-rect 319949 133909 319983 133943
-rect 328413 143497 328447 143531
-rect 385545 143497 385579 143531
-rect 328413 133909 328447 133943
-rect 371929 135201 371963 135235
-rect 279745 129761 279779 129795
-rect 271465 128469 271499 128503
-rect 268705 128265 268739 128299
-rect 385545 133909 385579 133943
-rect 392445 143497 392479 143531
-rect 392445 133909 392479 133943
-rect 529065 143497 529099 143531
-rect 529065 133909 529099 133943
-rect 535965 143497 535999 143531
-rect 535965 133909 535999 133943
-rect 552249 143497 552283 143531
-rect 553905 135337 553939 135371
-rect 571845 143497 571879 143531
-rect 552249 133909 552283 133943
-rect 571845 133909 571879 133943
-rect 371929 128265 371963 128299
-rect 552249 133705 552283 133739
-rect 242853 125545 242887 125579
-rect 135673 124117 135707 124151
-rect 91513 115753 91547 115787
-rect 135673 114529 135707 114563
-rect 152233 124117 152267 124151
-rect 152233 114529 152267 114563
-rect 170173 124117 170207 124151
-rect 170173 114529 170207 114563
-rect 190873 124117 190907 124151
-rect 190873 114529 190907 114563
-rect 214333 124117 214367 124151
-rect 229789 118745 229823 118779
-rect 305137 125545 305171 125579
-rect 242853 116705 242887 116739
-rect 272845 121397 272879 121431
-rect 229789 114665 229823 114699
-rect 235585 115753 235619 115787
-rect 214333 114529 214367 114563
-rect 242853 114461 242887 114495
-rect 235585 108953 235619 108987
-rect 242669 111129 242703 111163
-rect 91513 106301 91547 106335
-rect 235493 106233 235527 106267
-rect 135673 104805 135707 104839
-rect 135673 95285 135707 95319
-rect 152233 104805 152267 104839
-rect 152233 95285 152267 95319
-rect 170173 104805 170207 104839
-rect 170173 95285 170207 95319
-rect 190873 104805 190907 104839
-rect 190873 95285 190907 95319
-rect 214333 104805 214367 104839
-rect 229789 103445 229823 103479
-rect 214333 95285 214367 95319
-rect 272845 111809 272879 111843
-rect 285633 120037 285667 120071
-rect 309001 125545 309035 125579
-rect 309001 119357 309035 119391
-rect 341109 125545 341143 125579
-rect 305137 118473 305171 118507
-rect 552249 125409 552283 125443
-rect 341109 116025 341143 116059
-rect 373125 124117 373159 124151
-rect 285633 111265 285667 111299
-rect 357945 115753 357979 115787
-rect 242853 110517 242887 110551
-rect 320133 109021 320167 109055
-rect 242669 104873 242703 104907
-rect 275513 106301 275547 106335
-rect 235493 96645 235527 96679
-rect 242025 101405 242059 101439
-rect 235585 96577 235619 96611
-rect 320133 104873 320167 104907
-rect 328413 109021 328447 109055
-rect 373125 114529 373159 114563
-rect 385545 124117 385579 124151
-rect 385545 114529 385579 114563
-rect 392445 124117 392479 124151
-rect 392445 114529 392479 114563
-rect 529065 124117 529099 124151
-rect 529065 114529 529099 114563
-rect 535965 124117 535999 124151
-rect 535965 114529 535999 114563
-rect 571845 124117 571879 124151
-rect 571845 114529 571879 114563
-rect 357945 106301 357979 106335
-rect 553905 109701 553939 109735
-rect 328413 104873 328447 104907
-rect 305045 104805 305079 104839
-rect 285265 102357 285299 102391
-rect 285265 102153 285299 102187
-rect 275513 100725 275547 100759
-rect 373125 104805 373159 104839
-rect 305045 99297 305079 99331
-rect 308909 103445 308943 103479
-rect 242025 93857 242059 93891
-rect 268705 96577 268739 96611
-rect 235585 89641 235619 89675
-rect 246533 90049 246567 90083
-rect 308909 93857 308943 93891
-rect 319949 103445 319983 103479
-rect 373125 95285 373159 95319
-rect 385545 104805 385579 104839
-rect 385545 95285 385579 95319
-rect 392445 104805 392479 104839
-rect 392445 95285 392479 95319
-rect 529065 104805 529099 104839
-rect 529065 95285 529099 95319
-rect 535965 104805 535999 104839
-rect 535965 95285 535999 95319
-rect 552525 103445 552559 103479
-rect 319949 93857 319983 93891
-rect 371929 95081 371963 95115
-rect 268705 89641 268739 89675
-rect 246533 88417 246567 88451
-rect 242485 87193 242519 87227
-rect 242485 86853 242519 86887
-rect 305137 86921 305171 86955
-rect 229881 85561 229915 85595
-rect 135673 85493 135707 85527
-rect 91513 77129 91547 77163
-rect 135673 75905 135707 75939
-rect 152233 85493 152267 85527
-rect 152233 75905 152267 75939
-rect 170173 85493 170207 85527
-rect 170173 75905 170207 75939
-rect 190873 85493 190907 85527
-rect 190873 75905 190907 75939
-rect 214333 85493 214367 85527
-rect 242485 85493 242519 85527
-rect 242485 85289 242519 85323
-rect 276617 82773 276651 82807
-rect 271373 81481 271407 81515
-rect 229973 80121 230007 80155
-rect 271373 80053 271407 80087
-rect 229973 79849 230007 79883
-rect 214333 75905 214367 75939
-rect 235493 77129 235527 77163
-rect 91513 67609 91547 67643
-rect 271465 76585 271499 76619
-rect 235493 67609 235527 67643
-rect 246717 70465 246751 70499
-rect 246717 66249 246751 66283
-rect 135673 66181 135707 66215
-rect 91513 57885 91547 57919
-rect 135673 56661 135707 56695
-rect 152233 66181 152267 66215
-rect 152233 56661 152267 56695
-rect 170173 66181 170207 66215
-rect 170173 56661 170207 56695
-rect 190873 66181 190907 66215
-rect 190873 56661 190907 56695
-rect 214333 66181 214367 66215
-rect 285265 82773 285299 82807
-rect 341109 86921 341143 86955
-rect 305137 79849 305171 79883
-rect 319949 85493 319983 85527
-rect 285265 77945 285299 77979
-rect 553905 96713 553939 96747
-rect 571845 104805 571879 104839
-rect 571845 95285 571879 95319
-rect 552525 93857 552559 93891
-rect 552433 87125 552467 87159
-rect 552433 86853 552467 86887
-rect 371929 85561 371963 85595
-rect 341109 77401 341143 77435
-rect 373125 85493 373159 85527
-rect 319949 75905 319983 75939
-rect 341109 77129 341143 77163
-rect 279929 74613 279963 74647
-rect 279929 74409 279963 74443
-rect 276617 73185 276651 73219
-rect 271465 63529 271499 63563
-rect 275605 73117 275639 73151
-rect 275605 63529 275639 63563
-rect 277077 67609 277111 67643
-rect 341109 67609 341143 67643
-rect 357945 77129 357979 77163
-rect 373125 75905 373159 75939
-rect 385545 85493 385579 85527
-rect 385545 75905 385579 75939
-rect 392445 85493 392479 85527
-rect 392445 75905 392479 75939
-rect 529065 85493 529099 85527
-rect 529065 75905 529099 75939
-rect 535965 85493 535999 85527
-rect 552341 85493 552375 85527
-rect 552341 77129 552375 77163
-rect 571845 85493 571879 85527
-rect 535965 75905 535999 75939
-rect 571845 75905 571879 75939
-rect 357945 67609 357979 67643
-rect 242485 63461 242519 63495
-rect 214333 56661 214367 56695
-rect 235401 57885 235435 57919
-rect 91513 48297 91547 48331
-rect 235401 48297 235435 48331
-rect 135673 46869 135707 46903
-rect 91513 38505 91547 38539
-rect 135673 37281 135707 37315
-rect 152233 46869 152267 46903
-rect 152233 37281 152267 37315
-rect 170173 46869 170207 46903
-rect 170173 37281 170207 37315
-rect 190873 46869 190907 46903
-rect 190873 37281 190907 37315
-rect 214333 46869 214367 46903
-rect 214333 37281 214367 37315
-rect 225373 46869 225407 46903
-rect 331265 67541 331299 67575
-rect 308909 66181 308943 66215
-rect 277077 62713 277111 62747
-rect 278641 64821 278675 64855
-rect 275605 57953 275639 57987
-rect 272845 57885 272879 57919
-rect 242485 45577 242519 45611
-rect 246901 55165 246935 55199
-rect 275605 52445 275639 52479
-rect 276525 57273 276559 57307
-rect 272845 48297 272879 48331
-rect 246901 45577 246935 45611
-rect 259321 48229 259355 48263
-rect 275605 46937 275639 46971
-rect 259321 38709 259355 38743
-rect 272845 46869 272879 46903
-rect 225373 37281 225407 37315
-rect 278641 55233 278675 55267
-rect 279745 64821 279779 64855
-rect 285265 64821 285299 64855
-rect 308909 56661 308943 56695
-rect 320133 66181 320167 66215
-rect 385545 66181 385579 66215
-rect 331265 62781 331299 62815
-rect 371929 64821 371963 64855
-rect 320133 56661 320167 56695
-rect 357945 57885 357979 57919
-rect 285265 56457 285299 56491
-rect 279745 55233 279779 55267
-rect 385545 56661 385579 56695
-rect 392445 66181 392479 66215
-rect 392445 56661 392479 56695
-rect 529065 66181 529099 66215
-rect 529065 56661 529099 56695
-rect 535965 66181 535999 66215
-rect 535965 56661 535999 56695
-rect 552525 66181 552559 66215
-rect 552525 56661 552559 56695
-rect 571845 66181 571879 66215
-rect 571845 56661 571879 56695
-rect 371929 55233 371963 55267
-rect 278733 48297 278767 48331
-rect 357945 48297 357979 48331
-rect 278733 45577 278767 45611
-rect 319949 46869 319983 46903
-rect 276525 44149 276559 44183
-rect 275605 42789 275639 42823
-rect 285725 42041 285759 42075
-rect 272845 37281 272879 37315
-rect 275605 37961 275639 37995
-rect 91513 28985 91547 29019
-rect 235493 31773 235527 31807
-rect 235493 28985 235527 29019
-rect 254813 28917 254847 28951
-rect 135305 27557 135339 27591
-rect 91513 19261 91547 19295
-rect 135305 18037 135339 18071
-rect 151865 27557 151899 27591
-rect 151865 18037 151899 18071
-rect 169713 27557 169747 27591
-rect 169713 18037 169747 18071
-rect 225097 27557 225131 27591
-rect 242577 27557 242611 27591
-rect 242577 22661 242611 22695
-rect 272845 27557 272879 27591
-rect 268429 26197 268463 26231
-rect 268429 25993 268463 26027
-rect 254813 21369 254847 21403
-rect 225097 18037 225131 18071
-rect 273029 26197 273063 26231
-rect 273029 25993 273063 26027
-rect 328229 46869 328263 46903
-rect 319949 37281 319983 37315
-rect 320317 38505 320351 38539
-rect 385545 46869 385579 46903
-rect 328229 37281 328263 37315
-rect 357945 38505 357979 38539
-rect 320317 31841 320351 31875
-rect 341017 31841 341051 31875
-rect 285725 28985 285759 29019
-rect 341017 28985 341051 29019
-rect 392445 46869 392479 46903
-rect 392445 46665 392479 46699
-rect 529065 46869 529099 46903
-rect 385545 37281 385579 37315
-rect 529065 37281 529099 37315
-rect 535965 46869 535999 46903
-rect 535965 37281 535999 37315
-rect 552525 46869 552559 46903
-rect 553813 46869 553847 46903
-rect 553813 38369 553847 38403
-rect 571845 46869 571879 46903
-rect 552525 37281 552559 37315
-rect 571845 37281 571879 37315
-rect 357945 28985 357979 29019
-rect 285173 28917 285207 28951
-rect 278825 27625 278859 27659
-rect 278825 26265 278859 26299
-rect 275605 24837 275639 24871
-rect 305137 27557 305171 27591
-rect 285173 19329 285207 19363
-rect 285725 24157 285759 24191
-rect 285725 19329 285759 19363
-rect 272845 18037 272879 18071
-rect 529065 27557 529099 27591
-rect 305137 18037 305171 18071
-rect 308265 19261 308299 19295
-rect 176705 11305 176739 11339
-rect 129049 11169 129083 11203
-rect 128957 11101 128991 11135
-rect 123345 11033 123379 11067
-rect 148277 11169 148311 11203
-rect 138249 11101 138283 11135
-rect 133833 11033 133867 11067
-rect 129049 10965 129083 10999
-rect 133649 10965 133683 10999
-rect 123345 10489 123379 10523
-rect 128865 10761 128899 10795
-rect 128957 10761 128991 10795
-rect 133557 10693 133591 10727
-rect 133741 10625 133775 10659
-rect 133741 10489 133775 10523
-rect 133649 10421 133683 10455
-rect 133833 10421 133867 10455
-rect 133925 10489 133959 10523
-rect 133557 10217 133591 10251
-rect 128957 10149 128991 10183
-rect 133373 10149 133407 10183
-rect 138157 10149 138191 10183
-rect 91513 9673 91547 9707
-rect 147909 11101 147943 11135
-rect 138525 11033 138559 11067
-rect 138341 10489 138375 10523
-rect 138433 10149 138467 10183
-rect 148093 11033 148127 11067
-rect 148093 10761 148127 10795
-rect 148185 11033 148219 11067
-rect 157753 11169 157787 11203
-rect 148277 10761 148311 10795
-rect 148369 11101 148403 11135
-rect 143309 10693 143343 10727
-rect 147909 10693 147943 10727
-rect 148001 10693 148035 10727
-rect 148185 10693 148219 10727
-rect 157661 11033 157695 11067
-rect 148369 10693 148403 10727
-rect 153153 10761 153187 10795
-rect 152877 10693 152911 10727
-rect 143493 10625 143527 10659
-rect 138525 10149 138559 10183
-rect 143217 10217 143251 10251
-rect 157661 10217 157695 10251
-rect 148001 10149 148035 10183
-rect 138341 10081 138375 10115
-rect 143401 10081 143435 10115
-rect 147817 10081 147851 10115
-rect 147909 10081 147943 10115
-rect 138341 9605 138375 9639
-rect 138709 9605 138743 9639
-rect 147909 9605 147943 9639
-rect 148001 9605 148035 9639
-rect 148093 10149 148127 10183
-rect 157753 10149 157787 10183
-rect 157845 11033 157879 11067
-rect 138525 9537 138559 9571
-rect 148093 9537 148127 9571
-rect 148277 9605 148311 9639
-rect 148093 9333 148127 9367
-rect 18465 9129 18499 9163
-rect 18465 8925 18499 8959
-rect 28033 9129 28067 9163
-rect 36405 9129 36439 9163
-rect 28033 8925 28067 8959
-rect 31529 8993 31563 9027
-rect 36405 8993 36439 9027
-rect 42661 9129 42695 9163
-rect 31529 8857 31563 8891
-rect 37785 8925 37819 8959
-rect 54253 9129 54287 9163
-rect 42661 8857 42695 8891
-rect 47353 8925 47387 8959
-rect 37785 8585 37819 8619
-rect 65385 9129 65419 9163
-rect 65385 8993 65419 9027
-rect 75045 9129 75079 9163
-rect 75045 8993 75079 9027
-rect 81209 9129 81243 9163
-rect 54253 8857 54287 8891
-rect 57105 8925 57139 8959
-rect 57105 8789 57139 8823
-rect 66673 8925 66707 8959
-rect 66673 8789 66707 8823
-rect 68145 8925 68179 8959
-rect 92893 9129 92927 9163
-rect 81209 8857 81243 8891
-rect 85993 8993 86027 9027
-rect 68145 8789 68179 8823
-rect 104025 9129 104059 9163
-rect 104025 8993 104059 9027
-rect 113685 9129 113719 9163
-rect 113685 8993 113719 9027
-rect 116261 9129 116295 9163
-rect 116261 8993 116295 9027
-rect 140089 8993 140123 9027
-rect 148093 8993 148127 9027
-rect 85901 8857 85935 8891
-rect 85993 8857 86027 8891
-rect 92893 8857 92927 8891
-rect 115065 8925 115099 8959
-rect 85717 8789 85751 8823
-rect 47353 8585 47387 8619
-rect 115065 8585 115099 8619
-rect 124633 8925 124667 8959
-rect 134385 8925 134419 8959
-rect 138525 8925 138559 8959
-rect 140273 8857 140307 8891
-rect 148277 8857 148311 8891
-rect 167597 11033 167631 11067
-rect 179189 11305 179223 11339
-rect 177073 11169 177107 11203
-rect 176889 11101 176923 11135
-rect 176705 10761 176739 10795
-rect 176797 11033 176831 11067
-rect 172381 10693 172415 10727
-rect 172197 10625 172231 10659
-rect 234757 11237 234791 11271
-rect 179189 11101 179223 11135
-rect 187009 11101 187043 11135
-rect 177073 10761 177107 10795
-rect 186917 11033 186951 11067
-rect 186917 10761 186951 10795
-rect 225557 11101 225591 11135
-rect 196209 11033 196243 11067
-rect 176889 10693 176923 10727
-rect 176981 10693 177015 10727
-rect 187009 10693 187043 10727
-rect 191793 10761 191827 10795
-rect 191517 10693 191551 10727
-rect 167505 10217 167539 10251
-rect 196209 10149 196243 10183
-rect 196577 11033 196611 11067
-rect 157845 8857 157879 8891
-rect 162537 9605 162571 9639
-rect 134385 8789 134419 8823
-rect 138433 8789 138467 8823
-rect 124633 8585 124667 8619
-rect 230065 10761 230099 10795
-rect 230157 10761 230191 10795
-rect 230341 10693 230375 10727
-rect 230157 10625 230191 10659
-rect 232365 10217 232399 10251
-rect 232457 10217 232491 10251
-rect 225557 10081 225591 10115
-rect 238345 11169 238379 11203
-rect 235493 11033 235527 11067
-rect 235401 10761 235435 10795
-rect 235493 10761 235527 10795
-rect 234941 10693 234975 10727
-rect 235125 10693 235159 10727
-rect 235309 10217 235343 10251
-rect 234941 10149 234975 10183
-rect 238345 10625 238379 10659
-rect 235401 10149 235435 10183
-rect 244877 10149 244911 10183
-rect 254537 10081 254571 10115
-rect 244877 9673 244911 9707
-rect 244969 9673 245003 9707
-rect 254353 9673 254387 9707
-rect 244785 9469 244819 9503
-rect 244877 9469 244911 9503
-rect 244785 9333 244819 9367
-rect 254537 9605 254571 9639
-rect 254629 9877 254663 9911
-rect 254353 9129 254387 9163
-rect 234757 9061 234791 9095
-rect 162629 8993 162663 9027
-rect 172289 8993 172323 9027
-rect 162629 8789 162663 8823
-rect 162905 8857 162939 8891
-rect 162905 8585 162939 8619
-rect 172289 8585 172323 8619
-rect 181949 8993 181983 9027
-rect 181949 8585 181983 8619
-rect 191609 8993 191643 9027
-rect 196577 8993 196611 9027
-rect 201269 8993 201303 9027
-rect 191609 8585 191643 8619
-rect 201269 8585 201303 8619
-rect 210929 8993 210963 9027
-rect 162537 8517 162571 8551
-rect 186641 8381 186675 8415
-rect 177165 8313 177199 8347
-rect 196393 8381 196427 8415
-rect 195013 8313 195047 8347
-rect 186641 7769 186675 7803
-rect 186733 7769 186767 7803
-rect 177165 5865 177199 5899
-rect 210929 8313 210963 8347
-rect 215897 8993 215931 9027
-rect 259137 9877 259171 9911
-rect 254721 9673 254755 9707
-rect 254721 8857 254755 8891
-rect 254813 9673 254847 9707
-rect 254445 8789 254479 8823
-rect 373125 17833 373159 17867
-rect 331449 11305 331483 11339
-rect 331265 11169 331299 11203
-rect 331265 10217 331299 10251
-rect 333749 11305 333783 11339
-rect 331541 11237 331575 11271
-rect 331909 11101 331943 11135
-rect 331725 11033 331759 11067
-rect 331541 10761 331575 10795
-rect 331633 10761 331667 10795
-rect 331449 10149 331483 10183
-rect 331541 10149 331575 10183
-rect 333749 10965 333783 10999
-rect 340925 11305 340959 11339
-rect 331909 10693 331943 10727
-rect 341293 11237 341327 11271
-rect 341017 11169 341051 11203
-rect 340925 10693 340959 10727
-rect 341109 11033 341143 11067
-rect 331725 10625 331759 10659
-rect 341109 10625 341143 10659
-rect 341293 10693 341327 10727
-rect 350953 11237 350987 11271
-rect 341201 10625 341235 10659
-rect 331173 10013 331207 10047
-rect 331541 10013 331575 10047
-rect 308265 9673 308299 9707
-rect 259137 9469 259171 9503
-rect 346537 9333 346571 9367
-rect 350677 9333 350711 9367
-rect 350769 9401 350803 9435
-rect 341293 9129 341327 9163
-rect 254813 8789 254847 8823
-rect 341201 9061 341235 9095
-rect 215897 8313 215931 8347
-rect 225373 8381 225407 8415
-rect 225189 8313 225223 8347
-rect 272845 8245 272879 8279
-rect 341201 8245 341235 8279
-rect 196393 7837 196427 7871
-rect 232917 7837 232951 7871
-rect 195013 7701 195047 7735
-rect 215897 6273 215931 6307
-rect 215805 6137 215839 6171
-rect 186733 5797 186767 5831
-rect 196117 5661 196151 5695
-rect 138801 4233 138835 4267
-rect 123345 4165 123379 4199
-rect 44777 3621 44811 3655
-rect 36405 3485 36439 3519
-rect 45973 3485 46007 3519
-rect 36405 3145 36439 3179
-rect 40177 3349 40211 3383
-rect 44777 3349 44811 3383
-rect 45881 3417 45915 3451
-rect 40177 3077 40211 3111
-rect 45973 3145 46007 3179
-rect 56277 3485 56311 3519
-rect 65201 3485 65235 3519
-rect 56277 3145 56311 3179
-rect 56369 3417 56403 3451
-rect 45881 3077 45915 3111
-rect 56369 3077 56403 3111
-rect 65293 3485 65327 3519
-rect 65293 3145 65327 3179
-rect 75045 3485 75079 3519
-rect 65201 3077 65235 3111
-rect 84613 3485 84647 3519
-rect 75045 2941 75079 2975
-rect 75137 3417 75171 3451
-rect 75137 2873 75171 2907
-rect 84521 3417 84555 3451
-rect 84613 2941 84647 2975
-rect 93721 3485 93755 3519
-rect 84521 2873 84555 2907
-rect 103933 3485 103967 3519
-rect 103841 2941 103875 2975
-rect 93721 2873 93755 2907
-rect 108625 2873 108659 2907
-rect 113501 2873 113535 2907
-rect 108809 2805 108843 2839
-rect 112765 2805 112799 2839
-rect 113409 2805 113443 2839
-rect 113501 2601 113535 2635
-rect 113685 2805 113719 2839
-rect 113685 2601 113719 2635
-rect 128957 4165 128991 4199
-rect 133005 4165 133039 4199
-rect 133005 3961 133039 3995
-rect 134293 4165 134327 4199
-rect 134293 3961 134327 3995
-rect 138433 3961 138467 3995
-rect 128221 3485 128255 3519
-rect 128957 3485 128991 3519
-rect 138341 3485 138375 3519
-rect 147909 4165 147943 4199
-rect 148001 4165 148035 4199
-rect 138801 3485 138835 3519
-rect 138893 3621 138927 3655
-rect 138893 3485 138927 3519
-rect 142757 3621 142791 3655
-rect 129049 3417 129083 3451
-rect 132821 3417 132855 3451
-rect 123345 2601 123379 2635
-rect 133373 3417 133407 3451
-rect 132821 2601 132855 2635
-rect 132913 2533 132947 2567
-rect 133373 2601 133407 2635
-rect 142389 3417 142423 3451
-rect 142389 2601 142423 2635
-rect 142573 3417 142607 3451
-rect 133281 2533 133315 2567
-rect 148001 3485 148035 3519
-rect 157753 4233 157787 4267
-rect 152233 4165 152267 4199
-rect 148461 3621 148495 3655
-rect 149565 3621 149599 3655
-rect 152141 3621 152175 3655
-rect 152233 3621 152267 3655
-rect 148093 3485 148127 3519
-rect 142757 2601 142791 2635
-rect 157753 3485 157787 3519
-rect 157845 4233 157879 4267
-rect 159133 4165 159167 4199
-rect 177441 4233 177475 4267
-rect 190965 4233 190999 4267
-rect 159133 3621 159167 3655
-rect 162077 3621 162111 3655
-rect 162721 3621 162755 3655
-rect 163365 3621 163399 3655
-rect 166309 3621 166343 3655
-rect 167413 3621 167447 3655
-rect 167505 3621 167539 3655
-rect 167597 4165 167631 4199
-rect 167689 4165 167723 4199
-rect 171461 4165 171495 4199
-rect 167597 3621 167631 3655
-rect 157845 3485 157879 3519
-rect 161617 3485 161651 3519
-rect 152141 2601 152175 2635
-rect 152325 3417 152359 3451
-rect 142573 2533 142607 2567
-rect 152509 3417 152543 3451
-rect 152509 2601 152543 2635
-rect 161617 2601 161651 2635
-rect 161893 3485 161927 3519
-rect 152325 2533 152359 2567
-rect 162077 2601 162111 2635
-rect 171461 2601 171495 2635
-rect 171737 4165 171771 4199
-rect 176889 4165 176923 4199
-rect 176981 4165 177015 4199
-rect 176981 3621 177015 3655
-rect 177073 3621 177107 3655
-rect 177165 3485 177199 3519
-rect 177257 4165 177291 4199
-rect 186733 4165 186767 4199
-rect 177257 3485 177291 3519
-rect 181121 3621 181155 3655
-rect 171737 2601 171771 2635
-rect 181213 3553 181247 3587
-rect 181489 3553 181523 3587
-rect 181121 2601 181155 2635
-rect 181397 3485 181431 3519
-rect 186733 3485 186767 3519
-rect 190781 4165 190815 4199
-rect 187101 3417 187135 3451
-rect 186917 3077 186951 3111
-rect 187009 3009 187043 3043
-rect 186825 2873 186859 2907
-rect 186917 2873 186951 2907
-rect 187009 2805 187043 2839
-rect 181397 2601 181431 2635
-rect 190781 2601 190815 2635
-rect 161893 2533 161927 2567
-rect 191057 4165 191091 4199
-rect 191057 2601 191091 2635
-rect 220681 5049 220715 5083
-rect 220497 4981 220531 5015
-rect 227581 5049 227615 5083
-rect 229329 5049 229363 5083
-rect 229421 5049 229455 5083
-rect 227765 4981 227799 5015
-rect 220681 4777 220715 4811
-rect 220773 4777 220807 4811
-rect 206145 4233 206179 4267
-rect 200257 4165 200291 4199
-rect 196209 3009 196243 3043
-rect 196577 3009 196611 3043
-rect 198509 2941 198543 2975
-rect 196117 2601 196151 2635
-rect 196209 2873 196243 2907
-rect 196485 2873 196519 2907
-rect 196301 2805 196335 2839
-rect 196209 2601 196243 2635
-rect 198509 2601 198543 2635
-rect 200717 4165 200751 4199
-rect 200257 2601 200291 2635
-rect 190965 2533 190999 2567
-rect 200533 2533 200567 2567
-rect 215897 4233 215931 4267
-rect 217461 4233 217495 4267
-rect 217461 3961 217495 3995
-rect 218565 4233 218599 4267
-rect 220681 4233 220715 4267
-rect 220497 4165 220531 4199
-rect 218565 3961 218599 3995
-rect 219669 3961 219703 3995
-rect 206145 3485 206179 3519
-rect 210377 3621 210411 3655
-rect 215897 3621 215931 3655
-rect 209917 3417 209951 3451
-rect 206053 3077 206087 3111
-rect 205961 3009 205995 3043
-rect 205869 2941 205903 2975
-rect 206145 2941 206179 2975
-rect 200717 2601 200751 2635
-rect 209917 2601 209951 2635
-rect 210193 3417 210227 3451
-rect 200625 2533 200659 2567
-rect 210377 2601 210411 2635
-rect 219853 3961 219887 3995
-rect 219945 3961 219979 3995
-rect 219945 3621 219979 3655
-rect 219761 2601 219795 2635
-rect 210193 2533 210227 2567
-rect 225373 3417 225407 3451
-rect 225465 3417 225499 3451
-rect 229789 4981 229823 5015
-rect 229421 2601 229455 2635
-rect 229697 4029 229731 4063
-rect 219945 2533 219979 2567
-rect 259045 6341 259079 6375
-rect 230341 3961 230375 3995
-rect 232917 3961 232951 3995
-rect 235217 6273 235251 6307
-rect 234941 3893 234975 3927
-rect 230341 3689 230375 3723
-rect 235033 3553 235067 3587
-rect 229697 2533 229731 2567
-rect 245061 6273 245095 6307
-rect 245061 5253 245095 5287
-rect 254169 6273 254203 6307
-rect 254169 5253 254203 5287
-rect 254353 5185 254387 5219
-rect 341293 8041 341327 8075
-rect 339637 7905 339671 7939
-rect 336509 7837 336543 7871
-rect 323813 7225 323847 7259
-rect 322157 7157 322191 7191
-rect 322157 6953 322191 6987
-rect 321789 6885 321823 6919
-rect 317189 6341 317223 6375
-rect 272845 6137 272879 6171
-rect 314613 6273 314647 6307
-rect 312313 6069 312347 6103
-rect 312221 5729 312255 5763
-rect 312129 5661 312163 5695
-rect 302561 5593 302595 5627
-rect 264197 5321 264231 5355
-rect 264289 5321 264323 5355
-rect 259229 5253 259263 5287
-rect 263921 5253 263955 5287
-rect 259413 5185 259447 5219
-rect 259045 4709 259079 4743
-rect 263921 4709 263955 4743
-rect 264013 4709 264047 4743
-rect 263921 4097 263955 4131
-rect 302561 5253 302595 5287
-rect 302653 5253 302687 5287
-rect 301181 5117 301215 5151
-rect 301089 4777 301123 4811
-rect 264473 4505 264507 4539
-rect 264565 4641 264599 4675
-rect 264289 4097 264323 4131
-rect 254353 4029 254387 4063
-rect 235309 3689 235343 3723
-rect 235309 3553 235343 3587
-rect 249569 3689 249603 3723
-rect 249569 3485 249603 3519
-rect 267509 4573 267543 4607
-rect 267417 4437 267451 4471
-rect 267509 4437 267543 4471
-rect 268889 4573 268923 4607
-rect 268889 4165 268923 4199
-rect 267417 4097 267451 4131
-rect 301089 4097 301123 4131
-rect 302561 5049 302595 5083
-rect 302837 5117 302871 5151
-rect 307437 5117 307471 5151
-rect 307621 4981 307655 5015
-rect 302745 4777 302779 4811
-rect 302837 4777 302871 4811
-rect 307621 4641 307655 4675
-rect 312681 5729 312715 5763
-rect 312313 5525 312347 5559
-rect 312497 5661 312531 5695
-rect 312221 5321 312255 5355
-rect 312313 5321 312347 5355
-rect 312129 5049 312163 5083
-rect 340925 7497 340959 7531
-rect 341293 7497 341327 7531
-rect 341201 7361 341235 7395
-rect 336509 6953 336543 6987
-rect 321881 6409 321915 6443
-rect 323813 6409 323847 6443
-rect 322065 6341 322099 6375
-rect 328689 6273 328723 6307
-rect 328873 6273 328907 6307
-rect 321789 6205 321823 6239
-rect 317189 5729 317223 5763
-rect 314613 5661 314647 5695
-rect 317281 5321 317315 5355
-rect 312681 5253 312715 5287
-rect 317097 5253 317131 5287
-rect 312405 5185 312439 5219
-rect 312497 5185 312531 5219
-rect 341385 5321 341419 5355
-rect 332461 5253 332495 5287
-rect 341293 5253 341327 5287
-rect 346353 5321 346387 5355
-rect 346445 5321 346479 5355
-rect 345341 5253 345375 5287
-rect 346077 5253 346111 5287
-rect 317281 5117 317315 5151
-rect 317373 5117 317407 5151
-rect 332369 5117 332403 5151
-rect 332461 5117 332495 5151
-rect 346261 5117 346295 5151
-rect 307713 4641 307747 4675
-rect 312221 4641 312255 4675
-rect 312405 4709 312439 4743
-rect 302745 4573 302779 4607
-rect 302653 4505 302687 4539
-rect 302561 4233 302595 4267
-rect 283977 4029 284011 4063
-rect 299709 4029 299743 4063
-rect 301181 4029 301215 4063
-rect 302653 4097 302687 4131
-rect 312405 4097 312439 4131
-rect 312497 4709 312531 4743
-rect 284161 3893 284195 3927
-rect 298513 3893 298547 3927
-rect 278733 3621 278767 3655
-rect 264565 3349 264599 3383
-rect 268889 3553 268923 3587
-rect 278549 3485 278583 3519
-rect 278641 3485 278675 3519
-rect 275697 3417 275731 3451
-rect 275697 3009 275731 3043
-rect 312497 4029 312531 4063
-rect 345433 5049 345467 5083
-rect 345433 4641 345467 4675
-rect 346261 4777 346295 4811
-rect 346353 4777 346387 4811
-rect 332369 4573 332403 4607
-rect 341385 4505 341419 4539
-rect 331541 4233 331575 4267
-rect 324181 4165 324215 4199
-rect 312589 4029 312623 4063
-rect 322893 4097 322927 4131
-rect 302469 3961 302503 3995
-rect 322893 3893 322927 3927
-rect 324181 3893 324215 3927
-rect 325929 3893 325963 3927
-rect 326021 3893 326055 3927
-rect 299709 3689 299743 3723
-rect 300997 3553 301031 3587
-rect 331541 3689 331575 3723
-rect 331633 4165 331667 4199
-rect 331633 3689 331667 3723
-rect 326021 3485 326055 3519
-rect 326205 3485 326239 3519
-rect 326297 3485 326331 3519
-rect 341385 3485 341419 3519
-rect 345617 4505 345651 4539
-rect 300997 3417 301031 3451
-rect 301089 3417 301123 3451
-rect 278457 3009 278491 3043
-rect 278549 3349 278583 3383
-rect 298513 3349 298547 3383
-rect 298605 3349 298639 3383
-rect 278549 3009 278583 3043
-rect 268889 2805 268923 2839
-rect 301089 3145 301123 3179
-rect 298605 2805 298639 2839
-rect 309093 2805 309127 2839
-rect 235217 561 235251 595
-rect 326205 2601 326239 2635
-rect 346169 4437 346203 4471
-rect 346169 4233 346203 4267
-rect 351321 11169 351355 11203
-rect 351045 9401 351079 9435
-rect 350953 9333 350987 9367
-rect 350677 9129 350711 9163
-rect 350861 9129 350895 9163
-rect 350769 9061 350803 9095
-rect 350953 9061 350987 9095
-rect 351045 9129 351079 9163
-rect 351137 9129 351171 9163
-rect 355921 9333 355955 9367
-rect 355921 9061 355955 9095
-rect 356013 9333 356047 9367
-rect 351321 8993 351355 9027
-rect 355737 8993 355771 9027
-rect 351137 8925 351171 8959
-rect 355737 8449 355771 8483
-rect 355553 8381 355587 8415
-rect 409097 11101 409131 11135
-rect 409097 10489 409131 10523
-rect 418481 11101 418515 11135
-rect 418757 11101 418791 11135
-rect 418481 10489 418515 10523
-rect 418573 11033 418607 11067
-rect 418573 10489 418607 10523
-rect 418665 10557 418699 10591
-rect 418757 10557 418791 10591
-rect 423357 10557 423391 10591
-rect 423541 10489 423575 10523
-rect 418665 10421 418699 10455
-rect 418665 9605 418699 9639
-rect 418757 9537 418791 9571
-rect 428325 9673 428359 9707
-rect 529065 9673 529099 9707
-rect 535965 27557 535999 27591
-rect 552525 27557 552559 27591
-rect 535965 9673 535999 9707
-rect 541485 19261 541519 19295
-rect 541485 9673 541519 9707
-rect 571845 27557 571879 27591
-rect 552525 9673 552559 9707
-rect 560805 19261 560839 19295
-rect 560805 9673 560839 9707
-rect 571845 9673 571879 9707
-rect 428325 9469 428359 9503
-rect 373125 8381 373159 8415
-rect 457489 8381 457523 8415
-rect 350769 7905 350803 7939
-rect 350953 7973 350987 8007
-rect 457397 7769 457431 7803
-rect 457305 7701 457339 7735
-rect 357945 7429 357979 7463
-rect 358129 7429 358163 7463
-rect 346905 7361 346939 7395
-rect 346997 7361 347031 7395
-rect 424185 7361 424219 7395
-rect 424185 6817 424219 6851
-rect 433753 7361 433787 7395
-rect 433753 6817 433787 6851
-rect 457581 8313 457615 8347
-rect 457581 7769 457615 7803
-rect 457489 7701 457523 7735
-rect 473865 7497 473899 7531
-rect 474049 7497 474083 7531
-rect 457397 6817 457431 6851
-rect 462825 7361 462859 7395
-rect 457305 6749 457339 6783
-rect 462825 6681 462859 6715
-rect 472393 7361 472427 7395
-rect 473957 7361 473991 7395
-rect 474141 7361 474175 7395
-rect 473957 7225 473991 7259
-rect 474141 7225 474175 7259
-rect 472393 6681 472427 6715
-rect 357945 6341 357979 6375
-rect 358129 6341 358163 6375
-rect 346905 6273 346939 6307
-rect 346997 6273 347031 6307
-rect 424185 6273 424219 6307
-rect 424185 6069 424219 6103
-rect 370733 5593 370767 5627
-rect 346537 4505 346571 4539
-rect 370825 5525 370859 5559
-rect 346261 4233 346295 4267
-rect 370457 3553 370491 3587
-rect 345617 2533 345651 2567
-rect 350769 3485 350803 3519
-rect 360705 3485 360739 3519
-rect 355093 2941 355127 2975
-rect 355277 2941 355311 2975
-rect 355369 2941 355403 2975
-rect 370365 3485 370399 3519
-rect 515173 5593 515207 5627
-rect 505881 5525 505915 5559
-rect 505789 5049 505823 5083
-rect 505881 5049 505915 5083
-rect 505605 4981 505639 5015
-rect 418757 4097 418791 4131
-rect 383981 3553 384015 3587
-rect 355277 2601 355311 2635
-rect 364661 2601 364695 2635
-rect 364753 2805 364787 2839
-rect 350769 2533 350803 2567
-rect 364937 2805 364971 2839
-rect 364937 2601 364971 2635
-rect 374321 2601 374355 2635
-rect 374597 2601 374631 2635
-rect 364753 2533 364787 2567
-rect 374689 2465 374723 2499
-rect 383981 2601 384015 2635
-rect 384073 3553 384107 3587
-rect 390053 3417 390087 3451
-rect 505697 4981 505731 5015
-rect 505697 4097 505731 4131
-rect 505605 4029 505639 4063
-rect 515081 4981 515115 5015
-rect 515173 4981 515207 5015
-rect 529525 4777 529559 4811
-rect 534585 4777 534619 4811
-rect 559425 4709 559459 4743
-rect 559517 4709 559551 4743
-rect 534585 4641 534619 4675
-rect 538725 4641 538759 4675
-rect 529525 4233 529559 4267
-rect 529617 4573 529651 4607
-rect 549857 4641 549891 4675
-rect 538725 4437 538759 4471
-rect 548293 4573 548327 4607
-rect 549765 4573 549799 4607
-rect 548293 4437 548327 4471
-rect 529617 4233 529651 4267
-rect 515081 4097 515115 4131
-rect 515265 4165 515299 4199
-rect 515449 4097 515483 4131
-rect 505789 3961 505823 3995
-rect 418757 3009 418791 3043
-rect 390053 2601 390087 2635
-rect 420873 2805 420907 2839
-rect 384073 2533 384107 2567
-rect 374781 2397 374815 2431
-rect 309093 561 309127 595
-rect 420873 561 420907 595
-<< metal1 >>
-rect 1600 701712 583316 701808
-rect 1600 701168 583316 701264
-rect 290037 701131 290095 701137
-rect 290037 701097 290049 701131
-rect 290083 701128 290095 701131
-rect 296293 701131 296351 701137
-rect 296293 701128 296305 701131
-rect 290083 701100 296305 701128
-rect 290083 701097 290095 701100
-rect 290037 701091 290095 701097
-rect 296293 701097 296305 701100
-rect 296339 701097 296351 701131
-rect 296293 701091 296351 701097
-rect 288749 701063 288807 701069
-rect 288749 701029 288761 701063
-rect 288795 701060 288807 701063
-rect 288795 701032 292472 701060
-rect 288795 701029 288807 701032
-rect 288749 701023 288807 701029
-rect 119374 700952 119380 701004
-rect 119432 700992 119438 701004
-rect 292337 700995 292395 701001
-rect 292337 700992 292349 700995
-rect 119432 700964 292349 700992
-rect 119432 700952 119438 700964
-rect 292337 700961 292349 700964
-rect 292383 700961 292395 700995
-rect 292337 700955 292395 700961
-rect 249186 700884 249192 700936
-rect 249244 700924 249250 700936
-rect 250198 700924 250204 700936
-rect 249244 700896 250204 700924
-rect 249244 700884 249250 700896
-rect 250198 700884 250204 700896
-rect 250256 700884 250262 700936
-rect 284698 700884 284704 700936
-rect 284756 700924 284762 700936
-rect 292444 700924 292472 701032
-rect 292521 700995 292579 701001
-rect 292521 700961 292533 700995
-rect 292567 700992 292579 700995
-rect 299970 700992 299976 701004
-rect 292567 700964 299976 700992
-rect 292567 700961 292579 700964
-rect 292521 700955 292579 700961
-rect 299970 700952 299976 700964
-rect 300028 700952 300034 701004
-rect 296201 700927 296259 700933
-rect 296201 700924 296213 700927
-rect 284756 700896 292380 700924
-rect 292444 700896 296213 700924
-rect 284756 700884 284762 700896
-rect 184234 700816 184240 700868
-rect 184292 700856 184298 700868
-rect 185338 700856 185344 700868
-rect 184292 700828 185344 700856
-rect 184292 700816 184298 700828
-rect 185338 700816 185344 700828
-rect 185396 700816 185402 700868
-rect 286078 700816 286084 700868
-rect 286136 700856 286142 700868
-rect 288749 700859 288807 700865
-rect 288749 700856 288761 700859
-rect 286136 700828 288761 700856
-rect 286136 700816 286142 700828
-rect 288749 700825 288761 700828
-rect 288795 700825 288807 700859
-rect 288749 700819 288807 700825
-rect 288838 700816 288844 700868
-rect 288896 700856 288902 700868
-rect 292245 700859 292303 700865
-rect 292245 700856 292257 700859
-rect 288896 700828 292257 700856
-rect 288896 700816 288902 700828
-rect 292245 700825 292257 700828
-rect 292291 700825 292303 700859
-rect 292352 700856 292380 700896
-rect 296201 700893 296213 700896
-rect 296247 700893 296259 700927
-rect 296201 700887 296259 700893
-rect 296293 700927 296351 700933
-rect 296293 700893 296305 700927
-rect 296339 700924 296351 700927
-rect 465478 700924 465484 700936
-rect 296339 700896 465484 700924
-rect 296339 700893 296351 700896
-rect 296293 700887 296351 700893
-rect 465478 700884 465484 700896
-rect 465536 700884 465542 700936
-rect 487098 700856 487104 700868
-rect 292352 700828 487104 700856
-rect 292245 700819 292303 700825
-rect 487098 700816 487104 700828
-rect 487156 700816 487162 700868
-rect 97754 700748 97760 700800
-rect 97812 700788 97818 700800
-rect 301350 700788 301356 700800
-rect 97812 700760 301356 700788
-rect 97812 700748 97818 700760
-rect 301350 700748 301356 700760
-rect 301408 700748 301414 700800
-rect 1600 700624 583316 700720
-rect 76134 700544 76140 700596
-rect 76192 700584 76198 700596
-rect 301442 700584 301448 700596
-rect 76192 700556 301448 700584
-rect 76192 700544 76198 700556
-rect 301442 700544 301448 700556
-rect 301500 700544 301506 700596
-rect 54514 700476 54520 700528
-rect 54572 700516 54578 700528
-rect 302730 700516 302736 700528
-rect 54572 700488 302736 700516
-rect 54572 700476 54578 700488
-rect 302730 700476 302736 700488
-rect 302788 700476 302794 700528
-rect 280558 700408 280564 700460
-rect 280616 700448 280622 700460
-rect 530338 700448 530344 700460
-rect 280616 700420 530344 700448
-rect 280616 700408 280622 700420
-rect 530338 700408 530344 700420
-rect 530396 700408 530402 700460
-rect 280466 700340 280472 700392
-rect 280524 700380 280530 700392
-rect 551958 700380 551964 700392
-rect 280524 700352 551964 700380
-rect 280524 700340 280530 700352
-rect 551958 700340 551964 700352
-rect 552016 700340 552022 700392
-rect 32894 700272 32900 700324
-rect 32952 700312 32958 700324
-rect 305490 700312 305496 700324
-rect 32952 700284 305496 700312
-rect 32952 700272 32958 700284
-rect 305490 700272 305496 700284
-rect 305548 700272 305554 700324
-rect 140994 700204 141000 700256
-rect 141052 700244 141058 700256
-rect 292245 700247 292303 700253
-rect 292245 700244 292257 700247
-rect 141052 700216 292257 700244
-rect 141052 700204 141058 700216
-rect 292245 700213 292257 700216
-rect 292291 700213 292303 700247
-rect 292245 700207 292303 700213
-rect 292337 700247 292395 700253
-rect 292337 700213 292349 700247
-rect 292383 700244 292395 700247
-rect 298590 700244 298596 700256
-rect 292383 700216 298596 700244
-rect 292383 700213 292395 700216
-rect 292337 700207 292395 700213
-rect 298590 700204 298596 700216
-rect 298648 700204 298654 700256
-rect 1600 700080 583316 700176
-rect 162614 700000 162620 700052
-rect 162672 700040 162678 700052
-rect 292337 700043 292395 700049
-rect 292337 700040 292349 700043
-rect 162672 700012 292349 700040
-rect 162672 700000 162678 700012
-rect 292337 700009 292349 700012
-rect 292383 700009 292395 700043
-rect 292337 700003 292395 700009
-rect 292429 700043 292487 700049
-rect 292429 700009 292441 700043
-rect 292475 700040 292487 700043
-rect 296109 700043 296167 700049
-rect 296109 700040 296121 700043
-rect 292475 700012 296121 700040
-rect 292475 700009 292487 700012
-rect 292429 700003 292487 700009
-rect 296109 700009 296121 700012
-rect 296155 700009 296167 700043
-rect 296109 700003 296167 700009
-rect 270806 699932 270812 699984
-rect 270864 699972 270870 699984
-rect 278537 699975 278595 699981
-rect 270864 699944 278488 699972
-rect 270864 699932 270870 699944
-rect 229593 699907 229651 699913
-rect 229593 699873 229605 699907
-rect 229639 699904 229651 699907
-rect 239253 699907 239311 699913
-rect 239253 699904 239265 699907
-rect 229639 699876 239265 699904
-rect 229639 699873 229651 699876
-rect 229593 699867 229651 699873
-rect 239253 699873 239265 699876
-rect 239299 699873 239311 699907
-rect 239253 699867 239311 699873
-rect 259217 699907 259275 699913
-rect 259217 699873 259229 699907
-rect 259263 699904 259275 699907
-rect 264093 699907 264151 699913
-rect 264093 699904 264105 699907
-rect 259263 699876 264105 699904
-rect 259263 699873 259275 699876
-rect 259217 699867 259275 699873
-rect 264093 699873 264105 699876
-rect 264139 699873 264151 699907
-rect 278460 699904 278488 699944
-rect 278537 699941 278549 699975
-rect 278583 699972 278595 699975
-rect 287369 699975 287427 699981
-rect 287369 699972 287381 699975
-rect 278583 699944 287381 699972
-rect 278583 699941 278595 699944
-rect 278537 699935 278595 699941
-rect 287369 699941 287381 699944
-rect 287415 699941 287427 699975
-rect 287369 699935 287427 699941
-rect 287458 699932 287464 699984
-rect 287516 699972 287522 699984
-rect 294545 699975 294603 699981
-rect 294545 699972 294557 699975
-rect 287516 699944 294557 699972
-rect 287516 699932 287522 699944
-rect 294545 699941 294557 699944
-rect 294591 699941 294603 699975
-rect 294545 699935 294603 699941
-rect 294729 699975 294787 699981
-rect 294729 699941 294741 699975
-rect 294775 699972 294787 699975
-rect 422238 699972 422244 699984
-rect 294775 699944 422244 699972
-rect 294775 699941 294787 699944
-rect 294729 699935 294787 699941
-rect 422238 699932 422244 699944
-rect 422296 699932 422302 699984
-rect 278629 699907 278687 699913
-rect 278629 699904 278641 699907
-rect 278460 699876 278641 699904
-rect 264093 699867 264151 699873
-rect 278629 699873 278641 699876
-rect 278675 699873 278687 699907
-rect 278629 699867 278687 699873
-rect 283318 699864 283324 699916
-rect 283376 699904 283382 699916
-rect 290037 699907 290095 699913
-rect 290037 699904 290049 699907
-rect 283376 699876 290049 699904
-rect 283376 699864 283382 699876
-rect 290037 699873 290049 699876
-rect 290083 699873 290095 699907
-rect 294450 699904 294456 699916
-rect 290037 699867 290095 699873
-rect 290144 699876 294456 699904
-rect 205946 699796 205952 699848
-rect 206004 699836 206010 699848
-rect 290144 699836 290172 699876
-rect 294450 699864 294456 699876
-rect 294508 699864 294514 699916
-rect 296201 699907 296259 699913
-rect 296201 699873 296213 699907
-rect 296247 699904 296259 699907
-rect 400618 699904 400624 699916
-rect 296247 699876 400624 699904
-rect 296247 699873 296259 699876
-rect 296201 699867 296259 699873
-rect 400618 699864 400624 699876
-rect 400676 699864 400682 699916
-rect 206004 699808 290172 699836
-rect 206004 699796 206010 699808
-rect 290218 699796 290224 699848
-rect 290276 699836 290282 699848
-rect 292518 699836 292524 699848
-rect 290276 699808 292524 699836
-rect 290276 699796 290282 699808
-rect 292518 699796 292524 699808
-rect 292576 699796 292582 699848
-rect 292613 699839 292671 699845
-rect 292613 699805 292625 699839
-rect 292659 699836 292671 699839
-rect 296109 699839 296167 699845
-rect 292659 699808 296060 699836
-rect 292659 699805 292671 699808
-rect 292613 699799 292671 699805
-rect 227566 699728 227572 699780
-rect 227624 699768 227630 699780
-rect 229593 699771 229651 699777
-rect 229593 699768 229605 699771
-rect 227624 699740 229605 699768
-rect 227624 699728 227630 699740
-rect 229593 699737 229605 699740
-rect 229639 699737 229651 699771
-rect 229593 699731 229651 699737
-rect 287461 699771 287519 699777
-rect 287461 699737 287473 699771
-rect 287507 699768 287519 699771
-rect 289025 699771 289083 699777
-rect 287507 699740 288976 699768
-rect 287507 699737 287519 699740
-rect 287461 699731 287519 699737
-rect 239253 699703 239311 699709
-rect 239253 699669 239265 699703
-rect 239299 699700 239311 699703
-rect 259217 699703 259275 699709
-rect 259217 699700 259229 699703
-rect 239299 699672 259229 699700
-rect 239299 699669 239311 699672
-rect 239253 699663 239311 699669
-rect 259217 699669 259229 699672
-rect 259263 699669 259275 699703
-rect 259217 699663 259275 699669
-rect 264093 699703 264151 699709
-rect 264093 699669 264105 699703
-rect 264139 699700 264151 699703
-rect 278537 699703 278595 699709
-rect 278537 699700 278549 699703
-rect 264139 699672 278549 699700
-rect 264139 699669 264151 699672
-rect 264093 699663 264151 699669
-rect 278537 699669 278549 699672
-rect 278583 699669 278595 699703
-rect 278537 699663 278595 699669
-rect 278629 699703 278687 699709
-rect 278629 699669 278641 699703
-rect 278675 699700 278687 699703
-rect 288841 699703 288899 699709
-rect 288841 699700 288853 699703
-rect 278675 699672 288853 699700
-rect 278675 699669 278687 699672
-rect 278629 699663 278687 699669
-rect 288841 699669 288853 699672
-rect 288887 699669 288899 699703
-rect 288948 699700 288976 699740
-rect 289025 699737 289037 699771
-rect 289071 699768 289083 699771
-rect 291782 699768 291788 699780
-rect 289071 699740 291788 699768
-rect 289071 699737 289083 699740
-rect 289025 699731 289083 699737
-rect 291782 699728 291788 699740
-rect 291840 699728 291846 699780
-rect 291877 699771 291935 699777
-rect 291877 699737 291889 699771
-rect 291923 699768 291935 699771
-rect 295922 699768 295928 699780
-rect 291923 699740 295928 699768
-rect 291923 699737 291935 699740
-rect 291877 699731 291935 699737
-rect 295922 699728 295928 699740
-rect 295980 699728 295986 699780
-rect 296032 699768 296060 699808
-rect 296109 699805 296121 699839
-rect 296155 699836 296167 699839
-rect 335666 699836 335672 699848
-rect 296155 699808 335672 699836
-rect 296155 699805 296167 699808
-rect 296109 699799 296167 699805
-rect 335666 699796 335672 699808
-rect 335724 699796 335730 699848
-rect 297210 699768 297216 699780
-rect 296032 699740 297216 699768
-rect 297210 699728 297216 699740
-rect 297268 699728 297274 699780
-rect 291601 699703 291659 699709
-rect 291601 699700 291613 699703
-rect 288948 699672 291613 699700
-rect 288841 699663 288899 699669
-rect 291601 699669 291613 699672
-rect 291647 699669 291659 699703
-rect 291601 699663 291659 699669
-rect 291690 699660 291696 699712
-rect 291748 699700 291754 699712
-rect 292426 699700 292432 699712
-rect 291748 699672 292432 699700
-rect 291748 699660 291754 699672
-rect 292426 699660 292432 699672
-rect 292484 699660 292490 699712
-rect 292518 699660 292524 699712
-rect 292576 699700 292582 699712
-rect 357286 699700 357292 699712
-rect 292576 699672 357292 699700
-rect 292576 699660 292582 699672
-rect 357286 699660 357292 699672
-rect 357344 699660 357350 699712
-rect 1600 699536 583316 699632
-rect 1600 698992 583316 699088
-rect 1600 698448 583316 698544
-rect 1600 697904 583316 698000
-rect 1600 697360 583316 697456
-rect 1600 696816 583316 696912
-rect 1600 696272 583316 696368
-rect 1600 695728 583316 695824
-rect 276418 695512 276424 695564
-rect 276476 695552 276482 695564
-rect 580110 695552 580116 695564
-rect 276476 695524 580116 695552
-rect 276476 695512 276482 695524
-rect 580110 695512 580116 695524
-rect 580168 695512 580174 695564
-rect 378722 695484 378728 695496
-rect 378683 695456 378728 695484
-rect 378722 695444 378728 695456
-rect 378780 695444 378786 695496
-rect 508442 695484 508448 695496
-rect 508403 695456 508448 695484
-rect 508442 695444 508448 695456
-rect 508500 695444 508506 695496
-rect 1600 695184 583316 695280
-rect 1600 694640 583316 694736
-rect 3730 694220 3736 694272
-rect 3788 694260 3794 694272
-rect 305582 694260 305588 694272
-rect 3788 694232 305588 694260
-rect 3788 694220 3794 694232
-rect 305582 694220 305588 694232
-rect 305640 694220 305646 694272
-rect 1600 694096 583316 694192
-rect 1600 693552 583316 693648
-rect 1600 693008 583316 693104
-rect 1600 692464 583316 692560
-rect 1600 691920 583316 692016
-rect 1600 691376 583316 691472
-rect 1600 690832 583316 690928
-rect 1600 690288 583316 690384
-rect 1600 689744 583316 689840
-rect 1600 689200 583316 689296
-rect 1600 688656 583316 688752
-rect 314046 688576 314052 688628
-rect 314104 688616 314110 688628
-rect 314230 688616 314236 688628
-rect 314104 688588 314236 688616
-rect 314104 688576 314110 688588
-rect 314230 688576 314236 688588
-rect 314288 688576 314294 688628
-rect 443766 688576 443772 688628
-rect 443824 688616 443830 688628
-rect 443950 688616 443956 688628
-rect 443824 688588 443956 688616
-rect 443824 688576 443830 688588
-rect 443950 688576 443956 688588
-rect 444008 688576 444014 688628
-rect 573486 688576 573492 688628
-rect 573544 688616 573550 688628
-rect 573670 688616 573676 688628
-rect 573544 688588 573676 688616
-rect 573544 688576 573550 688588
-rect 573670 688576 573676 688588
-rect 573728 688576 573734 688628
-rect 1600 688112 583316 688208
-rect 1600 687568 583316 687664
-rect 1600 687024 583316 687120
-rect 1600 686480 583316 686576
-rect 1600 685936 583316 686032
-rect 378725 685899 378783 685905
-rect 378725 685865 378737 685899
-rect 378771 685896 378783 685899
-rect 378814 685896 378820 685908
-rect 378771 685868 378820 685896
-rect 378771 685865 378783 685868
-rect 378725 685859 378783 685865
-rect 378814 685856 378820 685868
-rect 378872 685856 378878 685908
-rect 508445 685899 508503 685905
-rect 508445 685865 508457 685899
-rect 508491 685896 508503 685899
-rect 508534 685896 508540 685908
-rect 508491 685868 508540 685896
-rect 508491 685865 508503 685868
-rect 508445 685859 508503 685865
-rect 508534 685856 508540 685868
-rect 508592 685856 508598 685908
-rect 1600 685392 583316 685488
-rect 1600 684848 583316 684944
-rect 1600 684304 583316 684400
-rect 1600 683760 583316 683856
-rect 1600 683216 583316 683312
-rect 1600 682672 583316 682768
-rect 1600 682128 583316 682224
-rect 1600 681584 583316 681680
-rect 1600 681040 583316 681136
-rect 1600 680496 583316 680592
-rect 277798 680348 277804 680400
-rect 277856 680388 277862 680400
-rect 580110 680388 580116 680400
-rect 277856 680360 580116 680388
-rect 277856 680348 277862 680360
-rect 580110 680348 580116 680360
-rect 580168 680348 580174 680400
-rect 1600 679952 583316 680048
-rect 1600 679408 583316 679504
-rect 1600 678864 583316 678960
-rect 1600 678320 583316 678416
-rect 1600 677776 583316 677872
-rect 3914 677560 3920 677612
-rect 3972 677600 3978 677612
-rect 308250 677600 308256 677612
-rect 3972 677572 308256 677600
-rect 3972 677560 3978 677572
-rect 308250 677560 308256 677572
-rect 308308 677560 308314 677612
-rect 1600 677232 583316 677328
-rect 1600 676688 583316 676784
-rect 1600 676144 583316 676240
-rect 314138 676104 314144 676116
-rect 314099 676076 314144 676104
-rect 314138 676064 314144 676076
-rect 314196 676064 314202 676116
-rect 378630 676104 378636 676116
-rect 378591 676076 378636 676104
-rect 378630 676064 378636 676076
-rect 378688 676064 378694 676116
-rect 443858 676104 443864 676116
-rect 443819 676076 443864 676104
-rect 443858 676064 443864 676076
-rect 443916 676064 443922 676116
-rect 508350 676104 508356 676116
-rect 508311 676076 508356 676104
-rect 508350 676064 508356 676076
-rect 508408 676064 508414 676116
-rect 573578 676104 573584 676116
-rect 573539 676076 573584 676104
-rect 573578 676064 573584 676076
-rect 573636 676064 573642 676116
-rect 1600 675600 583316 675696
-rect 1600 675056 583316 675152
-rect 1600 674512 583316 674608
-rect 1600 673968 583316 674064
-rect 1600 673424 583316 673520
-rect 1600 672880 583316 672976
-rect 1600 672336 583316 672432
-rect 1600 671792 583316 671888
-rect 1600 671248 583316 671344
-rect 1600 670704 583316 670800
-rect 1600 670160 583316 670256
-rect 1600 669616 583316 669712
-rect 1600 669072 583316 669168
-rect 1600 668528 583316 668624
-rect 1600 667984 583316 668080
-rect 1600 667440 583316 667536
-rect 1600 666896 583316 666992
-rect 314141 666587 314199 666593
-rect 314141 666553 314153 666587
-rect 314187 666584 314199 666587
-rect 314230 666584 314236 666596
-rect 314187 666556 314236 666584
-rect 314187 666553 314199 666556
-rect 314141 666547 314199 666553
-rect 314230 666544 314236 666556
-rect 314288 666544 314294 666596
-rect 378633 666587 378691 666593
-rect 378633 666553 378645 666587
-rect 378679 666584 378691 666587
-rect 378722 666584 378728 666596
-rect 378679 666556 378728 666584
-rect 378679 666553 378691 666556
-rect 378633 666547 378691 666553
-rect 378722 666544 378728 666556
-rect 378780 666544 378786 666596
-rect 443861 666587 443919 666593
-rect 443861 666553 443873 666587
-rect 443907 666584 443919 666587
-rect 443950 666584 443956 666596
-rect 443907 666556 443956 666584
-rect 443907 666553 443919 666556
-rect 443861 666547 443919 666553
-rect 443950 666544 443956 666556
-rect 444008 666544 444014 666596
-rect 508353 666587 508411 666593
-rect 508353 666553 508365 666587
-rect 508399 666584 508411 666587
-rect 508442 666584 508448 666596
-rect 508399 666556 508448 666584
-rect 508399 666553 508411 666556
-rect 508353 666547 508411 666553
-rect 508442 666544 508448 666556
-rect 508500 666544 508506 666596
-rect 573581 666587 573639 666593
-rect 573581 666553 573593 666587
-rect 573627 666584 573639 666587
-rect 573670 666584 573676 666596
-rect 573627 666556 573676 666584
-rect 573627 666553 573639 666556
-rect 573581 666547 573639 666553
-rect 573670 666544 573676 666556
-rect 573728 666544 573734 666596
-rect 1600 666352 583316 666448
-rect 1600 665808 583316 665904
-rect 1600 665264 583316 665360
-rect 1600 664720 583316 664816
-rect 1600 664176 583316 664272
-rect 275038 663756 275044 663808
-rect 275096 663796 275102 663808
-rect 580110 663796 580116 663808
-rect 275096 663768 580116 663796
-rect 275096 663756 275102 663768
-rect 580110 663756 580116 663768
-rect 580168 663756 580174 663808
-rect 1600 663632 583316 663728
-rect 1600 663088 583316 663184
-rect 1600 662544 583316 662640
-rect 1600 662000 583316 662096
-rect 1600 661456 583316 661552
-rect 3914 661036 3920 661088
-rect 3972 661076 3978 661088
-rect 306870 661076 306876 661088
-rect 3972 661048 306876 661076
-rect 3972 661036 3978 661048
-rect 306870 661036 306876 661048
-rect 306928 661036 306934 661088
-rect 1600 660912 583316 661008
-rect 1600 660368 583316 660464
-rect 1600 659824 583316 659920
-rect 378722 659676 378728 659728
-rect 378780 659716 378786 659728
-rect 378814 659716 378820 659728
-rect 378780 659688 378820 659716
-rect 378780 659676 378786 659688
-rect 378814 659676 378820 659688
-rect 378872 659676 378878 659728
-rect 508442 659676 508448 659728
-rect 508500 659716 508506 659728
-rect 508534 659716 508540 659728
-rect 508500 659688 508540 659716
-rect 508500 659676 508506 659688
-rect 508534 659676 508540 659688
-rect 508592 659676 508598 659728
-rect 1600 659280 583316 659376
-rect 1600 658736 583316 658832
-rect 1600 658192 583316 658288
-rect 1600 657648 583316 657744
-rect 1600 657104 583316 657200
-rect 1600 656560 583316 656656
-rect 1600 656016 583316 656112
-rect 1600 655472 583316 655568
-rect 1600 654928 583316 655024
-rect 1600 654384 583316 654480
-rect 378630 654100 378636 654152
-rect 378688 654140 378694 654152
-rect 378814 654140 378820 654152
-rect 378688 654112 378820 654140
-rect 378688 654100 378694 654112
-rect 378814 654100 378820 654112
-rect 378872 654100 378878 654152
-rect 508350 654100 508356 654152
-rect 508408 654140 508414 654152
-rect 508534 654140 508540 654152
-rect 508408 654112 508540 654140
-rect 508408 654100 508414 654112
-rect 508534 654100 508540 654112
-rect 508592 654100 508598 654152
-rect 1600 653840 583316 653936
-rect 1600 653296 583316 653392
-rect 1600 652752 583316 652848
-rect 1600 652208 583316 652304
-rect 1600 651664 583316 651760
-rect 1600 651120 583316 651216
-rect 1600 650576 583316 650672
-rect 1600 650032 583316 650128
-rect 1600 649488 583316 649584
-rect 1600 648944 583316 649040
-rect 273658 648592 273664 648644
-rect 273716 648632 273722 648644
-rect 580110 648632 580116 648644
-rect 273716 648604 580116 648632
-rect 273716 648592 273722 648604
-rect 580110 648592 580116 648604
-rect 580168 648592 580174 648644
-rect 1600 648400 583316 648496
-rect 1600 647856 583316 647952
-rect 1600 647312 583316 647408
-rect 313954 647232 313960 647284
-rect 314012 647272 314018 647284
-rect 314046 647272 314052 647284
-rect 314012 647244 314052 647272
-rect 314012 647232 314018 647244
-rect 314046 647232 314052 647244
-rect 314104 647232 314110 647284
-rect 443674 647232 443680 647284
-rect 443732 647272 443738 647284
-rect 443766 647272 443772 647284
-rect 443732 647244 443772 647272
-rect 443732 647232 443738 647244
-rect 443766 647232 443772 647244
-rect 443824 647232 443830 647284
-rect 573394 647232 573400 647284
-rect 573452 647272 573458 647284
-rect 573486 647272 573492 647284
-rect 573452 647244 573492 647272
-rect 573452 647232 573458 647244
-rect 573486 647232 573492 647244
-rect 573544 647232 573550 647284
-rect 1600 646768 583316 646864
-rect 1600 646224 583316 646320
-rect 1600 645680 583316 645776
-rect 1600 645136 583316 645232
-rect 1600 644592 583316 644688
-rect 3546 644444 3552 644496
-rect 3604 644484 3610 644496
-rect 309630 644484 309636 644496
-rect 3604 644456 309636 644484
-rect 3604 644444 3610 644456
-rect 309630 644444 309636 644456
-rect 309688 644444 309694 644496
-rect 1600 644048 583316 644144
-rect 1600 643504 583316 643600
-rect 1600 642960 583316 643056
-rect 1600 642416 583316 642512
-rect 1600 641872 583316 641968
-rect 1600 641328 583316 641424
-rect 1600 640784 583316 640880
-rect 1600 640240 583316 640336
-rect 1600 639696 583316 639792
-rect 1600 639152 583316 639248
-rect 1600 638608 583316 638704
-rect 1600 638064 583316 638160
-rect 1600 637520 583316 637616
-rect 314138 637480 314144 637492
-rect 314099 637452 314144 637480
-rect 314138 637440 314144 637452
-rect 314196 637440 314202 637492
-rect 443858 637480 443864 637492
-rect 443819 637452 443864 637480
-rect 443858 637440 443864 637452
-rect 443916 637440 443922 637492
-rect 573578 637480 573584 637492
-rect 573539 637452 573584 637480
-rect 573578 637440 573584 637452
-rect 573636 637440 573642 637492
-rect 1600 636976 583316 637072
-rect 1600 636432 583316 636528
-rect 1600 635888 583316 635984
-rect 1600 635344 583316 635440
-rect 1600 634800 583316 634896
-rect 1600 634256 583316 634352
-rect 1600 633712 583316 633808
-rect 274946 633428 274952 633480
-rect 275004 633468 275010 633480
-rect 580110 633468 580116 633480
-rect 275004 633440 580116 633468
-rect 275004 633428 275010 633440
-rect 580110 633428 580116 633440
-rect 580168 633428 580174 633480
-rect 1600 633168 583316 633264
-rect 1600 632624 583316 632720
-rect 1600 632080 583316 632176
-rect 1600 631536 583316 631632
-rect 1600 630992 583316 631088
-rect 1600 630448 583316 630544
-rect 1600 629904 583316 630000
-rect 1600 629360 583316 629456
-rect 1600 628816 583316 628912
-rect 1600 628272 583316 628368
-rect 3914 627920 3920 627972
-rect 3972 627960 3978 627972
-rect 311010 627960 311016 627972
-rect 3972 627932 311016 627960
-rect 3972 627920 3978 627932
-rect 311010 627920 311016 627932
-rect 311068 627920 311074 627972
-rect 314141 627963 314199 627969
-rect 314141 627929 314153 627963
-rect 314187 627960 314199 627963
-rect 314230 627960 314236 627972
-rect 314187 627932 314236 627960
-rect 314187 627929 314199 627932
-rect 314141 627923 314199 627929
-rect 314230 627920 314236 627932
-rect 314288 627920 314294 627972
-rect 443861 627963 443919 627969
-rect 443861 627929 443873 627963
-rect 443907 627960 443919 627963
-rect 443950 627960 443956 627972
-rect 443907 627932 443956 627960
-rect 443907 627929 443919 627932
-rect 443861 627923 443919 627929
-rect 443950 627920 443956 627932
-rect 444008 627920 444014 627972
-rect 573581 627963 573639 627969
-rect 573581 627929 573593 627963
-rect 573627 627960 573639 627963
-rect 573670 627960 573676 627972
-rect 573627 627932 573676 627960
-rect 573627 627929 573639 627932
-rect 573581 627923 573639 627929
-rect 573670 627920 573676 627932
-rect 573728 627920 573734 627972
-rect 1600 627728 583316 627824
-rect 1600 627184 583316 627280
-rect 1600 626640 583316 626736
-rect 1600 626096 583316 626192
-rect 1600 625552 583316 625648
-rect 1600 625008 583316 625104
-rect 1600 624464 583316 624560
-rect 1600 623920 583316 624016
-rect 1600 623376 583316 623472
-rect 1600 622832 583316 622928
-rect 1600 622288 583316 622384
-rect 1600 621744 583316 621840
-rect 1600 621200 583316 621296
-rect 1600 620656 583316 620752
-rect 1600 620112 583316 620208
-rect 1600 619568 583316 619664
-rect 1600 619024 583316 619120
-rect 1600 618480 583316 618576
-rect 314046 618264 314052 618316
-rect 314104 618304 314110 618316
-rect 314230 618304 314236 618316
-rect 314104 618276 314236 618304
-rect 314104 618264 314110 618276
-rect 314230 618264 314236 618276
-rect 314288 618264 314294 618316
-rect 443766 618264 443772 618316
-rect 443824 618304 443830 618316
-rect 443950 618304 443956 618316
-rect 443824 618276 443956 618304
-rect 443824 618264 443830 618276
-rect 443950 618264 443956 618276
-rect 444008 618264 444014 618316
-rect 573486 618264 573492 618316
-rect 573544 618304 573550 618316
-rect 573670 618304 573676 618316
-rect 573544 618276 573676 618304
-rect 573544 618264 573550 618276
-rect 573670 618264 573676 618276
-rect 573728 618264 573734 618316
-rect 573486 618128 573492 618180
-rect 573544 618168 573550 618180
-rect 573762 618168 573768 618180
-rect 573544 618140 573768 618168
-rect 573544 618128 573550 618140
-rect 573762 618128 573768 618140
-rect 573820 618128 573826 618180
-rect 1600 617936 583316 618032
-rect 1600 617392 583316 617488
-rect 272278 616972 272284 617024
-rect 272336 617012 272342 617024
-rect 580110 617012 580116 617024
-rect 272336 616984 580116 617012
-rect 272336 616972 272342 616984
-rect 580110 616972 580116 616984
-rect 580168 616972 580174 617024
-rect 1600 616848 583316 616944
-rect 1600 616304 583316 616400
-rect 1600 615760 583316 615856
-rect 378630 615476 378636 615528
-rect 378688 615516 378694 615528
-rect 378814 615516 378820 615528
-rect 378688 615488 378820 615516
-rect 378688 615476 378694 615488
-rect 378814 615476 378820 615488
-rect 378872 615476 378878 615528
-rect 508350 615476 508356 615528
-rect 508408 615516 508414 615528
-rect 508534 615516 508540 615528
-rect 508408 615488 508540 615516
-rect 508408 615476 508414 615488
-rect 508534 615476 508540 615488
-rect 508592 615476 508598 615528
-rect 1600 615216 583316 615312
-rect 1600 614672 583316 614768
-rect 1600 614128 583316 614224
-rect 1600 613584 583316 613680
-rect 1600 613040 583316 613136
-rect 1600 612496 583316 612592
-rect 1600 611952 583316 612048
-rect 1600 611408 583316 611504
-rect 3822 611328 3828 611380
-rect 3880 611368 3886 611380
-rect 309722 611368 309728 611380
-rect 3880 611340 309728 611368
-rect 3880 611328 3886 611340
-rect 309722 611328 309728 611340
-rect 309780 611328 309786 611380
-rect 1600 610864 583316 610960
-rect 1600 610320 583316 610416
-rect 1600 609776 583316 609872
-rect 1600 609232 583316 609328
-rect 1600 608688 583316 608784
-rect 313770 608608 313776 608660
-rect 313828 608648 313834 608660
-rect 313954 608648 313960 608660
-rect 313828 608620 313960 608648
-rect 313828 608608 313834 608620
-rect 313954 608608 313960 608620
-rect 314012 608608 314018 608660
-rect 443674 608580 443680 608592
-rect 443635 608552 443680 608580
-rect 443674 608540 443680 608552
-rect 443732 608540 443738 608592
-rect 573394 608580 573400 608592
-rect 573355 608552 573400 608580
-rect 573394 608540 573400 608552
-rect 573452 608540 573458 608592
-rect 1600 608144 583316 608240
-rect 1600 607600 583316 607696
-rect 1600 607056 583316 607152
-rect 1600 606512 583316 606608
-rect 1600 605968 583316 606064
-rect 1600 605424 583316 605520
-rect 1600 604880 583316 604976
-rect 1600 604336 583316 604432
-rect 1600 603792 583316 603888
-rect 1600 603248 583316 603344
-rect 1600 602704 583316 602800
-rect 1600 602160 583316 602256
-rect 270898 601740 270904 601792
-rect 270956 601780 270962 601792
-rect 580110 601780 580116 601792
-rect 270956 601752 580116 601780
-rect 270956 601740 270962 601752
-rect 580110 601740 580116 601752
-rect 580168 601740 580174 601792
-rect 1600 601616 583316 601712
-rect 443677 601579 443735 601585
-rect 443677 601545 443689 601579
-rect 443723 601576 443735 601579
-rect 443858 601576 443864 601588
-rect 443723 601548 443864 601576
-rect 443723 601545 443735 601548
-rect 443677 601539 443735 601545
-rect 443858 601536 443864 601548
-rect 443916 601536 443922 601588
-rect 573397 601579 573455 601585
-rect 573397 601545 573409 601579
-rect 573443 601576 573455 601579
-rect 573578 601576 573584 601588
-rect 573443 601548 573584 601576
-rect 573443 601545 573455 601548
-rect 573397 601539 573455 601545
-rect 573578 601536 573584 601548
-rect 573636 601536 573642 601588
-rect 1600 601072 583316 601168
-rect 1600 600528 583316 600624
-rect 1600 599984 583316 600080
-rect 1600 599440 583316 599536
-rect 1600 598896 583316 598992
-rect 313862 598856 313868 598868
-rect 313823 598828 313868 598856
-rect 313862 598816 313868 598828
-rect 313920 598816 313926 598868
-rect 443858 598856 443864 598868
-rect 443819 598828 443864 598856
-rect 443858 598816 443864 598828
-rect 443916 598816 443922 598868
-rect 573578 598856 573584 598868
-rect 573539 598828 573584 598856
-rect 573578 598816 573584 598828
-rect 573636 598816 573642 598868
-rect 1600 598352 583316 598448
-rect 1600 597808 583316 597904
-rect 1600 597264 583316 597360
-rect 1600 596720 583316 596816
-rect 1600 596176 583316 596272
-rect 1600 595632 583316 595728
-rect 1600 595088 583316 595184
-rect 3914 594804 3920 594856
-rect 3972 594844 3978 594856
-rect 312390 594844 312396 594856
-rect 3972 594816 312396 594844
-rect 3972 594804 3978 594816
-rect 312390 594804 312396 594816
-rect 312448 594804 312454 594856
-rect 1600 594544 583316 594640
-rect 1600 594000 583316 594096
-rect 1600 593456 583316 593552
-rect 1600 592912 583316 593008
-rect 1600 592368 583316 592464
-rect 1600 591824 583316 591920
-rect 1600 591280 583316 591376
-rect 1600 590736 583316 590832
-rect 1600 590192 583316 590288
-rect 1600 589648 583316 589744
-rect 313865 589339 313923 589345
-rect 313865 589305 313877 589339
-rect 313911 589336 313923 589339
-rect 314046 589336 314052 589348
-rect 313911 589308 314052 589336
-rect 313911 589305 313923 589308
-rect 313865 589299 313923 589305
-rect 314046 589296 314052 589308
-rect 314104 589296 314110 589348
-rect 443861 589339 443919 589345
-rect 443861 589305 443873 589339
-rect 443907 589336 443919 589339
-rect 443950 589336 443956 589348
-rect 443907 589308 443956 589336
-rect 443907 589305 443919 589308
-rect 443861 589299 443919 589305
-rect 443950 589296 443956 589308
-rect 444008 589296 444014 589348
-rect 573581 589339 573639 589345
-rect 573581 589305 573593 589339
-rect 573627 589336 573639 589339
-rect 573670 589336 573676 589348
-rect 573627 589308 573676 589336
-rect 573627 589305 573639 589308
-rect 573581 589299 573639 589305
-rect 573670 589296 573676 589308
-rect 573728 589296 573734 589348
-rect 378633 589271 378691 589277
-rect 378633 589237 378645 589271
-rect 378679 589268 378691 589271
-rect 378722 589268 378728 589280
-rect 378679 589240 378728 589268
-rect 378679 589237 378691 589240
-rect 378633 589231 378691 589237
-rect 378722 589228 378728 589240
-rect 378780 589228 378786 589280
-rect 508353 589271 508411 589277
-rect 508353 589237 508365 589271
-rect 508399 589268 508411 589271
-rect 508442 589268 508448 589280
-rect 508399 589240 508448 589268
-rect 508399 589237 508411 589240
-rect 508353 589231 508411 589237
-rect 508442 589228 508448 589240
-rect 508500 589228 508506 589280
-rect 1600 589104 583316 589200
-rect 1600 588560 583316 588656
-rect 1600 588016 583316 588112
-rect 1600 587472 583316 587568
-rect 1600 586928 583316 587024
-rect 270806 586508 270812 586560
-rect 270864 586548 270870 586560
-rect 580110 586548 580116 586560
-rect 270864 586520 580116 586548
-rect 270864 586508 270870 586520
-rect 580110 586508 580116 586520
-rect 580168 586508 580174 586560
-rect 1600 586384 583316 586480
-rect 1600 585840 583316 585936
-rect 1600 585296 583316 585392
-rect 1600 584752 583316 584848
-rect 1600 584208 583316 584304
-rect 1600 583664 583316 583760
-rect 1600 583120 583316 583216
-rect 1600 582576 583316 582672
-rect 443950 582468 443956 582480
-rect 443876 582440 443956 582468
-rect 314046 582360 314052 582412
-rect 314104 582360 314110 582412
-rect 314064 582332 314092 582360
-rect 443876 582344 443904 582440
-rect 443950 582428 443956 582440
-rect 444008 582428 444014 582480
-rect 573670 582468 573676 582480
-rect 573596 582440 573676 582468
-rect 573596 582344 573624 582440
-rect 573670 582428 573676 582440
-rect 573728 582428 573734 582480
-rect 314138 582332 314144 582344
-rect 314064 582304 314144 582332
-rect 314138 582292 314144 582304
-rect 314196 582292 314202 582344
-rect 443858 582292 443864 582344
-rect 443916 582292 443922 582344
-rect 573578 582292 573584 582344
-rect 573636 582292 573642 582344
-rect 1600 582032 583316 582128
-rect 1600 581488 583316 581584
-rect 1600 580944 583316 581040
-rect 1600 580400 583316 580496
-rect 1600 579856 583316 579952
-rect 378630 579680 378636 579692
-rect 378591 579652 378636 579680
-rect 378630 579640 378636 579652
-rect 378688 579640 378694 579692
-rect 508350 579680 508356 579692
-rect 508311 579652 508356 579680
-rect 508350 579640 508356 579652
-rect 508408 579640 508414 579692
-rect 313957 579615 314015 579621
-rect 313957 579581 313969 579615
-rect 314003 579612 314015 579615
-rect 314138 579612 314144 579624
-rect 314003 579584 314144 579612
-rect 314003 579581 314015 579584
-rect 313957 579575 314015 579581
-rect 314138 579572 314144 579584
-rect 314196 579572 314202 579624
-rect 1600 579312 583316 579408
-rect 1600 578768 583316 578864
-rect 1600 578224 583316 578320
-rect 1600 577680 583316 577776
-rect 1600 577136 583316 577232
-rect 3730 576852 3736 576904
-rect 3788 576892 3794 576904
-rect 313862 576892 313868 576904
-rect 3788 576864 313868 576892
-rect 3788 576852 3794 576864
-rect 313862 576852 313868 576864
-rect 313920 576852 313926 576904
-rect 1600 576592 583316 576688
-rect 1600 576048 583316 576144
-rect 1600 575504 583316 575600
-rect 1600 574960 583316 575056
-rect 1600 574416 583316 574512
-rect 1600 573872 583316 573968
-rect 1600 573328 583316 573424
-rect 1600 572784 583316 572880
-rect 1600 572240 583316 572336
-rect 1600 571696 583316 571792
-rect 1600 571152 583316 571248
-rect 1600 570608 583316 570704
-rect 1600 570064 583316 570160
-rect 313954 570024 313960 570036
-rect 313915 569996 313960 570024
-rect 313954 569984 313960 569996
-rect 314012 569984 314018 570036
-rect 269518 569916 269524 569968
-rect 269576 569956 269582 569968
-rect 580110 569956 580116 569968
-rect 269576 569928 580116 569956
-rect 269576 569916 269582 569928
-rect 580110 569916 580116 569928
-rect 580168 569916 580174 569968
-rect 378722 569888 378728 569900
-rect 378683 569860 378728 569888
-rect 378722 569848 378728 569860
-rect 378780 569848 378786 569900
-rect 508442 569888 508448 569900
-rect 508403 569860 508448 569888
-rect 508442 569848 508448 569860
-rect 508500 569848 508506 569900
-rect 1600 569520 583316 569616
-rect 1600 568976 583316 569072
-rect 1600 568432 583316 568528
-rect 1600 567888 583316 567984
-rect 1600 567344 583316 567440
-rect 1600 566800 583316 566896
-rect 1600 566256 583316 566352
-rect 1600 565712 583316 565808
-rect 1600 565168 583316 565264
-rect 1600 564624 583316 564720
-rect 1600 564080 583316 564176
-rect 1600 563536 583316 563632
-rect 1600 562992 583316 563088
-rect 378725 562955 378783 562961
-rect 378725 562921 378737 562955
-rect 378771 562952 378783 562955
-rect 378906 562952 378912 562964
-rect 378771 562924 378912 562952
-rect 378771 562921 378783 562924
-rect 378725 562915 378783 562921
-rect 378906 562912 378912 562924
-rect 378964 562912 378970 562964
-rect 508445 562955 508503 562961
-rect 508445 562921 508457 562955
-rect 508491 562952 508503 562955
-rect 508626 562952 508632 562964
-rect 508491 562924 508632 562952
-rect 508491 562921 508503 562924
-rect 508445 562915 508503 562921
-rect 508626 562912 508632 562924
-rect 508684 562912 508690 562964
-rect 1600 562448 583316 562544
-rect 1600 561904 583316 562000
-rect 1600 561360 583316 561456
-rect 1600 560816 583316 560912
-rect 3914 560396 3920 560448
-rect 3972 560436 3978 560448
-rect 313954 560436 313960 560448
-rect 3972 560408 313960 560436
-rect 3972 560396 3978 560408
-rect 313954 560396 313960 560408
-rect 314012 560396 314018 560448
-rect 1600 560272 583316 560368
-rect 1600 559728 583316 559824
-rect 1600 559184 583316 559280
-rect 1600 558640 583316 558736
-rect 1600 558096 583316 558192
-rect 1600 557552 583316 557648
-rect 1600 557008 583316 557104
-rect 1600 556464 583316 556560
-rect 1600 555920 583316 556016
-rect 1600 555376 583316 555472
-rect 1600 554832 583316 554928
-rect 266758 554752 266764 554804
-rect 266816 554792 266822 554804
-rect 580110 554792 580116 554804
-rect 266816 554764 580116 554792
-rect 266816 554752 266822 554764
-rect 580110 554752 580116 554764
-rect 580168 554752 580174 554804
-rect 1600 554288 583316 554384
-rect 1600 553744 583316 553840
-rect 443490 553432 443496 553444
-rect 443451 553404 443496 553432
-rect 443490 553392 443496 553404
-rect 443548 553392 443554 553444
-rect 573210 553432 573216 553444
-rect 573171 553404 573216 553432
-rect 573210 553392 573216 553404
-rect 573268 553392 573274 553444
-rect 1600 553200 583316 553296
-rect 1600 552656 583316 552752
-rect 313770 552576 313776 552628
-rect 313828 552616 313834 552628
-rect 314046 552616 314052 552628
-rect 313828 552588 314052 552616
-rect 313828 552576 313834 552588
-rect 314046 552576 314052 552588
-rect 314104 552576 314110 552628
-rect 1600 552112 583316 552208
-rect 1600 551568 583316 551664
-rect 1600 551024 583316 551120
-rect 378722 550604 378728 550656
-rect 378780 550644 378786 550656
-rect 378998 550644 379004 550656
-rect 378780 550616 379004 550644
-rect 378780 550604 378786 550616
-rect 378998 550604 379004 550616
-rect 379056 550604 379062 550656
-rect 443490 550644 443496 550656
-rect 443451 550616 443496 550644
-rect 443490 550604 443496 550616
-rect 443548 550604 443554 550656
-rect 508442 550604 508448 550656
-rect 508500 550644 508506 550656
-rect 508718 550644 508724 550656
-rect 508500 550616 508724 550644
-rect 508500 550604 508506 550616
-rect 508718 550604 508724 550616
-rect 508776 550604 508782 550656
-rect 573210 550644 573216 550656
-rect 573171 550616 573216 550644
-rect 573210 550604 573216 550616
-rect 573268 550604 573274 550656
-rect 1600 550480 583316 550576
-rect 1600 549936 583316 550032
-rect 1600 549392 583316 549488
-rect 1600 548848 583316 548944
-rect 1600 548304 583316 548400
-rect 1600 547760 583316 547856
-rect 1600 547216 583316 547312
-rect 1600 546672 583316 546768
-rect 1600 546128 583316 546224
-rect 1600 545584 583316 545680
-rect 1600 545040 583316 545136
-rect 1600 544496 583316 544592
-rect 1600 543952 583316 544048
-rect 378998 543844 379004 543856
-rect 378924 543816 379004 543844
-rect 3638 543736 3644 543788
-rect 3696 543776 3702 543788
-rect 315150 543776 315156 543788
-rect 3696 543748 315156 543776
-rect 3696 543736 3702 543748
-rect 315150 543736 315156 543748
-rect 315208 543736 315214 543788
-rect 378924 543720 378952 543816
-rect 378998 543804 379004 543816
-rect 379056 543804 379062 543856
-rect 508718 543844 508724 543856
-rect 508644 543816 508724 543844
-rect 443490 543736 443496 543788
-rect 443548 543736 443554 543788
-rect 378906 543668 378912 543720
-rect 378964 543668 378970 543720
-rect 443508 543640 443536 543736
-rect 508644 543720 508672 543816
-rect 508718 543804 508724 543816
-rect 508776 543804 508782 543856
-rect 573210 543736 573216 543788
-rect 573268 543736 573274 543788
-rect 508626 543668 508632 543720
-rect 508684 543668 508690 543720
-rect 443582 543640 443588 543652
-rect 443508 543612 443588 543640
-rect 443582 543600 443588 543612
-rect 443640 543600 443646 543652
-rect 573228 543640 573256 543736
-rect 573302 543640 573308 543652
-rect 573228 543612 573308 543640
-rect 573302 543600 573308 543612
-rect 573360 543600 573366 543652
-rect 1600 543408 583316 543504
-rect 1600 542864 583316 542960
-rect 1600 542320 583316 542416
-rect 1600 541776 583316 541872
-rect 1600 541232 583316 541328
-rect 1600 540688 583316 540784
-rect 1600 540144 583316 540240
-rect 268138 539724 268144 539776
-rect 268196 539764 268202 539776
-rect 580110 539764 580116 539776
-rect 268196 539736 580116 539764
-rect 268196 539724 268202 539736
-rect 580110 539724 580116 539736
-rect 580168 539724 580174 539776
-rect 1600 539600 583316 539696
-rect 1600 539056 583316 539152
-rect 1600 538512 583316 538608
-rect 313770 538228 313776 538280
-rect 313828 538268 313834 538280
-rect 314046 538268 314052 538280
-rect 313828 538240 314052 538268
-rect 313828 538228 313834 538240
-rect 314046 538228 314052 538240
-rect 314104 538228 314110 538280
-rect 1600 537968 583316 538064
-rect 1600 537424 583316 537520
-rect 1600 536880 583316 536976
-rect 1600 536336 583316 536432
-rect 1600 535792 583316 535888
-rect 1600 535248 583316 535344
-rect 1600 534704 583316 534800
-rect 1600 534160 583316 534256
-rect 378906 534080 378912 534132
-rect 378964 534080 378970 534132
-rect 443490 534120 443496 534132
-rect 443451 534092 443496 534120
-rect 443490 534080 443496 534092
-rect 443548 534080 443554 534132
-rect 508626 534080 508632 534132
-rect 508684 534080 508690 534132
-rect 573210 534120 573216 534132
-rect 573171 534092 573216 534120
-rect 573210 534080 573216 534092
-rect 573268 534080 573274 534132
-rect 378924 533984 378952 534080
-rect 378998 533984 379004 533996
-rect 378924 533956 379004 533984
-rect 378998 533944 379004 533956
-rect 379056 533944 379062 533996
-rect 508644 533984 508672 534080
-rect 508718 533984 508724 533996
-rect 508644 533956 508724 533984
-rect 508718 533944 508724 533956
-rect 508776 533944 508782 533996
-rect 1600 533616 583316 533712
-rect 1600 533072 583316 533168
-rect 1600 532528 583316 532624
-rect 1600 531984 583316 532080
-rect 1600 531440 583316 531536
-rect 443490 531332 443496 531344
-rect 443451 531304 443496 531332
-rect 443490 531292 443496 531304
-rect 443548 531292 443554 531344
-rect 573210 531332 573216 531344
-rect 573171 531304 573216 531332
-rect 573210 531292 573216 531304
-rect 573268 531292 573274 531344
-rect 443582 531264 443588 531276
-rect 443543 531236 443588 531264
-rect 443582 531224 443588 531236
-rect 443640 531224 443646 531276
-rect 573302 531264 573308 531276
-rect 573263 531236 573308 531264
-rect 573302 531224 573308 531236
-rect 573360 531224 573366 531276
-rect 1600 530896 583316 530992
-rect 1600 530352 583316 530448
-rect 1600 529808 583316 529904
-rect 1600 529264 583316 529360
-rect 1600 528720 583316 528816
-rect 313770 528504 313776 528556
-rect 313828 528544 313834 528556
-rect 314046 528544 314052 528556
-rect 313828 528516 314052 528544
-rect 313828 528504 313834 528516
-rect 314046 528504 314052 528516
-rect 314104 528504 314110 528556
-rect 1600 528176 583316 528272
-rect 1600 527632 583316 527728
-rect 3638 527212 3644 527264
-rect 3696 527252 3702 527264
-rect 317910 527252 317916 527264
-rect 3696 527224 317916 527252
-rect 3696 527212 3702 527224
-rect 317910 527212 317916 527224
-rect 317968 527212 317974 527264
-rect 1600 527088 583316 527184
-rect 1600 526544 583316 526640
-rect 1600 526000 583316 526096
-rect 1600 525456 583316 525552
-rect 1600 524912 583316 525008
-rect 1600 524368 583316 524464
-rect 443582 524328 443588 524340
-rect 443543 524300 443588 524328
-rect 443582 524288 443588 524300
-rect 443640 524288 443646 524340
-rect 573302 524328 573308 524340
-rect 573263 524300 573308 524328
-rect 573302 524288 573308 524300
-rect 573360 524288 573366 524340
-rect 1600 523824 583316 523920
-rect 1600 523280 583316 523376
-rect 266666 522996 266672 523048
-rect 266724 523036 266730 523048
-rect 580110 523036 580116 523048
-rect 266724 523008 580116 523036
-rect 266724 522996 266730 523008
-rect 580110 522996 580116 523008
-rect 580168 522996 580174 523048
-rect 1600 522736 583316 522832
-rect 1600 522192 583316 522288
-rect 1600 521648 583316 521744
-rect 1600 521104 583316 521200
-rect 1600 520560 583316 520656
-rect 1600 520016 583316 520112
-rect 1600 519472 583316 519568
-rect 1600 518928 583316 519024
-rect 1600 518384 583316 518480
-rect 1600 517840 583316 517936
-rect 1600 517296 583316 517392
-rect 1600 516752 583316 516848
-rect 1600 516208 583316 516304
-rect 1600 515664 583316 515760
-rect 1600 515120 583316 515216
-rect 1600 514576 583316 514672
-rect 378998 514496 379004 514548
-rect 379056 514536 379062 514548
-rect 379182 514536 379188 514548
-rect 379056 514508 379188 514536
-rect 379056 514496 379062 514508
-rect 379182 514496 379188 514508
-rect 379240 514496 379246 514548
-rect 508718 514496 508724 514548
-rect 508776 514536 508782 514548
-rect 508902 514536 508908 514548
-rect 508776 514508 508908 514536
-rect 508776 514496 508782 514508
-rect 508902 514496 508908 514508
-rect 508960 514496 508966 514548
-rect 1600 514032 583316 514128
-rect 313770 513952 313776 514004
-rect 313828 513992 313834 514004
-rect 314046 513992 314052 514004
-rect 313828 513964 314052 513992
-rect 313828 513952 313834 513964
-rect 314046 513952 314052 513964
-rect 314104 513952 314110 514004
-rect 1600 513488 583316 513584
-rect 1600 512944 583316 513040
-rect 1600 512400 583316 512496
-rect 1600 511856 583316 511952
-rect 1600 511312 583316 511408
-rect 1600 510768 583316 510864
-rect 4006 510620 4012 510672
-rect 4064 510660 4070 510672
-rect 316530 510660 316536 510672
-rect 4064 510632 316536 510660
-rect 4064 510620 4070 510632
-rect 316530 510620 316536 510632
-rect 316588 510620 316594 510672
-rect 1600 510224 583316 510320
-rect 1600 509680 583316 509776
-rect 1600 509136 583316 509232
-rect 1600 508592 583316 508688
-rect 1600 508048 583316 508144
-rect 263998 507832 264004 507884
-rect 264056 507872 264062 507884
-rect 580110 507872 580116 507884
-rect 264056 507844 580116 507872
-rect 264056 507832 264062 507844
-rect 580110 507832 580116 507844
-rect 580168 507832 580174 507884
-rect 1600 507504 583316 507600
-rect 1600 506960 583316 507056
-rect 1600 506416 583316 506512
-rect 1600 505872 583316 505968
-rect 1600 505328 583316 505424
-rect 1600 504784 583316 504880
-rect 1600 504240 583316 504336
-rect 1600 503696 583316 503792
-rect 1600 503152 583316 503248
-rect 1600 502608 583316 502704
-rect 378814 502324 378820 502376
-rect 378872 502364 378878 502376
-rect 378998 502364 379004 502376
-rect 378872 502336 379004 502364
-rect 378872 502324 378878 502336
-rect 378998 502324 379004 502336
-rect 379056 502324 379062 502376
-rect 443490 502324 443496 502376
-rect 443548 502364 443554 502376
-rect 443766 502364 443772 502376
-rect 443548 502336 443772 502364
-rect 443548 502324 443554 502336
-rect 443766 502324 443772 502336
-rect 443824 502324 443830 502376
-rect 508534 502324 508540 502376
-rect 508592 502364 508598 502376
-rect 508718 502364 508724 502376
-rect 508592 502336 508724 502364
-rect 508592 502324 508598 502336
-rect 508718 502324 508724 502336
-rect 508776 502324 508782 502376
-rect 573210 502324 573216 502376
-rect 573268 502364 573274 502376
-rect 573486 502364 573492 502376
-rect 573268 502336 573492 502364
-rect 573268 502324 573274 502336
-rect 573486 502324 573492 502336
-rect 573544 502324 573550 502376
-rect 1600 502064 583316 502160
-rect 1600 501520 583316 501616
-rect 1600 500976 583316 501072
-rect 1600 500432 583316 500528
-rect 1600 499888 583316 499984
-rect 313770 499536 313776 499588
-rect 313828 499576 313834 499588
-rect 314046 499576 314052 499588
-rect 313828 499548 314052 499576
-rect 313828 499536 313834 499548
-rect 314046 499536 314052 499548
-rect 314104 499536 314110 499588
-rect 1600 499344 583316 499440
-rect 1600 498800 583316 498896
-rect 1600 498256 583316 498352
-rect 1600 497712 583316 497808
-rect 1600 497168 583316 497264
-rect 1600 496624 583316 496720
-rect 1600 496080 583316 496176
-rect 1600 495536 583316 495632
-rect 1600 494992 583316 495088
-rect 1600 494448 583316 494544
-rect 3730 494028 3736 494080
-rect 3788 494068 3794 494080
-rect 318002 494068 318008 494080
-rect 3788 494040 318008 494068
-rect 3788 494028 3794 494040
-rect 318002 494028 318008 494040
-rect 318060 494028 318066 494080
-rect 1600 493904 583316 494000
-rect 1600 493360 583316 493456
-rect 1600 492816 583316 492912
-rect 265378 492668 265384 492720
-rect 265436 492708 265442 492720
-rect 580110 492708 580116 492720
-rect 265436 492680 580116 492708
-rect 265436 492668 265442 492680
-rect 580110 492668 580116 492680
-rect 580168 492668 580174 492720
-rect 1600 492272 583316 492368
-rect 1600 491728 583316 491824
-rect 1600 491184 583316 491280
-rect 1600 490640 583316 490736
-rect 1600 490096 583316 490192
-rect 313770 489812 313776 489864
-rect 313828 489852 313834 489864
-rect 314046 489852 314052 489864
-rect 313828 489824 314052 489852
-rect 313828 489812 313834 489824
-rect 314046 489812 314052 489824
-rect 314104 489812 314110 489864
-rect 1600 489552 583316 489648
-rect 1600 489008 583316 489104
-rect 1600 488464 583316 488560
-rect 1600 487920 583316 488016
-rect 1600 487376 583316 487472
-rect 1600 486832 583316 486928
-rect 1600 486288 583316 486384
-rect 443493 485911 443551 485917
-rect 443493 485877 443505 485911
-rect 443539 485908 443551 485911
-rect 443766 485908 443772 485920
-rect 443539 485880 443772 485908
-rect 443539 485877 443551 485880
-rect 443493 485871 443551 485877
-rect 443766 485868 443772 485880
-rect 443824 485868 443830 485920
-rect 573213 485911 573271 485917
-rect 573213 485877 573225 485911
-rect 573259 485908 573271 485911
-rect 573486 485908 573492 485920
-rect 573259 485880 573492 485908
-rect 573259 485877 573271 485880
-rect 573213 485871 573271 485877
-rect 573486 485868 573492 485880
-rect 573544 485868 573550 485920
-rect 1600 485744 583316 485840
-rect 443490 485704 443496 485716
-rect 443451 485676 443496 485704
-rect 443490 485664 443496 485676
-rect 443548 485664 443554 485716
-rect 573210 485704 573216 485716
-rect 573171 485676 573216 485704
-rect 573210 485664 573216 485676
-rect 573268 485664 573274 485716
-rect 1600 485200 583316 485296
-rect 1600 484656 583316 484752
-rect 1600 484112 583316 484208
-rect 1600 483568 583316 483664
-rect 1600 483024 583316 483120
-rect 1600 482480 583316 482576
-rect 1600 481936 583316 482032
-rect 1600 481392 583316 481488
-rect 1600 480848 583316 480944
-rect 1600 480304 583316 480400
-rect 313770 480224 313776 480276
-rect 313828 480264 313834 480276
-rect 314046 480264 314052 480276
-rect 313828 480236 314052 480264
-rect 313828 480224 313834 480236
-rect 314046 480224 314052 480236
-rect 314104 480224 314110 480276
-rect 378630 480224 378636 480276
-rect 378688 480264 378694 480276
-rect 378814 480264 378820 480276
-rect 378688 480236 378820 480264
-rect 378688 480224 378694 480236
-rect 378814 480224 378820 480236
-rect 378872 480224 378878 480276
-rect 508350 480224 508356 480276
-rect 508408 480264 508414 480276
-rect 508534 480264 508540 480276
-rect 508408 480236 508540 480264
-rect 508408 480224 508414 480236
-rect 508534 480224 508540 480236
-rect 508592 480224 508598 480276
-rect 1600 479760 583316 479856
-rect 1600 479216 583316 479312
-rect 1600 478672 583316 478768
-rect 1600 478128 583316 478224
-rect 1600 477584 583316 477680
-rect 3914 477504 3920 477556
-rect 3972 477544 3978 477556
-rect 320670 477544 320676 477556
-rect 3972 477516 320676 477544
-rect 3972 477504 3978 477516
-rect 320670 477504 320676 477516
-rect 320728 477504 320734 477556
-rect 1600 477040 583316 477136
-rect 1600 476496 583316 476592
-rect 262618 476076 262624 476128
-rect 262676 476116 262682 476128
-rect 580110 476116 580116 476128
-rect 262676 476088 580116 476116
-rect 262676 476076 262682 476088
-rect 580110 476076 580116 476088
-rect 580168 476076 580174 476128
-rect 1600 475952 583316 476048
-rect 1600 475408 583316 475504
-rect 313770 475328 313776 475380
-rect 313828 475368 313834 475380
-rect 314046 475368 314052 475380
-rect 313828 475340 314052 475368
-rect 313828 475328 313834 475340
-rect 314046 475328 314052 475340
-rect 314104 475328 314110 475380
-rect 1600 474864 583316 474960
-rect 1600 474320 583316 474416
-rect 1600 473776 583316 473872
-rect 1600 473232 583316 473328
-rect 1600 472688 583316 472784
-rect 1600 472144 583316 472240
-rect 443582 471968 443588 471980
-rect 443543 471940 443588 471968
-rect 443582 471928 443588 471940
-rect 443640 471928 443646 471980
-rect 573302 471968 573308 471980
-rect 573263 471940 573308 471968
-rect 573302 471928 573308 471940
-rect 573360 471928 573366 471980
-rect 1600 471600 583316 471696
-rect 1600 471056 583316 471152
-rect 1600 470512 583316 470608
-rect 1600 469968 583316 470064
-rect 1600 469424 583316 469520
-rect 1600 468880 583316 468976
-rect 1600 468336 583316 468432
-rect 1600 467792 583316 467888
-rect 1600 467248 583316 467344
-rect 1600 466704 583316 466800
-rect 1600 466160 583316 466256
-rect 1600 465616 583316 465712
-rect 1600 465072 583316 465168
-rect 1600 464528 583316 464624
-rect 1600 463984 583316 464080
-rect 302917 463743 302975 463749
-rect 302917 463709 302929 463743
-rect 302963 463740 302975 463743
-rect 314046 463740 314052 463752
-rect 302963 463712 314052 463740
-rect 302963 463709 302975 463712
-rect 302917 463703 302975 463709
-rect 314046 463700 314052 463712
-rect 314104 463700 314110 463752
-rect 268877 463675 268935 463681
-rect 268877 463641 268889 463675
-rect 268923 463672 268935 463675
-rect 359954 463672 359960 463684
-rect 268923 463644 359960 463672
-rect 268923 463641 268935 463644
-rect 268877 463635 268935 463641
-rect 359954 463632 359960 463644
-rect 360012 463632 360018 463684
-rect 257098 463564 257104 463616
-rect 257156 463604 257162 463616
-rect 355906 463604 355912 463616
-rect 257156 463576 355912 463604
-rect 257156 463564 257162 463576
-rect 355906 463564 355912 463576
-rect 355964 463564 355970 463616
-rect 1600 463440 583316 463536
-rect 258202 463360 258208 463412
-rect 258260 463400 258266 463412
-rect 358666 463400 358672 463412
-rect 258260 463372 358672 463400
-rect 258260 463360 258266 463372
-rect 358666 463360 358672 463372
-rect 358724 463360 358730 463412
-rect 253970 463292 253976 463344
-rect 254028 463332 254034 463344
-rect 354526 463332 354532 463344
-rect 254028 463304 354532 463332
-rect 254028 463292 254034 463304
-rect 354526 463292 354532 463304
-rect 354584 463292 354590 463344
-rect 185338 463224 185344 463276
-rect 185396 463264 185402 463276
-rect 283594 463264 283600 463276
-rect 185396 463236 283600 463264
-rect 185396 463224 185402 463236
-rect 283594 463224 283600 463236
-rect 283652 463224 283658 463276
-rect 283689 463267 283747 463273
-rect 283689 463233 283701 463267
-rect 283735 463264 283747 463267
-rect 284885 463267 284943 463273
-rect 283735 463236 284836 463264
-rect 283735 463233 283747 463236
-rect 283689 463227 283747 463233
-rect 250198 463156 250204 463208
-rect 250256 463196 250262 463208
-rect 284701 463199 284759 463205
-rect 284701 463196 284713 463199
-rect 250256 463168 284713 463196
-rect 250256 463156 250262 463168
-rect 284701 463165 284713 463168
-rect 284747 463165 284759 463199
-rect 284808 463196 284836 463236
-rect 284885 463233 284897 463267
-rect 284931 463264 284943 463267
-rect 293070 463264 293076 463276
-rect 284931 463236 293076 463264
-rect 284931 463233 284943 463236
-rect 284885 463227 284943 463233
-rect 293070 463224 293076 463236
-rect 293128 463224 293134 463276
-rect 293162 463224 293168 463276
-rect 293220 463264 293226 463276
-rect 378814 463264 378820 463276
-rect 293220 463236 378820 463264
-rect 293220 463224 293226 463236
-rect 378814 463224 378820 463236
-rect 378872 463224 378878 463276
-rect 302273 463199 302331 463205
-rect 284808 463168 302224 463196
-rect 284701 463159 284759 463165
-rect 261330 463088 261336 463140
-rect 261388 463128 261394 463140
-rect 268877 463131 268935 463137
-rect 268877 463128 268889 463131
-rect 261388 463100 268889 463128
-rect 261388 463088 261394 463100
-rect 268877 463097 268889 463100
-rect 268923 463097 268935 463131
-rect 268877 463091 268935 463097
-rect 278166 463088 278172 463140
-rect 278224 463128 278230 463140
-rect 282306 463128 282312 463140
-rect 278224 463100 282312 463128
-rect 278224 463088 278230 463100
-rect 282306 463088 282312 463100
-rect 282364 463088 282370 463140
-rect 282398 463088 282404 463140
-rect 282456 463128 282462 463140
-rect 283318 463128 283324 463140
-rect 282456 463100 283324 463128
-rect 282456 463088 282462 463100
-rect 283318 463088 283324 463100
-rect 283376 463088 283382 463140
-rect 283410 463088 283416 463140
-rect 283468 463128 283474 463140
-rect 283468 463100 288148 463128
-rect 283468 463088 283474 463100
-rect 264550 463020 264556 463072
-rect 264608 463060 264614 463072
-rect 265378 463060 265384 463072
-rect 264608 463032 265384 463060
-rect 264608 463020 264614 463032
-rect 265378 463020 265384 463032
-rect 265436 463020 265442 463072
-rect 265562 463020 265568 463072
-rect 265620 463060 265626 463072
-rect 266666 463060 266672 463072
-rect 265620 463032 266672 463060
-rect 265620 463020 265626 463032
-rect 266666 463020 266672 463032
-rect 266724 463020 266730 463072
-rect 268690 463020 268696 463072
-rect 268748 463060 268754 463072
-rect 269518 463060 269524 463072
-rect 268748 463032 269524 463060
-rect 268748 463020 268754 463032
-rect 269518 463020 269524 463032
-rect 269576 463020 269582 463072
-rect 269794 463020 269800 463072
-rect 269852 463060 269858 463072
-rect 270898 463060 270904 463072
-rect 269852 463032 270904 463060
-rect 269852 463020 269858 463032
-rect 270898 463020 270904 463032
-rect 270956 463020 270962 463072
-rect 272922 463020 272928 463072
-rect 272980 463060 272986 463072
-rect 273658 463060 273664 463072
-rect 272980 463032 273664 463060
-rect 272980 463020 272986 463032
-rect 273658 463020 273664 463032
-rect 273716 463020 273722 463072
-rect 273934 463020 273940 463072
-rect 273992 463060 273998 463072
-rect 274946 463060 274952 463072
-rect 273992 463032 274952 463060
-rect 273992 463020 273998 463032
-rect 274946 463020 274952 463032
-rect 275004 463020 275010 463072
-rect 279270 463020 279276 463072
-rect 279328 463060 279334 463072
-rect 280558 463060 280564 463072
-rect 279328 463032 280564 463060
-rect 279328 463020 279334 463032
-rect 280558 463020 280564 463032
-rect 280616 463020 280622 463072
-rect 281386 463020 281392 463072
-rect 281444 463060 281450 463072
-rect 283689 463063 283747 463069
-rect 283689 463060 283701 463063
-rect 281444 463032 283701 463060
-rect 281444 463020 281450 463032
-rect 283689 463029 283701 463032
-rect 283735 463029 283747 463063
-rect 283689 463023 283747 463029
-rect 283778 463020 283784 463072
-rect 283836 463060 283842 463072
-rect 284698 463060 284704 463072
-rect 283836 463032 284704 463060
-rect 283836 463020 283842 463032
-rect 284698 463020 284704 463032
-rect 284756 463020 284762 463072
-rect 286630 463020 286636 463072
-rect 286688 463060 286694 463072
-rect 287458 463060 287464 463072
-rect 286688 463032 287464 463060
-rect 286688 463020 286694 463032
-rect 287458 463020 287464 463032
-rect 287516 463020 287522 463072
-rect 288120 463060 288148 463100
-rect 288194 463088 288200 463140
-rect 288252 463128 288258 463140
-rect 291601 463131 291659 463137
-rect 291601 463128 291613 463131
-rect 288252 463100 291613 463128
-rect 288252 463088 288258 463100
-rect 291601 463097 291613 463100
-rect 291647 463097 291659 463131
-rect 291601 463091 291659 463097
-rect 291690 463088 291696 463140
-rect 291748 463128 291754 463140
-rect 292518 463128 292524 463140
-rect 291748 463100 292524 463128
-rect 291748 463088 291754 463100
-rect 292518 463088 292524 463100
-rect 292576 463088 292582 463140
-rect 292613 463131 292671 463137
-rect 292613 463097 292625 463131
-rect 292659 463128 292671 463131
-rect 301261 463131 301319 463137
-rect 301261 463128 301273 463131
-rect 292659 463100 301273 463128
-rect 292659 463097 292671 463100
-rect 292613 463091 292671 463097
-rect 301261 463097 301273 463100
-rect 301307 463097 301319 463131
-rect 301261 463091 301319 463097
-rect 301350 463088 301356 463140
-rect 301408 463128 301414 463140
-rect 302086 463128 302092 463140
-rect 301408 463100 302092 463128
-rect 301408 463088 301414 463100
-rect 302086 463088 302092 463100
-rect 302144 463088 302150 463140
-rect 302196 463128 302224 463168
-rect 302273 463165 302285 463199
-rect 302319 463196 302331 463199
-rect 443585 463199 443643 463205
-rect 443585 463196 443597 463199
-rect 302319 463168 443597 463196
-rect 302319 463165 302331 463168
-rect 302273 463159 302331 463165
-rect 443585 463165 443597 463168
-rect 443631 463165 443643 463199
-rect 443585 463159 443643 463165
-rect 508534 463128 508540 463140
-rect 302196 463100 508540 463128
-rect 508534 463088 508540 463100
-rect 508592 463088 508598 463140
-rect 573305 463063 573363 463069
-rect 573305 463060 573317 463063
-rect 288120 463032 573317 463060
-rect 573305 463029 573317 463032
-rect 573351 463029 573363 463063
-rect 573305 463023 573363 463029
-rect 1600 462896 583316 462992
-rect 255074 462816 255080 462868
-rect 255132 462856 255138 462868
-rect 357194 462856 357200 462868
-rect 255132 462828 357200 462856
-rect 255132 462816 255138 462828
-rect 357194 462816 357200 462828
-rect 357252 462816 357258 462868
-rect 4374 462748 4380 462800
-rect 4432 462788 4438 462800
-rect 330790 462788 330796 462800
-rect 4432 462760 330796 462788
-rect 4432 462748 4438 462760
-rect 330790 462748 330796 462760
-rect 330848 462748 330854 462800
-rect 4282 462680 4288 462732
-rect 4340 462720 4346 462732
-rect 333918 462720 333924 462732
-rect 4340 462692 333924 462720
-rect 4340 462680 4346 462692
-rect 333918 462680 333924 462692
-rect 333976 462680 333982 462732
-rect 4190 462612 4196 462664
-rect 4248 462652 4254 462664
-rect 337138 462652 337144 462664
-rect 4248 462624 337144 462652
-rect 4248 462612 4254 462624
-rect 337138 462612 337144 462624
-rect 337196 462612 337202 462664
-rect 4006 462544 4012 462596
-rect 4064 462584 4070 462596
-rect 339254 462584 339260 462596
-rect 4064 462556 339260 462584
-rect 4064 462544 4070 462556
-rect 339254 462544 339260 462556
-rect 339312 462544 339318 462596
-rect 4098 462476 4104 462528
-rect 4156 462516 4162 462528
-rect 340266 462516 340272 462528
-rect 4156 462488 340272 462516
-rect 4156 462476 4162 462488
-rect 340266 462476 340272 462488
-rect 340324 462476 340330 462528
-rect 1600 462352 583316 462448
-rect 291138 462272 291144 462324
-rect 291196 462312 291202 462324
-rect 292613 462315 292671 462321
-rect 292613 462312 292625 462315
-rect 291196 462284 292625 462312
-rect 291196 462272 291202 462284
-rect 292613 462281 292625 462284
-rect 292659 462281 292671 462315
-rect 292613 462275 292671 462281
-rect 313862 462272 313868 462324
-rect 313920 462312 313926 462324
-rect 314782 462312 314788 462324
-rect 313920 462284 314788 462312
-rect 313920 462272 313926 462284
-rect 314782 462272 314788 462284
-rect 314840 462272 314846 462324
-rect 284698 462204 284704 462256
-rect 284756 462244 284762 462256
-rect 302273 462247 302331 462253
-rect 302273 462244 302285 462247
-rect 284756 462216 302285 462244
-rect 284756 462204 284762 462216
-rect 302273 462213 302285 462216
-rect 302319 462213 302331 462247
-rect 302273 462207 302331 462213
-rect 291601 462179 291659 462185
-rect 291601 462145 291613 462179
-rect 291647 462176 291659 462179
-rect 296750 462176 296756 462188
-rect 291647 462148 296756 462176
-rect 291647 462145 291659 462148
-rect 291601 462139 291659 462145
-rect 296750 462136 296756 462148
-rect 296808 462136 296814 462188
-rect 301261 462179 301319 462185
-rect 301261 462145 301273 462179
-rect 301307 462176 301319 462179
-rect 302917 462179 302975 462185
-rect 302917 462176 302929 462179
-rect 301307 462148 302929 462176
-rect 301307 462145 301319 462148
-rect 301261 462139 301319 462145
-rect 302917 462145 302929 462148
-rect 302963 462145 302975 462179
-rect 302917 462139 302975 462145
-rect 1600 461808 583316 461904
-rect 228946 461524 228952 461576
-rect 229004 461564 229010 461576
-rect 328674 461564 328680 461576
-rect 229004 461536 328680 461564
-rect 229004 461524 229010 461536
-rect 328674 461524 328680 461536
-rect 328732 461524 328738 461576
-rect 220574 461456 220580 461508
-rect 220632 461496 220638 461508
-rect 324534 461496 324540 461508
-rect 220632 461468 324540 461496
-rect 220632 461456 220638 461468
-rect 324534 461456 324540 461468
-rect 324592 461456 324598 461508
-rect 240262 461388 240268 461440
-rect 240320 461428 240326 461440
-rect 351674 461428 351680 461440
-rect 240320 461400 351680 461428
-rect 240320 461388 240326 461400
-rect 351674 461388 351680 461400
-rect 351732 461388 351738 461440
-rect 1600 461264 583316 461360
-rect 235018 461184 235024 461236
-rect 235076 461224 235082 461236
-rect 361334 461224 361340 461236
-rect 235076 461196 361340 461224
-rect 235076 461184 235082 461196
-rect 361334 461184 361340 461196
-rect 361392 461184 361398 461236
-rect 259214 461116 259220 461168
-rect 259272 461156 259278 461168
-rect 411014 461156 411020 461168
-rect 259272 461128 411020 461156
-rect 259272 461116 259278 461128
-rect 411014 461116 411020 461128
-rect 411072 461116 411078 461168
-rect 179174 461048 179180 461100
-rect 179232 461088 179238 461100
-rect 332906 461088 332912 461100
-rect 179232 461060 332912 461088
-rect 179232 461048 179238 461060
-rect 332906 461048 332912 461060
-rect 332964 461048 332970 461100
-rect 6766 460980 6772 461032
-rect 6824 461020 6830 461032
-rect 322418 461020 322424 461032
-rect 6824 460992 322424 461020
-rect 6824 460980 6830 460992
-rect 322418 460980 322424 460992
-rect 322476 460980 322482 461032
-rect 260318 460912 260324 460964
-rect 260376 460952 260382 460964
-rect 580662 460952 580668 460964
-rect 260376 460924 580668 460952
-rect 260376 460912 260382 460924
-rect 580662 460912 580668 460924
-rect 580720 460912 580726 460964
-rect 1600 460720 583316 460816
-rect 228854 460300 228860 460352
-rect 228912 460340 228918 460352
-rect 335022 460340 335028 460352
-rect 228912 460312 335028 460340
-rect 228912 460300 228918 460312
-rect 335022 460300 335028 460312
-rect 335080 460300 335086 460352
-rect 1600 460176 583316 460272
-rect 243482 460096 243488 460148
-rect 243540 460136 243546 460148
-rect 353054 460136 353060 460148
-rect 243540 460108 353060 460136
-rect 243540 460096 243546 460108
-rect 353054 460096 353060 460108
-rect 353112 460096 353118 460148
-rect 225361 460071 225419 460077
-rect 225361 460068 225373 460071
-rect 215808 460040 225373 460068
-rect 3638 459756 3644 459808
-rect 3696 459796 3702 459808
-rect 7321 459799 7379 459805
-rect 7321 459796 7333 459799
-rect 3696 459768 7333 459796
-rect 3696 459756 3702 459768
-rect 7321 459765 7333 459768
-rect 7367 459765 7379 459799
-rect 7321 459759 7379 459765
-rect 7413 459799 7471 459805
-rect 7413 459765 7425 459799
-rect 7459 459796 7471 459799
-rect 16981 459799 17039 459805
-rect 16981 459796 16993 459799
-rect 7459 459768 16993 459796
-rect 7459 459765 7471 459768
-rect 7413 459759 7471 459765
-rect 16981 459765 16993 459768
-rect 17027 459765 17039 459799
-rect 16981 459759 17039 459765
-rect 17073 459799 17131 459805
-rect 17073 459765 17085 459799
-rect 17119 459796 17131 459799
-rect 26641 459799 26699 459805
-rect 26641 459796 26653 459799
-rect 17119 459768 26653 459796
-rect 17119 459765 17131 459768
-rect 17073 459759 17131 459765
-rect 26641 459765 26653 459768
-rect 26687 459765 26699 459799
-rect 26641 459759 26699 459765
-rect 26733 459799 26791 459805
-rect 26733 459765 26745 459799
-rect 26779 459796 26791 459799
-rect 36301 459799 36359 459805
-rect 36301 459796 36313 459799
-rect 26779 459768 36313 459796
-rect 26779 459765 26791 459768
-rect 26733 459759 26791 459765
-rect 36301 459765 36313 459768
-rect 36347 459765 36359 459799
-rect 36301 459759 36359 459765
-rect 36393 459799 36451 459805
-rect 36393 459765 36405 459799
-rect 36439 459796 36451 459799
-rect 45961 459799 46019 459805
-rect 45961 459796 45973 459799
-rect 36439 459768 45973 459796
-rect 36439 459765 36451 459768
-rect 36393 459759 36451 459765
-rect 45961 459765 45973 459768
-rect 46007 459765 46019 459799
-rect 45961 459759 46019 459765
-rect 46053 459799 46111 459805
-rect 46053 459765 46065 459799
-rect 46099 459796 46111 459799
-rect 55621 459799 55679 459805
-rect 55621 459796 55633 459799
-rect 46099 459768 55633 459796
-rect 46099 459765 46111 459768
-rect 46053 459759 46111 459765
-rect 55621 459765 55633 459768
-rect 55667 459765 55679 459799
-rect 55621 459759 55679 459765
-rect 55713 459799 55771 459805
-rect 55713 459765 55725 459799
-rect 55759 459796 55771 459799
-rect 65281 459799 65339 459805
-rect 65281 459796 65293 459799
-rect 55759 459768 65293 459796
-rect 55759 459765 55771 459768
-rect 55713 459759 55771 459765
-rect 65281 459765 65293 459768
-rect 65327 459765 65339 459799
-rect 65281 459759 65339 459765
-rect 65373 459799 65431 459805
-rect 65373 459765 65385 459799
-rect 65419 459796 65431 459799
-rect 74941 459799 74999 459805
-rect 74941 459796 74953 459799
-rect 65419 459768 74953 459796
-rect 65419 459765 65431 459768
-rect 65373 459759 65431 459765
-rect 74941 459765 74953 459768
-rect 74987 459765 74999 459799
-rect 74941 459759 74999 459765
-rect 75033 459799 75091 459805
-rect 75033 459765 75045 459799
-rect 75079 459796 75091 459799
-rect 84601 459799 84659 459805
-rect 84601 459796 84613 459799
-rect 75079 459768 84613 459796
-rect 75079 459765 75091 459768
-rect 75033 459759 75091 459765
-rect 84601 459765 84613 459768
-rect 84647 459765 84659 459799
-rect 84601 459759 84659 459765
-rect 84693 459799 84751 459805
-rect 84693 459765 84705 459799
-rect 84739 459796 84751 459799
-rect 94261 459799 94319 459805
-rect 94261 459796 94273 459799
-rect 84739 459768 94273 459796
-rect 84739 459765 84751 459768
-rect 84693 459759 84751 459765
-rect 94261 459765 94273 459768
-rect 94307 459765 94319 459799
-rect 94261 459759 94319 459765
-rect 94353 459799 94411 459805
-rect 94353 459765 94365 459799
-rect 94399 459796 94411 459799
-rect 103921 459799 103979 459805
-rect 103921 459796 103933 459799
-rect 94399 459768 103933 459796
-rect 94399 459765 94411 459768
-rect 94353 459759 94411 459765
-rect 103921 459765 103933 459768
-rect 103967 459765 103979 459799
-rect 103921 459759 103979 459765
-rect 104013 459799 104071 459805
-rect 104013 459765 104025 459799
-rect 104059 459796 104071 459799
-rect 113581 459799 113639 459805
-rect 113581 459796 113593 459799
-rect 104059 459768 113593 459796
-rect 104059 459765 104071 459768
-rect 104013 459759 104071 459765
-rect 113581 459765 113593 459768
-rect 113627 459765 113639 459799
-rect 113581 459759 113639 459765
-rect 113673 459799 113731 459805
-rect 113673 459765 113685 459799
-rect 113719 459796 113731 459799
-rect 123241 459799 123299 459805
-rect 123241 459796 123253 459799
-rect 113719 459768 123253 459796
-rect 113719 459765 113731 459768
-rect 113673 459759 113731 459765
-rect 123241 459765 123253 459768
-rect 123287 459765 123299 459799
-rect 123241 459759 123299 459765
-rect 123333 459799 123391 459805
-rect 123333 459765 123345 459799
-rect 123379 459796 123391 459799
-rect 132901 459799 132959 459805
-rect 132901 459796 132913 459799
-rect 123379 459768 132913 459796
-rect 123379 459765 123391 459768
-rect 123333 459759 123391 459765
-rect 132901 459765 132913 459768
-rect 132947 459765 132959 459799
-rect 132901 459759 132959 459765
-rect 132993 459799 133051 459805
-rect 132993 459765 133005 459799
-rect 133039 459796 133051 459799
-rect 142561 459799 142619 459805
-rect 142561 459796 142573 459799
-rect 133039 459768 142573 459796
-rect 133039 459765 133051 459768
-rect 132993 459759 133051 459765
-rect 142561 459765 142573 459768
-rect 142607 459765 142619 459799
-rect 142561 459759 142619 459765
-rect 142653 459799 142711 459805
-rect 142653 459765 142665 459799
-rect 142699 459796 142711 459799
-rect 152221 459799 152279 459805
-rect 152221 459796 152233 459799
-rect 142699 459768 152233 459796
-rect 142699 459765 142711 459768
-rect 142653 459759 142711 459765
-rect 152221 459765 152233 459768
-rect 152267 459765 152279 459799
-rect 152221 459759 152279 459765
-rect 152313 459799 152371 459805
-rect 152313 459765 152325 459799
-rect 152359 459796 152371 459799
-rect 161881 459799 161939 459805
-rect 161881 459796 161893 459799
-rect 152359 459768 161893 459796
-rect 152359 459765 152371 459768
-rect 152313 459759 152371 459765
-rect 161881 459765 161893 459768
-rect 161927 459765 161939 459799
-rect 161881 459759 161939 459765
-rect 161973 459799 162031 459805
-rect 161973 459765 161985 459799
-rect 162019 459796 162031 459799
-rect 171541 459799 171599 459805
-rect 171541 459796 171553 459799
-rect 162019 459768 171553 459796
-rect 162019 459765 162031 459768
-rect 161973 459759 162031 459765
-rect 171541 459765 171553 459768
-rect 171587 459765 171599 459799
-rect 171541 459759 171599 459765
-rect 171633 459799 171691 459805
-rect 171633 459765 171645 459799
-rect 171679 459796 171691 459799
-rect 181201 459799 181259 459805
-rect 181201 459796 181213 459799
-rect 171679 459768 181213 459796
-rect 171679 459765 171691 459768
-rect 171633 459759 171691 459765
-rect 181201 459765 181213 459768
-rect 181247 459765 181259 459799
-rect 181201 459759 181259 459765
-rect 181293 459799 181351 459805
-rect 181293 459765 181305 459799
-rect 181339 459796 181351 459799
-rect 190861 459799 190919 459805
-rect 190861 459796 190873 459799
-rect 181339 459768 190873 459796
-rect 181339 459765 181351 459768
-rect 181293 459759 181351 459765
-rect 190861 459765 190873 459768
-rect 190907 459765 190919 459799
-rect 190861 459759 190919 459765
-rect 190953 459799 191011 459805
-rect 190953 459765 190965 459799
-rect 190999 459796 191011 459799
-rect 200521 459799 200579 459805
-rect 200521 459796 200533 459799
-rect 190999 459768 200533 459796
-rect 190999 459765 191011 459768
-rect 190953 459759 191011 459765
-rect 200521 459765 200533 459768
-rect 200567 459765 200579 459799
-rect 200521 459759 200579 459765
-rect 200613 459799 200671 459805
-rect 200613 459765 200625 459799
-rect 200659 459796 200671 459799
-rect 210181 459799 210239 459805
-rect 210181 459796 210193 459799
-rect 200659 459768 210193 459796
-rect 200659 459765 200671 459768
-rect 200613 459759 200671 459765
-rect 210181 459765 210193 459768
-rect 210227 459765 210239 459799
-rect 210181 459759 210239 459765
-rect 210273 459799 210331 459805
-rect 210273 459765 210285 459799
-rect 210319 459796 210331 459799
-rect 215808 459796 215836 460040
-rect 225361 460037 225373 460040
-rect 225407 460037 225419 460071
-rect 225361 460031 225419 460037
-rect 227566 460028 227572 460080
-rect 227624 460068 227630 460080
-rect 325270 460068 325276 460080
-rect 227624 460040 325276 460068
-rect 227624 460028 227630 460040
-rect 325270 460028 325276 460040
-rect 325328 460028 325334 460080
-rect 223334 459960 223340 460012
-rect 223392 460000 223398 460012
-rect 326374 460000 326380 460012
-rect 223392 459972 326380 460000
-rect 223392 459960 223398 459972
-rect 326374 459960 326380 459972
-rect 326432 459960 326438 460012
-rect 252774 459892 252780 459944
-rect 252832 459932 252838 459944
-rect 355814 459932 355820 459944
-rect 252832 459904 355820 459932
-rect 252832 459892 252838 459904
-rect 355814 459892 355820 459904
-rect 355872 459892 355878 459944
-rect 225361 459867 225419 459873
-rect 225361 459833 225373 459867
-rect 225407 459864 225419 459867
-rect 229501 459867 229559 459873
-rect 229501 459864 229513 459867
-rect 225407 459836 229513 459864
-rect 225407 459833 225419 459836
-rect 225361 459827 225419 459833
-rect 229501 459833 229513 459836
-rect 229547 459833 229559 459867
-rect 229501 459827 229559 459833
-rect 248082 459824 248088 459876
-rect 248140 459864 248146 459876
-rect 358574 459864 358580 459876
-rect 248140 459836 358580 459864
-rect 248140 459824 248146 459836
-rect 358574 459824 358580 459836
-rect 358632 459824 358638 459876
-rect 210319 459768 215836 459796
-rect 210319 459765 210331 459768
-rect 210273 459759 210331 459765
-rect 224714 459756 224720 459808
-rect 224772 459796 224778 459808
-rect 335850 459796 335856 459808
-rect 224772 459768 335856 459796
-rect 224772 459756 224778 459768
-rect 335850 459756 335856 459768
-rect 335908 459756 335914 459808
-rect 261333 459731 261391 459737
-rect 261333 459728 261345 459731
-rect 1600 459632 230496 459728
-rect 261256 459700 261345 459728
-rect 234282 459660 234288 459672
-rect 234243 459632 234288 459660
-rect 234282 459620 234288 459632
-rect 234340 459620 234346 459672
-rect 242013 459663 242071 459669
-rect 242013 459660 242025 459663
-rect 235864 459632 242025 459660
-rect 7413 459595 7471 459601
-rect 7413 459561 7425 459595
-rect 7459 459561 7471 459595
-rect 7413 459555 7471 459561
-rect 16981 459595 17039 459601
-rect 16981 459561 16993 459595
-rect 17027 459592 17039 459595
-rect 17073 459595 17131 459601
-rect 17073 459592 17085 459595
-rect 17027 459564 17085 459592
-rect 17027 459561 17039 459564
-rect 16981 459555 17039 459561
-rect 17073 459561 17085 459564
-rect 17119 459561 17131 459595
-rect 17073 459555 17131 459561
-rect 26733 459595 26791 459601
-rect 26733 459561 26745 459595
-rect 26779 459561 26791 459595
-rect 26733 459555 26791 459561
-rect 36301 459595 36359 459601
-rect 36301 459561 36313 459595
-rect 36347 459592 36359 459595
-rect 36393 459595 36451 459601
-rect 36393 459592 36405 459595
-rect 36347 459564 36405 459592
-rect 36347 459561 36359 459564
-rect 36301 459555 36359 459561
-rect 36393 459561 36405 459564
-rect 36439 459561 36451 459595
-rect 36393 459555 36451 459561
-rect 46053 459595 46111 459601
-rect 46053 459561 46065 459595
-rect 46099 459561 46111 459595
-rect 46053 459555 46111 459561
-rect 55621 459595 55679 459601
-rect 55621 459561 55633 459595
-rect 55667 459592 55679 459595
-rect 55713 459595 55771 459601
-rect 55713 459592 55725 459595
-rect 55667 459564 55725 459592
-rect 55667 459561 55679 459564
-rect 55621 459555 55679 459561
-rect 55713 459561 55725 459564
-rect 55759 459561 55771 459595
-rect 55713 459555 55771 459561
-rect 65373 459595 65431 459601
-rect 65373 459561 65385 459595
-rect 65419 459561 65431 459595
-rect 65373 459555 65431 459561
-rect 74941 459595 74999 459601
-rect 74941 459561 74953 459595
-rect 74987 459592 74999 459595
-rect 75033 459595 75091 459601
-rect 75033 459592 75045 459595
-rect 74987 459564 75045 459592
-rect 74987 459561 74999 459564
-rect 74941 459555 74999 459561
-rect 75033 459561 75045 459564
-rect 75079 459561 75091 459595
-rect 75033 459555 75091 459561
-rect 84693 459595 84751 459601
-rect 84693 459561 84705 459595
-rect 84739 459561 84751 459595
-rect 84693 459555 84751 459561
-rect 94261 459595 94319 459601
-rect 94261 459561 94273 459595
-rect 94307 459592 94319 459595
-rect 94353 459595 94411 459601
-rect 94353 459592 94365 459595
-rect 94307 459564 94365 459592
-rect 94307 459561 94319 459564
-rect 94261 459555 94319 459561
-rect 94353 459561 94365 459564
-rect 94399 459561 94411 459595
-rect 94353 459555 94411 459561
-rect 104013 459595 104071 459601
-rect 104013 459561 104025 459595
-rect 104059 459561 104071 459595
-rect 104013 459555 104071 459561
-rect 113581 459595 113639 459601
-rect 113581 459561 113593 459595
-rect 113627 459592 113639 459595
-rect 113673 459595 113731 459601
-rect 113673 459592 113685 459595
-rect 113627 459564 113685 459592
-rect 113627 459561 113639 459564
-rect 113581 459555 113639 459561
-rect 113673 459561 113685 459564
-rect 113719 459561 113731 459595
-rect 113673 459555 113731 459561
-rect 123333 459595 123391 459601
-rect 123333 459561 123345 459595
-rect 123379 459561 123391 459595
-rect 123333 459555 123391 459561
-rect 132901 459595 132959 459601
-rect 132901 459561 132913 459595
-rect 132947 459592 132959 459595
-rect 132993 459595 133051 459601
-rect 132993 459592 133005 459595
-rect 132947 459564 133005 459592
-rect 132947 459561 132959 459564
-rect 132901 459555 132959 459561
-rect 132993 459561 133005 459564
-rect 133039 459561 133051 459595
-rect 132993 459555 133051 459561
-rect 142653 459595 142711 459601
-rect 142653 459561 142665 459595
-rect 142699 459561 142711 459595
-rect 142653 459555 142711 459561
-rect 152221 459595 152279 459601
-rect 152221 459561 152233 459595
-rect 152267 459592 152279 459595
-rect 152313 459595 152371 459601
-rect 152313 459592 152325 459595
-rect 152267 459564 152325 459592
-rect 152267 459561 152279 459564
-rect 152221 459555 152279 459561
-rect 152313 459561 152325 459564
-rect 152359 459561 152371 459595
-rect 152313 459555 152371 459561
-rect 161973 459595 162031 459601
-rect 161973 459561 161985 459595
-rect 162019 459561 162031 459595
-rect 161973 459555 162031 459561
-rect 171541 459595 171599 459601
-rect 171541 459561 171553 459595
-rect 171587 459592 171599 459595
-rect 171633 459595 171691 459601
-rect 171633 459592 171645 459595
-rect 171587 459564 171645 459592
-rect 171587 459561 171599 459564
-rect 171541 459555 171599 459561
-rect 171633 459561 171645 459564
-rect 171679 459561 171691 459595
-rect 171633 459555 171691 459561
-rect 181293 459595 181351 459601
-rect 181293 459561 181305 459595
-rect 181339 459561 181351 459595
-rect 181293 459555 181351 459561
-rect 190861 459595 190919 459601
-rect 190861 459561 190873 459595
-rect 190907 459592 190919 459595
-rect 190953 459595 191011 459601
-rect 190953 459592 190965 459595
-rect 190907 459564 190965 459592
-rect 190907 459561 190919 459564
-rect 190861 459555 190919 459561
-rect 190953 459561 190965 459564
-rect 190999 459561 191011 459595
-rect 190953 459555 191011 459561
-rect 200613 459595 200671 459601
-rect 200613 459561 200625 459595
-rect 200659 459561 200671 459595
-rect 200613 459555 200671 459561
-rect 210181 459595 210239 459601
-rect 210181 459561 210193 459595
-rect 210227 459592 210239 459595
-rect 210273 459595 210331 459601
-rect 210273 459592 210285 459595
-rect 210227 459564 210285 459592
-rect 210227 459561 210239 459564
-rect 210181 459555 210239 459561
-rect 210273 459561 210285 459564
-rect 210319 459561 210331 459595
-rect 210273 459555 210331 459561
-rect 229501 459595 229559 459601
-rect 229501 459561 229513 459595
-rect 229547 459592 229559 459595
-rect 235021 459595 235079 459601
-rect 235021 459592 235033 459595
-rect 229547 459564 235033 459592
-rect 229547 459561 229559 459564
-rect 229501 459555 229559 459561
-rect 235021 459561 235033 459564
-rect 235067 459561 235079 459595
-rect 235021 459555 235079 459561
-rect 235113 459595 235171 459601
-rect 235113 459561 235125 459595
-rect 235159 459592 235171 459595
-rect 235864 459592 235892 459632
-rect 242013 459629 242025 459632
-rect 242059 459629 242071 459663
-rect 250106 459660 250112 459672
-rect 250067 459632 250112 459660
-rect 242013 459623 242071 459629
-rect 250106 459620 250112 459632
-rect 250164 459620 250170 459672
-rect 256362 459660 256368 459672
-rect 256323 459632 256368 459660
-rect 256362 459620 256368 459632
-rect 256420 459620 256426 459672
-rect 261256 459669 261284 459700
-rect 261333 459697 261345 459700
-rect 261379 459697 261391 459731
-rect 280653 459731 280711 459737
-rect 280653 459728 280665 459731
-rect 261333 459691 261391 459697
-rect 275792 459700 280665 459728
-rect 261241 459663 261299 459669
-rect 261241 459629 261253 459663
-rect 261287 459629 261299 459663
-rect 261241 459623 261299 459629
-rect 235159 459564 235892 459592
-rect 251581 459595 251639 459601
-rect 235159 459561 235171 459564
-rect 235113 459555 235171 459561
-rect 251581 459561 251593 459595
-rect 251627 459592 251639 459595
-rect 270901 459595 270959 459601
-rect 251627 459564 251716 459592
-rect 251627 459561 251639 459564
-rect 251581 459555 251639 459561
-rect 7321 459527 7379 459533
-rect 7321 459493 7333 459527
-rect 7367 459524 7379 459527
-rect 7428 459524 7456 459555
-rect 7367 459496 7456 459524
-rect 26641 459527 26699 459533
-rect 7367 459493 7379 459496
-rect 7321 459487 7379 459493
-rect 26641 459493 26653 459527
-rect 26687 459524 26699 459527
-rect 26748 459524 26776 459555
-rect 26687 459496 26776 459524
-rect 45961 459527 46019 459533
-rect 26687 459493 26699 459496
-rect 26641 459487 26699 459493
-rect 45961 459493 45973 459527
-rect 46007 459524 46019 459527
-rect 46068 459524 46096 459555
-rect 46007 459496 46096 459524
-rect 65281 459527 65339 459533
-rect 46007 459493 46019 459496
-rect 45961 459487 46019 459493
-rect 65281 459493 65293 459527
-rect 65327 459524 65339 459527
-rect 65388 459524 65416 459555
-rect 65327 459496 65416 459524
-rect 84601 459527 84659 459533
-rect 65327 459493 65339 459496
-rect 65281 459487 65339 459493
-rect 84601 459493 84613 459527
-rect 84647 459524 84659 459527
-rect 84708 459524 84736 459555
-rect 84647 459496 84736 459524
-rect 103921 459527 103979 459533
-rect 84647 459493 84659 459496
-rect 84601 459487 84659 459493
-rect 103921 459493 103933 459527
-rect 103967 459524 103979 459527
-rect 104028 459524 104056 459555
-rect 103967 459496 104056 459524
-rect 123241 459527 123299 459533
-rect 103967 459493 103979 459496
-rect 103921 459487 103979 459493
-rect 123241 459493 123253 459527
-rect 123287 459524 123299 459527
-rect 123348 459524 123376 459555
-rect 123287 459496 123376 459524
-rect 142561 459527 142619 459533
-rect 123287 459493 123299 459496
-rect 123241 459487 123299 459493
-rect 142561 459493 142573 459527
-rect 142607 459524 142619 459527
-rect 142668 459524 142696 459555
-rect 142607 459496 142696 459524
-rect 161881 459527 161939 459533
-rect 142607 459493 142619 459496
-rect 142561 459487 142619 459493
-rect 161881 459493 161893 459527
-rect 161927 459524 161939 459527
-rect 161988 459524 162016 459555
-rect 161927 459496 162016 459524
-rect 181201 459527 181259 459533
-rect 161927 459493 161939 459496
-rect 161881 459487 161939 459493
-rect 181201 459493 181213 459527
-rect 181247 459524 181259 459527
-rect 181308 459524 181336 459555
-rect 181247 459496 181336 459524
-rect 200521 459527 200579 459533
-rect 181247 459493 181259 459496
-rect 181201 459487 181259 459493
-rect 200521 459493 200533 459527
-rect 200567 459524 200579 459527
-rect 200628 459524 200656 459555
-rect 251688 459533 251716 459564
-rect 270901 459561 270913 459595
-rect 270947 459592 270959 459595
-rect 275792 459592 275820 459700
-rect 280653 459697 280665 459700
-rect 280699 459697 280711 459731
-rect 319934 459728 319940 459740
-rect 280653 459691 280711 459697
-rect 313604 459700 319940 459728
-rect 290221 459663 290279 459669
-rect 290221 459629 290233 459663
-rect 290267 459660 290279 459663
-rect 292981 459663 293039 459669
-rect 292981 459660 292993 459663
-rect 290267 459632 292993 459660
-rect 290267 459629 290279 459632
-rect 290221 459623 290279 459629
-rect 292981 459629 292993 459632
-rect 293027 459629 293039 459663
-rect 292981 459623 293039 459629
-rect 309541 459663 309599 459669
-rect 309541 459629 309553 459663
-rect 309587 459660 309599 459663
-rect 312301 459663 312359 459669
-rect 312301 459660 312313 459663
-rect 309587 459632 312313 459660
-rect 309587 459629 309599 459632
-rect 309541 459623 309599 459629
-rect 312301 459629 312313 459632
-rect 312347 459629 312359 459663
-rect 312301 459623 312359 459629
-rect 312393 459663 312451 459669
-rect 312393 459629 312405 459663
-rect 312439 459660 312451 459663
-rect 313604 459660 313632 459700
-rect 319934 459688 319940 459700
-rect 319992 459688 319998 459740
-rect 323522 459660 323528 459672
-rect 312439 459632 313632 459660
-rect 323483 459632 323528 459660
-rect 312439 459629 312451 459632
-rect 312393 459623 312451 459629
-rect 323522 459620 323528 459632
-rect 323580 459620 323586 459672
-rect 327478 459660 327484 459672
-rect 327439 459632 327484 459660
-rect 327478 459620 327484 459632
-rect 327536 459620 327542 459672
-rect 329502 459660 329508 459672
-rect 329463 459632 329508 459660
-rect 329502 459620 329508 459632
-rect 329560 459620 329566 459672
-rect 331710 459660 331716 459672
-rect 331671 459632 331716 459660
-rect 331710 459620 331716 459632
-rect 331768 459620 331774 459672
-rect 337782 459660 337788 459672
-rect 337743 459632 337788 459660
-rect 337782 459620 337788 459632
-rect 337840 459620 337846 459672
-rect 341186 459620 341192 459672
-rect 341244 459620 341250 459672
-rect 345602 459660 345608 459672
-rect 345563 459632 345608 459660
-rect 345602 459620 345608 459632
-rect 345660 459620 345666 459672
-rect 350248 459632 583316 459728
-rect 270947 459564 275820 459592
-rect 293165 459595 293223 459601
-rect 270947 459561 270959 459564
-rect 270901 459555 270959 459561
-rect 293165 459561 293177 459595
-rect 293211 459592 293223 459595
-rect 299973 459595 300031 459601
-rect 299973 459592 299985 459595
-rect 293211 459564 299985 459592
-rect 293211 459561 293223 459564
-rect 293165 459555 293223 459561
-rect 299973 459561 299985 459564
-rect 300019 459561 300031 459595
-rect 299973 459555 300031 459561
-rect 200567 459496 200656 459524
-rect 251673 459527 251731 459533
-rect 200567 459493 200579 459496
-rect 200521 459487 200579 459493
-rect 251673 459493 251685 459527
-rect 251719 459493 251731 459527
-rect 251673 459487 251731 459493
-rect 280653 459527 280711 459533
-rect 280653 459493 280665 459527
-rect 280699 459524 280711 459527
-rect 290221 459527 290279 459533
-rect 290221 459524 290233 459527
-rect 280699 459496 290233 459524
-rect 280699 459493 280711 459496
-rect 280653 459487 280711 459493
-rect 290221 459493 290233 459496
-rect 290267 459493 290279 459527
-rect 290221 459487 290279 459493
-rect 242013 459459 242071 459465
-rect 242013 459425 242025 459459
-rect 242059 459456 242071 459459
-rect 251581 459459 251639 459465
-rect 251581 459456 251593 459459
-rect 242059 459428 251593 459456
-rect 242059 459425 242071 459428
-rect 242013 459419 242071 459425
-rect 251581 459425 251593 459428
-rect 251627 459425 251639 459459
-rect 251581 459419 251639 459425
-rect 261333 459459 261391 459465
-rect 261333 459425 261345 459459
-rect 261379 459456 261391 459459
-rect 270901 459459 270959 459465
-rect 270901 459456 270913 459459
-rect 261379 459428 270913 459456
-rect 261379 459425 261391 459428
-rect 261333 459419 261391 459425
-rect 270901 459425 270913 459428
-rect 270947 459425 270959 459459
-rect 270901 459419 270959 459425
-rect 299973 459459 300031 459465
-rect 299973 459425 299985 459459
-rect 300019 459456 300031 459459
-rect 309541 459459 309599 459465
-rect 309541 459456 309553 459459
-rect 300019 459428 309553 459456
-rect 300019 459425 300031 459428
-rect 299973 459419 300031 459425
-rect 309541 459425 309553 459428
-rect 309587 459425 309599 459459
-rect 309541 459419 309599 459425
-rect 251673 459391 251731 459397
-rect 251673 459357 251685 459391
-rect 251719 459388 251731 459391
-rect 261241 459391 261299 459397
-rect 261241 459388 261253 459391
-rect 251719 459360 261253 459388
-rect 251719 459357 251731 459360
-rect 251673 459351 251731 459357
-rect 261241 459357 261253 459360
-rect 261287 459357 261299 459391
-rect 261241 459351 261299 459357
-rect 1600 459088 230496 459184
-rect 227474 458940 227480 458992
-rect 227532 458980 227538 458992
-rect 341204 458980 341232 459620
-rect 350248 459088 583316 459184
-rect 227532 458952 341232 458980
-rect 227532 458940 227538 458952
-rect 250109 458915 250167 458921
-rect 250109 458881 250121 458915
-rect 250155 458912 250167 458915
-rect 354434 458912 354440 458924
-rect 250155 458884 354440 458912
-rect 250155 458881 250167 458884
-rect 250109 458875 250167 458881
-rect 354434 458872 354440 458884
-rect 354492 458872 354498 458924
-rect 226186 458804 226192 458856
-rect 226244 458844 226250 458856
-rect 323525 458847 323583 458853
-rect 323525 458844 323537 458847
-rect 226244 458816 323537 458844
-rect 226244 458804 226250 458816
-rect 323525 458813 323537 458816
-rect 323571 458813 323583 458847
-rect 323525 458807 323583 458813
-rect 226094 458736 226100 458788
-rect 226152 458776 226158 458788
-rect 329505 458779 329563 458785
-rect 329505 458776 329517 458779
-rect 226152 458748 329517 458776
-rect 226152 458736 226158 458748
-rect 329505 458745 329517 458748
-rect 329551 458745 329563 458779
-rect 329505 458739 329563 458745
-rect 221954 458668 221960 458720
-rect 222012 458708 222018 458720
-rect 327481 458711 327539 458717
-rect 327481 458708 327493 458711
-rect 222012 458680 327493 458708
-rect 222012 458668 222018 458680
-rect 327481 458677 327493 458680
-rect 327527 458677 327539 458711
-rect 327481 458671 327539 458677
-rect 1600 458544 230496 458640
-rect 350248 458544 583316 458640
-rect 219194 458464 219200 458516
-rect 219252 458504 219258 458516
-rect 345605 458507 345663 458513
-rect 345605 458504 345617 458507
-rect 219252 458476 345617 458504
-rect 219252 458464 219258 458476
-rect 345605 458473 345617 458476
-rect 345651 458473 345663 458507
-rect 345605 458467 345663 458473
-rect 256365 458439 256423 458445
-rect 256365 458405 256377 458439
-rect 256411 458436 256423 458439
-rect 406874 458436 406880 458448
-rect 256411 458408 406880 458436
-rect 256411 458405 256423 458408
-rect 256365 458399 256423 458405
-rect 406874 458396 406880 458408
-rect 406932 458396 406938 458448
-rect 6674 458328 6680 458380
-rect 6732 458368 6738 458380
-rect 331713 458371 331771 458377
-rect 331713 458368 331725 458371
-rect 6732 458340 331725 458368
-rect 6732 458328 6738 458340
-rect 331713 458337 331725 458340
-rect 331759 458337 331771 458371
-rect 331713 458331 331771 458337
-rect 9434 458260 9440 458312
-rect 9492 458300 9498 458312
-rect 337785 458303 337843 458309
-rect 337785 458300 337797 458303
-rect 9492 458272 337797 458300
-rect 9492 458260 9498 458272
-rect 337785 458269 337797 458272
-rect 337831 458269 337843 458303
-rect 337785 458263 337843 458269
-rect 234285 458235 234343 458241
-rect 234285 458201 234297 458235
-rect 234331 458232 234343 458235
-rect 580754 458232 580760 458244
-rect 234331 458204 580760 458232
-rect 234331 458201 234343 458204
-rect 234285 458195 234343 458201
-rect 580754 458192 580760 458204
-rect 580812 458192 580818 458244
-rect 1600 458000 230496 458096
-rect 350248 458000 583316 458096
-rect 1600 457456 230496 457552
-rect 350248 457456 583316 457552
-rect 1600 456912 230496 457008
-rect 350248 456912 583316 457008
-rect 1600 456368 230496 456464
-rect 350248 456368 583316 456464
-rect 1600 455824 230496 455920
-rect 350248 455824 583316 455920
-rect 1600 455280 230496 455376
-rect 350248 455280 583316 455376
-rect 1600 454736 230496 454832
-rect 350248 454736 583316 454832
-rect 1600 454192 230496 454288
-rect 350248 454192 583316 454288
-rect 1600 453648 230496 453744
-rect 350248 453648 583316 453744
-rect 1600 453104 230496 453200
-rect 350248 453104 583316 453200
-rect 1600 452560 230496 452656
-rect 350248 452560 583316 452656
-rect 1600 452016 230496 452112
-rect 350248 452016 583316 452112
-rect 1600 451472 230496 451568
-rect 350248 451472 583316 451568
-rect 1600 450928 230496 451024
-rect 350248 450928 583316 451024
-rect 1600 450384 230496 450480
-rect 350248 450384 583316 450480
-rect 1600 449840 230496 449936
-rect 350248 449840 583316 449936
-rect 1600 449296 230496 449392
-rect 350248 449296 583316 449392
-rect 1600 448752 230496 448848
-rect 350248 448752 583316 448848
-rect 1600 448208 230496 448304
-rect 350248 448208 583316 448304
-rect 1600 447664 230496 447760
-rect 350248 447664 583316 447760
-rect 1600 447120 230496 447216
-rect 350248 447120 583316 447216
-rect 359954 447040 359960 447092
-rect 360012 447080 360018 447092
-rect 580662 447080 580668 447092
-rect 360012 447052 580668 447080
-rect 360012 447040 360018 447052
-rect 580662 447040 580668 447052
-rect 580720 447040 580726 447092
-rect 1600 446576 230496 446672
-rect 350248 446576 583316 446672
-rect 1600 446032 230496 446128
-rect 350248 446032 583316 446128
-rect 1600 445488 230496 445584
-rect 350248 445488 583316 445584
-rect 1600 444944 230496 445040
-rect 350248 444944 583316 445040
-rect 1600 444400 230496 444496
-rect 350248 444400 583316 444496
-rect 3638 444116 3644 444168
-rect 3696 444156 3702 444168
-rect 6766 444156 6772 444168
-rect 3696 444128 6772 444156
-rect 3696 444116 3702 444128
-rect 6766 444116 6772 444128
-rect 6824 444116 6830 444168
-rect 1600 443856 230496 443952
-rect 350248 443856 583316 443952
-rect 1600 443312 230496 443408
-rect 350248 443312 583316 443408
-rect 1600 442768 230496 442864
-rect 350248 442768 583316 442864
-rect 1600 442224 230496 442320
-rect 350248 442224 583316 442320
-rect 1600 441680 230496 441776
-rect 350248 441680 583316 441776
-rect 1600 441136 230496 441232
-rect 350248 441136 583316 441232
-rect 1600 440592 230496 440688
-rect 350248 440592 583316 440688
-rect 1600 440048 230496 440144
-rect 350248 440048 583316 440144
-rect 1600 439504 230496 439600
-rect 350248 439504 583316 439600
-rect 1600 438960 230496 439056
-rect 350248 438960 583316 439056
-rect 1600 438416 230496 438512
-rect 350248 438416 583316 438512
-rect 1600 437872 230496 437968
-rect 350248 437872 583316 437968
-rect 1600 437328 230496 437424
-rect 350248 437328 583316 437424
-rect 1600 436784 230496 436880
-rect 350248 436784 583316 436880
-rect 1600 436240 230496 436336
-rect 350248 436240 583316 436336
-rect 1600 435696 230496 435792
-rect 350248 435696 583316 435792
-rect 1600 435152 230496 435248
-rect 350248 435152 583316 435248
-rect 1600 434608 230496 434704
-rect 350248 434608 583316 434704
-rect 1600 434064 230496 434160
-rect 350248 434064 583316 434160
-rect 1600 433520 230496 433616
-rect 350248 433520 583316 433616
-rect 1600 432976 230496 433072
-rect 350248 432976 583316 433072
-rect 1600 432432 230496 432528
-rect 350248 432432 583316 432528
-rect 1600 431888 230496 431984
-rect 350248 431888 583316 431984
-rect 1600 431344 230496 431440
-rect 350248 431344 583316 431440
-rect 1600 430800 230496 430896
-rect 350248 430800 583316 430896
-rect 411014 430516 411020 430568
-rect 411072 430556 411078 430568
-rect 580662 430556 580668 430568
-rect 411072 430528 580668 430556
-rect 411072 430516 411078 430528
-rect 580662 430516 580668 430528
-rect 580720 430516 580726 430568
-rect 1600 430256 230496 430352
-rect 350248 430256 583316 430352
-rect 1600 429712 230496 429808
-rect 350248 429712 583316 429808
-rect 1600 429168 230496 429264
-rect 350248 429168 583316 429264
-rect 1600 428624 230496 428720
-rect 350248 428624 583316 428720
-rect 1600 428080 230496 428176
-rect 350248 428080 583316 428176
-rect 3638 427728 3644 427780
-rect 3696 427768 3702 427780
-rect 220574 427768 220580 427780
-rect 3696 427740 220580 427768
-rect 3696 427728 3702 427740
-rect 220574 427728 220580 427740
-rect 220632 427728 220638 427780
-rect 1600 427536 230496 427632
-rect 350248 427536 583316 427632
-rect 1600 426992 230496 427088
-rect 350248 426992 583316 427088
-rect 1600 426448 230496 426544
-rect 350248 426448 583316 426544
-rect 1600 425904 230496 426000
-rect 350248 425904 583316 426000
-rect 1600 425360 230496 425456
-rect 350248 425360 583316 425456
-rect 1600 424816 230496 424912
-rect 350248 424816 583316 424912
-rect 1600 424272 230496 424368
-rect 350248 424272 583316 424368
-rect 1600 423728 230496 423824
-rect 350248 423728 583316 423824
-rect 1600 423184 230496 423280
-rect 350248 423184 583316 423280
-rect 1600 422640 230496 422736
-rect 350248 422640 583316 422736
-rect 1600 422096 230496 422192
-rect 350248 422096 583316 422192
-rect 1600 421552 230496 421648
-rect 350248 421552 583316 421648
-rect 1600 421008 230496 421104
-rect 350248 421008 583316 421104
-rect 1600 420464 230496 420560
-rect 350248 420464 583316 420560
-rect 1600 419920 230496 420016
-rect 350248 419920 583316 420016
-rect 1600 419376 230496 419472
-rect 350248 419376 583316 419472
-rect 1600 418832 230496 418928
-rect 350248 418832 583316 418928
-rect 1600 418288 230496 418384
-rect 350248 418288 583316 418384
-rect 1600 417744 230496 417840
-rect 350248 417744 583316 417840
-rect 1600 417200 230496 417296
-rect 350248 417200 583316 417296
-rect 1600 416656 230496 416752
-rect 350248 416656 583316 416752
-rect 1600 416112 230496 416208
-rect 350248 416112 583316 416208
-rect 1600 415568 230496 415664
-rect 350248 415568 583316 415664
-rect 355906 415352 355912 415404
-rect 355964 415392 355970 415404
-rect 580662 415392 580668 415404
-rect 355964 415364 580668 415392
-rect 355964 415352 355970 415364
-rect 580662 415352 580668 415364
-rect 580720 415352 580726 415404
-rect 1600 415024 230496 415120
-rect 350248 415024 583316 415120
-rect 1600 414480 230496 414576
-rect 350248 414480 583316 414576
-rect 1600 413936 230496 414032
-rect 350248 413936 583316 414032
-rect 1600 413392 230496 413488
-rect 350248 413392 583316 413488
-rect 1600 412848 230496 412944
-rect 350248 412848 583316 412944
-rect 1600 412304 230496 412400
-rect 350248 412304 583316 412400
-rect 1600 411760 230496 411856
-rect 350248 411760 583316 411856
-rect 1600 411216 230496 411312
-rect 350248 411216 583316 411312
-rect 3822 411136 3828 411188
-rect 3880 411176 3886 411188
-rect 226186 411176 226192 411188
-rect 3880 411148 226192 411176
-rect 3880 411136 3886 411148
-rect 226186 411136 226192 411148
-rect 226244 411136 226250 411188
-rect 1600 410672 230496 410768
-rect 350248 410672 583316 410768
-rect 1600 410128 230496 410224
-rect 350248 410128 583316 410224
-rect 1600 409584 230496 409680
-rect 350248 409584 583316 409680
-rect 1600 409040 230496 409136
-rect 350248 409040 583316 409136
-rect 1600 408496 230496 408592
-rect 350248 408496 583316 408592
-rect 1600 407952 230496 408048
-rect 350248 407952 583316 408048
-rect 1600 407408 230496 407504
-rect 350248 407408 583316 407504
-rect 1600 406864 230496 406960
-rect 350248 406864 583316 406960
-rect 1600 406320 230496 406416
-rect 350248 406320 583316 406416
-rect 1600 405776 230496 405872
-rect 350248 405776 583316 405872
-rect 1600 405232 230496 405328
-rect 350248 405232 583316 405328
-rect 1600 404688 230496 404784
-rect 350248 404688 583316 404784
-rect 1600 404144 230496 404240
-rect 350248 404144 583316 404240
-rect 1600 403600 230496 403696
-rect 350248 403600 583316 403696
-rect 1600 403056 230496 403152
-rect 350248 403056 583316 403152
-rect 1600 402512 230496 402608
-rect 350248 402512 583316 402608
-rect 1600 401968 230496 402064
-rect 350248 401968 583316 402064
-rect 1600 401424 230496 401520
-rect 350248 401424 583316 401520
-rect 1600 400880 230496 400976
-rect 350248 400880 583316 400976
-rect 1600 400336 230496 400432
-rect 350248 400336 583316 400432
-rect 358666 400120 358672 400172
-rect 358724 400160 358730 400172
-rect 580662 400160 580668 400172
-rect 358724 400132 580668 400160
-rect 358724 400120 358730 400132
-rect 580662 400120 580668 400132
-rect 580720 400120 580726 400172
-rect 1600 399792 230496 399888
-rect 350248 399792 583316 399888
-rect 1600 399248 230496 399344
-rect 350248 399248 583316 399344
-rect 1600 398704 230496 398800
-rect 350248 398704 583316 398800
-rect 1600 398160 230496 398256
-rect 350248 398160 583316 398256
-rect 1600 397616 230496 397712
-rect 350248 397616 583316 397712
-rect 1600 397072 230496 397168
-rect 350248 397072 583316 397168
-rect 1600 396528 230496 396624
-rect 350248 396528 583316 396624
-rect 1600 395984 230496 396080
-rect 350248 395984 583316 396080
-rect 1600 395440 230496 395536
-rect 350248 395440 583316 395536
-rect 1600 394896 230496 394992
-rect 350248 394896 583316 394992
-rect 3822 394612 3828 394664
-rect 3880 394652 3886 394664
-rect 227566 394652 227572 394664
-rect 3880 394624 227572 394652
-rect 3880 394612 3886 394624
-rect 227566 394612 227572 394624
-rect 227624 394612 227630 394664
-rect 1600 394352 230496 394448
-rect 350248 394352 583316 394448
-rect 1600 393808 230496 393904
-rect 350248 393808 583316 393904
-rect 1600 393264 230496 393360
-rect 350248 393264 583316 393360
-rect 1600 392720 230496 392816
-rect 350248 392720 583316 392816
-rect 1600 392176 230496 392272
-rect 350248 392176 583316 392272
-rect 1600 391632 230496 391728
-rect 350248 391632 583316 391728
-rect 1600 391088 230496 391184
-rect 350248 391088 583316 391184
-rect 1600 390544 230496 390640
-rect 350248 390544 583316 390640
-rect 1600 390000 230496 390096
-rect 350248 390000 583316 390096
-rect 1600 389456 230496 389552
-rect 350248 389456 583316 389552
-rect 1600 388912 230496 389008
-rect 350248 388912 583316 389008
-rect 1600 388368 230496 388464
-rect 350248 388368 583316 388464
-rect 1600 387824 230496 387920
-rect 350248 387824 583316 387920
-rect 1600 387280 230496 387376
-rect 350248 387280 583316 387376
-rect 1600 386736 230496 386832
-rect 350248 386736 583316 386832
-rect 1600 386192 230496 386288
-rect 350248 386192 583316 386288
-rect 1600 385648 230496 385744
-rect 350248 385648 583316 385744
-rect 1600 385104 230496 385200
-rect 350248 385104 583316 385200
-rect 1600 384560 230496 384656
-rect 350248 384560 583316 384656
-rect 1600 384016 230496 384112
-rect 350248 384016 583316 384112
-rect 406874 383596 406880 383648
-rect 406932 383636 406938 383648
-rect 580662 383636 580668 383648
-rect 406932 383608 580668 383636
-rect 406932 383596 406938 383608
-rect 580662 383596 580668 383608
-rect 580720 383596 580726 383648
-rect 1600 383472 230496 383568
-rect 350248 383472 583316 383568
-rect 1600 382928 230496 383024
-rect 350248 382928 583316 383024
-rect 1600 382384 230496 382480
-rect 350248 382384 583316 382480
-rect 1600 381840 230496 381936
-rect 350248 381840 583316 381936
-rect 1600 381296 230496 381392
-rect 350248 381296 583316 381392
-rect 1600 380752 230496 380848
-rect 350248 380752 583316 380848
-rect 1600 380208 230496 380304
-rect 350248 380208 583316 380304
-rect 1600 379664 230496 379760
-rect 350248 379664 583316 379760
-rect 1600 379120 230496 379216
-rect 350248 379120 583316 379216
-rect 1600 378576 230496 378672
-rect 350248 378576 583316 378672
-rect 1600 378032 230496 378128
-rect 350248 378032 583316 378128
-rect 3546 377952 3552 378004
-rect 3604 377992 3610 378004
-rect 221954 377992 221960 378004
-rect 3604 377964 221960 377992
-rect 3604 377952 3610 377964
-rect 221954 377952 221960 377964
-rect 222012 377952 222018 378004
-rect 1600 377488 230496 377584
-rect 350248 377488 583316 377584
-rect 1600 376944 230496 377040
-rect 350248 376944 583316 377040
-rect 1600 376400 230496 376496
-rect 350248 376400 583316 376496
-rect 1600 375856 230496 375952
-rect 350248 375856 583316 375952
-rect 1600 375312 230496 375408
-rect 350248 375312 583316 375408
-rect 1600 374768 230496 374864
-rect 350248 374768 583316 374864
-rect 1600 374224 230496 374320
-rect 350248 374224 583316 374320
-rect 1600 373680 230496 373776
-rect 350248 373680 583316 373776
-rect 1600 373136 230496 373232
-rect 350248 373136 583316 373232
-rect 1600 372592 230496 372688
-rect 350248 372592 583316 372688
-rect 1600 372048 230496 372144
-rect 350248 372048 583316 372144
-rect 1600 371504 230496 371600
-rect 350248 371504 583316 371600
-rect 1600 370960 230496 371056
-rect 350248 370960 583316 371056
-rect 1600 370416 230496 370512
-rect 350248 370416 583316 370512
-rect 1600 369872 230496 369968
-rect 350248 369872 583316 369968
-rect 1600 369328 230496 369424
-rect 350248 369328 583316 369424
-rect 1600 368784 230496 368880
-rect 350248 368784 583316 368880
-rect 354526 368432 354532 368484
-rect 354584 368472 354590 368484
-rect 580662 368472 580668 368484
-rect 354584 368444 580668 368472
-rect 354584 368432 354590 368444
-rect 580662 368432 580668 368444
-rect 580720 368432 580726 368484
-rect 1600 368240 230496 368336
-rect 350248 368240 583316 368336
-rect 1600 367696 230496 367792
-rect 350248 367696 583316 367792
-rect 1600 367152 230496 367248
-rect 350248 367152 583316 367248
-rect 1600 366608 230496 366704
-rect 350248 366608 583316 366704
-rect 1600 366064 230496 366160
-rect 350248 366064 583316 366160
-rect 1600 365520 230496 365616
-rect 350248 365520 583316 365616
-rect 1600 364976 230496 365072
-rect 350248 364976 583316 365072
-rect 1600 364432 230496 364528
-rect 350248 364432 583316 364528
-rect 1600 363888 230496 363984
-rect 350248 363888 583316 363984
-rect 1600 363344 230496 363440
-rect 350248 363344 583316 363440
-rect 1600 362800 230496 362896
-rect 350248 362800 583316 362896
-rect 1600 362256 230496 362352
-rect 350248 362256 583316 362352
-rect 1600 361712 230496 361808
-rect 350248 361712 583316 361808
-rect 3822 361496 3828 361548
-rect 3880 361536 3886 361548
-rect 223334 361536 223340 361548
-rect 3880 361508 223340 361536
-rect 3880 361496 3886 361508
-rect 223334 361496 223340 361508
-rect 223392 361496 223398 361548
-rect 1600 361168 230496 361264
-rect 350248 361168 583316 361264
-rect 1600 360624 230496 360720
-rect 350248 360624 583316 360720
-rect 1600 360080 230496 360176
-rect 350248 360080 583316 360176
-rect 1600 359536 230496 359632
-rect 350248 359536 583316 359632
-rect 1600 358992 230496 359088
-rect 350248 358992 583316 359088
-rect 1600 358448 230496 358544
-rect 350248 358448 583316 358544
-rect 1600 357904 230496 358000
-rect 350248 357904 583316 358000
-rect 1600 357360 230496 357456
-rect 350248 357360 583316 357456
-rect 1600 356816 230496 356912
-rect 350248 356816 583316 356912
-rect 1600 356272 230496 356368
-rect 350248 356272 583316 356368
-rect 1600 355728 230496 355824
-rect 350248 355728 583316 355824
-rect 1600 355184 230496 355280
-rect 350248 355184 583316 355280
-rect 1600 354640 230496 354736
-rect 350248 354640 583316 354736
-rect 1600 354096 230496 354192
-rect 350248 354096 583316 354192
-rect 1600 353552 230496 353648
-rect 350248 353552 583316 353648
-rect 357194 353200 357200 353252
-rect 357252 353240 357258 353252
-rect 580662 353240 580668 353252
-rect 357252 353212 580668 353240
-rect 357252 353200 357258 353212
-rect 580662 353200 580668 353212
-rect 580720 353200 580726 353252
-rect 1600 353008 230496 353104
-rect 350248 353008 583316 353104
-rect 1600 352464 230496 352560
-rect 350248 352464 583316 352560
-rect 1600 351920 230496 352016
-rect 350248 351920 583316 352016
-rect 1600 351376 230496 351472
-rect 350248 351376 583316 351472
-rect 1600 350832 230496 350928
-rect 350248 350832 583316 350928
-rect 1600 350288 230496 350384
-rect 350248 350288 583316 350384
-rect 1600 349744 230496 349840
-rect 350248 349744 583316 349840
-rect 1600 349200 230496 349296
-rect 350248 349200 583316 349296
-rect 1600 348656 230496 348752
-rect 350248 348656 583316 348752
-rect 1600 348112 230496 348208
-rect 350248 348112 583316 348208
-rect 1600 347568 230496 347664
-rect 350248 347568 583316 347664
-rect 1600 347024 230496 347120
-rect 350248 347024 583316 347120
-rect 1600 346480 230496 346576
-rect 350248 346480 583316 346576
-rect 1600 345936 230496 346032
-rect 350248 345936 583316 346032
-rect 1600 345392 230496 345488
-rect 350248 345392 583316 345488
-rect 1600 344848 230496 344944
-rect 350248 344848 583316 344944
-rect 1600 344304 230496 344400
-rect 350248 344304 583316 344400
-rect 1600 343760 230496 343856
-rect 350248 343760 583316 343856
-rect 3822 343544 3828 343596
-rect 3880 343584 3886 343596
-rect 228946 343584 228952 343596
-rect 3880 343556 228952 343584
-rect 3880 343544 3886 343556
-rect 228946 343544 228952 343556
-rect 229004 343544 229010 343596
-rect 1600 343216 230496 343312
-rect 350248 343216 583316 343312
-rect 1600 342672 230496 342768
-rect 350248 342672 583316 342768
-rect 1600 342128 230496 342224
-rect 350248 342128 583316 342224
-rect 1600 341584 230496 341680
-rect 350248 341584 583316 341680
-rect 1600 341040 230496 341136
-rect 350248 341040 583316 341136
-rect 1600 340496 230496 340592
-rect 350248 340496 583316 340592
-rect 1600 339952 583316 340048
-rect 1600 339408 583316 339504
-rect 240906 339056 240912 339108
-rect 240964 339096 240970 339108
-rect 241274 339096 241280 339108
-rect 240964 339068 241280 339096
-rect 240964 339056 240970 339068
-rect 241274 339056 241280 339068
-rect 241332 339056 241338 339108
-rect 242102 339056 242108 339108
-rect 242160 339096 242166 339108
-rect 242470 339096 242476 339108
-rect 242160 339068 242476 339096
-rect 242160 339056 242166 339068
-rect 242470 339056 242476 339068
-rect 242528 339056 242534 339108
-rect 268230 339056 268236 339108
-rect 268288 339096 268294 339108
-rect 268690 339096 268696 339108
-rect 268288 339068 268696 339096
-rect 268288 339056 268294 339068
-rect 268690 339056 268696 339068
-rect 268748 339056 268754 339108
-rect 1600 338864 583316 338960
-rect 254798 338512 254804 338564
-rect 254856 338552 254862 338564
-rect 255166 338552 255172 338564
-rect 254856 338524 255172 338552
-rect 254856 338512 254862 338524
-rect 255166 338512 255172 338524
-rect 255224 338512 255230 338564
-rect 272646 338444 272652 338496
-rect 272704 338484 272710 338496
-rect 273106 338484 273112 338496
-rect 272704 338456 273112 338484
-rect 272704 338444 272710 338456
-rect 273106 338444 273112 338456
-rect 273164 338444 273170 338496
-rect 278902 338444 278908 338496
-rect 278960 338484 278966 338496
-rect 279178 338484 279184 338496
-rect 278960 338456 279184 338484
-rect 278960 338444 278966 338456
-rect 279178 338444 279184 338456
-rect 279236 338444 279242 338496
-rect 1600 338320 583316 338416
-rect 119193 338283 119251 338289
-rect 119193 338249 119205 338283
-rect 119239 338280 119251 338283
-rect 230145 338283 230203 338289
-rect 119239 338252 128804 338280
-rect 119239 338249 119251 338252
-rect 119193 338243 119251 338249
-rect 113673 338147 113731 338153
-rect 113673 338113 113685 338147
-rect 113719 338144 113731 338147
-rect 119285 338147 119343 338153
-rect 119285 338144 119297 338147
-rect 113719 338116 119297 338144
-rect 113719 338113 113731 338116
-rect 113673 338107 113731 338113
-rect 119285 338113 119297 338116
-rect 119331 338113 119343 338147
-rect 128776 338144 128804 338252
-rect 230145 338249 230157 338283
-rect 230191 338280 230203 338283
-rect 234745 338283 234803 338289
-rect 234745 338280 234757 338283
-rect 230191 338252 234757 338280
-rect 230191 338249 230203 338252
-rect 230145 338243 230203 338249
-rect 234745 338249 234757 338252
-rect 234791 338249 234803 338283
-rect 234745 338243 234803 338249
-rect 128945 338215 129003 338221
-rect 128945 338181 128957 338215
-rect 128991 338212 129003 338215
-rect 138329 338215 138387 338221
-rect 138329 338212 138341 338215
-rect 128991 338184 138341 338212
-rect 128991 338181 129003 338184
-rect 128945 338175 129003 338181
-rect 138329 338181 138341 338184
-rect 138375 338181 138387 338215
-rect 138329 338175 138387 338181
-rect 225453 338215 225511 338221
-rect 225453 338181 225465 338215
-rect 225499 338212 225511 338215
-rect 234190 338212 234196 338224
-rect 225499 338184 234196 338212
-rect 225499 338181 225511 338184
-rect 225453 338175 225511 338181
-rect 234190 338172 234196 338184
-rect 234248 338172 234254 338224
-rect 129218 338144 129224 338156
-rect 128776 338116 129224 338144
-rect 119285 338107 119343 338113
-rect 129218 338104 129224 338116
-rect 129276 338104 129282 338156
-rect 129405 338147 129463 338153
-rect 129405 338113 129417 338147
-rect 129451 338144 129463 338147
-rect 142558 338144 142564 338156
-rect 129451 338116 142564 338144
-rect 129451 338113 129463 338116
-rect 129405 338107 129463 338113
-rect 142558 338104 142564 338116
-rect 142616 338104 142622 338156
-rect 142653 338147 142711 338153
-rect 142653 338113 142665 338147
-rect 142699 338144 142711 338147
-rect 152218 338144 152224 338156
-rect 142699 338116 152224 338144
-rect 142699 338113 142711 338116
-rect 142653 338107 142711 338113
-rect 152218 338104 152224 338116
-rect 152276 338104 152282 338156
-rect 152313 338147 152371 338153
-rect 152313 338113 152325 338147
-rect 152359 338144 152371 338147
-rect 161878 338144 161884 338156
-rect 152359 338116 161884 338144
-rect 152359 338113 152371 338116
-rect 152313 338107 152371 338113
-rect 161878 338104 161884 338116
-rect 161936 338104 161942 338156
-rect 161973 338147 162031 338153
-rect 161973 338113 161985 338147
-rect 162019 338144 162031 338147
-rect 171538 338144 171544 338156
-rect 162019 338116 171544 338144
-rect 162019 338113 162031 338116
-rect 161973 338107 162031 338113
-rect 171538 338104 171544 338116
-rect 171596 338104 171602 338156
-rect 171633 338147 171691 338153
-rect 171633 338113 171645 338147
-rect 171679 338144 171691 338147
-rect 181198 338144 181204 338156
-rect 171679 338116 181204 338144
-rect 171679 338113 171691 338116
-rect 171633 338107 171691 338113
-rect 181198 338104 181204 338116
-rect 181256 338104 181262 338156
-rect 181293 338147 181351 338153
-rect 181293 338113 181305 338147
-rect 181339 338144 181351 338147
-rect 190858 338144 190864 338156
-rect 181339 338116 190864 338144
-rect 181339 338113 181351 338116
-rect 181293 338107 181351 338113
-rect 190858 338104 190864 338116
-rect 190916 338104 190922 338156
-rect 190953 338147 191011 338153
-rect 190953 338113 190965 338147
-rect 190999 338144 191011 338147
-rect 200518 338144 200524 338156
-rect 190999 338116 200524 338144
-rect 190999 338113 191011 338116
-rect 190953 338107 191011 338113
-rect 200518 338104 200524 338116
-rect 200576 338104 200582 338156
-rect 200613 338147 200671 338153
-rect 200613 338113 200625 338147
-rect 200659 338144 200671 338147
-rect 210178 338144 210184 338156
-rect 200659 338116 210184 338144
-rect 200659 338113 200671 338116
-rect 200613 338107 200671 338113
-rect 210178 338104 210184 338116
-rect 210236 338104 210242 338156
-rect 210273 338147 210331 338153
-rect 210273 338113 210285 338147
-rect 210319 338144 210331 338147
-rect 219838 338144 219844 338156
-rect 210319 338116 219844 338144
-rect 210319 338113 210331 338116
-rect 210273 338107 210331 338113
-rect 219838 338104 219844 338116
-rect 219896 338104 219902 338156
-rect 219933 338147 219991 338153
-rect 219933 338113 219945 338147
-rect 219979 338144 219991 338147
-rect 225545 338147 225603 338153
-rect 225545 338144 225557 338147
-rect 219979 338116 225557 338144
-rect 219979 338113 219991 338116
-rect 219933 338107 219991 338113
-rect 225545 338113 225557 338116
-rect 225591 338113 225603 338147
-rect 225545 338107 225603 338113
-rect 226741 338147 226799 338153
-rect 226741 338113 226753 338147
-rect 226787 338144 226799 338147
-rect 232350 338144 232356 338156
-rect 226787 338116 232356 338144
-rect 226787 338113 226799 338116
-rect 226741 338107 226799 338113
-rect 232350 338104 232356 338116
-rect 232408 338104 232414 338156
-rect 308894 338104 308900 338156
-rect 308952 338144 308958 338156
-rect 309538 338144 309544 338156
-rect 308952 338116 309544 338144
-rect 308952 338104 308958 338116
-rect 309538 338104 309544 338116
-rect 309596 338104 309602 338156
-rect 62518 338036 62524 338088
-rect 62576 338076 62582 338088
-rect 242930 338076 242936 338088
-rect 62576 338048 242936 338076
-rect 62576 338036 62582 338048
-rect 242930 338036 242936 338048
-rect 242988 338036 242994 338088
-rect 259125 338079 259183 338085
-rect 259125 338045 259137 338079
-rect 259171 338076 259183 338079
-rect 259214 338076 259220 338088
-rect 259171 338048 259220 338076
-rect 259171 338045 259183 338048
-rect 259125 338039 259183 338045
-rect 259214 338036 259220 338048
-rect 259272 338036 259278 338088
-rect 278537 338079 278595 338085
-rect 278537 338045 278549 338079
-rect 278583 338076 278595 338079
-rect 283870 338076 283876 338088
-rect 278583 338048 283876 338076
-rect 278583 338045 278595 338048
-rect 278537 338039 278595 338045
-rect 283870 338036 283876 338048
-rect 283928 338036 283934 338088
-rect 303926 338036 303932 338088
-rect 303984 338076 303990 338088
-rect 356550 338076 356556 338088
-rect 303984 338048 356556 338076
-rect 303984 338036 303990 338048
-rect 356550 338036 356556 338048
-rect 356608 338036 356614 338088
-rect 36393 338011 36451 338017
-rect 36393 337977 36405 338011
-rect 36439 338008 36451 338011
-rect 45958 338008 45964 338020
-rect 36439 337980 45964 338008
-rect 36439 337977 36451 337980
-rect 36393 337971 36451 337977
-rect 45958 337968 45964 337980
-rect 46016 337968 46022 338020
-rect 55618 337968 55624 338020
-rect 55676 338008 55682 338020
-rect 230145 338011 230203 338017
-rect 230145 338008 230157 338011
-rect 55676 337980 230157 338008
-rect 55676 337968 55682 337980
-rect 230145 337977 230157 337980
-rect 230191 337977 230203 338011
-rect 230145 337971 230203 337977
-rect 230237 338011 230295 338017
-rect 230237 337977 230249 338011
-rect 230283 338008 230295 338011
-rect 236306 338008 236312 338020
-rect 230283 337980 236312 338008
-rect 230283 337977 230295 337980
-rect 230237 337971 230295 337977
-rect 236306 337968 236312 337980
-rect 236364 337968 236370 338020
-rect 280653 338011 280711 338017
-rect 280653 338008 280665 338011
-rect 270916 337980 280665 338008
-rect 26733 337943 26791 337949
-rect 26733 337909 26745 337943
-rect 26779 337940 26791 337943
-rect 36301 337943 36359 337949
-rect 36301 337940 36313 337943
-rect 26779 337912 36313 337940
-rect 26779 337909 26791 337912
-rect 26733 337903 26791 337909
-rect 36301 337909 36313 337912
-rect 36347 337909 36359 337943
-rect 36301 337903 36359 337909
-rect 44578 337900 44584 337952
-rect 44636 337940 44642 337952
-rect 129405 337943 129463 337949
-rect 129405 337940 129417 337943
-rect 44636 337912 129417 337940
-rect 44636 337900 44642 337912
-rect 129405 337909 129417 337912
-rect 129451 337909 129463 337943
-rect 129405 337903 129463 337909
-rect 129497 337943 129555 337949
-rect 129497 337909 129509 337943
-rect 129543 337940 129555 337943
-rect 142469 337943 142527 337949
-rect 142469 337940 142481 337943
-rect 129543 337912 142481 337940
-rect 129543 337909 129555 337912
-rect 129497 337903 129555 337909
-rect 142469 337909 142481 337912
-rect 142515 337909 142527 337943
-rect 142469 337903 142527 337909
-rect 142558 337900 142564 337952
-rect 142616 337940 142622 337952
-rect 142653 337943 142711 337949
-rect 142653 337940 142665 337943
-rect 142616 337912 142665 337940
-rect 142616 337900 142622 337912
-rect 142653 337909 142665 337912
-rect 142699 337909 142711 337943
-rect 142653 337903 142711 337909
-rect 142745 337943 142803 337949
-rect 142745 337909 142757 337943
-rect 142791 337940 142803 337943
-rect 152129 337943 152187 337949
-rect 152129 337940 152141 337943
-rect 142791 337912 152141 337940
-rect 142791 337909 142803 337912
-rect 142745 337903 142803 337909
-rect 152129 337909 152141 337912
-rect 152175 337909 152187 337943
-rect 152129 337903 152187 337909
-rect 152218 337900 152224 337952
-rect 152276 337940 152282 337952
-rect 152313 337943 152371 337949
-rect 152313 337940 152325 337943
-rect 152276 337912 152325 337940
-rect 152276 337900 152282 337912
-rect 152313 337909 152325 337912
-rect 152359 337909 152371 337943
-rect 152313 337903 152371 337909
-rect 152405 337943 152463 337949
-rect 152405 337909 152417 337943
-rect 152451 337940 152463 337943
-rect 161789 337943 161847 337949
-rect 161789 337940 161801 337943
-rect 152451 337912 161801 337940
-rect 152451 337909 152463 337912
-rect 152405 337903 152463 337909
-rect 161789 337909 161801 337912
-rect 161835 337909 161847 337943
-rect 161789 337903 161847 337909
-rect 161878 337900 161884 337952
-rect 161936 337940 161942 337952
-rect 161973 337943 162031 337949
-rect 161973 337940 161985 337943
-rect 161936 337912 161985 337940
-rect 161936 337900 161942 337912
-rect 161973 337909 161985 337912
-rect 162019 337909 162031 337943
-rect 161973 337903 162031 337909
-rect 162065 337943 162123 337949
-rect 162065 337909 162077 337943
-rect 162111 337940 162123 337943
-rect 171449 337943 171507 337949
-rect 171449 337940 171461 337943
-rect 162111 337912 171461 337940
-rect 162111 337909 162123 337912
-rect 162065 337903 162123 337909
-rect 171449 337909 171461 337912
-rect 171495 337909 171507 337943
-rect 171449 337903 171507 337909
-rect 171538 337900 171544 337952
-rect 171596 337940 171602 337952
-rect 171633 337943 171691 337949
-rect 171633 337940 171645 337943
-rect 171596 337912 171645 337940
-rect 171596 337900 171602 337912
-rect 171633 337909 171645 337912
-rect 171679 337909 171691 337943
-rect 171633 337903 171691 337909
-rect 171725 337943 171783 337949
-rect 171725 337909 171737 337943
-rect 171771 337940 171783 337943
-rect 181109 337943 181167 337949
-rect 181109 337940 181121 337943
-rect 171771 337912 181121 337940
-rect 171771 337909 171783 337912
-rect 171725 337903 171783 337909
-rect 181109 337909 181121 337912
-rect 181155 337909 181167 337943
-rect 181109 337903 181167 337909
-rect 181198 337900 181204 337952
-rect 181256 337940 181262 337952
-rect 181293 337943 181351 337949
-rect 181293 337940 181305 337943
-rect 181256 337912 181305 337940
-rect 181256 337900 181262 337912
-rect 181293 337909 181305 337912
-rect 181339 337909 181351 337943
-rect 181293 337903 181351 337909
-rect 181385 337943 181443 337949
-rect 181385 337909 181397 337943
-rect 181431 337940 181443 337943
-rect 190769 337943 190827 337949
-rect 190769 337940 190781 337943
-rect 181431 337912 190781 337940
-rect 181431 337909 181443 337912
-rect 181385 337903 181443 337909
-rect 190769 337909 190781 337912
-rect 190815 337909 190827 337943
-rect 190769 337903 190827 337909
-rect 190858 337900 190864 337952
-rect 190916 337940 190922 337952
-rect 190953 337943 191011 337949
-rect 190953 337940 190965 337943
-rect 190916 337912 190965 337940
-rect 190916 337900 190922 337912
-rect 190953 337909 190965 337912
-rect 190999 337909 191011 337943
-rect 190953 337903 191011 337909
-rect 191045 337943 191103 337949
-rect 191045 337909 191057 337943
-rect 191091 337940 191103 337943
-rect 200429 337943 200487 337949
-rect 200429 337940 200441 337943
-rect 191091 337912 200441 337940
-rect 191091 337909 191103 337912
-rect 191045 337903 191103 337909
-rect 200429 337909 200441 337912
-rect 200475 337909 200487 337943
-rect 200429 337903 200487 337909
-rect 200518 337900 200524 337952
-rect 200576 337940 200582 337952
-rect 200613 337943 200671 337949
-rect 200613 337940 200625 337943
-rect 200576 337912 200625 337940
-rect 200576 337900 200582 337912
-rect 200613 337909 200625 337912
-rect 200659 337909 200671 337943
-rect 200613 337903 200671 337909
-rect 200705 337943 200763 337949
-rect 200705 337909 200717 337943
-rect 200751 337940 200763 337943
-rect 210089 337943 210147 337949
-rect 210089 337940 210101 337943
-rect 200751 337912 210101 337940
-rect 200751 337909 200763 337912
-rect 200705 337903 200763 337909
-rect 210089 337909 210101 337912
-rect 210135 337909 210147 337943
-rect 210089 337903 210147 337909
-rect 210178 337900 210184 337952
-rect 210236 337940 210242 337952
-rect 210273 337943 210331 337949
-rect 210273 337940 210285 337943
-rect 210236 337912 210285 337940
-rect 210236 337900 210242 337912
-rect 210273 337909 210285 337912
-rect 210319 337909 210331 337943
-rect 210273 337903 210331 337909
-rect 210365 337943 210423 337949
-rect 210365 337909 210377 337943
-rect 210411 337940 210423 337943
-rect 219749 337943 219807 337949
-rect 219749 337940 219761 337943
-rect 210411 337912 219761 337940
-rect 210411 337909 210423 337912
-rect 210365 337903 210423 337909
-rect 219749 337909 219761 337912
-rect 219795 337909 219807 337943
-rect 219749 337903 219807 337909
-rect 219838 337900 219844 337952
-rect 219896 337940 219902 337952
-rect 219933 337943 219991 337949
-rect 219933 337940 219945 337943
-rect 219896 337912 219945 337940
-rect 219896 337900 219902 337912
-rect 219933 337909 219945 337912
-rect 219979 337909 219991 337943
-rect 219933 337903 219991 337909
-rect 220025 337943 220083 337949
-rect 220025 337909 220037 337943
-rect 220071 337940 220083 337943
-rect 237778 337940 237784 337952
-rect 220071 337912 237784 337940
-rect 220071 337909 220083 337912
-rect 220025 337903 220083 337909
-rect 237778 337900 237784 337912
-rect 237836 337900 237842 337952
-rect 270916 337949 270944 337980
-rect 280653 337977 280665 337980
-rect 280699 337977 280711 338011
-rect 280653 337971 280711 337977
-rect 292610 337968 292616 338020
-rect 292668 338008 292674 338020
-rect 293806 338008 293812 338020
-rect 292668 337980 293812 338008
-rect 292668 337968 292674 337980
-rect 293806 337968 293812 337980
-rect 293864 337968 293870 338020
-rect 297302 337968 297308 338020
-rect 297360 338008 297366 338020
-rect 304754 338008 304760 338020
-rect 297360 337980 304760 338008
-rect 297360 337968 297366 337980
-rect 304754 337968 304760 337980
-rect 304812 337968 304818 338020
-rect 305398 337968 305404 338020
-rect 305456 338008 305462 338020
-rect 364830 338008 364836 338020
-rect 305456 337980 364836 338008
-rect 305456 337968 305462 337980
-rect 364830 337968 364836 337980
-rect 364888 337968 364894 338020
-rect 270901 337943 270959 337949
-rect 270901 337909 270913 337943
-rect 270947 337909 270959 337943
-rect 270901 337903 270959 337909
-rect 298222 337900 298228 337952
-rect 298280 337940 298286 337952
-rect 298498 337940 298504 337952
-rect 298280 337912 298504 337940
-rect 298280 337900 298286 337912
-rect 298498 337900 298504 337912
-rect 298556 337900 298562 337952
-rect 300246 337900 300252 337952
-rect 300304 337940 300310 337952
-rect 303466 337940 303472 337952
-rect 300304 337912 303472 337940
-rect 300304 337900 300310 337912
-rect 303466 337900 303472 337912
-rect 303524 337900 303530 337952
-rect 304938 337900 304944 337952
-rect 304996 337940 305002 337952
-rect 305122 337940 305128 337952
-rect 304996 337912 305128 337940
-rect 304996 337900 305002 337912
-rect 305122 337900 305128 337912
-rect 305180 337900 305186 337952
-rect 309078 337900 309084 337952
-rect 309136 337940 309142 337952
-rect 309538 337940 309544 337952
-rect 309136 337912 309544 337940
-rect 309136 337900 309142 337912
-rect 309538 337900 309544 337912
-rect 309596 337900 309602 337952
-rect 317177 337943 317235 337949
-rect 317177 337909 317189 337943
-rect 317223 337940 317235 337943
-rect 371917 337943 371975 337949
-rect 371917 337940 371929 337943
-rect 317223 337912 371929 337940
-rect 317223 337909 317235 337912
-rect 317177 337903 317235 337909
-rect 371917 337909 371929 337912
-rect 371963 337909 371975 337943
-rect 371917 337903 371975 337909
-rect 1600 337776 583316 337872
-rect 22498 337696 22504 337748
-rect 22556 337736 22562 337748
-rect 26733 337739 26791 337745
-rect 26733 337736 26745 337739
-rect 22556 337708 26745 337736
-rect 22556 337696 22562 337708
-rect 26733 337705 26745 337708
-rect 26779 337705 26791 337739
-rect 26733 337699 26791 337705
-rect 37678 337696 37684 337748
-rect 37736 337736 37742 337748
-rect 129497 337739 129555 337745
-rect 129497 337736 129509 337739
-rect 37736 337708 129509 337736
-rect 37736 337696 37742 337708
-rect 129497 337705 129509 337708
-rect 129543 337705 129555 337739
-rect 142561 337739 142619 337745
-rect 129497 337699 129555 337705
-rect 130248 337708 142512 337736
-rect 30778 337628 30784 337680
-rect 30836 337668 30842 337680
-rect 130248 337668 130276 337708
-rect 138326 337668 138332 337680
-rect 30836 337640 130276 337668
-rect 130340 337640 138332 337668
-rect 30836 337628 30842 337640
-rect 36301 337603 36359 337609
-rect 36301 337569 36313 337603
-rect 36347 337600 36359 337603
-rect 36393 337603 36451 337609
-rect 36393 337600 36405 337603
-rect 36347 337572 36405 337600
-rect 36347 337569 36359 337572
-rect 36301 337563 36359 337569
-rect 36393 337569 36405 337572
-rect 36439 337569 36451 337603
-rect 36393 337563 36451 337569
-rect 45958 337560 45964 337612
-rect 46016 337600 46022 337612
-rect 55713 337603 55771 337609
-rect 55713 337600 55725 337603
-rect 46016 337572 55725 337600
-rect 46016 337560 46022 337572
-rect 55713 337569 55725 337572
-rect 55759 337569 55771 337603
-rect 55713 337563 55771 337569
-rect 65278 337560 65284 337612
-rect 65336 337600 65342 337612
-rect 75033 337603 75091 337609
-rect 75033 337600 75045 337603
-rect 65336 337572 75045 337600
-rect 65336 337560 65342 337572
-rect 75033 337569 75045 337572
-rect 75079 337569 75091 337603
-rect 75033 337563 75091 337569
-rect 84598 337560 84604 337612
-rect 84656 337600 84662 337612
-rect 94353 337603 94411 337609
-rect 94353 337600 94365 337603
-rect 84656 337572 94365 337600
-rect 84656 337560 84662 337572
-rect 94353 337569 94365 337572
-rect 94399 337569 94411 337603
-rect 94353 337563 94411 337569
-rect 103829 337603 103887 337609
-rect 103829 337569 103841 337603
-rect 103875 337600 103887 337603
-rect 113673 337603 113731 337609
-rect 113673 337600 113685 337603
-rect 103875 337572 113685 337600
-rect 103875 337569 103887 337572
-rect 103829 337563 103887 337569
-rect 113673 337569 113685 337572
-rect 113719 337569 113731 337603
-rect 113673 337563 113731 337569
-rect 113765 337603 113823 337609
-rect 113765 337569 113777 337603
-rect 113811 337600 113823 337603
-rect 123977 337603 124035 337609
-rect 123977 337600 123989 337603
-rect 113811 337572 123989 337600
-rect 113811 337569 113823 337572
-rect 113765 337563 113823 337569
-rect 123977 337569 123989 337572
-rect 124023 337569 124035 337603
-rect 123977 337563 124035 337569
-rect 125998 337560 126004 337612
-rect 126056 337600 126062 337612
-rect 126056 337572 128712 337600
-rect 126056 337560 126062 337572
-rect 12838 337492 12844 337544
-rect 12896 337532 12902 337544
-rect 116249 337535 116307 337541
-rect 116249 337532 116261 337535
-rect 12896 337504 116261 337532
-rect 12896 337492 12902 337504
-rect 116249 337501 116261 337504
-rect 116295 337501 116307 337535
-rect 116249 337495 116307 337501
-rect 116338 337492 116344 337544
-rect 116396 337532 116402 337544
-rect 128574 337532 128580 337544
-rect 116396 337504 128580 337532
-rect 116396 337492 116402 337504
-rect 128574 337492 128580 337504
-rect 128632 337492 128638 337544
-rect 128684 337532 128712 337572
-rect 128758 337560 128764 337612
-rect 128816 337600 128822 337612
-rect 128945 337603 129003 337609
-rect 128945 337600 128957 337603
-rect 128816 337572 128957 337600
-rect 128816 337560 128822 337572
-rect 128945 337569 128957 337572
-rect 128991 337569 129003 337603
-rect 128945 337563 129003 337569
-rect 129034 337560 129040 337612
-rect 129092 337600 129098 337612
-rect 130340 337600 130368 337640
-rect 138326 337628 138332 337640
-rect 138384 337628 138390 337680
-rect 138418 337628 138424 337680
-rect 138476 337668 138482 337680
-rect 142377 337671 142435 337677
-rect 142377 337668 142389 337671
-rect 138476 337640 142389 337668
-rect 138476 337628 138482 337640
-rect 142377 337637 142389 337640
-rect 142423 337637 142435 337671
-rect 142484 337668 142512 337708
-rect 142561 337705 142573 337739
-rect 142607 337736 142619 337739
-rect 142653 337739 142711 337745
-rect 142653 337736 142665 337739
-rect 142607 337708 142665 337736
-rect 142607 337705 142619 337708
-rect 142561 337699 142619 337705
-rect 142653 337705 142665 337708
-rect 142699 337705 142711 337739
-rect 152221 337739 152279 337745
-rect 142653 337699 142711 337705
-rect 142760 337708 152172 337736
-rect 142760 337668 142788 337708
-rect 142484 337640 142788 337668
-rect 142837 337671 142895 337677
-rect 142377 337631 142435 337637
-rect 142837 337637 142849 337671
-rect 142883 337668 142895 337671
-rect 152037 337671 152095 337677
-rect 152037 337668 152049 337671
-rect 142883 337640 152049 337668
-rect 142883 337637 142895 337640
-rect 142837 337631 142895 337637
-rect 152037 337637 152049 337640
-rect 152083 337637 152095 337671
-rect 152144 337668 152172 337708
-rect 152221 337705 152233 337739
-rect 152267 337736 152279 337739
-rect 152313 337739 152371 337745
-rect 152313 337736 152325 337739
-rect 152267 337708 152325 337736
-rect 152267 337705 152279 337708
-rect 152221 337699 152279 337705
-rect 152313 337705 152325 337708
-rect 152359 337705 152371 337739
-rect 161881 337739 161939 337745
-rect 152313 337699 152371 337705
-rect 152420 337708 161832 337736
-rect 152420 337668 152448 337708
-rect 152144 337640 152448 337668
-rect 152497 337671 152555 337677
-rect 152037 337631 152095 337637
-rect 152497 337637 152509 337671
-rect 152543 337668 152555 337671
-rect 161697 337671 161755 337677
-rect 161697 337668 161709 337671
-rect 152543 337640 161709 337668
-rect 152543 337637 152555 337640
-rect 152497 337631 152555 337637
-rect 161697 337637 161709 337640
-rect 161743 337637 161755 337671
-rect 161804 337668 161832 337708
-rect 161881 337705 161893 337739
-rect 161927 337736 161939 337739
-rect 161973 337739 162031 337745
-rect 161973 337736 161985 337739
-rect 161927 337708 161985 337736
-rect 161927 337705 161939 337708
-rect 161881 337699 161939 337705
-rect 161973 337705 161985 337708
-rect 162019 337705 162031 337739
-rect 171541 337739 171599 337745
-rect 161973 337699 162031 337705
-rect 162080 337708 171492 337736
-rect 162080 337668 162108 337708
-rect 161804 337640 162108 337668
-rect 162157 337671 162215 337677
-rect 161697 337631 161755 337637
-rect 162157 337637 162169 337671
-rect 162203 337668 162215 337671
-rect 171357 337671 171415 337677
-rect 171357 337668 171369 337671
-rect 162203 337640 171369 337668
-rect 162203 337637 162215 337640
-rect 162157 337631 162215 337637
-rect 171357 337637 171369 337640
-rect 171403 337637 171415 337671
-rect 171464 337668 171492 337708
-rect 171541 337705 171553 337739
-rect 171587 337736 171599 337739
-rect 171633 337739 171691 337745
-rect 171633 337736 171645 337739
-rect 171587 337708 171645 337736
-rect 171587 337705 171599 337708
-rect 171541 337699 171599 337705
-rect 171633 337705 171645 337708
-rect 171679 337705 171691 337739
-rect 181201 337739 181259 337745
-rect 171633 337699 171691 337705
-rect 171740 337708 181152 337736
-rect 171740 337668 171768 337708
-rect 171464 337640 171768 337668
-rect 171817 337671 171875 337677
-rect 171357 337631 171415 337637
-rect 171817 337637 171829 337671
-rect 171863 337668 171875 337671
-rect 181017 337671 181075 337677
-rect 181017 337668 181029 337671
-rect 171863 337640 181029 337668
-rect 171863 337637 171875 337640
-rect 171817 337631 171875 337637
-rect 181017 337637 181029 337640
-rect 181063 337637 181075 337671
-rect 181124 337668 181152 337708
-rect 181201 337705 181213 337739
-rect 181247 337736 181259 337739
-rect 181293 337739 181351 337745
-rect 181293 337736 181305 337739
-rect 181247 337708 181305 337736
-rect 181247 337705 181259 337708
-rect 181201 337699 181259 337705
-rect 181293 337705 181305 337708
-rect 181339 337705 181351 337739
-rect 190861 337739 190919 337745
-rect 181293 337699 181351 337705
-rect 181400 337708 190812 337736
-rect 181400 337668 181428 337708
-rect 181124 337640 181428 337668
-rect 181477 337671 181535 337677
-rect 181017 337631 181075 337637
-rect 181477 337637 181489 337671
-rect 181523 337668 181535 337671
-rect 190677 337671 190735 337677
-rect 190677 337668 190689 337671
-rect 181523 337640 190689 337668
-rect 181523 337637 181535 337640
-rect 181477 337631 181535 337637
-rect 190677 337637 190689 337640
-rect 190723 337637 190735 337671
-rect 190784 337668 190812 337708
-rect 190861 337705 190873 337739
-rect 190907 337736 190919 337739
-rect 190953 337739 191011 337745
-rect 190953 337736 190965 337739
-rect 190907 337708 190965 337736
-rect 190907 337705 190919 337708
-rect 190861 337699 190919 337705
-rect 190953 337705 190965 337708
-rect 190999 337705 191011 337739
-rect 200521 337739 200579 337745
-rect 190953 337699 191011 337705
-rect 191060 337708 200472 337736
-rect 191060 337668 191088 337708
-rect 190784 337640 191088 337668
-rect 191137 337671 191195 337677
-rect 190677 337631 190735 337637
-rect 191137 337637 191149 337671
-rect 191183 337668 191195 337671
-rect 200337 337671 200395 337677
-rect 200337 337668 200349 337671
-rect 191183 337640 200349 337668
-rect 191183 337637 191195 337640
-rect 191137 337631 191195 337637
-rect 200337 337637 200349 337640
-rect 200383 337637 200395 337671
-rect 200444 337668 200472 337708
-rect 200521 337705 200533 337739
-rect 200567 337736 200579 337739
-rect 200613 337739 200671 337745
-rect 200613 337736 200625 337739
-rect 200567 337708 200625 337736
-rect 200567 337705 200579 337708
-rect 200521 337699 200579 337705
-rect 200613 337705 200625 337708
-rect 200659 337705 200671 337739
-rect 210181 337739 210239 337745
-rect 200613 337699 200671 337705
-rect 200720 337708 210132 337736
-rect 200720 337668 200748 337708
-rect 200444 337640 200748 337668
-rect 200797 337671 200855 337677
-rect 200337 337631 200395 337637
-rect 200797 337637 200809 337671
-rect 200843 337668 200855 337671
-rect 209997 337671 210055 337677
-rect 209997 337668 210009 337671
-rect 200843 337640 210009 337668
-rect 200843 337637 200855 337640
-rect 200797 337631 200855 337637
-rect 209997 337637 210009 337640
-rect 210043 337637 210055 337671
-rect 210104 337668 210132 337708
-rect 210181 337705 210193 337739
-rect 210227 337736 210239 337739
-rect 210273 337739 210331 337745
-rect 210273 337736 210285 337739
-rect 210227 337708 210285 337736
-rect 210227 337705 210239 337708
-rect 210181 337699 210239 337705
-rect 210273 337705 210285 337708
-rect 210319 337705 210331 337739
-rect 219841 337739 219899 337745
-rect 210273 337699 210331 337705
-rect 210380 337708 219792 337736
-rect 210380 337668 210408 337708
-rect 210104 337640 210408 337668
-rect 210457 337671 210515 337677
-rect 209997 337631 210055 337637
-rect 210457 337637 210469 337671
-rect 210503 337668 210515 337671
-rect 219657 337671 219715 337677
-rect 219657 337668 219669 337671
-rect 210503 337640 219669 337668
-rect 210503 337637 210515 337640
-rect 210457 337631 210515 337637
-rect 219657 337637 219669 337640
-rect 219703 337637 219715 337671
-rect 219764 337668 219792 337708
-rect 219841 337705 219853 337739
-rect 219887 337736 219899 337739
-rect 219933 337739 219991 337745
-rect 219933 337736 219945 337739
-rect 219887 337708 219945 337736
-rect 219887 337705 219899 337708
-rect 219841 337699 219899 337705
-rect 219933 337705 219945 337708
-rect 219979 337705 219991 337739
-rect 230237 337739 230295 337745
-rect 230237 337736 230249 337739
-rect 219933 337699 219991 337705
-rect 220040 337708 230249 337736
-rect 220040 337668 220068 337708
-rect 230237 337705 230249 337708
-rect 230283 337705 230295 337739
-rect 230237 337699 230295 337705
-rect 230329 337739 230387 337745
-rect 230329 337705 230341 337739
-rect 230375 337736 230387 337739
-rect 232626 337736 232632 337748
-rect 230375 337708 232632 337736
-rect 230375 337705 230387 337708
-rect 230329 337699 230387 337705
-rect 232626 337696 232632 337708
-rect 232684 337696 232690 337748
-rect 232810 337696 232816 337748
-rect 232868 337736 232874 337748
-rect 234650 337736 234656 337748
-rect 232868 337708 234656 337736
-rect 232868 337696 232874 337708
-rect 234650 337696 234656 337708
-rect 234708 337696 234714 337748
-rect 234745 337739 234803 337745
-rect 234745 337705 234757 337739
-rect 234791 337736 234803 337739
-rect 241458 337736 241464 337748
-rect 234791 337708 241464 337736
-rect 234791 337705 234803 337708
-rect 234745 337699 234803 337705
-rect 241458 337696 241464 337708
-rect 241516 337696 241522 337748
-rect 264734 337696 264740 337748
-rect 264792 337736 264798 337748
-rect 280650 337736 280656 337748
-rect 264792 337708 280656 337736
-rect 264792 337696 264798 337708
-rect 280650 337696 280656 337708
-rect 280708 337696 280714 337748
-rect 280745 337739 280803 337745
-rect 280745 337705 280757 337739
-rect 280791 337736 280803 337739
-rect 284054 337736 284060 337748
-rect 280791 337708 284060 337736
-rect 280791 337705 280803 337708
-rect 280745 337699 280803 337705
-rect 284054 337696 284060 337708
-rect 284112 337696 284118 337748
-rect 293346 337696 293352 337748
-rect 293404 337736 293410 337748
-rect 294174 337736 294180 337748
-rect 293404 337708 294180 337736
-rect 293404 337696 293410 337708
-rect 294174 337696 294180 337708
-rect 294232 337696 294238 337748
-rect 294818 337696 294824 337748
-rect 294876 337736 294882 337748
-rect 295738 337736 295744 337748
-rect 294876 337708 295744 337736
-rect 294876 337696 294882 337708
-rect 295738 337696 295744 337708
-rect 295796 337696 295802 337748
-rect 296290 337696 296296 337748
-rect 296348 337736 296354 337748
-rect 296750 337736 296756 337748
-rect 296348 337708 296756 337736
-rect 296348 337696 296354 337708
-rect 296750 337696 296756 337708
-rect 296808 337696 296814 337748
-rect 296842 337696 296848 337748
-rect 296900 337736 296906 337748
-rect 297026 337736 297032 337748
-rect 296900 337708 297032 337736
-rect 296900 337696 296906 337708
-rect 297026 337696 297032 337708
-rect 297084 337696 297090 337748
-rect 297578 337696 297584 337748
-rect 297636 337736 297642 337748
-rect 298314 337736 298320 337748
-rect 297636 337708 298320 337736
-rect 297636 337696 297642 337708
-rect 298314 337696 298320 337708
-rect 298372 337696 298378 337748
-rect 298774 337696 298780 337748
-rect 298832 337736 298838 337748
-rect 299510 337736 299516 337748
-rect 298832 337708 299516 337736
-rect 298832 337696 298838 337708
-rect 299510 337696 299516 337708
-rect 299568 337696 299574 337748
-rect 300706 337696 300712 337748
-rect 300764 337736 300770 337748
-rect 301166 337736 301172 337748
-rect 300764 337708 301172 337736
-rect 300764 337696 300770 337708
-rect 301166 337696 301172 337708
-rect 301224 337696 301230 337748
-rect 302178 337696 302184 337748
-rect 302236 337736 302242 337748
-rect 302454 337736 302460 337748
-rect 302236 337708 302460 337736
-rect 302236 337696 302242 337708
-rect 302454 337696 302460 337708
-rect 302512 337696 302518 337748
-rect 302914 337696 302920 337748
-rect 302972 337736 302978 337748
-rect 303926 337736 303932 337748
-rect 302972 337708 303932 337736
-rect 302972 337696 302978 337708
-rect 303926 337696 303932 337708
-rect 303984 337696 303990 337748
-rect 304662 337696 304668 337748
-rect 304720 337736 304726 337748
-rect 305214 337736 305220 337748
-rect 304720 337708 305220 337736
-rect 304720 337696 304726 337708
-rect 305214 337696 305220 337708
-rect 305272 337696 305278 337748
-rect 306410 337696 306416 337748
-rect 306468 337736 306474 337748
-rect 306594 337736 306600 337748
-rect 306468 337708 306600 337736
-rect 306468 337696 306474 337708
-rect 306594 337696 306600 337708
-rect 306652 337696 306658 337748
-rect 307330 337696 307336 337748
-rect 307388 337736 307394 337748
-rect 307974 337736 307980 337748
-rect 307388 337708 307980 337736
-rect 307388 337696 307394 337708
-rect 307974 337696 307980 337708
-rect 308032 337696 308038 337748
-rect 309998 337696 310004 337748
-rect 310056 337736 310062 337748
-rect 310826 337736 310832 337748
-rect 310056 337708 310832 337736
-rect 310056 337696 310062 337708
-rect 310826 337696 310832 337708
-rect 310884 337696 310890 337748
-rect 312022 337696 312028 337748
-rect 312080 337736 312086 337748
-rect 312298 337736 312304 337748
-rect 312080 337708 312304 337736
-rect 312080 337696 312086 337708
-rect 312298 337696 312304 337708
-rect 312356 337696 312362 337748
-rect 315061 337739 315119 337745
-rect 315061 337705 315073 337739
-rect 315107 337736 315119 337739
-rect 378630 337736 378636 337748
-rect 315107 337708 378636 337736
-rect 315107 337705 315119 337708
-rect 315061 337699 315119 337705
-rect 378630 337696 378636 337708
-rect 378688 337696 378694 337748
-rect 219764 337640 220068 337668
-rect 220117 337671 220175 337677
-rect 219657 337631 219715 337637
-rect 220117 337637 220129 337671
-rect 220163 337668 220175 337671
-rect 230050 337668 230056 337680
-rect 220163 337640 230056 337668
-rect 220163 337637 220175 337640
-rect 220117 337631 220175 337637
-rect 230050 337628 230056 337640
-rect 230108 337628 230114 337680
-rect 266761 337671 266819 337677
-rect 266761 337637 266773 337671
-rect 266807 337668 266819 337671
-rect 278537 337671 278595 337677
-rect 278537 337668 278549 337671
-rect 266807 337640 278549 337668
-rect 266807 337637 266819 337640
-rect 266761 337631 266819 337637
-rect 278537 337637 278549 337640
-rect 278583 337637 278595 337671
-rect 278537 337631 278595 337637
-rect 292886 337628 292892 337680
-rect 292944 337668 292950 337680
-rect 293714 337668 293720 337680
-rect 292944 337640 293720 337668
-rect 292944 337628 292950 337640
-rect 293714 337628 293720 337640
-rect 293772 337628 293778 337680
-rect 293898 337628 293904 337680
-rect 293956 337668 293962 337680
-rect 295186 337668 295192 337680
-rect 293956 337640 295192 337668
-rect 293956 337628 293962 337640
-rect 295186 337628 295192 337640
-rect 295244 337628 295250 337680
-rect 295370 337628 295376 337680
-rect 295428 337668 295434 337680
-rect 295554 337668 295560 337680
-rect 295428 337640 295560 337668
-rect 295428 337628 295434 337640
-rect 295554 337628 295560 337640
-rect 295612 337628 295618 337680
-rect 296566 337628 296572 337680
-rect 296624 337668 296630 337680
-rect 296624 337640 299004 337668
-rect 296624 337628 296630 337640
-rect 129092 337572 130368 337600
-rect 133177 337603 133235 337609
-rect 129092 337560 129098 337572
-rect 133177 337569 133189 337603
-rect 133223 337600 133235 337603
-rect 142466 337600 142472 337612
-rect 133223 337572 142472 337600
-rect 133223 337569 133235 337572
-rect 133177 337563 133235 337569
-rect 142466 337560 142472 337572
-rect 142524 337560 142530 337612
-rect 142561 337603 142619 337609
-rect 142561 337569 142573 337603
-rect 142607 337600 142619 337603
-rect 142650 337600 142656 337612
-rect 142607 337572 142656 337600
-rect 142607 337569 142619 337572
-rect 142561 337563 142619 337569
-rect 142650 337560 142656 337572
-rect 142708 337560 142714 337612
-rect 142742 337560 142748 337612
-rect 142800 337600 142806 337612
-rect 152126 337600 152132 337612
-rect 142800 337572 152132 337600
-rect 142800 337560 142806 337572
-rect 152126 337560 152132 337572
-rect 152184 337560 152190 337612
-rect 152218 337560 152224 337612
-rect 152276 337600 152282 337612
-rect 152313 337603 152371 337609
-rect 152313 337600 152325 337603
-rect 152276 337572 152325 337600
-rect 152276 337560 152282 337572
-rect 152313 337569 152325 337572
-rect 152359 337569 152371 337603
-rect 152313 337563 152371 337569
-rect 152402 337560 152408 337612
-rect 152460 337600 152466 337612
-rect 161786 337600 161792 337612
-rect 152460 337572 161792 337600
-rect 152460 337560 152466 337572
-rect 161786 337560 161792 337572
-rect 161844 337560 161850 337612
-rect 161881 337603 161939 337609
-rect 161881 337569 161893 337603
-rect 161927 337600 161939 337603
-rect 161970 337600 161976 337612
-rect 161927 337572 161976 337600
-rect 161927 337569 161939 337572
-rect 161881 337563 161939 337569
-rect 161970 337560 161976 337572
-rect 162028 337560 162034 337612
-rect 162062 337560 162068 337612
-rect 162120 337600 162126 337612
-rect 171446 337600 171452 337612
-rect 162120 337572 171452 337600
-rect 162120 337560 162126 337572
-rect 171446 337560 171452 337572
-rect 171504 337560 171510 337612
-rect 171538 337560 171544 337612
-rect 171596 337600 171602 337612
-rect 171633 337603 171691 337609
-rect 171633 337600 171645 337603
-rect 171596 337572 171645 337600
-rect 171596 337560 171602 337572
-rect 171633 337569 171645 337572
-rect 171679 337569 171691 337603
-rect 171633 337563 171691 337569
-rect 171722 337560 171728 337612
-rect 171780 337600 171786 337612
-rect 181106 337600 181112 337612
-rect 171780 337572 181112 337600
-rect 171780 337560 171786 337572
-rect 181106 337560 181112 337572
-rect 181164 337560 181170 337612
-rect 181201 337603 181259 337609
-rect 181201 337569 181213 337603
-rect 181247 337600 181259 337603
-rect 181290 337600 181296 337612
-rect 181247 337572 181296 337600
-rect 181247 337569 181259 337572
-rect 181201 337563 181259 337569
-rect 181290 337560 181296 337572
-rect 181348 337560 181354 337612
-rect 181382 337560 181388 337612
-rect 181440 337600 181446 337612
-rect 190766 337600 190772 337612
-rect 181440 337572 190772 337600
-rect 181440 337560 181446 337572
-rect 190766 337560 190772 337572
-rect 190824 337560 190830 337612
-rect 190858 337560 190864 337612
-rect 190916 337600 190922 337612
-rect 190953 337603 191011 337609
-rect 190953 337600 190965 337603
-rect 190916 337572 190965 337600
-rect 190916 337560 190922 337572
-rect 190953 337569 190965 337572
-rect 190999 337569 191011 337603
-rect 190953 337563 191011 337569
-rect 191042 337560 191048 337612
-rect 191100 337600 191106 337612
-rect 200426 337600 200432 337612
-rect 191100 337572 200432 337600
-rect 191100 337560 191106 337572
-rect 200426 337560 200432 337572
-rect 200484 337560 200490 337612
-rect 200521 337603 200579 337609
-rect 200521 337569 200533 337603
-rect 200567 337600 200579 337603
-rect 200610 337600 200616 337612
-rect 200567 337572 200616 337600
-rect 200567 337569 200579 337572
-rect 200521 337563 200579 337569
-rect 200610 337560 200616 337572
-rect 200668 337560 200674 337612
-rect 200702 337560 200708 337612
-rect 200760 337600 200766 337612
-rect 210086 337600 210092 337612
-rect 200760 337572 210092 337600
-rect 200760 337560 200766 337572
-rect 210086 337560 210092 337572
-rect 210144 337560 210150 337612
-rect 210178 337560 210184 337612
-rect 210236 337600 210242 337612
-rect 210273 337603 210331 337609
-rect 210273 337600 210285 337603
-rect 210236 337572 210285 337600
-rect 210236 337560 210242 337572
-rect 210273 337569 210285 337572
-rect 210319 337569 210331 337603
-rect 210273 337563 210331 337569
-rect 210362 337560 210368 337612
-rect 210420 337600 210426 337612
-rect 219746 337600 219752 337612
-rect 210420 337572 219752 337600
-rect 210420 337560 210426 337572
-rect 219746 337560 219752 337572
-rect 219804 337560 219810 337612
-rect 219841 337603 219899 337609
-rect 219841 337569 219853 337603
-rect 219887 337600 219899 337603
-rect 219930 337600 219936 337612
-rect 219887 337572 219936 337600
-rect 219887 337569 219899 337572
-rect 219841 337563 219899 337569
-rect 219930 337560 219936 337572
-rect 219988 337560 219994 337612
-rect 220022 337560 220028 337612
-rect 220080 337600 220086 337612
-rect 225453 337603 225511 337609
-rect 225453 337600 225465 337603
-rect 220080 337572 225465 337600
-rect 220080 337560 220086 337572
-rect 225453 337569 225465 337572
-rect 225499 337569 225511 337603
-rect 225453 337563 225511 337569
-rect 225545 337603 225603 337609
-rect 225545 337569 225557 337603
-rect 225591 337600 225603 337603
-rect 239250 337600 239256 337612
-rect 225591 337572 239256 337600
-rect 225591 337569 225603 337572
-rect 225545 337563 225603 337569
-rect 239250 337560 239256 337572
-rect 239308 337560 239314 337612
-rect 251578 337560 251584 337612
-rect 251636 337600 251642 337612
-rect 281846 337600 281852 337612
-rect 251636 337572 281852 337600
-rect 251636 337560 251642 337572
-rect 281846 337560 281852 337572
-rect 281904 337560 281910 337612
-rect 293622 337560 293628 337612
-rect 293680 337600 293686 337612
-rect 294358 337600 294364 337612
-rect 293680 337572 294364 337600
-rect 293680 337560 293686 337572
-rect 294358 337560 294364 337572
-rect 294416 337560 294422 337612
-rect 296106 337560 296112 337612
-rect 296164 337600 296170 337612
-rect 297026 337600 297032 337612
-rect 296164 337572 297032 337600
-rect 296164 337560 296170 337572
-rect 297026 337560 297032 337572
-rect 297084 337560 297090 337612
-rect 297762 337560 297768 337612
-rect 297820 337600 297826 337612
-rect 298406 337600 298412 337612
-rect 297820 337572 298412 337600
-rect 297820 337560 297826 337572
-rect 298406 337560 298412 337572
-rect 298464 337560 298470 337612
-rect 128850 337532 128856 337544
-rect 128684 337504 128856 337532
-rect 128850 337492 128856 337504
-rect 128908 337492 128914 337544
-rect 129126 337492 129132 337544
-rect 129184 337532 129190 337544
-rect 253970 337532 253976 337544
-rect 129184 337504 253976 337532
-rect 129184 337492 129190 337504
-rect 253970 337492 253976 337504
-rect 254028 337492 254034 337544
-rect 254338 337492 254344 337544
-rect 254396 337532 254402 337544
-rect 282582 337532 282588 337544
-rect 254396 337504 282588 337532
-rect 254396 337492 254402 337504
-rect 282582 337492 282588 337504
-rect 282640 337492 282646 337544
-rect 295830 337492 295836 337544
-rect 295888 337532 295894 337544
-rect 297118 337532 297124 337544
-rect 295888 337504 297124 337532
-rect 295888 337492 295894 337504
-rect 297118 337492 297124 337504
-rect 297176 337492 297182 337544
-rect 298976 337532 299004 337640
-rect 299234 337628 299240 337680
-rect 299292 337668 299298 337680
-rect 299878 337668 299884 337680
-rect 299292 337640 299884 337668
-rect 299292 337628 299298 337640
-rect 299878 337628 299884 337640
-rect 299936 337628 299942 337680
-rect 299970 337628 299976 337680
-rect 300028 337668 300034 337680
-rect 301258 337668 301264 337680
-rect 300028 337640 301264 337668
-rect 300028 337628 300034 337640
-rect 301258 337628 301264 337640
-rect 301316 337628 301322 337680
-rect 301994 337628 302000 337680
-rect 302052 337668 302058 337680
-rect 302546 337668 302552 337680
-rect 302052 337640 302552 337668
-rect 302052 337628 302058 337640
-rect 302546 337628 302552 337640
-rect 302604 337628 302610 337680
-rect 304386 337628 304392 337680
-rect 304444 337668 304450 337680
-rect 305306 337668 305312 337680
-rect 304444 337640 305312 337668
-rect 304444 337628 304450 337640
-rect 305306 337628 305312 337640
-rect 305364 337628 305370 337680
-rect 305858 337628 305864 337680
-rect 305916 337668 305922 337680
-rect 306686 337668 306692 337680
-rect 305916 337640 306692 337668
-rect 305916 337628 305922 337640
-rect 306686 337628 306692 337640
-rect 306744 337628 306750 337680
-rect 310274 337628 310280 337680
-rect 310332 337668 310338 337680
-rect 310642 337668 310648 337680
-rect 310332 337640 310648 337668
-rect 310332 337628 310338 337640
-rect 310642 337628 310648 337640
-rect 310700 337628 310706 337680
-rect 311470 337628 311476 337680
-rect 311528 337668 311534 337680
-rect 312114 337668 312120 337680
-rect 311528 337640 312120 337668
-rect 311528 337628 311534 337640
-rect 312114 337628 312120 337640
-rect 312172 337628 312178 337680
-rect 317085 337671 317143 337677
-rect 317085 337637 317097 337671
-rect 317131 337668 317143 337671
-rect 385530 337668 385536 337680
-rect 317131 337640 385536 337668
-rect 317131 337637 317143 337640
-rect 317085 337631 317143 337637
-rect 385530 337628 385536 337640
-rect 385588 337628 385594 337680
-rect 299050 337560 299056 337612
-rect 299108 337600 299114 337612
-rect 299786 337600 299792 337612
-rect 299108 337572 299792 337600
-rect 299108 337560 299114 337572
-rect 299786 337560 299792 337572
-rect 299844 337560 299850 337612
-rect 301442 337560 301448 337612
-rect 301500 337600 301506 337612
-rect 302638 337600 302644 337612
-rect 301500 337572 302644 337600
-rect 301500 337560 301506 337572
-rect 302638 337560 302644 337572
-rect 302696 337560 302702 337612
-rect 306134 337560 306140 337612
-rect 306192 337600 306198 337612
-rect 306594 337600 306600 337612
-rect 306192 337572 306600 337600
-rect 306192 337560 306198 337572
-rect 306594 337560 306600 337572
-rect 306652 337560 306658 337612
-rect 311010 337560 311016 337612
-rect 311068 337600 311074 337612
-rect 312022 337600 312028 337612
-rect 311068 337572 312028 337600
-rect 311068 337560 311074 337572
-rect 312022 337560 312028 337572
-rect 312080 337560 312086 337612
-rect 321961 337603 322019 337609
-rect 321961 337569 321973 337603
-rect 322007 337600 322019 337603
-rect 392430 337600 392436 337612
-rect 322007 337572 392436 337600
-rect 322007 337569 322019 337572
-rect 321961 337563 322019 337569
-rect 392430 337560 392436 337572
-rect 392488 337560 392494 337612
-rect 303374 337532 303380 337544
-rect 298976 337504 303380 337532
-rect 303374 337492 303380 337504
-rect 303432 337492 303438 337544
-rect 306870 337492 306876 337544
-rect 306928 337532 306934 337544
-rect 306928 337504 310504 337532
-rect 306928 337492 306934 337504
-rect 21118 337424 21124 337476
-rect 21176 337464 21182 337476
-rect 133177 337467 133235 337473
-rect 133177 337464 133189 337467
-rect 21176 337436 133189 337464
-rect 21176 337424 21182 337436
-rect 133177 337433 133189 337436
-rect 133223 337433 133235 337467
-rect 133177 337427 133235 337433
-rect 142374 337424 142380 337476
-rect 142432 337464 142438 337476
-rect 152494 337464 152500 337476
-rect 142432 337436 152500 337464
-rect 142432 337424 142438 337436
-rect 152494 337424 152500 337436
-rect 152552 337424 152558 337476
-rect 161694 337424 161700 337476
-rect 161752 337464 161758 337476
-rect 171814 337464 171820 337476
-rect 161752 337436 171820 337464
-rect 161752 337424 161758 337436
-rect 171814 337424 171820 337436
-rect 171872 337424 171878 337476
-rect 181014 337424 181020 337476
-rect 181072 337464 181078 337476
-rect 191134 337464 191140 337476
-rect 181072 337436 191140 337464
-rect 181072 337424 181078 337436
-rect 191134 337424 191140 337436
-rect 191192 337424 191198 337476
-rect 200334 337424 200340 337476
-rect 200392 337464 200398 337476
-rect 210454 337464 210460 337476
-rect 200392 337436 210460 337464
-rect 200392 337424 200398 337436
-rect 210454 337424 210460 337436
-rect 210512 337424 210518 337476
-rect 219654 337424 219660 337476
-rect 219712 337464 219718 337476
-rect 219712 337436 226876 337464
-rect 219712 337424 219718 337436
-rect 11458 337356 11464 337408
-rect 11516 337396 11522 337408
-rect 17073 337399 17131 337405
-rect 17073 337396 17085 337399
-rect 11516 337368 17085 337396
-rect 11516 337356 11522 337368
-rect 17073 337365 17085 337368
-rect 17119 337365 17131 337399
-rect 17073 337359 17131 337365
-rect 26638 337356 26644 337408
-rect 26696 337396 26702 337408
-rect 36390 337396 36396 337408
-rect 26696 337368 36396 337396
-rect 26696 337356 26702 337368
-rect 36390 337356 36396 337368
-rect 36448 337356 36454 337408
-rect 45958 337356 45964 337408
-rect 46016 337396 46022 337408
-rect 55710 337396 55716 337408
-rect 46016 337368 55716 337396
-rect 46016 337356 46022 337368
-rect 55710 337356 55716 337368
-rect 55768 337356 55774 337408
-rect 65278 337356 65284 337408
-rect 65336 337396 65342 337408
-rect 75030 337396 75036 337408
-rect 65336 337368 75036 337396
-rect 65336 337356 65342 337368
-rect 75030 337356 75036 337368
-rect 75088 337356 75094 337408
-rect 84598 337356 84604 337408
-rect 84656 337396 84662 337408
-rect 94350 337396 94356 337408
-rect 84656 337368 94356 337396
-rect 84656 337356 84662 337368
-rect 94350 337356 94356 337368
-rect 94408 337356 94414 337408
-rect 103918 337356 103924 337408
-rect 103976 337396 103982 337408
-rect 113673 337399 113731 337405
-rect 113673 337396 113685 337399
-rect 103976 337368 113685 337396
-rect 103976 337356 103982 337368
-rect 113673 337365 113685 337368
-rect 113719 337365 113731 337399
-rect 113673 337359 113731 337365
-rect 113857 337399 113915 337405
-rect 113857 337365 113869 337399
-rect 113903 337396 113915 337399
-rect 119193 337399 119251 337405
-rect 119193 337396 119205 337399
-rect 113903 337368 119205 337396
-rect 113903 337365 113915 337368
-rect 113857 337359 113915 337365
-rect 119193 337365 119205 337368
-rect 119239 337365 119251 337399
-rect 119193 337359 119251 337365
-rect 119285 337399 119343 337405
-rect 119285 337365 119297 337399
-rect 119331 337396 119343 337399
-rect 123882 337396 123888 337408
-rect 119331 337368 123888 337396
-rect 119331 337365 119343 337368
-rect 119285 337359 119343 337365
-rect 123882 337356 123888 337368
-rect 123940 337356 123946 337408
-rect 123977 337399 124035 337405
-rect 123977 337365 123989 337399
-rect 124023 337396 124035 337399
-rect 138326 337396 138332 337408
-rect 124023 337368 138332 337396
-rect 124023 337365 124035 337368
-rect 123977 337359 124035 337365
-rect 138326 337356 138332 337368
-rect 138384 337356 138390 337408
-rect 138421 337399 138479 337405
-rect 138421 337365 138433 337399
-rect 138467 337396 138479 337399
-rect 142469 337399 142527 337405
-rect 142469 337396 142481 337399
-rect 138467 337368 142481 337396
-rect 138467 337365 138479 337368
-rect 138421 337359 138479 337365
-rect 142469 337365 142481 337368
-rect 142515 337365 142527 337399
-rect 142469 337359 142527 337365
-rect 142558 337356 142564 337408
-rect 142616 337396 142622 337408
-rect 152310 337396 152316 337408
-rect 142616 337368 152316 337396
-rect 142616 337356 142622 337368
-rect 152310 337356 152316 337368
-rect 152368 337356 152374 337408
-rect 152405 337399 152463 337405
-rect 152405 337365 152417 337399
-rect 152451 337396 152463 337399
-rect 161789 337399 161847 337405
-rect 161789 337396 161801 337399
-rect 152451 337368 161801 337396
-rect 152451 337365 152463 337368
-rect 152405 337359 152463 337365
-rect 161789 337365 161801 337368
-rect 161835 337365 161847 337399
-rect 161789 337359 161847 337365
-rect 161878 337356 161884 337408
-rect 161936 337396 161942 337408
-rect 171630 337396 171636 337408
-rect 161936 337368 171636 337396
-rect 161936 337356 161942 337368
-rect 171630 337356 171636 337368
-rect 171688 337356 171694 337408
-rect 171725 337399 171783 337405
-rect 171725 337365 171737 337399
-rect 171771 337396 171783 337399
-rect 181109 337399 181167 337405
-rect 181109 337396 181121 337399
-rect 171771 337368 181121 337396
-rect 171771 337365 171783 337368
-rect 171725 337359 171783 337365
-rect 181109 337365 181121 337368
-rect 181155 337365 181167 337399
-rect 181109 337359 181167 337365
-rect 181198 337356 181204 337408
-rect 181256 337396 181262 337408
-rect 190950 337396 190956 337408
-rect 181256 337368 190956 337396
-rect 181256 337356 181262 337368
-rect 190950 337356 190956 337368
-rect 191008 337356 191014 337408
-rect 191045 337399 191103 337405
-rect 191045 337365 191057 337399
-rect 191091 337396 191103 337399
-rect 200429 337399 200487 337405
-rect 200429 337396 200441 337399
-rect 191091 337368 200441 337396
-rect 191091 337365 191103 337368
-rect 191045 337359 191103 337365
-rect 200429 337365 200441 337368
-rect 200475 337365 200487 337399
-rect 200429 337359 200487 337365
-rect 200518 337356 200524 337408
-rect 200576 337396 200582 337408
-rect 210270 337396 210276 337408
-rect 200576 337368 210276 337396
-rect 200576 337356 200582 337368
-rect 210270 337356 210276 337368
-rect 210328 337356 210334 337408
-rect 210365 337399 210423 337405
-rect 210365 337365 210377 337399
-rect 210411 337396 210423 337399
-rect 219749 337399 219807 337405
-rect 219749 337396 219761 337399
-rect 210411 337368 219761 337396
-rect 210411 337365 210423 337368
-rect 210365 337359 210423 337365
-rect 219749 337365 219761 337368
-rect 219795 337365 219807 337399
-rect 219749 337359 219807 337365
-rect 219838 337356 219844 337408
-rect 219896 337396 219902 337408
-rect 226741 337399 226799 337405
-rect 226741 337396 226753 337399
-rect 219896 337368 226753 337396
-rect 219896 337356 219902 337368
-rect 226741 337365 226753 337368
-rect 226787 337365 226799 337399
-rect 226848 337396 226876 337436
-rect 226922 337424 226928 337476
-rect 226980 337464 226986 337476
-rect 229961 337467 230019 337473
-rect 229961 337464 229973 337467
-rect 226980 337436 229973 337464
-rect 226980 337424 226986 337436
-rect 229961 337433 229973 337436
-rect 230007 337433 230019 337467
-rect 229961 337427 230019 337433
-rect 230050 337424 230056 337476
-rect 230108 337464 230114 337476
-rect 256178 337464 256184 337476
-rect 230108 337436 256184 337464
-rect 230108 337424 230114 337436
-rect 256178 337424 256184 337436
-rect 256236 337424 256242 337476
-rect 257098 337424 257104 337476
-rect 257156 337464 257162 337476
-rect 283134 337464 283140 337476
-rect 257156 337436 283140 337464
-rect 257156 337424 257162 337436
-rect 283134 337424 283140 337436
-rect 283192 337424 283198 337476
-rect 294634 337424 294640 337476
-rect 294692 337464 294698 337476
-rect 310274 337464 310280 337476
-rect 294692 337436 310280 337464
-rect 294692 337424 294698 337436
-rect 310274 337424 310280 337436
-rect 310332 337424 310338 337476
-rect 310476 337464 310504 337504
-rect 310550 337492 310556 337544
-rect 310608 337532 310614 337544
-rect 310918 337532 310924 337544
-rect 310608 337504 310924 337532
-rect 310608 337492 310614 337504
-rect 310918 337492 310924 337504
-rect 310976 337492 310982 337544
-rect 311286 337492 311292 337544
-rect 311344 337532 311350 337544
-rect 314141 337535 314199 337541
-rect 314141 337532 314153 337535
-rect 311344 337504 314153 337532
-rect 311344 337492 311350 337504
-rect 314141 337501 314153 337504
-rect 314187 337501 314199 337535
-rect 314141 337495 314199 337501
-rect 314230 337492 314236 337544
-rect 314288 337532 314294 337544
-rect 318189 337535 318247 337541
-rect 314288 337504 318140 337532
-rect 314288 337492 314294 337504
-rect 317177 337467 317235 337473
-rect 317177 337464 317189 337467
-rect 310476 337436 317189 337464
-rect 317177 337433 317189 337436
-rect 317223 337433 317235 337467
-rect 318112 337464 318140 337504
-rect 318189 337501 318201 337535
-rect 318235 337532 318247 337535
-rect 400710 337532 400716 337544
-rect 318235 337504 400716 337532
-rect 318235 337501 318247 337504
-rect 318189 337495 318247 337501
-rect 400710 337492 400716 337504
-rect 400768 337492 400774 337544
-rect 407610 337464 407616 337476
-rect 318112 337436 407616 337464
-rect 317177 337427 317235 337433
-rect 407610 337424 407616 337436
-rect 407668 337424 407674 337476
-rect 252498 337396 252504 337408
-rect 226848 337368 252504 337396
-rect 226741 337359 226799 337365
-rect 252498 337356 252504 337368
-rect 252556 337356 252562 337408
-rect 254246 337356 254252 337408
-rect 254304 337396 254310 337408
-rect 282398 337396 282404 337408
-rect 254304 337368 282404 337396
-rect 254304 337356 254310 337368
-rect 282398 337356 282404 337368
-rect 282456 337356 282462 337408
-rect 295094 337356 295100 337408
-rect 295152 337396 295158 337408
-rect 308437 337399 308495 337405
-rect 308437 337396 308449 337399
-rect 295152 337368 308449 337396
-rect 295152 337356 295158 337368
-rect 308437 337365 308449 337368
-rect 308483 337365 308495 337399
-rect 308437 337359 308495 337365
-rect 308526 337356 308532 337408
-rect 308584 337396 308590 337408
-rect 309354 337396 309360 337408
-rect 308584 337368 309360 337396
-rect 308584 337356 308590 337368
-rect 309354 337356 309360 337368
-rect 309412 337356 309418 337408
-rect 312758 337356 312764 337408
-rect 312816 337396 312822 337408
-rect 313678 337396 313684 337408
-rect 312816 337368 313684 337396
-rect 312816 337356 312822 337368
-rect 313678 337356 313684 337368
-rect 313736 337356 313742 337408
-rect 315702 337356 315708 337408
-rect 315760 337396 315766 337408
-rect 414510 337396 414516 337408
-rect 315760 337368 414516 337396
-rect 315760 337356 315766 337368
-rect 414510 337356 414516 337368
-rect 414568 337356 414574 337408
-rect 1600 337232 583316 337328
-rect 17073 337195 17131 337201
-rect 17073 337161 17085 337195
-rect 17119 337192 17131 337195
-rect 26638 337192 26644 337204
-rect 17119 337164 26644 337192
-rect 17119 337161 17131 337164
-rect 17073 337155 17131 337161
-rect 26638 337152 26644 337164
-rect 26696 337152 26702 337204
-rect 55713 337195 55771 337201
-rect 55713 337161 55725 337195
-rect 55759 337192 55771 337195
-rect 65186 337192 65192 337204
-rect 55759 337164 65192 337192
-rect 55759 337161 55771 337164
-rect 55713 337155 55771 337161
-rect 65186 337152 65192 337164
-rect 65244 337152 65250 337204
-rect 69418 337152 69424 337204
-rect 69476 337192 69482 337204
-rect 244402 337192 244408 337204
-rect 69476 337164 244408 337192
-rect 69476 337152 69482 337164
-rect 244402 337152 244408 337164
-rect 244460 337152 244466 337204
-rect 245325 337195 245383 337201
-rect 245325 337161 245337 337195
-rect 245371 337192 245383 337195
-rect 251026 337192 251032 337204
-rect 245371 337164 251032 337192
-rect 245371 337161 245383 337164
-rect 245325 337155 245383 337161
-rect 251026 337152 251032 337164
-rect 251084 337152 251090 337204
-rect 280098 337152 280104 337204
-rect 280156 337192 280162 337204
-rect 287274 337192 287280 337204
-rect 280156 337164 287280 337192
-rect 280156 337152 280162 337164
-rect 287274 337152 287280 337164
-rect 287332 337152 287338 337204
-rect 293162 337152 293168 337204
-rect 293220 337192 293226 337204
-rect 295094 337192 295100 337204
-rect 293220 337164 295100 337192
-rect 293220 337152 293226 337164
-rect 295094 337152 295100 337164
-rect 295152 337152 295158 337204
-rect 301718 337152 301724 337204
-rect 301776 337192 301782 337204
-rect 313034 337192 313040 337204
-rect 301776 337164 313040 337192
-rect 301776 337152 301782 337164
-rect 313034 337152 313040 337164
-rect 313092 337152 313098 337204
-rect 313678 337152 313684 337204
-rect 313736 337192 313742 337204
-rect 318189 337195 318247 337201
-rect 318189 337192 318201 337195
-rect 313736 337164 318201 337192
-rect 313736 337152 313742 337164
-rect 318189 337161 318201 337164
-rect 318235 337161 318247 337195
-rect 318189 337155 318247 337161
-rect 342382 337152 342388 337204
-rect 342440 337192 342446 337204
-rect 342658 337192 342664 337204
-rect 342440 337164 342664 337192
-rect 342440 337152 342446 337164
-rect 342658 337152 342664 337164
-rect 342716 337152 342722 337204
-rect 342842 337152 342848 337204
-rect 342900 337192 342906 337204
-rect 343762 337192 343768 337204
-rect 342900 337164 343768 337192
-rect 342900 337152 342906 337164
-rect 343762 337152 343768 337164
-rect 343820 337152 343826 337204
-rect 344590 337152 344596 337204
-rect 344648 337192 344654 337204
-rect 345418 337192 345424 337204
-rect 344648 337164 345424 337192
-rect 344648 337152 344654 337164
-rect 345418 337152 345424 337164
-rect 345476 337152 345482 337204
-rect 346246 337152 346252 337204
-rect 346304 337192 346310 337204
-rect 346522 337192 346528 337204
-rect 346304 337164 346528 337192
-rect 346304 337152 346310 337164
-rect 346522 337152 346528 337164
-rect 346580 337152 346586 337204
-rect 347534 337152 347540 337204
-rect 347592 337192 347598 337204
-rect 348178 337192 348184 337204
-rect 347592 337164 348184 337192
-rect 347592 337152 347598 337164
-rect 348178 337152 348184 337164
-rect 348236 337152 348242 337204
-rect 348270 337152 348276 337204
-rect 348328 337192 348334 337204
-rect 349190 337192 349196 337204
-rect 348328 337164 349196 337192
-rect 348328 337152 348334 337164
-rect 349190 337152 349196 337164
-rect 349248 337152 349254 337204
-rect 349282 337152 349288 337204
-rect 349340 337192 349346 337204
-rect 349466 337192 349472 337204
-rect 349340 337164 349472 337192
-rect 349340 337152 349346 337164
-rect 349466 337152 349472 337164
-rect 349524 337152 349530 337204
-rect 349926 337152 349932 337204
-rect 349984 337192 349990 337204
-rect 350938 337192 350944 337204
-rect 349984 337164 350944 337192
-rect 349984 337152 349990 337164
-rect 350938 337152 350944 337164
-rect 350996 337152 351002 337204
-rect 76318 337084 76324 337136
-rect 76376 337124 76382 337136
-rect 245874 337124 245880 337136
-rect 76376 337096 245880 337124
-rect 76376 337084 76382 337096
-rect 245874 337084 245880 337096
-rect 245932 337084 245938 337136
-rect 278534 337084 278540 337136
-rect 278592 337124 278598 337136
-rect 286538 337124 286544 337136
-rect 278592 337096 286544 337124
-rect 278592 337084 278598 337096
-rect 286538 337084 286544 337096
-rect 286596 337084 286602 337136
-rect 291414 337084 291420 337136
-rect 291472 337124 291478 337136
-rect 293898 337124 293904 337136
-rect 291472 337096 293904 337124
-rect 291472 337084 291478 337096
-rect 293898 337084 293904 337096
-rect 293956 337084 293962 337136
-rect 308437 337127 308495 337133
-rect 308437 337093 308449 337127
-rect 308483 337124 308495 337127
-rect 314138 337124 314144 337136
-rect 308483 337096 314144 337124
-rect 308483 337093 308495 337096
-rect 308437 337087 308495 337093
-rect 314138 337084 314144 337096
-rect 314196 337084 314202 337136
-rect 314233 337127 314291 337133
-rect 314233 337093 314245 337127
-rect 314279 337124 314291 337127
-rect 321961 337127 322019 337133
-rect 321961 337124 321973 337127
-rect 314279 337096 321973 337124
-rect 314279 337093 314291 337096
-rect 314233 337087 314291 337093
-rect 321961 337093 321973 337096
-rect 322007 337093 322019 337127
-rect 321961 337087 322019 337093
-rect 341370 337084 341376 337136
-rect 341428 337124 341434 337136
-rect 342474 337124 342480 337136
-rect 341428 337096 342480 337124
-rect 341428 337084 341434 337096
-rect 342474 337084 342480 337096
-rect 342532 337084 342538 337136
-rect 344314 337084 344320 337136
-rect 344372 337124 344378 337136
-rect 345050 337124 345056 337136
-rect 344372 337096 345056 337124
-rect 344372 337084 344378 337096
-rect 345050 337084 345056 337096
-rect 345108 337084 345114 337136
-rect 346062 337084 346068 337136
-rect 346120 337124 346126 337136
-rect 346798 337124 346804 337136
-rect 346120 337096 346804 337124
-rect 346120 337084 346126 337096
-rect 346798 337084 346804 337096
-rect 346856 337084 346862 337136
-rect 346982 337084 346988 337136
-rect 347040 337124 347046 337136
-rect 347994 337124 348000 337136
-rect 347040 337096 348000 337124
-rect 347040 337084 347046 337096
-rect 347994 337084 348000 337096
-rect 348052 337084 348058 337136
-rect 348730 337084 348736 337136
-rect 348788 337124 348794 337136
-rect 351766 337124 351772 337136
-rect 348788 337096 351772 337124
-rect 348788 337084 348794 337096
-rect 351766 337084 351772 337096
-rect 351824 337084 351830 337136
-rect 36390 337016 36396 337068
-rect 36448 337056 36454 337068
-rect 45958 337056 45964 337068
-rect 36448 337028 45964 337056
-rect 36448 337016 36454 337028
-rect 45958 337016 45964 337028
-rect 46016 337016 46022 337068
-rect 55710 337016 55716 337068
-rect 55768 337056 55774 337068
-rect 65278 337056 65284 337068
-rect 55768 337028 65284 337056
-rect 55768 337016 55774 337028
-rect 65278 337016 65284 337028
-rect 65336 337016 65342 337068
-rect 83218 337016 83224 337068
-rect 83276 337056 83282 337068
-rect 247346 337056 247352 337068
-rect 83276 337028 247352 337056
-rect 83276 337016 83282 337028
-rect 247346 337016 247352 337028
-rect 247404 337016 247410 337068
-rect 261238 337016 261244 337068
-rect 261296 337056 261302 337068
-rect 266761 337059 266819 337065
-rect 266761 337056 266773 337059
-rect 261296 337028 266773 337056
-rect 261296 337016 261302 337028
-rect 266761 337025 266773 337028
-rect 266807 337025 266819 337059
-rect 266761 337019 266819 337025
-rect 283502 337016 283508 337068
-rect 283560 337056 283566 337068
-rect 284146 337056 284152 337068
-rect 283560 337028 284152 337056
-rect 283560 337016 283566 337028
-rect 284146 337016 284152 337028
-rect 284204 337016 284210 337068
-rect 291138 337016 291144 337068
-rect 291196 337056 291202 337068
-rect 292242 337056 292248 337068
-rect 291196 337028 292248 337056
-rect 291196 337016 291202 337028
-rect 292242 337016 292248 337028
-rect 292300 337016 292306 337068
-rect 300522 337016 300528 337068
-rect 300580 337056 300586 337068
-rect 300982 337056 300988 337068
-rect 300580 337028 300988 337056
-rect 300580 337016 300586 337028
-rect 300982 337016 300988 337028
-rect 301040 337016 301046 337068
-rect 309814 337016 309820 337068
-rect 309872 337056 309878 337068
-rect 317085 337059 317143 337065
-rect 317085 337056 317097 337059
-rect 309872 337028 317097 337056
-rect 309872 337016 309878 337028
-rect 317085 337025 317097 337028
-rect 317131 337025 317143 337059
-rect 317085 337019 317143 337025
-rect 318370 337016 318376 337068
-rect 318428 337056 318434 337068
-rect 318830 337056 318836 337068
-rect 318428 337028 318836 337056
-rect 318428 337016 318434 337028
-rect 318830 337016 318836 337028
-rect 318888 337016 318894 337068
-rect 321038 337016 321044 337068
-rect 321096 337056 321102 337068
-rect 321590 337056 321596 337068
-rect 321096 337028 321596 337056
-rect 321096 337016 321102 337028
-rect 321590 337016 321596 337028
-rect 321648 337016 321654 337068
-rect 326650 337016 326656 337068
-rect 326708 337056 326714 337068
-rect 327386 337056 327392 337068
-rect 326708 337028 327392 337056
-rect 326708 337016 326714 337028
-rect 327386 337016 327392 337028
-rect 327444 337016 327450 337068
-rect 332078 337016 332084 337068
-rect 332136 337056 332142 337068
-rect 332630 337056 332636 337068
-rect 332136 337028 332636 337056
-rect 332136 337016 332142 337028
-rect 332630 337016 332636 337028
-rect 332688 337016 332694 337068
-rect 336218 337016 336224 337068
-rect 336276 337056 336282 337068
-rect 336770 337056 336776 337068
-rect 336276 337028 336776 337056
-rect 336276 337016 336282 337028
-rect 336770 337016 336776 337028
-rect 336828 337016 336834 337068
-rect 341646 337016 341652 337068
-rect 341704 337056 341710 337068
-rect 342658 337056 342664 337068
-rect 341704 337028 342664 337056
-rect 341704 337016 341710 337028
-rect 342658 337016 342664 337028
-rect 342716 337016 342722 337068
-rect 343118 337016 343124 337068
-rect 343176 337056 343182 337068
-rect 343578 337056 343584 337068
-rect 343176 337028 343584 337056
-rect 343176 337016 343182 337028
-rect 343578 337016 343584 337028
-rect 343636 337016 343642 337068
-rect 343670 337016 343676 337068
-rect 343728 337056 343734 337068
-rect 344038 337056 344044 337068
-rect 343728 337028 344044 337056
-rect 343728 337016 343734 337028
-rect 344038 337016 344044 337028
-rect 344096 337016 344102 337068
-rect 345510 337016 345516 337068
-rect 345568 337056 345574 337068
-rect 346614 337056 346620 337068
-rect 345568 337028 346620 337056
-rect 345568 337016 345574 337028
-rect 346614 337016 346620 337028
-rect 346672 337016 346678 337068
-rect 347258 337016 347264 337068
-rect 347316 337056 347322 337068
-rect 347810 337056 347816 337068
-rect 347316 337028 347816 337056
-rect 347316 337016 347322 337028
-rect 347810 337016 347816 337028
-rect 347868 337016 347874 337068
-rect 348454 337016 348460 337068
-rect 348512 337056 348518 337068
-rect 349466 337056 349472 337068
-rect 348512 337028 349472 337056
-rect 348512 337016 348518 337028
-rect 349466 337016 349472 337028
-rect 349524 337016 349530 337068
-rect 75033 336991 75091 336997
-rect 75033 336957 75045 336991
-rect 75079 336988 75091 336991
-rect 84506 336988 84512 337000
-rect 75079 336960 84512 336988
-rect 75079 336957 75091 336960
-rect 75033 336951 75091 336957
-rect 84506 336948 84512 336960
-rect 84564 336948 84570 337000
-rect 87358 336948 87364 337000
-rect 87416 336988 87422 337000
-rect 248082 336988 248088 337000
-rect 87416 336960 248088 336988
-rect 87416 336948 87422 336960
-rect 248082 336948 248088 336960
-rect 248140 336948 248146 337000
-rect 288010 336988 288016 337000
-rect 284072 336960 288016 336988
-rect 94258 336880 94264 336932
-rect 94316 336920 94322 336932
-rect 249554 336920 249560 336932
-rect 94316 336892 249560 336920
-rect 94316 336880 94322 336892
-rect 249554 336880 249560 336892
-rect 249612 336880 249618 336932
-rect 284072 336864 284100 336960
-rect 288010 336948 288016 336960
-rect 288068 336948 288074 337000
-rect 290494 336948 290500 337000
-rect 290552 336988 290558 337000
-rect 291506 336988 291512 337000
-rect 290552 336960 291512 336988
-rect 290552 336948 290558 336960
-rect 291506 336948 291512 336960
-rect 291564 336948 291570 337000
-rect 291874 336948 291880 337000
-rect 291932 336988 291938 337000
-rect 292886 336988 292892 337000
-rect 291932 336960 292892 336988
-rect 291932 336948 291938 336960
-rect 292886 336948 292892 336960
-rect 292944 336948 292950 337000
-rect 298038 336948 298044 337000
-rect 298096 336988 298102 337000
-rect 306134 336988 306140 337000
-rect 298096 336960 306140 336988
-rect 298096 336948 298102 336960
-rect 306134 336948 306140 336960
-rect 306192 336948 306198 337000
-rect 308342 336948 308348 337000
-rect 308400 336988 308406 337000
-rect 315061 336991 315119 336997
-rect 315061 336988 315073 336991
-rect 308400 336960 315073 336988
-rect 308400 336948 308406 336960
-rect 315061 336957 315073 336960
-rect 315107 336957 315119 336991
-rect 315061 336951 315119 336957
-rect 315150 336948 315156 337000
-rect 315208 336988 315214 337000
-rect 316346 336988 316352 337000
-rect 315208 336960 316352 336988
-rect 315208 336948 315214 336960
-rect 316346 336948 316352 336960
-rect 316404 336948 316410 337000
-rect 316622 336948 316628 337000
-rect 316680 336988 316686 337000
-rect 317634 336988 317640 337000
-rect 316680 336960 317640 336988
-rect 316680 336948 316686 336960
-rect 317634 336948 317640 336960
-rect 317692 336948 317698 337000
-rect 318646 336948 318652 337000
-rect 318704 336988 318710 337000
-rect 319198 336988 319204 337000
-rect 318704 336960 319204 336988
-rect 318704 336948 318710 336960
-rect 319198 336948 319204 336960
-rect 319256 336948 319262 337000
-rect 320118 336948 320124 337000
-rect 320176 336988 320182 337000
-rect 320486 336988 320492 337000
-rect 320176 336960 320492 336988
-rect 320176 336948 320182 336960
-rect 320486 336948 320492 336960
-rect 320544 336948 320550 337000
-rect 320762 336948 320768 337000
-rect 320820 336988 320826 337000
-rect 321958 336988 321964 337000
-rect 320820 336960 321964 336988
-rect 320820 336948 320826 336960
-rect 321958 336948 321964 336960
-rect 322016 336948 322022 337000
-rect 326466 336948 326472 337000
-rect 326524 336988 326530 337000
-rect 327202 336988 327208 337000
-rect 326524 336960 327208 336988
-rect 326524 336948 326530 336960
-rect 327202 336948 327208 336960
-rect 327260 336948 327266 337000
-rect 328122 336948 328128 337000
-rect 328180 336988 328186 337000
-rect 328766 336988 328772 337000
-rect 328180 336960 328772 336988
-rect 328180 336948 328186 336960
-rect 328766 336948 328772 336960
-rect 328824 336948 328830 337000
-rect 330882 336948 330888 337000
-rect 330940 336988 330946 337000
-rect 331158 336988 331164 337000
-rect 330940 336960 331164 336988
-rect 330940 336948 330946 336960
-rect 331158 336948 331164 336960
-rect 331216 336948 331222 337000
-rect 331802 336948 331808 337000
-rect 331860 336988 331866 337000
-rect 332906 336988 332912 337000
-rect 331860 336960 332912 336988
-rect 331860 336948 331866 336960
-rect 332906 336948 332912 336960
-rect 332964 336948 332970 337000
-rect 333826 336948 333832 337000
-rect 333884 336988 333890 337000
-rect 334378 336988 334384 337000
-rect 333884 336960 334384 336988
-rect 333884 336948 333890 336960
-rect 334378 336948 334384 336960
-rect 334436 336948 334442 337000
-rect 334562 336948 334568 337000
-rect 334620 336988 334626 337000
-rect 335666 336988 335672 337000
-rect 334620 336960 335672 336988
-rect 334620 336948 334626 336960
-rect 335666 336948 335672 336960
-rect 335724 336948 335730 337000
-rect 335942 336948 335948 337000
-rect 336000 336988 336006 337000
-rect 336954 336988 336960 337000
-rect 336000 336960 336960 336988
-rect 336000 336948 336006 336960
-rect 336954 336948 336960 336960
-rect 337012 336948 337018 337000
-rect 338886 336948 338892 337000
-rect 338944 336988 338950 337000
-rect 339806 336988 339812 337000
-rect 338944 336960 339812 336988
-rect 338944 336948 338950 336960
-rect 339806 336948 339812 336960
-rect 339864 336948 339870 337000
-rect 340174 336948 340180 337000
-rect 340232 336988 340238 337000
-rect 341278 336988 341284 337000
-rect 340232 336960 341284 336988
-rect 340232 336948 340238 336960
-rect 341278 336948 341284 336960
-rect 341336 336948 341342 337000
-rect 345786 336948 345792 337000
-rect 345844 336988 345850 337000
-rect 346338 336988 346344 337000
-rect 345844 336960 346344 336988
-rect 345844 336948 345850 336960
-rect 346338 336948 346344 336960
-rect 346396 336948 346402 337000
-rect 347718 336948 347724 337000
-rect 347776 336988 347782 337000
-rect 348086 336988 348092 337000
-rect 347776 336960 348092 336988
-rect 347776 336948 347782 336960
-rect 348086 336948 348092 336960
-rect 348144 336948 348150 337000
-rect 349742 336948 349748 337000
-rect 349800 336988 349806 337000
-rect 350754 336988 350760 337000
-rect 349800 336960 350760 336988
-rect 349800 336948 349806 336960
-rect 350754 336948 350760 336960
-rect 350812 336948 350818 337000
-rect 290954 336880 290960 336932
-rect 291012 336920 291018 336932
-rect 291598 336920 291604 336932
-rect 291012 336892 291604 336920
-rect 291012 336880 291018 336892
-rect 291598 336880 291604 336892
-rect 291656 336880 291662 336932
-rect 291690 336880 291696 336932
-rect 291748 336920 291754 336932
-rect 292794 336920 292800 336932
-rect 291748 336892 292800 336920
-rect 291748 336880 291754 336892
-rect 292794 336880 292800 336892
-rect 292852 336880 292858 336932
-rect 307606 336880 307612 336932
-rect 307664 336920 307670 336932
-rect 307882 336920 307888 336932
-rect 307664 336892 307888 336920
-rect 307664 336880 307670 336892
-rect 307882 336880 307888 336892
-rect 307940 336880 307946 336932
-rect 313954 336880 313960 336932
-rect 314012 336920 314018 336932
-rect 314782 336920 314788 336932
-rect 314012 336892 314788 336920
-rect 314012 336880 314018 336892
-rect 314782 336880 314788 336892
-rect 314840 336880 314846 336932
-rect 315886 336880 315892 336932
-rect 315944 336920 315950 336932
-rect 316254 336920 316260 336932
-rect 315944 336892 316260 336920
-rect 315944 336880 315950 336892
-rect 316254 336880 316260 336892
-rect 316312 336880 316318 336932
-rect 317174 336880 317180 336932
-rect 317232 336920 317238 336932
-rect 317818 336920 317824 336932
-rect 317232 336892 317824 336920
-rect 317232 336880 317238 336892
-rect 317818 336880 317824 336892
-rect 317876 336880 317882 336932
-rect 319566 336880 319572 336932
-rect 319624 336920 319630 336932
-rect 320302 336920 320308 336932
-rect 319624 336892 320308 336920
-rect 319624 336880 319630 336892
-rect 320302 336880 320308 336892
-rect 320360 336880 320366 336932
-rect 321314 336880 321320 336932
-rect 321372 336920 321378 336932
-rect 321774 336920 321780 336932
-rect 321372 336892 321780 336920
-rect 321372 336880 321378 336892
-rect 321774 336880 321780 336892
-rect 321832 336880 321838 336932
-rect 322786 336880 322792 336932
-rect 322844 336920 322850 336932
-rect 323154 336920 323160 336932
-rect 322844 336892 323160 336920
-rect 322844 336880 322850 336892
-rect 323154 336880 323160 336892
-rect 323212 336880 323218 336932
-rect 323522 336880 323528 336932
-rect 323580 336920 323586 336932
-rect 324442 336920 324448 336932
-rect 323580 336892 324448 336920
-rect 323580 336880 323586 336892
-rect 324442 336880 324448 336892
-rect 324500 336880 324506 336932
-rect 325178 336880 325184 336932
-rect 325236 336920 325242 336932
-rect 326098 336920 326104 336932
-rect 325236 336892 326104 336920
-rect 325236 336880 325242 336892
-rect 326098 336880 326104 336892
-rect 326156 336880 326162 336932
-rect 326926 336880 326932 336932
-rect 326984 336920 326990 336932
-rect 327294 336920 327300 336932
-rect 326984 336892 327300 336920
-rect 326984 336880 326990 336892
-rect 327294 336880 327300 336892
-rect 327352 336880 327358 336932
-rect 329134 336880 329140 336932
-rect 329192 336920 329198 336932
-rect 330054 336920 330060 336932
-rect 329192 336892 330060 336920
-rect 329192 336880 329198 336892
-rect 330054 336880 330060 336892
-rect 330112 336880 330118 336932
-rect 330330 336880 330336 336932
-rect 330388 336920 330394 336932
-rect 331434 336920 331440 336932
-rect 330388 336892 331440 336920
-rect 330388 336880 330394 336892
-rect 331434 336880 331440 336892
-rect 331492 336880 331498 336932
-rect 332354 336880 332360 336932
-rect 332412 336920 332418 336932
-rect 332998 336920 333004 336932
-rect 332412 336892 333004 336920
-rect 332412 336880 332418 336892
-rect 332998 336880 333004 336892
-rect 333056 336880 333062 336932
-rect 333274 336880 333280 336932
-rect 333332 336920 333338 336932
-rect 334194 336920 334200 336932
-rect 333332 336892 334200 336920
-rect 333332 336880 333338 336892
-rect 334194 336880 334200 336892
-rect 334252 336880 334258 336932
-rect 335298 336880 335304 336932
-rect 335356 336920 335362 336932
-rect 335574 336920 335580 336932
-rect 335356 336892 335580 336920
-rect 335356 336880 335362 336892
-rect 335574 336880 335580 336892
-rect 335632 336880 335638 336932
-rect 336494 336880 336500 336932
-rect 336552 336920 336558 336932
-rect 337138 336920 337144 336932
-rect 336552 336892 337144 336920
-rect 336552 336880 336558 336892
-rect 337138 336880 337144 336892
-rect 337196 336880 337202 336932
-rect 337414 336880 337420 336932
-rect 337472 336920 337478 336932
-rect 338242 336920 338248 336932
-rect 337472 336892 338248 336920
-rect 337472 336880 337478 336892
-rect 338242 336880 338248 336892
-rect 338300 336880 338306 336932
-rect 339346 336880 339352 336932
-rect 339404 336920 339410 336932
-rect 339622 336920 339628 336932
-rect 339404 336892 339628 336920
-rect 339404 336880 339410 336892
-rect 339622 336880 339628 336892
-rect 339680 336880 339686 336932
-rect 340634 336880 340640 336932
-rect 340692 336920 340698 336932
-rect 341094 336920 341100 336932
-rect 340692 336892 341100 336920
-rect 340692 336880 340698 336892
-rect 341094 336880 341100 336892
-rect 341152 336880 341158 336932
-rect 342750 336880 342756 336932
-rect 342808 336920 342814 336932
-rect 343302 336920 343308 336932
-rect 342808 336892 343308 336920
-rect 342808 336880 342814 336892
-rect 343302 336880 343308 336892
-rect 343360 336880 343366 336932
-rect 75030 336812 75036 336864
-rect 75088 336852 75094 336864
-rect 84598 336852 84604 336864
-rect 75088 336824 84604 336852
-rect 75088 336812 75094 336824
-rect 84598 336812 84604 336824
-rect 84656 336812 84662 336864
-rect 94353 336855 94411 336861
-rect 94353 336821 94365 336855
-rect 94399 336852 94411 336855
-rect 101069 336855 101127 336861
-rect 101069 336852 101081 336855
-rect 94399 336824 101081 336852
-rect 94399 336821 94411 336824
-rect 94353 336815 94411 336821
-rect 101069 336821 101081 336824
-rect 101115 336821 101127 336855
-rect 101069 336815 101127 336821
-rect 101158 336812 101164 336864
-rect 101216 336852 101222 336864
-rect 245325 336855 245383 336861
-rect 245325 336852 245337 336855
-rect 101216 336824 245337 336852
-rect 101216 336812 101222 336824
-rect 245325 336821 245337 336824
-rect 245371 336821 245383 336855
-rect 245325 336815 245383 336821
-rect 245414 336812 245420 336864
-rect 245472 336852 245478 336864
-rect 247622 336852 247628 336864
-rect 245472 336824 247628 336852
-rect 245472 336812 245478 336824
-rect 247622 336812 247628 336824
-rect 247680 336812 247686 336864
-rect 249738 336812 249744 336864
-rect 249796 336852 249802 336864
-rect 250566 336852 250572 336864
-rect 249796 336824 250572 336852
-rect 249796 336812 249802 336824
-rect 250566 336812 250572 336824
-rect 250624 336812 250630 336864
-rect 256822 336812 256828 336864
-rect 256880 336852 256886 336864
-rect 258662 336852 258668 336864
-rect 256880 336824 258668 336852
-rect 256880 336812 256886 336824
-rect 258662 336812 258668 336824
-rect 258720 336812 258726 336864
-rect 276142 336812 276148 336864
-rect 276200 336852 276206 336864
-rect 278718 336852 278724 336864
-rect 276200 336824 278724 336852
-rect 276200 336812 276206 336824
-rect 278718 336812 278724 336824
-rect 278776 336812 278782 336864
-rect 284054 336812 284060 336864
-rect 284112 336812 284118 336864
-rect 284146 336812 284152 336864
-rect 284204 336852 284210 336864
-rect 285066 336852 285072 336864
-rect 284204 336824 285072 336852
-rect 284204 336812 284210 336824
-rect 285066 336812 285072 336824
-rect 285124 336812 285130 336864
-rect 287090 336812 287096 336864
-rect 287148 336852 287154 336864
-rect 287550 336852 287556 336864
-rect 287148 336824 287556 336852
-rect 287148 336812 287154 336824
-rect 287550 336812 287556 336824
-rect 287608 336812 287614 336864
-rect 288838 336812 288844 336864
-rect 288896 336852 288902 336864
-rect 289482 336852 289488 336864
-rect 288896 336824 289488 336852
-rect 288896 336812 288902 336824
-rect 289482 336812 289488 336824
-rect 289540 336812 289546 336864
-rect 290678 336812 290684 336864
-rect 290736 336852 290742 336864
-rect 291414 336852 291420 336864
-rect 290736 336824 291420 336852
-rect 290736 336812 290742 336824
-rect 291414 336812 291420 336824
-rect 291472 336812 291478 336864
-rect 292426 336812 292432 336864
-rect 292484 336852 292490 336864
-rect 292978 336852 292984 336864
-rect 292484 336824 292984 336852
-rect 292484 336812 292490 336824
-rect 292978 336812 292984 336824
-rect 293036 336812 293042 336864
-rect 312482 336812 312488 336864
-rect 312540 336852 312546 336864
-rect 313310 336852 313316 336864
-rect 312540 336824 313316 336852
-rect 312540 336812 312546 336824
-rect 313310 336812 313316 336824
-rect 313368 336812 313374 336864
-rect 313494 336812 313500 336864
-rect 313552 336852 313558 336864
-rect 313678 336852 313684 336864
-rect 313552 336824 313684 336852
-rect 313552 336812 313558 336824
-rect 313678 336812 313684 336824
-rect 313736 336812 313742 336864
-rect 314414 336812 314420 336864
-rect 314472 336852 314478 336864
-rect 314966 336852 314972 336864
-rect 314472 336824 314972 336852
-rect 314472 336812 314478 336824
-rect 314966 336812 314972 336824
-rect 315024 336812 315030 336864
-rect 315426 336812 315432 336864
-rect 315484 336852 315490 336864
-rect 316070 336852 316076 336864
-rect 315484 336824 316076 336852
-rect 315484 336812 315490 336824
-rect 316070 336812 316076 336824
-rect 316128 336812 316134 336864
-rect 316898 336812 316904 336864
-rect 316956 336852 316962 336864
-rect 317266 336852 317272 336864
-rect 316956 336824 317272 336852
-rect 316956 336812 316962 336824
-rect 317266 336812 317272 336824
-rect 317324 336812 317330 336864
-rect 317358 336812 317364 336864
-rect 317416 336852 317422 336864
-rect 317726 336852 317732 336864
-rect 317416 336824 317732 336852
-rect 317416 336812 317422 336824
-rect 317726 336812 317732 336824
-rect 317784 336812 317790 336864
-rect 318094 336812 318100 336864
-rect 318152 336852 318158 336864
-rect 318922 336852 318928 336864
-rect 318152 336824 318928 336852
-rect 318152 336812 318158 336824
-rect 318922 336812 318928 336824
-rect 318980 336812 318986 336864
-rect 319658 336812 319664 336864
-rect 319716 336852 319722 336864
-rect 320026 336852 320032 336864
-rect 319716 336824 320032 336852
-rect 319716 336812 319722 336824
-rect 320026 336812 320032 336824
-rect 320084 336812 320090 336864
-rect 320210 336812 320216 336864
-rect 320268 336852 320274 336864
-rect 320578 336852 320584 336864
-rect 320268 336824 320584 336852
-rect 320268 336812 320274 336824
-rect 320578 336812 320584 336824
-rect 320636 336812 320642 336864
-rect 321498 336812 321504 336864
-rect 321556 336852 321562 336864
-rect 321866 336852 321872 336864
-rect 321556 336824 321872 336852
-rect 321556 336812 321562 336824
-rect 321866 336812 321872 336824
-rect 321924 336812 321930 336864
-rect 322234 336812 322240 336864
-rect 322292 336852 322298 336864
-rect 322878 336852 322884 336864
-rect 322292 336824 322884 336852
-rect 322292 336812 322298 336824
-rect 322878 336812 322884 336824
-rect 322936 336812 322942 336864
-rect 322970 336812 322976 336864
-rect 323028 336852 323034 336864
-rect 323246 336852 323252 336864
-rect 323028 336824 323252 336852
-rect 323028 336812 323034 336824
-rect 323246 336812 323252 336824
-rect 323304 336812 323310 336864
-rect 323706 336812 323712 336864
-rect 323764 336852 323770 336864
-rect 324166 336852 324172 336864
-rect 323764 336824 324172 336852
-rect 323764 336812 323770 336824
-rect 324166 336812 324172 336824
-rect 324224 336812 324230 336864
-rect 324258 336812 324264 336864
-rect 324316 336852 324322 336864
-rect 324718 336852 324724 336864
-rect 324316 336824 324724 336852
-rect 324316 336812 324322 336824
-rect 324718 336812 324724 336824
-rect 324776 336812 324782 336864
-rect 324994 336812 325000 336864
-rect 325052 336852 325058 336864
-rect 325730 336852 325736 336864
-rect 325052 336824 325736 336852
-rect 325052 336812 325058 336824
-rect 325730 336812 325736 336824
-rect 325788 336812 325794 336864
-rect 326190 336812 326196 336864
-rect 326248 336852 326254 336864
-rect 327018 336852 327024 336864
-rect 326248 336824 327024 336852
-rect 326248 336812 326254 336824
-rect 327018 336812 327024 336824
-rect 327076 336812 327082 336864
-rect 327938 336812 327944 336864
-rect 327996 336852 328002 336864
-rect 328490 336852 328496 336864
-rect 327996 336824 328496 336852
-rect 327996 336812 328002 336824
-rect 328490 336812 328496 336824
-rect 328548 336812 328554 336864
-rect 329410 336812 329416 336864
-rect 329468 336852 329474 336864
-rect 329962 336852 329968 336864
-rect 329468 336824 329968 336852
-rect 329468 336812 329474 336824
-rect 329962 336812 329968 336824
-rect 330020 336812 330026 336864
-rect 331066 336812 331072 336864
-rect 331124 336852 331130 336864
-rect 331342 336852 331348 336864
-rect 331124 336824 331348 336852
-rect 331124 336812 331130 336824
-rect 331342 336812 331348 336824
-rect 331400 336812 331406 336864
-rect 332538 336812 332544 336864
-rect 332596 336852 332602 336864
-rect 332814 336852 332820 336864
-rect 332596 336824 332820 336852
-rect 332596 336812 332602 336824
-rect 332814 336812 332820 336824
-rect 332872 336812 332878 336864
-rect 334010 336812 334016 336864
-rect 334068 336852 334074 336864
-rect 334286 336852 334292 336864
-rect 334068 336824 334292 336852
-rect 334068 336812 334074 336824
-rect 334286 336812 334292 336824
-rect 334344 336812 334350 336864
-rect 334746 336812 334752 336864
-rect 334804 336852 334810 336864
-rect 335390 336852 335396 336864
-rect 334804 336824 335396 336852
-rect 334804 336812 334810 336824
-rect 335390 336812 335396 336824
-rect 335448 336812 335454 336864
-rect 336678 336812 336684 336864
-rect 336736 336852 336742 336864
-rect 337046 336852 337052 336864
-rect 336736 336824 337052 336852
-rect 336736 336812 336742 336824
-rect 337046 336812 337052 336824
-rect 337104 336812 337110 336864
-rect 337230 336812 337236 336864
-rect 337288 336852 337294 336864
-rect 338058 336852 338064 336864
-rect 337288 336824 338064 336852
-rect 337288 336812 337294 336824
-rect 338058 336812 338064 336824
-rect 338116 336812 338122 336864
-rect 338150 336812 338156 336864
-rect 338208 336852 338214 336864
-rect 338426 336852 338432 336864
-rect 338208 336824 338432 336852
-rect 338208 336812 338214 336824
-rect 338426 336812 338432 336824
-rect 338484 336812 338490 336864
-rect 339162 336812 339168 336864
-rect 339220 336852 339226 336864
-rect 339530 336852 339536 336864
-rect 339220 336824 339536 336852
-rect 339220 336812 339226 336824
-rect 339530 336812 339536 336824
-rect 339588 336812 339594 336864
-rect 340910 336812 340916 336864
-rect 340968 336852 340974 336864
-rect 341186 336852 341192 336864
-rect 340968 336824 341192 336852
-rect 340968 336812 340974 336824
-rect 341186 336812 341192 336824
-rect 341244 336812 341250 336864
-rect 1600 336688 583316 336784
-rect 101069 336651 101127 336657
-rect 101069 336617 101081 336651
-rect 101115 336648 101127 336651
-rect 103829 336651 103887 336657
-rect 103829 336648 103841 336651
-rect 101115 336620 103841 336648
-rect 101115 336617 101127 336620
-rect 101069 336611 101127 336617
-rect 103829 336617 103841 336620
-rect 103875 336617 103887 336651
-rect 103829 336611 103887 336617
-rect 108058 336608 108064 336660
-rect 108116 336648 108122 336660
-rect 113857 336651 113915 336657
-rect 113857 336648 113869 336651
-rect 108116 336620 113869 336648
-rect 108116 336608 108122 336620
-rect 113857 336617 113869 336620
-rect 113903 336617 113915 336651
-rect 113857 336611 113915 336617
-rect 142377 336651 142435 336657
-rect 142377 336617 142389 336651
-rect 142423 336648 142435 336651
-rect 142837 336651 142895 336657
-rect 142837 336648 142849 336651
-rect 142423 336620 142849 336648
-rect 142423 336617 142435 336620
-rect 142377 336611 142435 336617
-rect 142837 336617 142849 336620
-rect 142883 336617 142895 336651
-rect 142837 336611 142895 336617
-rect 152037 336651 152095 336657
-rect 152037 336617 152049 336651
-rect 152083 336648 152095 336651
-rect 152497 336651 152555 336657
-rect 152497 336648 152509 336651
-rect 152083 336620 152509 336648
-rect 152083 336617 152095 336620
-rect 152037 336611 152095 336617
-rect 152497 336617 152509 336620
-rect 152543 336617 152555 336651
-rect 152497 336611 152555 336617
-rect 161697 336651 161755 336657
-rect 161697 336617 161709 336651
-rect 161743 336648 161755 336651
-rect 162157 336651 162215 336657
-rect 162157 336648 162169 336651
-rect 161743 336620 162169 336648
-rect 161743 336617 161755 336620
-rect 161697 336611 161755 336617
-rect 162157 336617 162169 336620
-rect 162203 336617 162215 336651
-rect 162157 336611 162215 336617
-rect 171357 336651 171415 336657
-rect 171357 336617 171369 336651
-rect 171403 336648 171415 336651
-rect 171817 336651 171875 336657
-rect 171817 336648 171829 336651
-rect 171403 336620 171829 336648
-rect 171403 336617 171415 336620
-rect 171357 336611 171415 336617
-rect 171817 336617 171829 336620
-rect 171863 336617 171875 336651
-rect 171817 336611 171875 336617
-rect 181017 336651 181075 336657
-rect 181017 336617 181029 336651
-rect 181063 336648 181075 336651
-rect 181477 336651 181535 336657
-rect 181477 336648 181489 336651
-rect 181063 336620 181489 336648
-rect 181063 336617 181075 336620
-rect 181017 336611 181075 336617
-rect 181477 336617 181489 336620
-rect 181523 336617 181535 336651
-rect 181477 336611 181535 336617
-rect 190677 336651 190735 336657
-rect 190677 336617 190689 336651
-rect 190723 336648 190735 336651
-rect 191137 336651 191195 336657
-rect 191137 336648 191149 336651
-rect 190723 336620 191149 336648
-rect 190723 336617 190735 336620
-rect 190677 336611 190735 336617
-rect 191137 336617 191149 336620
-rect 191183 336617 191195 336651
-rect 191137 336611 191195 336617
-rect 200337 336651 200395 336657
-rect 200337 336617 200349 336651
-rect 200383 336648 200395 336651
-rect 200797 336651 200855 336657
-rect 200797 336648 200809 336651
-rect 200383 336620 200809 336648
-rect 200383 336617 200395 336620
-rect 200337 336611 200395 336617
-rect 200797 336617 200809 336620
-rect 200843 336617 200855 336651
-rect 200797 336611 200855 336617
-rect 209997 336651 210055 336657
-rect 209997 336617 210009 336651
-rect 210043 336648 210055 336651
-rect 210457 336651 210515 336657
-rect 210457 336648 210469 336651
-rect 210043 336620 210469 336648
-rect 210043 336617 210055 336620
-rect 209997 336611 210055 336617
-rect 210457 336617 210469 336620
-rect 210503 336617 210515 336651
-rect 210457 336611 210515 336617
-rect 219657 336651 219715 336657
-rect 219657 336617 219669 336651
-rect 219703 336648 219715 336651
-rect 220117 336651 220175 336657
-rect 220117 336648 220129 336651
-rect 219703 336620 220129 336648
-rect 219703 336617 219715 336620
-rect 219657 336611 219715 336617
-rect 220117 336617 220129 336620
-rect 220163 336617 220175 336651
-rect 220117 336611 220175 336617
-rect 355814 336608 355820 336660
-rect 355872 336648 355878 336660
-rect 580386 336648 580392 336660
-rect 355872 336620 580392 336648
-rect 355872 336608 355878 336620
-rect 580386 336608 580392 336620
-rect 580444 336608 580450 336660
-rect 116249 336447 116307 336453
-rect 116249 336413 116261 336447
-rect 116295 336444 116307 336447
-rect 119282 336444 119288 336456
-rect 116295 336416 119288 336444
-rect 116295 336413 116307 336416
-rect 116249 336407 116307 336413
-rect 119282 336404 119288 336416
-rect 119340 336404 119346 336456
-rect 284974 336444 284980 336456
-rect 284935 336416 284980 336444
-rect 284974 336404 284980 336416
-rect 285032 336404 285038 336456
-rect 225358 336268 225364 336320
-rect 225416 336308 225422 336320
-rect 276510 336308 276516 336320
-rect 225416 336280 276516 336308
-rect 225416 336268 225422 336280
-rect 276510 336268 276516 336280
-rect 276568 336268 276574 336320
-rect 302730 336268 302736 336320
-rect 302788 336308 302794 336320
-rect 351030 336308 351036 336320
-rect 302788 336280 351036 336308
-rect 302788 336268 302794 336280
-rect 351030 336268 351036 336280
-rect 351088 336268 351094 336320
-rect 1600 336144 583316 336240
-rect 181198 336064 181204 336116
-rect 181256 336104 181262 336116
-rect 267402 336104 267408 336116
-rect 181256 336076 267408 336104
-rect 181256 336064 181262 336076
-rect 267402 336064 267408 336076
-rect 267460 336064 267466 336116
-rect 304202 336064 304208 336116
-rect 304260 336104 304266 336116
-rect 357930 336104 357936 336116
-rect 304260 336076 357936 336104
-rect 304260 336064 304266 336076
-rect 357930 336064 357936 336076
-rect 357988 336064 357994 336116
-rect 127378 335996 127384 336048
-rect 127436 336036 127442 336048
-rect 256454 336036 256460 336048
-rect 127436 336008 256460 336036
-rect 127436 335996 127442 336008
-rect 256454 335996 256460 336008
-rect 256512 335996 256518 336048
-rect 323982 335996 323988 336048
-rect 324040 336036 324046 336048
-rect 454530 336036 454536 336048
-rect 324040 336008 454536 336036
-rect 324040 335996 324046 336008
-rect 454530 335996 454536 336008
-rect 454588 335996 454594 336048
-rect 275222 335860 275228 335912
-rect 275280 335900 275286 335912
-rect 275406 335900 275412 335912
-rect 275280 335872 275412 335900
-rect 275280 335860 275286 335872
-rect 275406 335860 275412 335872
-rect 275464 335860 275470 335912
-rect 275130 335792 275136 335844
-rect 275188 335832 275194 335844
-rect 275590 335832 275596 335844
-rect 275188 335804 275596 335832
-rect 275188 335792 275194 335804
-rect 275590 335792 275596 335804
-rect 275648 335792 275654 335844
-rect 231062 335724 231068 335776
-rect 231120 335764 231126 335776
-rect 231614 335764 231620 335776
-rect 231120 335736 231620 335764
-rect 231120 335724 231126 335736
-rect 231614 335724 231620 335736
-rect 231672 335724 231678 335776
-rect 235202 335724 235208 335776
-rect 235260 335764 235266 335776
-rect 235478 335764 235484 335776
-rect 235260 335736 235484 335764
-rect 235260 335724 235266 335736
-rect 235478 335724 235484 335736
-rect 235536 335724 235542 335776
-rect 237962 335724 237968 335776
-rect 238020 335764 238026 335776
-rect 238422 335764 238428 335776
-rect 238020 335736 238428 335764
-rect 238020 335724 238026 335736
-rect 238422 335724 238428 335736
-rect 238480 335724 238486 335776
-rect 239342 335724 239348 335776
-rect 239400 335764 239406 335776
-rect 239894 335764 239900 335776
-rect 239400 335736 239900 335764
-rect 239400 335724 239406 335736
-rect 239894 335724 239900 335736
-rect 239952 335724 239958 335776
-rect 245046 335724 245052 335776
-rect 245104 335764 245110 335776
-rect 245230 335764 245236 335776
-rect 245104 335736 245236 335764
-rect 245104 335724 245110 335736
-rect 245230 335724 245236 335736
-rect 245288 335724 245294 335776
-rect 247898 335724 247904 335776
-rect 247956 335764 247962 335776
-rect 248634 335764 248640 335776
-rect 247956 335736 248640 335764
-rect 247956 335724 247962 335736
-rect 248634 335724 248640 335736
-rect 248692 335724 248698 335776
-rect 253142 335724 253148 335776
-rect 253200 335764 253206 335776
-rect 254062 335764 254068 335776
-rect 253200 335736 254068 335764
-rect 253200 335724 253206 335736
-rect 254062 335724 254068 335736
-rect 254120 335724 254126 335776
-rect 254614 335724 254620 335776
-rect 254672 335764 254678 335776
-rect 255534 335764 255540 335776
-rect 254672 335736 255540 335764
-rect 254672 335724 254678 335736
-rect 255534 335724 255540 335736
-rect 255592 335724 255598 335776
-rect 260042 335724 260048 335776
-rect 260100 335764 260106 335776
-rect 260870 335764 260876 335776
-rect 260100 335736 260876 335764
-rect 260100 335724 260106 335736
-rect 260870 335724 260876 335736
-rect 260928 335724 260934 335776
-rect 264182 335724 264188 335776
-rect 264240 335764 264246 335776
-rect 264826 335764 264832 335776
-rect 264240 335736 264832 335764
-rect 264240 335724 264246 335736
-rect 264826 335724 264832 335736
-rect 264884 335724 264890 335776
-rect 265654 335724 265660 335776
-rect 265712 335764 265718 335776
-rect 266298 335764 266304 335776
-rect 265712 335736 266304 335764
-rect 265712 335724 265718 335736
-rect 266298 335724 266304 335736
-rect 266356 335724 266362 335776
-rect 268322 335724 268328 335776
-rect 268380 335764 268386 335776
-rect 268966 335764 268972 335776
-rect 268380 335736 268972 335764
-rect 268380 335724 268386 335736
-rect 268966 335724 268972 335736
-rect 269024 335724 269030 335776
-rect 269794 335724 269800 335776
-rect 269852 335764 269858 335776
-rect 270438 335764 270444 335776
-rect 269852 335736 270444 335764
-rect 269852 335724 269858 335736
-rect 270438 335724 270444 335736
-rect 270496 335724 270502 335776
-rect 271174 335724 271180 335776
-rect 271232 335764 271238 335776
-rect 271910 335764 271916 335776
-rect 271232 335736 271916 335764
-rect 271232 335724 271238 335736
-rect 271910 335724 271916 335736
-rect 271968 335724 271974 335776
-rect 273750 335724 273756 335776
-rect 273808 335764 273814 335776
-rect 274854 335764 274860 335776
-rect 273808 335736 274860 335764
-rect 273808 335724 273814 335736
-rect 274854 335724 274860 335736
-rect 274912 335724 274918 335776
-rect 276510 335724 276516 335776
-rect 276568 335764 276574 335776
-rect 276786 335764 276792 335776
-rect 276568 335736 276792 335764
-rect 276568 335724 276574 335736
-rect 276786 335724 276792 335736
-rect 276844 335724 276850 335776
-rect 328214 335724 328220 335776
-rect 328272 335764 328278 335776
-rect 328674 335764 328680 335776
-rect 328272 335736 328680 335764
-rect 328272 335724 328278 335736
-rect 328674 335724 328680 335736
-rect 328732 335724 328738 335776
-rect 1600 335600 583316 335696
-rect 229958 335520 229964 335572
-rect 230016 335560 230022 335572
-rect 230694 335560 230700 335572
-rect 230016 335532 230700 335560
-rect 230016 335520 230022 335532
-rect 230694 335520 230700 335532
-rect 230752 335520 230758 335572
-rect 231338 335520 231344 335572
-rect 231396 335560 231402 335572
-rect 231982 335560 231988 335572
-rect 231396 335532 231988 335560
-rect 231396 335520 231402 335532
-rect 231982 335520 231988 335532
-rect 232040 335520 232046 335572
-rect 232994 335520 233000 335572
-rect 233052 335560 233058 335572
-rect 233454 335560 233460 335572
-rect 233052 335532 233460 335560
-rect 233052 335520 233058 335532
-rect 233454 335520 233460 335532
-rect 233512 335520 233518 335572
-rect 233822 335520 233828 335572
-rect 233880 335560 233886 335572
-rect 234742 335560 234748 335572
-rect 233880 335532 234748 335560
-rect 233880 335520 233886 335532
-rect 234742 335520 234748 335532
-rect 234800 335520 234806 335572
-rect 235294 335520 235300 335572
-rect 235352 335560 235358 335572
-rect 235662 335560 235668 335572
-rect 235352 335532 235668 335560
-rect 235352 335520 235358 335532
-rect 235662 335520 235668 335532
-rect 235720 335520 235726 335572
-rect 236766 335520 236772 335572
-rect 236824 335560 236830 335572
-rect 237134 335560 237140 335572
-rect 236824 335532 237140 335560
-rect 236824 335520 236830 335532
-rect 237134 335520 237140 335532
-rect 237192 335520 237198 335572
-rect 238146 335520 238152 335572
-rect 238204 335560 238210 335572
-rect 238606 335560 238612 335572
-rect 238204 335532 238612 335560
-rect 238204 335520 238210 335532
-rect 238606 335520 238612 335532
-rect 238664 335520 238670 335572
-rect 239618 335520 239624 335572
-rect 239676 335560 239682 335572
-rect 240354 335560 240360 335572
-rect 239676 335532 240360 335560
-rect 239676 335520 239682 335532
-rect 240354 335520 240360 335532
-rect 240412 335520 240418 335572
-rect 240814 335520 240820 335572
-rect 240872 335560 240878 335572
-rect 241090 335560 241096 335572
-rect 240872 335532 241096 335560
-rect 240872 335520 240878 335532
-rect 241090 335520 241096 335532
-rect 241148 335520 241154 335572
-rect 242378 335520 242384 335572
-rect 242436 335560 242442 335572
-rect 242562 335560 242568 335572
-rect 242436 335532 242568 335560
-rect 242436 335520 242442 335532
-rect 242562 335520 242568 335532
-rect 242620 335520 242626 335572
-rect 244034 335520 244040 335572
-rect 244092 335560 244098 335572
-rect 244494 335560 244500 335572
-rect 244092 335532 244500 335560
-rect 244092 335520 244098 335532
-rect 244494 335520 244500 335532
-rect 244552 335520 244558 335572
-rect 244862 335520 244868 335572
-rect 244920 335560 244926 335572
-rect 245506 335560 245512 335572
-rect 244920 335532 245512 335560
-rect 244920 335520 244926 335532
-rect 245506 335520 245512 335532
-rect 245564 335520 245570 335572
-rect 247714 335520 247720 335572
-rect 247772 335560 247778 335572
-rect 248450 335560 248456 335572
-rect 247772 335532 248456 335560
-rect 247772 335520 247778 335532
-rect 248450 335520 248456 335532
-rect 248508 335520 248514 335572
-rect 249002 335520 249008 335572
-rect 249060 335560 249066 335572
-rect 249922 335560 249928 335572
-rect 249060 335532 249928 335560
-rect 249060 335520 249066 335532
-rect 249922 335520 249928 335532
-rect 249980 335520 249986 335572
-rect 253326 335520 253332 335572
-rect 253384 335560 253390 335572
-rect 253602 335560 253608 335572
-rect 253384 335532 253608 335560
-rect 253384 335520 253390 335532
-rect 253602 335520 253608 335532
-rect 253660 335520 253666 335572
-rect 254706 335520 254712 335572
-rect 254764 335560 254770 335572
-rect 255258 335560 255264 335572
-rect 254764 335532 255264 335560
-rect 254764 335520 254770 335532
-rect 255258 335520 255264 335532
-rect 255316 335520 255322 335572
-rect 257466 335520 257472 335572
-rect 257524 335560 257530 335572
-rect 258202 335560 258208 335572
-rect 257524 335532 258208 335560
-rect 257524 335520 257530 335532
-rect 258202 335520 258208 335532
-rect 258260 335520 258266 335572
-rect 258754 335520 258760 335572
-rect 258812 335560 258818 335572
-rect 259490 335560 259496 335572
-rect 258812 335532 259496 335560
-rect 258812 335520 258818 335532
-rect 259490 335520 259496 335532
-rect 259548 335520 259554 335572
-rect 260226 335520 260232 335572
-rect 260284 335560 260290 335572
-rect 260686 335560 260692 335572
-rect 260284 335532 260692 335560
-rect 260284 335520 260290 335532
-rect 260686 335520 260692 335532
-rect 260744 335520 260750 335572
-rect 261698 335520 261704 335572
-rect 261756 335560 261762 335572
-rect 262342 335560 262348 335572
-rect 261756 335532 262348 335560
-rect 261756 335520 261762 335532
-rect 262342 335520 262348 335532
-rect 262400 335520 262406 335572
-rect 262894 335520 262900 335572
-rect 262952 335560 262958 335572
-rect 263354 335560 263360 335572
-rect 262952 335532 263360 335560
-rect 262952 335520 262958 335532
-rect 263354 335520 263360 335532
-rect 263412 335520 263418 335572
-rect 264090 335520 264096 335572
-rect 264148 335560 264154 335572
-rect 264366 335560 264372 335572
-rect 264148 335532 264372 335560
-rect 264148 335520 264154 335532
-rect 264366 335520 264372 335532
-rect 264424 335520 264430 335572
-rect 265838 335520 265844 335572
-rect 265896 335560 265902 335572
-rect 266574 335560 266580 335572
-rect 265896 335532 266580 335560
-rect 265896 335520 265902 335532
-rect 266574 335520 266580 335532
-rect 266632 335520 266638 335572
-rect 267126 335520 267132 335572
-rect 267184 335560 267190 335572
-rect 267770 335560 267776 335572
-rect 267184 335532 267776 335560
-rect 267184 335520 267190 335532
-rect 267770 335520 267776 335532
-rect 267828 335520 267834 335572
-rect 268506 335520 268512 335572
-rect 268564 335560 268570 335572
-rect 269242 335560 269248 335572
-rect 268564 335532 269248 335560
-rect 268564 335520 268570 335532
-rect 269242 335520 269248 335532
-rect 269300 335520 269306 335572
-rect 269978 335520 269984 335572
-rect 270036 335560 270042 335572
-rect 270714 335560 270720 335572
-rect 270036 335532 270720 335560
-rect 270036 335520 270042 335532
-rect 270714 335520 270720 335532
-rect 270772 335520 270778 335572
-rect 274118 335520 274124 335572
-rect 274176 335560 274182 335572
-rect 274670 335560 274676 335572
-rect 274176 335532 274676 335560
-rect 274176 335520 274182 335532
-rect 274670 335520 274676 335532
-rect 274728 335520 274734 335572
-rect 276786 335520 276792 335572
-rect 276844 335560 276850 335572
-rect 277338 335560 277344 335572
-rect 276844 335532 277344 335560
-rect 276844 335520 276850 335532
-rect 277338 335520 277344 335532
-rect 277396 335520 277402 335572
-rect 278074 335520 278080 335572
-rect 278132 335560 278138 335572
-rect 278810 335560 278816 335572
-rect 278132 335532 278816 335560
-rect 278132 335520 278138 335532
-rect 278810 335520 278816 335532
-rect 278868 335520 278874 335572
-rect 279270 335520 279276 335572
-rect 279328 335560 279334 335572
-rect 280282 335560 280288 335572
-rect 279328 335532 280288 335560
-rect 279328 335520 279334 335532
-rect 280282 335520 280288 335532
-rect 280340 335520 280346 335572
-rect 280742 335520 280748 335572
-rect 280800 335560 280806 335572
-rect 281478 335560 281484 335572
-rect 280800 335532 281484 335560
-rect 280800 335520 280806 335532
-rect 281478 335520 281484 335532
-rect 281536 335520 281542 335572
-rect 327846 335520 327852 335572
-rect 327904 335560 327910 335572
-rect 328674 335560 328680 335572
-rect 327904 335532 328680 335560
-rect 327904 335520 327910 335532
-rect 328674 335520 328680 335532
-rect 328732 335520 328738 335572
-rect 333182 335520 333188 335572
-rect 333240 335560 333246 335572
-rect 334286 335560 334292 335572
-rect 333240 335532 334292 335560
-rect 333240 335520 333246 335532
-rect 334286 335520 334292 335532
-rect 334344 335520 334350 335572
-rect 337966 335520 337972 335572
-rect 338024 335560 338030 335572
-rect 338426 335560 338432 335572
-rect 338024 335532 338432 335560
-rect 338024 335520 338030 335532
-rect 338426 335520 338432 335532
-rect 338484 335520 338490 335572
-rect 231062 335452 231068 335504
-rect 231120 335492 231126 335504
-rect 231798 335492 231804 335504
-rect 231120 335464 231804 335492
-rect 231120 335452 231126 335464
-rect 231798 335452 231804 335464
-rect 231856 335452 231862 335504
-rect 232718 335452 232724 335504
-rect 232776 335492 232782 335504
-rect 233270 335492 233276 335504
-rect 232776 335464 233276 335492
-rect 232776 335452 232782 335464
-rect 233270 335452 233276 335464
-rect 233328 335452 233334 335504
-rect 237870 335452 237876 335504
-rect 237928 335492 237934 335504
-rect 238882 335492 238888 335504
-rect 237928 335464 238888 335492
-rect 237928 335452 237934 335464
-rect 238882 335452 238888 335464
-rect 238940 335452 238946 335504
-rect 239434 335452 239440 335504
-rect 239492 335492 239498 335504
-rect 240078 335492 240084 335504
-rect 239492 335464 240084 335492
-rect 239492 335452 239498 335464
-rect 240078 335452 240084 335464
-rect 240136 335452 240142 335504
-rect 242010 335452 242016 335504
-rect 242068 335492 242074 335504
-rect 242286 335492 242292 335504
-rect 242068 335464 242292 335492
-rect 242068 335452 242074 335464
-rect 242286 335452 242292 335464
-rect 242344 335452 242350 335504
-rect 243574 335452 243580 335504
-rect 243632 335492 243638 335504
-rect 243942 335492 243948 335504
-rect 243632 335464 243948 335492
-rect 243632 335452 243638 335464
-rect 243942 335452 243948 335464
-rect 244000 335452 244006 335504
-rect 270070 335452 270076 335504
-rect 270128 335492 270134 335504
-rect 270254 335492 270260 335504
-rect 270128 335464 270260 335492
-rect 270128 335452 270134 335464
-rect 270254 335452 270260 335464
-rect 270312 335452 270318 335504
-rect 272646 335452 272652 335504
-rect 272704 335492 272710 335504
-rect 273382 335492 273388 335504
-rect 272704 335464 273388 335492
-rect 272704 335452 272710 335464
-rect 273382 335452 273388 335464
-rect 273440 335452 273446 335504
-rect 284974 335452 284980 335504
-rect 285032 335492 285038 335504
-rect 285618 335492 285624 335504
-rect 285032 335464 285624 335492
-rect 285032 335452 285038 335464
-rect 285618 335452 285624 335464
-rect 285676 335452 285682 335504
-rect 289022 335452 289028 335504
-rect 289080 335492 289086 335504
-rect 289666 335492 289672 335504
-rect 289080 335464 289672 335492
-rect 289080 335452 289086 335464
-rect 289666 335452 289672 335464
-rect 289724 335452 289730 335504
-rect 313126 335452 313132 335504
-rect 313184 335492 313190 335504
-rect 313586 335492 313592 335504
-rect 313184 335464 313592 335492
-rect 313184 335452 313190 335464
-rect 313586 335452 313592 335464
-rect 313644 335452 313650 335504
-rect 318002 335452 318008 335504
-rect 318060 335492 318066 335504
-rect 319198 335492 319204 335504
-rect 318060 335464 319204 335492
-rect 318060 335452 318066 335464
-rect 319198 335452 319204 335464
-rect 319256 335452 319262 335504
-rect 319474 335452 319480 335504
-rect 319532 335492 319538 335504
-rect 320486 335492 320492 335504
-rect 319532 335464 320492 335492
-rect 319532 335452 319538 335464
-rect 320486 335452 320492 335464
-rect 320544 335452 320550 335504
-rect 322142 335452 322148 335504
-rect 322200 335492 322206 335504
-rect 323062 335492 323068 335504
-rect 322200 335464 323068 335492
-rect 322200 335452 322206 335464
-rect 323062 335452 323068 335464
-rect 323120 335452 323126 335504
-rect 240814 335384 240820 335436
-rect 240872 335424 240878 335436
-rect 241550 335424 241556 335436
-rect 240872 335396 241556 335424
-rect 240872 335384 240878 335396
-rect 241550 335384 241556 335396
-rect 241608 335384 241614 335436
-rect 264366 335384 264372 335436
-rect 264424 335424 264430 335436
-rect 265102 335424 265108 335436
-rect 264424 335396 265108 335424
-rect 264424 335384 264430 335396
-rect 265102 335384 265108 335396
-rect 265160 335384 265166 335436
-rect 250382 335316 250388 335368
-rect 250440 335356 250446 335368
-rect 251118 335356 251124 335368
-rect 250440 335328 251124 335356
-rect 250440 335316 250446 335328
-rect 251118 335316 251124 335328
-rect 251176 335316 251182 335368
-rect 251854 335316 251860 335368
-rect 251912 335356 251918 335368
-rect 252590 335356 252596 335368
-rect 251912 335328 252596 335356
-rect 251912 335316 251918 335328
-rect 252590 335316 252596 335328
-rect 252648 335316 252654 335368
-rect 338794 335316 338800 335368
-rect 338852 335356 338858 335368
-rect 339806 335356 339812 335368
-rect 338852 335328 339812 335356
-rect 338852 335316 338858 335328
-rect 339806 335316 339812 335328
-rect 339864 335316 339870 335368
-rect 250474 335248 250480 335300
-rect 250532 335288 250538 335300
-rect 250750 335288 250756 335300
-rect 250532 335260 250756 335288
-rect 250532 335248 250538 335260
-rect 250750 335248 250756 335260
-rect 250808 335248 250814 335300
-rect 251946 335248 251952 335300
-rect 252004 335288 252010 335300
-rect 252406 335288 252412 335300
-rect 252004 335260 252412 335288
-rect 252004 335248 252010 335260
-rect 252406 335248 252412 335260
-rect 252464 335248 252470 335300
-rect 277062 335248 277068 335300
-rect 277120 335288 277126 335300
-rect 277522 335288 277528 335300
-rect 277120 335260 277528 335288
-rect 277120 335248 277126 335260
-rect 277522 335248 277528 335260
-rect 277580 335248 277586 335300
-rect 319934 335288 319940 335300
-rect 319895 335260 319940 335288
-rect 319934 335248 319940 335260
-rect 319992 335248 319998 335300
-rect 1600 335056 583316 335152
-rect 263170 334908 263176 334960
-rect 263228 334948 263234 334960
-rect 263814 334948 263820 334960
-rect 263228 334920 263820 334948
-rect 263228 334908 263234 334920
-rect 263814 334908 263820 334920
-rect 263872 334908 263878 334960
-rect 305582 334704 305588 334756
-rect 305640 334744 305646 334756
-rect 366210 334744 366216 334756
-rect 305640 334716 366216 334744
-rect 305640 334704 305646 334716
-rect 366210 334704 366216 334716
-rect 366268 334704 366274 334756
-rect 152221 334679 152279 334685
-rect 152221 334645 152233 334679
-rect 152267 334676 152279 334679
-rect 261514 334676 261520 334688
-rect 152267 334648 261520 334676
-rect 152267 334645 152279 334648
-rect 152221 334639 152279 334645
-rect 261514 334636 261520 334648
-rect 261572 334636 261578 334688
-rect 342750 334636 342756 334688
-rect 342808 334676 342814 334688
-rect 548370 334676 548376 334688
-rect 342808 334648 548376 334676
-rect 342808 334636 342814 334648
-rect 548370 334636 548376 334648
-rect 548428 334636 548434 334688
-rect 1600 334512 583316 334608
-rect 279825 334339 279883 334345
-rect 279825 334305 279837 334339
-rect 279871 334336 279883 334339
-rect 280006 334336 280012 334348
-rect 279871 334308 280012 334336
-rect 279871 334305 279883 334308
-rect 279825 334299 279883 334305
-rect 280006 334296 280012 334308
-rect 280064 334296 280070 334348
-rect 1600 333968 583316 334064
-rect 276510 333888 276516 333940
-rect 276568 333928 276574 333940
-rect 276568 333900 276613 333928
-rect 276568 333888 276574 333900
-rect 236674 333548 236680 333600
-rect 236732 333588 236738 333600
-rect 237410 333588 237416 333600
-rect 236732 333560 237416 333588
-rect 236732 333548 236738 333560
-rect 237410 333548 237416 333560
-rect 237468 333548 237474 333600
-rect 1600 333424 583316 333520
-rect 204658 333344 204664 333396
-rect 204716 333384 204722 333396
-rect 272370 333384 272376 333396
-rect 204716 333356 272376 333384
-rect 204716 333344 204722 333356
-rect 272370 333344 272376 333356
-rect 272428 333344 272434 333396
-rect 303098 333344 303104 333396
-rect 303156 333384 303162 333396
-rect 353790 333384 353796 333396
-rect 303156 333356 353796 333384
-rect 303156 333344 303162 333356
-rect 353790 333344 353796 333356
-rect 353848 333344 353854 333396
-rect 163258 333276 163264 333328
-rect 163316 333316 163322 333328
-rect 263722 333316 263728 333328
-rect 163316 333288 263728 333316
-rect 163316 333276 163322 333288
-rect 263722 333276 263728 333288
-rect 263780 333276 263786 333328
-rect 284882 333276 284888 333328
-rect 284940 333316 284946 333328
-rect 285158 333316 285164 333328
-rect 284940 333288 285164 333316
-rect 284940 333276 284946 333288
-rect 285158 333276 285164 333288
-rect 285216 333276 285222 333328
-rect 329870 333276 329876 333328
-rect 329928 333316 329934 333328
-rect 483510 333316 483516 333328
-rect 329928 333288 483516 333316
-rect 329928 333276 329934 333288
-rect 483510 333276 483516 333288
-rect 483568 333276 483574 333328
-rect 145318 333208 145324 333260
-rect 145376 333248 145382 333260
-rect 260134 333248 260140 333260
-rect 145376 333220 260140 333248
-rect 145376 333208 145382 333220
-rect 260134 333208 260140 333220
-rect 260192 333208 260198 333260
-rect 262618 333208 262624 333260
-rect 262676 333248 262682 333260
-rect 270901 333251 270959 333257
-rect 270901 333248 270913 333251
-rect 262676 333220 270913 333248
-rect 262676 333208 262682 333220
-rect 270901 333217 270913 333220
-rect 270947 333217 270959 333251
-rect 270901 333211 270959 333217
-rect 348822 333208 348828 333260
-rect 348880 333248 348886 333260
-rect 575970 333248 575976 333260
-rect 348880 333220 575976 333248
-rect 348880 333208 348886 333220
-rect 575970 333208 575976 333220
-rect 576028 333208 576034 333260
-rect 1600 332880 583316 332976
-rect 279362 332800 279368 332852
-rect 279420 332840 279426 332852
-rect 279730 332840 279736 332852
-rect 279420 332812 279736 332840
-rect 279420 332800 279426 332812
-rect 279730 332800 279736 332812
-rect 279788 332800 279794 332852
-rect 1600 332336 583316 332432
-rect 214321 332027 214379 332033
-rect 214321 331993 214333 332027
-rect 214367 332024 214379 332027
-rect 274302 332024 274308 332036
-rect 214367 331996 274308 332024
-rect 214367 331993 214379 331996
-rect 214321 331987 214379 331993
-rect 274302 331984 274308 331996
-rect 274360 331984 274366 332036
-rect 287918 331984 287924 332036
-rect 287976 332024 287982 332036
-rect 288378 332024 288384 332036
-rect 287976 331996 288384 332024
-rect 287976 331984 287982 331996
-rect 288378 331984 288384 331996
-rect 288436 331984 288442 332036
-rect 307054 331984 307060 332036
-rect 307112 332024 307118 332036
-rect 373018 332024 373024 332036
-rect 307112 331996 373024 332024
-rect 307112 331984 307118 331996
-rect 373018 331984 373024 331996
-rect 373076 331984 373082 332036
-rect 117718 331916 117724 331968
-rect 117776 331956 117782 331968
-rect 254430 331956 254436 331968
-rect 117776 331928 254436 331956
-rect 117776 331916 117782 331928
-rect 254430 331916 254436 331928
-rect 254488 331916 254494 331968
-rect 278721 331959 278779 331965
-rect 278721 331925 278733 331959
-rect 278767 331956 278779 331959
-rect 278810 331956 278816 331968
-rect 278767 331928 278816 331956
-rect 278767 331925 278779 331928
-rect 278721 331919 278779 331925
-rect 278810 331916 278816 331928
-rect 278868 331916 278874 331968
-rect 337690 331916 337696 331968
-rect 337748 331956 337754 331968
-rect 520770 331956 520776 331968
-rect 337748 331928 520776 331956
-rect 337748 331916 337754 331928
-rect 520770 331916 520776 331928
-rect 520828 331916 520834 331968
-rect 1600 331792 583316 331888
-rect 282306 331576 282312 331628
-rect 282364 331616 282370 331628
-rect 283226 331616 283232 331628
-rect 282364 331588 283232 331616
-rect 282364 331576 282370 331588
-rect 283226 331576 283232 331588
-rect 283284 331576 283290 331628
-rect 285345 331483 285403 331489
-rect 285345 331449 285357 331483
-rect 285391 331480 285403 331483
-rect 285434 331480 285440 331492
-rect 285391 331452 285440 331480
-rect 285391 331449 285403 331452
-rect 285345 331443 285403 331449
-rect 285434 331440 285440 331452
-rect 285492 331440 285498 331492
-rect 259033 331415 259091 331421
-rect 259033 331381 259045 331415
-rect 259079 331412 259091 331415
-rect 259122 331412 259128 331424
-rect 259079 331384 259128 331412
-rect 259079 331381 259091 331384
-rect 259033 331375 259091 331381
-rect 259122 331372 259128 331384
-rect 259180 331372 259186 331424
-rect 1600 331248 583316 331344
-rect 254706 331168 254712 331220
-rect 254764 331208 254770 331220
-rect 254890 331208 254896 331220
-rect 254764 331180 254896 331208
-rect 254764 331168 254770 331180
-rect 254890 331168 254896 331180
-rect 254948 331168 254954 331220
-rect 259030 331208 259036 331220
-rect 258991 331180 259036 331208
-rect 259030 331168 259036 331180
-rect 259088 331168 259094 331220
-rect 340818 331168 340824 331220
-rect 340876 331208 340882 331220
-rect 341186 331208 341192 331220
-rect 340876 331180 341192 331208
-rect 340876 331168 340882 331180
-rect 341186 331168 341192 331180
-rect 341244 331168 341250 331220
-rect 259122 331140 259128 331152
-rect 259083 331112 259128 331140
-rect 259122 331100 259128 331112
-rect 259180 331100 259186 331152
-rect 284977 331143 285035 331149
-rect 284977 331109 284989 331143
-rect 285023 331140 285035 331143
-rect 285158 331140 285164 331152
-rect 285023 331112 285164 331140
-rect 285023 331109 285035 331112
-rect 284977 331103 285035 331109
-rect 285158 331100 285164 331112
-rect 285216 331100 285222 331152
-rect 285434 331100 285440 331152
-rect 285492 331140 285498 331152
-rect 288746 331140 288752 331152
-rect 285492 331112 288752 331140
-rect 285492 331100 285498 331112
-rect 288746 331100 288752 331112
-rect 288804 331100 288810 331152
-rect 371914 331140 371920 331152
-rect 371875 331112 371920 331140
-rect 371914 331100 371920 331112
-rect 371972 331100 371978 331152
-rect 246426 331032 246432 331084
-rect 246484 331072 246490 331084
-rect 246702 331072 246708 331084
-rect 246484 331044 246708 331072
-rect 246484 331032 246490 331044
-rect 246702 331032 246708 331044
-rect 246760 331032 246766 331084
-rect 271358 331032 271364 331084
-rect 271416 331072 271422 331084
-rect 271726 331072 271732 331084
-rect 271416 331044 271732 331072
-rect 271416 331032 271422 331044
-rect 271726 331032 271732 331044
-rect 271784 331032 271790 331084
-rect 1600 330704 583316 330800
-rect 167398 330624 167404 330676
-rect 167456 330664 167462 330676
-rect 264090 330664 264096 330676
-rect 167456 330636 264096 330664
-rect 167456 330624 167462 330636
-rect 264090 330624 264096 330636
-rect 264148 330624 264154 330676
-rect 303558 330624 303564 330676
-rect 303616 330664 303622 330676
-rect 355170 330664 355176 330676
-rect 303616 330636 355176 330664
-rect 303616 330624 303622 330636
-rect 355170 330624 355176 330636
-rect 355228 330624 355234 330676
-rect 156358 330556 156364 330608
-rect 156416 330596 156422 330608
-rect 262066 330596 262072 330608
-rect 156416 330568 262072 330596
-rect 156416 330556 156422 330568
-rect 262066 330556 262072 330568
-rect 262124 330556 262130 330608
-rect 330514 330556 330520 330608
-rect 330572 330596 330578 330608
-rect 486270 330596 486276 330608
-rect 330572 330568 486276 330596
-rect 330572 330556 330578 330568
-rect 486270 330556 486276 330568
-rect 486328 330556 486334 330608
-rect 23878 330488 23884 330540
-rect 23936 330528 23942 330540
-rect 235110 330528 235116 330540
-rect 23936 330500 235116 330528
-rect 23936 330488 23942 330500
-rect 235110 330488 235116 330500
-rect 235168 330488 235174 330540
-rect 342014 330488 342020 330540
-rect 342072 330528 342078 330540
-rect 342382 330528 342388 330540
-rect 342072 330500 342388 330528
-rect 342072 330488 342078 330500
-rect 342382 330488 342388 330500
-rect 342440 330488 342446 330540
-rect 530430 330528 530436 330540
-rect 342492 330500 530436 330528
-rect 339346 330420 339352 330472
-rect 339404 330460 339410 330472
-rect 342492 330460 342520 330500
-rect 530430 330488 530436 330500
-rect 530488 330488 530494 330540
-rect 339404 330432 342520 330460
-rect 339404 330420 339410 330432
-rect 1600 330160 583316 330256
-rect 1600 329616 583316 329712
-rect 221218 329196 221224 329248
-rect 221276 329236 221282 329248
-rect 275130 329236 275136 329248
-rect 221276 329208 275136 329236
-rect 221276 329196 221282 329208
-rect 275130 329196 275136 329208
-rect 275188 329196 275194 329248
-rect 322510 329196 322516 329248
-rect 322568 329236 322574 329248
-rect 447630 329236 447636 329248
-rect 322568 329208 447636 329236
-rect 322568 329196 322574 329208
-rect 447630 329196 447636 329208
-rect 447688 329196 447694 329248
-rect 1600 329072 583316 329168
-rect 1600 328528 583316 328624
-rect 252498 328448 252504 328500
-rect 252556 328488 252562 328500
-rect 252866 328488 252872 328500
-rect 252556 328460 252872 328488
-rect 252556 328448 252562 328460
-rect 252866 328448 252872 328460
-rect 252924 328448 252930 328500
-rect 259306 328448 259312 328500
-rect 259364 328488 259370 328500
-rect 259766 328488 259772 328500
-rect 259364 328460 259772 328488
-rect 259364 328448 259370 328460
-rect 259766 328448 259772 328460
-rect 259824 328448 259830 328500
-rect 285342 328488 285348 328500
-rect 285303 328460 285348 328488
-rect 285342 328448 285348 328460
-rect 285400 328448 285406 328500
-rect 285710 328448 285716 328500
-rect 285768 328488 285774 328500
-rect 285986 328488 285992 328500
-rect 285768 328460 285992 328488
-rect 285768 328448 285774 328460
-rect 285986 328448 285992 328460
-rect 286044 328448 286050 328500
-rect 333366 328448 333372 328500
-rect 333424 328488 333430 328500
-rect 333918 328488 333924 328500
-rect 333424 328460 333924 328488
-rect 333424 328448 333430 328460
-rect 333918 328448 333924 328460
-rect 333976 328448 333982 328500
-rect 229869 328423 229927 328429
-rect 229869 328389 229881 328423
-rect 229915 328420 229927 328423
-rect 229958 328420 229964 328432
-rect 229915 328392 229964 328420
-rect 229915 328389 229927 328392
-rect 229869 328383 229927 328389
-rect 229958 328380 229964 328392
-rect 230016 328380 230022 328432
-rect 235481 328423 235539 328429
-rect 235481 328389 235493 328423
-rect 235527 328420 235539 328423
-rect 235570 328420 235576 328432
-rect 235527 328392 235576 328420
-rect 235527 328389 235539 328392
-rect 235481 328383 235539 328389
-rect 235570 328380 235576 328392
-rect 235628 328380 235634 328432
-rect 268598 328420 268604 328432
-rect 268559 328392 268604 328420
-rect 268598 328380 268604 328392
-rect 268656 328380 268662 328432
-rect 318646 328420 318652 328432
-rect 318607 328392 318652 328420
-rect 318646 328380 318652 328392
-rect 318704 328380 318710 328432
-rect 328122 328380 328128 328432
-rect 328180 328420 328186 328432
-rect 328214 328420 328220 328432
-rect 328180 328392 328220 328420
-rect 328180 328380 328186 328392
-rect 328214 328380 328220 328392
-rect 328272 328380 328278 328432
-rect 371914 328420 371920 328432
-rect 371875 328392 371920 328420
-rect 371914 328380 371920 328392
-rect 371972 328380 371978 328432
-rect 1600 327984 583316 328080
-rect 218458 327836 218464 327888
-rect 218516 327876 218522 327888
-rect 273750 327876 273756 327888
-rect 218516 327848 273756 327876
-rect 218516 327836 218522 327848
-rect 273750 327836 273756 327848
-rect 273808 327836 273814 327888
-rect 305122 327836 305128 327888
-rect 305180 327876 305186 327888
-rect 362070 327876 362076 327888
-rect 305180 327848 362076 327876
-rect 305180 327836 305186 327848
-rect 362070 327836 362076 327848
-rect 362128 327836 362134 327888
-rect 170161 327811 170219 327817
-rect 170161 327777 170173 327811
-rect 170207 327808 170219 327811
-rect 264366 327808 264372 327820
-rect 170207 327780 264372 327808
-rect 170207 327777 170219 327780
-rect 170161 327771 170219 327777
-rect 264366 327768 264372 327780
-rect 264424 327768 264430 327820
-rect 331250 327768 331256 327820
-rect 331308 327808 331314 327820
-rect 490410 327808 490416 327820
-rect 331308 327780 490416 327808
-rect 331308 327768 331314 327780
-rect 490410 327768 490416 327780
-rect 490468 327768 490474 327820
-rect 77698 327700 77704 327752
-rect 77756 327740 77762 327752
-rect 246150 327740 246156 327752
-rect 77756 327712 246156 327740
-rect 77756 327700 77762 327712
-rect 246150 327700 246156 327712
-rect 246208 327700 246214 327752
-rect 341830 327700 341836 327752
-rect 341888 327740 341894 327752
-rect 541470 327740 541476 327752
-rect 341888 327712 541476 327740
-rect 341888 327700 341894 327712
-rect 541470 327700 541476 327712
-rect 541528 327700 541534 327752
-rect 1600 327440 583316 327536
-rect 152218 327128 152224 327140
-rect 152179 327100 152224 327128
-rect 152218 327088 152224 327100
-rect 152276 327088 152282 327140
-rect 170158 327128 170164 327140
-rect 170119 327100 170164 327128
-rect 170158 327088 170164 327100
-rect 170216 327088 170222 327140
-rect 214318 327128 214324 327140
-rect 214279 327100 214324 327128
-rect 214318 327088 214324 327100
-rect 214376 327088 214382 327140
-rect 246518 327088 246524 327140
-rect 246576 327128 246582 327140
-rect 246702 327128 246708 327140
-rect 246576 327100 246708 327128
-rect 246576 327088 246582 327100
-rect 246702 327088 246708 327100
-rect 246760 327088 246766 327140
-rect 279822 327128 279828 327140
-rect 279783 327100 279828 327128
-rect 279822 327088 279828 327100
-rect 279880 327088 279886 327140
-rect 392246 327088 392252 327140
-rect 392304 327128 392310 327140
-rect 392430 327128 392436 327140
-rect 392304 327100 392436 327128
-rect 392304 327088 392310 327100
-rect 392430 327088 392436 327100
-rect 392488 327088 392494 327140
-rect 328122 327060 328128 327072
-rect 328083 327032 328128 327060
-rect 328122 327020 328128 327032
-rect 328180 327020 328186 327072
-rect 373018 327060 373024 327072
-rect 372979 327032 373024 327060
-rect 373018 327020 373024 327032
-rect 373076 327020 373082 327072
-rect 1600 326896 583316 326992
-rect 278718 326856 278724 326868
-rect 278679 326828 278724 326856
-rect 278718 326816 278724 326828
-rect 278776 326816 278782 326868
-rect 186718 326476 186724 326528
-rect 186776 326516 186782 326528
-rect 268230 326516 268236 326528
-rect 186776 326488 268236 326516
-rect 186776 326476 186782 326488
-rect 268230 326476 268236 326488
-rect 268288 326476 268294 326528
-rect 327018 326476 327024 326528
-rect 327076 326516 327082 326528
-rect 465570 326516 465576 326528
-rect 327076 326488 465576 326516
-rect 327076 326476 327082 326488
-rect 465570 326476 465576 326488
-rect 465628 326476 465634 326528
-rect 1600 326352 583316 326448
-rect 1600 325808 583316 325904
-rect 1600 325264 583316 325360
-rect 229498 325048 229504 325100
-rect 229556 325088 229562 325100
-rect 276878 325088 276884 325100
-rect 229556 325060 276884 325088
-rect 229556 325048 229562 325060
-rect 276878 325048 276884 325060
-rect 276936 325048 276942 325100
-rect 306502 325048 306508 325100
-rect 306560 325088 306566 325100
-rect 368970 325088 368976 325100
-rect 306560 325060 368976 325088
-rect 306560 325048 306566 325060
-rect 368970 325048 368976 325060
-rect 369028 325048 369034 325100
-rect 174298 324980 174304 325032
-rect 174356 325020 174362 325032
-rect 265930 325020 265936 325032
-rect 174356 324992 265936 325020
-rect 174356 324980 174362 324992
-rect 265930 324980 265936 324992
-rect 265988 324980 265994 325032
-rect 332630 324980 332636 325032
-rect 332688 325020 332694 325032
-rect 494550 325020 494556 325032
-rect 332688 324992 494556 325020
-rect 332688 324980 332694 324992
-rect 494550 324980 494556 324992
-rect 494608 324980 494614 325032
-rect 73558 324912 73564 324964
-rect 73616 324952 73622 324964
-rect 245046 324952 245052 324964
-rect 73616 324924 245052 324952
-rect 73616 324912 73622 324924
-rect 245046 324912 245052 324924
-rect 245104 324912 245110 324964
-rect 345050 324912 345056 324964
-rect 345108 324952 345114 324964
-rect 553890 324952 553896 324964
-rect 345108 324924 553896 324952
-rect 345108 324912 345114 324924
-rect 553890 324912 553896 324924
-rect 553948 324912 553954 324964
-rect 1600 324720 583316 324816
-rect 275774 324368 275780 324420
-rect 275832 324408 275838 324420
-rect 276142 324408 276148 324420
-rect 275832 324380 276148 324408
-rect 275832 324368 275838 324380
-rect 276142 324368 276148 324380
-rect 276200 324368 276206 324420
-rect 276513 324411 276571 324417
-rect 276513 324377 276525 324411
-rect 276559 324408 276571 324411
-rect 276602 324408 276608 324420
-rect 276559 324380 276608 324408
-rect 276559 324377 276571 324380
-rect 276513 324371 276571 324377
-rect 276602 324368 276608 324380
-rect 276660 324368 276666 324420
-rect 272922 324300 272928 324352
-rect 272980 324340 272986 324352
-rect 273014 324340 273020 324352
-rect 272980 324312 273020 324340
-rect 272980 324300 272986 324312
-rect 273014 324300 273020 324312
-rect 273072 324300 273078 324352
-rect 275682 324300 275688 324352
-rect 275740 324340 275746 324352
-rect 275958 324340 275964 324352
-rect 275740 324312 275964 324340
-rect 275740 324300 275746 324312
-rect 275958 324300 275964 324312
-rect 276016 324300 276022 324352
-rect 1600 324176 583316 324272
-rect 1600 323632 583316 323728
-rect 194906 323552 194912 323604
-rect 194964 323592 194970 323604
-rect 270162 323592 270168 323604
-rect 194964 323564 270168 323592
-rect 194964 323552 194970 323564
-rect 270162 323552 270168 323564
-rect 270220 323552 270226 323604
-rect 313310 323552 313316 323604
-rect 313368 323592 313374 323604
-rect 399330 323592 399336 323604
-rect 313368 323564 399336 323592
-rect 313368 323552 313374 323564
-rect 399330 323552 399336 323564
-rect 399388 323552 399394 323604
-rect 1600 323088 583316 323184
-rect 1600 322544 583316 322640
-rect 177058 322260 177064 322312
-rect 177116 322300 177122 322312
-rect 265838 322300 265844 322312
-rect 177116 322272 265844 322300
-rect 177116 322260 177122 322272
-rect 265838 322260 265844 322272
-rect 265896 322260 265902 322312
-rect 332722 322260 332728 322312
-rect 332780 322300 332786 322312
-rect 497310 322300 497316 322312
-rect 332780 322272 497316 322300
-rect 332780 322260 332786 322272
-rect 497310 322260 497316 322272
-rect 497368 322260 497374 322312
-rect 135658 322192 135664 322244
-rect 135716 322232 135722 322244
-rect 257926 322232 257932 322244
-rect 135716 322204 257932 322232
-rect 135716 322192 135722 322204
-rect 257926 322192 257932 322204
-rect 257984 322192 257990 322244
-rect 299510 322192 299516 322244
-rect 299568 322232 299574 322244
-rect 331710 322232 331716 322244
-rect 299568 322204 331716 322232
-rect 299568 322192 299574 322204
-rect 331710 322192 331716 322204
-rect 331768 322192 331774 322244
-rect 343670 322192 343676 322244
-rect 343728 322232 343734 322244
-rect 552510 322232 552516 322244
-rect 343728 322204 552516 322232
-rect 343728 322192 343734 322204
-rect 552510 322192 552516 322204
-rect 552568 322192 552574 322244
-rect 1600 322000 583316 322096
-rect 235665 321963 235723 321969
-rect 235665 321929 235677 321963
-rect 235711 321960 235723 321963
-rect 235754 321960 235760 321972
-rect 235711 321932 235760 321960
-rect 235711 321929 235723 321932
-rect 235665 321923 235723 321929
-rect 235754 321920 235760 321932
-rect 235812 321920 235818 321972
-rect 239250 321580 239256 321632
-rect 239308 321620 239314 321632
-rect 239434 321620 239440 321632
-rect 239308 321592 239440 321620
-rect 239308 321580 239314 321592
-rect 239434 321580 239440 321592
-rect 239492 321580 239498 321632
-rect 258938 321580 258944 321632
-rect 258996 321620 259002 321632
-rect 259122 321620 259128 321632
-rect 258996 321592 259128 321620
-rect 258996 321580 259002 321592
-rect 259122 321580 259128 321592
-rect 259180 321580 259186 321632
-rect 272554 321580 272560 321632
-rect 272612 321620 272618 321632
-rect 272738 321620 272744 321632
-rect 272612 321592 272744 321620
-rect 272612 321580 272618 321592
-rect 272738 321580 272744 321592
-rect 272796 321580 272802 321632
-rect 340818 321580 340824 321632
-rect 340876 321620 340882 321632
-rect 341186 321620 341192 321632
-rect 340876 321592 341192 321620
-rect 340876 321580 340882 321592
-rect 341186 321580 341192 321592
-rect 341244 321580 341250 321632
-rect 1600 321456 583316 321552
-rect 229866 321416 229872 321428
-rect 229827 321388 229872 321416
-rect 229866 321376 229872 321388
-rect 229924 321376 229930 321428
-rect 268601 321419 268659 321425
-rect 268601 321385 268613 321419
-rect 268647 321416 268659 321419
-rect 268690 321416 268696 321428
-rect 268647 321388 268696 321416
-rect 268647 321385 268659 321388
-rect 268601 321379 268659 321385
-rect 268690 321376 268696 321388
-rect 268748 321376 268754 321428
-rect 318646 321416 318652 321428
-rect 318607 321388 318652 321416
-rect 318646 321376 318652 321388
-rect 318704 321376 318710 321428
-rect 340818 321376 340824 321428
-rect 340876 321416 340882 321428
-rect 341186 321416 341192 321428
-rect 340876 321388 341192 321416
-rect 340876 321376 340882 321388
-rect 341186 321376 341192 321388
-rect 341244 321376 341250 321428
-rect 371914 321416 371920 321428
-rect 371875 321388 371920 321416
-rect 371914 321376 371920 321388
-rect 371972 321376 371978 321428
-rect 1600 320912 583316 321008
-rect 110818 320832 110824 320884
-rect 110876 320872 110882 320884
-rect 252498 320872 252504 320884
-rect 110876 320844 252504 320872
-rect 110876 320832 110882 320844
-rect 252498 320832 252504 320844
-rect 252556 320832 252562 320884
-rect 261238 320668 261244 320680
-rect 261199 320640 261244 320668
-rect 261238 320628 261244 320640
-rect 261296 320628 261302 320680
-rect 254154 320492 254160 320544
-rect 254212 320532 254218 320544
-rect 261146 320532 261152 320544
-rect 254212 320504 261152 320532
-rect 254212 320492 254218 320504
-rect 261146 320492 261152 320504
-rect 261204 320492 261210 320544
-rect 488294 320492 488300 320544
-rect 488352 320532 488358 320544
-rect 493078 320532 493084 320544
-rect 488352 320504 493084 320532
-rect 488352 320492 488358 320504
-rect 493078 320492 493084 320504
-rect 493136 320492 493142 320544
-rect 1600 320368 583316 320464
-rect 418558 320288 418564 320340
-rect 418616 320328 418622 320340
-rect 425458 320328 425464 320340
-rect 418616 320300 425464 320328
-rect 418616 320288 418622 320300
-rect 425458 320288 425464 320300
-rect 425516 320288 425522 320340
-rect 437878 320288 437884 320340
-rect 437936 320328 437942 320340
-rect 444778 320328 444784 320340
-rect 437936 320300 444784 320328
-rect 437936 320288 437942 320300
-rect 444778 320288 444784 320300
-rect 444836 320288 444842 320340
-rect 457198 320288 457204 320340
-rect 457256 320328 457262 320340
-rect 464098 320328 464104 320340
-rect 457256 320300 464104 320328
-rect 457256 320288 457262 320300
-rect 464098 320288 464104 320300
-rect 464156 320288 464162 320340
-rect 476518 320288 476524 320340
-rect 476576 320328 476582 320340
-rect 483418 320328 483424 320340
-rect 476576 320300 483424 320328
-rect 476576 320288 476582 320300
-rect 483418 320288 483424 320300
-rect 483476 320288 483482 320340
-rect 541562 320288 541568 320340
-rect 541620 320328 541626 320340
-rect 544322 320328 544328 320340
-rect 541620 320300 544328 320328
-rect 541620 320288 541626 320300
-rect 544322 320288 544328 320300
-rect 544380 320288 544386 320340
-rect 261238 320260 261244 320272
-rect 261199 320232 261244 320260
-rect 261238 320220 261244 320232
-rect 261296 320220 261302 320272
-rect 290310 320220 290316 320272
-rect 290368 320260 290374 320272
-rect 294634 320260 294640 320272
-rect 290368 320232 294640 320260
-rect 290368 320220 290374 320232
-rect 294634 320220 294640 320232
-rect 294692 320220 294698 320272
-rect 1600 319824 583316 319920
-rect 311930 319540 311936 319592
-rect 311988 319580 311994 319592
-rect 395190 319580 395196 319592
-rect 311988 319552 395196 319580
-rect 311988 319540 311994 319552
-rect 395190 319540 395196 319552
-rect 395248 319540 395254 319592
-rect 185338 319472 185344 319524
-rect 185396 319512 185402 319524
-rect 267586 319512 267592 319524
-rect 185396 319484 267592 319512
-rect 185396 319472 185402 319484
-rect 267586 319472 267592 319484
-rect 267644 319472 267650 319524
-rect 333918 319472 333924 319524
-rect 333976 319512 333982 319524
-rect 501450 319512 501456 319524
-rect 333976 319484 501456 319512
-rect 333976 319472 333982 319484
-rect 501450 319472 501456 319484
-rect 501508 319472 501514 319524
-rect 134186 319404 134192 319456
-rect 134244 319444 134250 319456
-rect 257558 319444 257564 319456
-rect 134244 319416 257564 319444
-rect 134244 319404 134250 319416
-rect 257558 319404 257564 319416
-rect 257616 319404 257622 319456
-rect 346338 319404 346344 319456
-rect 346396 319444 346402 319456
-rect 560790 319444 560796 319456
-rect 346396 319416 560796 319444
-rect 346396 319404 346402 319416
-rect 560790 319404 560796 319416
-rect 560848 319404 560854 319456
-rect 1600 319280 583316 319376
-rect 235478 318900 235484 318912
-rect 235439 318872 235484 318900
-rect 235478 318860 235484 318872
-rect 235536 318860 235542 318912
-rect 235662 318900 235668 318912
-rect 235623 318872 235668 318900
-rect 235662 318860 235668 318872
-rect 235720 318860 235726 318912
-rect 276973 318903 277031 318909
-rect 276973 318869 276985 318903
-rect 277019 318900 277031 318903
-rect 277062 318900 277068 318912
-rect 277019 318872 277068 318900
-rect 277019 318869 277031 318872
-rect 276973 318863 277031 318869
-rect 277062 318860 277068 318872
-rect 277120 318860 277126 318912
-rect 1600 318736 583316 318832
-rect 235478 318696 235484 318708
-rect 235439 318668 235484 318696
-rect 235478 318656 235484 318668
-rect 235536 318656 235542 318708
-rect 235662 318656 235668 318708
-rect 235720 318696 235726 318708
-rect 235938 318696 235944 318708
-rect 235720 318668 235944 318696
-rect 235720 318656 235726 318668
-rect 235938 318656 235944 318668
-rect 235996 318656 236002 318708
-rect 276970 318696 276976 318708
-rect 276931 318668 276976 318696
-rect 276970 318656 276976 318668
-rect 277028 318656 277034 318708
-rect 285250 318696 285256 318708
-rect 285211 318668 285256 318696
-rect 285250 318656 285256 318668
-rect 285308 318656 285314 318708
-rect 285618 318696 285624 318708
-rect 285579 318668 285624 318696
-rect 285618 318656 285624 318668
-rect 285676 318656 285682 318708
-rect 318922 318696 318928 318708
-rect 318883 318668 318928 318696
-rect 318922 318656 318928 318668
-rect 318980 318656 318986 318708
-rect 319106 318696 319112 318708
-rect 319067 318668 319112 318696
-rect 319106 318656 319112 318668
-rect 319164 318656 319170 318708
-rect 373021 318699 373079 318705
-rect 373021 318665 373033 318699
-rect 373067 318696 373079 318699
-rect 373110 318696 373116 318708
-rect 373067 318668 373116 318696
-rect 373067 318665 373079 318668
-rect 373021 318659 373079 318665
-rect 373110 318656 373116 318668
-rect 373168 318656 373174 318708
-rect 319198 318588 319204 318640
-rect 319256 318588 319262 318640
-rect 319216 318504 319244 318588
-rect 319198 318452 319204 318504
-rect 319256 318452 319262 318504
-rect 1600 318192 583316 318288
-rect 197758 318112 197764 318164
-rect 197816 318152 197822 318164
-rect 269978 318152 269984 318164
-rect 197816 318124 269984 318152
-rect 197816 318112 197822 318124
-rect 269978 318112 269984 318124
-rect 270036 318112 270042 318164
-rect 314782 318112 314788 318164
-rect 314840 318152 314846 318164
-rect 406230 318152 406236 318164
-rect 314840 318124 406236 318152
-rect 314840 318112 314846 318124
-rect 406230 318112 406236 318124
-rect 406288 318112 406294 318164
-rect 138418 318044 138424 318096
-rect 138476 318084 138482 318096
-rect 256454 318084 256460 318096
-rect 138476 318056 256460 318084
-rect 138476 318044 138482 318056
-rect 256454 318044 256460 318056
-rect 256512 318044 256518 318096
-rect 334010 318044 334016 318096
-rect 334068 318084 334074 318096
-rect 504210 318084 504216 318096
-rect 334068 318056 504216 318084
-rect 334068 318044 334074 318056
-rect 504210 318044 504216 318056
-rect 504268 318044 504274 318096
-rect 1600 317648 583316 317744
-rect 319937 317475 319995 317481
-rect 319937 317441 319949 317475
-rect 319983 317472 319995 317475
-rect 320118 317472 320124 317484
-rect 319983 317444 320124 317472
-rect 319983 317441 319995 317444
-rect 319937 317435 319995 317441
-rect 320118 317432 320124 317444
-rect 320176 317432 320182 317484
-rect 328125 317475 328183 317481
-rect 328125 317441 328137 317475
-rect 328171 317472 328183 317475
-rect 328398 317472 328404 317484
-rect 328171 317444 328404 317472
-rect 328171 317441 328183 317444
-rect 328125 317435 328183 317441
-rect 328398 317432 328404 317444
-rect 328456 317432 328462 317484
-rect 135658 317404 135664 317416
-rect 135619 317376 135664 317404
-rect 135658 317364 135664 317376
-rect 135716 317364 135722 317416
-rect 152218 317404 152224 317416
-rect 152179 317376 152224 317404
-rect 152218 317364 152224 317376
-rect 152276 317364 152282 317416
-rect 170158 317404 170164 317416
-rect 170119 317376 170164 317404
-rect 170158 317364 170164 317376
-rect 170216 317364 170222 317416
-rect 214318 317404 214324 317416
-rect 214279 317376 214324 317404
-rect 214318 317364 214324 317376
-rect 214376 317364 214382 317416
-rect 385530 317364 385536 317416
-rect 385588 317404 385594 317416
-rect 392430 317404 392436 317416
-rect 385588 317376 385633 317404
-rect 392391 317376 392436 317404
-rect 385588 317364 385594 317376
-rect 392430 317364 392436 317376
-rect 392488 317364 392494 317416
-rect 1600 317104 583316 317200
-rect 342198 316752 342204 316804
-rect 342256 316792 342262 316804
-rect 342382 316792 342388 316804
-rect 342256 316764 342388 316792
-rect 342256 316752 342262 316764
-rect 342382 316752 342388 316764
-rect 342440 316752 342446 316804
-rect 121858 316684 121864 316736
-rect 121916 316724 121922 316736
-rect 254798 316724 254804 316736
-rect 121916 316696 254804 316724
-rect 121916 316684 121922 316696
-rect 254798 316684 254804 316696
-rect 254856 316684 254862 316736
-rect 317450 316684 317456 316736
-rect 317508 316724 317514 316736
-rect 420030 316724 420036 316736
-rect 317508 316696 420036 316724
-rect 317508 316684 317514 316696
-rect 420030 316684 420036 316696
-rect 420088 316684 420094 316736
-rect 1600 316560 583316 316656
-rect 1600 316016 583316 316112
-rect 1600 315472 583316 315568
-rect 201898 315324 201904 315376
-rect 201956 315364 201962 315376
-rect 271634 315364 271640 315376
-rect 201956 315336 271640 315364
-rect 201956 315324 201962 315336
-rect 271634 315324 271640 315336
-rect 271692 315324 271698 315376
-rect 316070 315324 316076 315376
-rect 316128 315364 316134 315376
-rect 413130 315364 413136 315376
-rect 316128 315336 413136 315364
-rect 316128 315324 316134 315336
-rect 413130 315324 413136 315336
-rect 413188 315324 413194 315376
-rect 142558 315256 142564 315308
-rect 142616 315296 142622 315308
-rect 258938 315296 258944 315308
-rect 142616 315268 258944 315296
-rect 142616 315256 142622 315268
-rect 258938 315256 258944 315268
-rect 258996 315256 259002 315308
-rect 335298 315256 335304 315308
-rect 335356 315296 335362 315308
-rect 508350 315296 508356 315308
-rect 335356 315268 508356 315296
-rect 335356 315256 335362 315268
-rect 508350 315256 508356 315268
-rect 508408 315256 508414 315308
-rect 1600 314928 583316 315024
-rect 275498 314644 275504 314696
-rect 275556 314684 275562 314696
-rect 275682 314684 275688 314696
-rect 275556 314656 275688 314684
-rect 275556 314644 275562 314656
-rect 275682 314644 275688 314656
-rect 275740 314644 275746 314696
-rect 1600 314384 583316 314480
-rect 318922 314072 318928 314084
-rect 318883 314044 318928 314072
-rect 318922 314032 318928 314044
-rect 318980 314032 318986 314084
-rect 242654 313964 242660 314016
-rect 242712 314004 242718 314016
-rect 242838 314004 242844 314016
-rect 242712 313976 242844 314004
-rect 242712 313964 242718 313976
-rect 242838 313964 242844 313976
-rect 242896 313964 242902 314016
-rect 305214 313964 305220 314016
-rect 305272 314004 305278 314016
-rect 360690 314004 360696 314016
-rect 305272 313976 360696 314004
-rect 305272 313964 305278 313976
-rect 360690 313964 360696 313976
-rect 360748 313964 360754 314016
-rect 1600 313840 583316 313936
-rect 1600 313296 583316 313392
-rect 1600 312752 583316 312848
-rect 149458 312604 149464 312656
-rect 149516 312644 149522 312656
-rect 260226 312644 260232 312656
-rect 149516 312616 260232 312644
-rect 149516 312604 149522 312616
-rect 260226 312604 260232 312616
-rect 260284 312604 260290 312656
-rect 313402 312604 313408 312656
-rect 313460 312644 313466 312656
-rect 402090 312644 402096 312656
-rect 313460 312616 402096 312644
-rect 313460 312604 313466 312616
-rect 402090 312604 402096 312616
-rect 402148 312604 402154 312656
-rect 14218 312536 14224 312588
-rect 14276 312576 14282 312588
-rect 233086 312576 233092 312588
-rect 14276 312548 233092 312576
-rect 14276 312536 14282 312548
-rect 233086 312536 233092 312548
-rect 233144 312536 233150 312588
-rect 350754 312536 350760 312588
-rect 350812 312576 350818 312588
-rect 579374 312576 579380 312588
-rect 350812 312548 579380 312576
-rect 350812 312536 350818 312548
-rect 579374 312536 579380 312548
-rect 579432 312536 579438 312588
-rect 1600 312208 583316 312304
-rect 268690 311964 268696 311976
-rect 268651 311936 268696 311964
-rect 268690 311924 268696 311936
-rect 268748 311924 268754 311976
-rect 318738 311924 318744 311976
-rect 318796 311924 318802 311976
-rect 331158 311964 331164 311976
-rect 331119 311936 331164 311964
-rect 331158 311924 331164 311936
-rect 331216 311924 331222 311976
-rect 372006 311964 372012 311976
-rect 371932 311936 372012 311964
-rect 318756 311840 318784 311924
-rect 371932 311840 371960 311936
-rect 372006 311924 372012 311936
-rect 372064 311924 372070 311976
-rect 235481 311831 235539 311837
-rect 235481 311797 235493 311831
-rect 235527 311828 235539 311831
-rect 235570 311828 235576 311840
-rect 235527 311800 235576 311828
-rect 235527 311797 235539 311800
-rect 235481 311791 235539 311797
-rect 235570 311788 235576 311800
-rect 235628 311788 235634 311840
-rect 249462 311788 249468 311840
-rect 249520 311828 249526 311840
-rect 249646 311828 249652 311840
-rect 249520 311800 249652 311828
-rect 249520 311788 249526 311800
-rect 249646 311788 249652 311800
-rect 249704 311788 249710 311840
-rect 309170 311828 309176 311840
-rect 309131 311800 309176 311828
-rect 309170 311788 309176 311800
-rect 309228 311788 309234 311840
-rect 318738 311788 318744 311840
-rect 318796 311788 318802 311840
-rect 371914 311788 371920 311840
-rect 371972 311788 371978 311840
-rect 1600 311664 583316 311760
-rect 268690 311624 268696 311636
-rect 268651 311596 268696 311624
-rect 268690 311584 268696 311596
-rect 268748 311584 268754 311636
-rect 306594 311244 306600 311296
-rect 306652 311284 306658 311296
-rect 367590 311284 367596 311296
-rect 306652 311256 367596 311284
-rect 306652 311244 306658 311256
-rect 367590 311244 367596 311256
-rect 367648 311244 367654 311296
-rect 1600 311120 583316 311216
-rect 1600 310576 583316 310672
-rect 3638 310428 3644 310480
-rect 3696 310468 3702 310480
-rect 226094 310468 226100 310480
-rect 3696 310440 226100 310468
-rect 3696 310428 3702 310440
-rect 226094 310428 226100 310440
-rect 226152 310428 226158 310480
-rect 1600 310032 583316 310128
-rect 319106 309856 319112 309868
-rect 319067 309828 319112 309856
-rect 319106 309816 319112 309828
-rect 319164 309816 319170 309868
-rect 321590 309816 321596 309868
-rect 321648 309856 321654 309868
-rect 440730 309856 440736 309868
-rect 321648 309828 440736 309856
-rect 321648 309816 321654 309828
-rect 440730 309816 440736 309828
-rect 440788 309816 440794 309868
-rect 190861 309791 190919 309797
-rect 190861 309757 190873 309791
-rect 190907 309788 190919 309791
-rect 268506 309788 268512 309800
-rect 190907 309760 268512 309788
-rect 190907 309757 190919 309760
-rect 190861 309751 190919 309757
-rect 268506 309748 268512 309760
-rect 268564 309748 268570 309800
-rect 345142 309748 345148 309800
-rect 345200 309788 345206 309800
-rect 555270 309788 555276 309800
-rect 345200 309760 555276 309788
-rect 345200 309748 345206 309760
-rect 555270 309748 555276 309760
-rect 555328 309748 555334 309800
-rect 1600 309488 583316 309584
-rect 285434 309272 285440 309324
-rect 285492 309272 285498 309324
-rect 285452 309188 285480 309272
-rect 331161 309247 331219 309253
-rect 331161 309213 331173 309247
-rect 331207 309244 331219 309247
-rect 331250 309244 331256 309256
-rect 331207 309216 331256 309244
-rect 331207 309213 331219 309216
-rect 331161 309207 331219 309213
-rect 331250 309204 331256 309216
-rect 331308 309204 331314 309256
-rect 242470 309136 242476 309188
-rect 242528 309176 242534 309188
-rect 242562 309176 242568 309188
-rect 242528 309148 242568 309176
-rect 242528 309136 242534 309148
-rect 242562 309136 242568 309148
-rect 242620 309136 242626 309188
-rect 285253 309179 285311 309185
-rect 285253 309145 285265 309179
-rect 285299 309176 285311 309179
-rect 285342 309176 285348 309188
-rect 285299 309148 285348 309176
-rect 285299 309145 285311 309148
-rect 285253 309139 285311 309145
-rect 285342 309136 285348 309148
-rect 285400 309136 285406 309188
-rect 285434 309136 285440 309188
-rect 285492 309136 285498 309188
-rect 285621 309179 285679 309185
-rect 285621 309145 285633 309179
-rect 285667 309176 285679 309179
-rect 285710 309176 285716 309188
-rect 285667 309148 285716 309176
-rect 285667 309145 285679 309148
-rect 285621 309139 285679 309145
-rect 285710 309136 285716 309148
-rect 285768 309136 285774 309188
-rect 309170 309176 309176 309188
-rect 309131 309148 309176 309176
-rect 309170 309136 309176 309148
-rect 309228 309136 309234 309188
-rect 340818 309136 340824 309188
-rect 340876 309176 340882 309188
-rect 341186 309176 341192 309188
-rect 340876 309148 341192 309176
-rect 340876 309136 340882 309148
-rect 341186 309136 341192 309148
-rect 341244 309136 341250 309188
-rect 225358 309108 225364 309120
-rect 225319 309080 225364 309108
-rect 225358 309068 225364 309080
-rect 225416 309068 225422 309120
-rect 259306 309108 259312 309120
-rect 259267 309080 259312 309108
-rect 259306 309068 259312 309080
-rect 259364 309068 259370 309120
-rect 357930 309068 357936 309120
-rect 357988 309108 357994 309120
-rect 371825 309111 371883 309117
-rect 357988 309080 358033 309108
-rect 357988 309068 357994 309080
-rect 371825 309077 371837 309111
-rect 371871 309108 371883 309111
-rect 371914 309108 371920 309120
-rect 371871 309080 371920 309108
-rect 371871 309077 371883 309080
-rect 371825 309071 371883 309077
-rect 371914 309068 371920 309080
-rect 371972 309068 371978 309120
-rect 373110 309068 373116 309120
-rect 373168 309108 373174 309120
-rect 373202 309108 373208 309120
-rect 373168 309080 373208 309108
-rect 373168 309068 373174 309080
-rect 373202 309068 373208 309080
-rect 373260 309068 373266 309120
-rect 552510 309068 552516 309120
-rect 552568 309108 552574 309120
-rect 552602 309108 552608 309120
-rect 552568 309080 552608 309108
-rect 552568 309068 552574 309080
-rect 552602 309068 552608 309080
-rect 552660 309068 552666 309120
-rect 1600 308944 583316 309040
-rect 1600 308400 583316 308496
-rect 1600 307856 583316 307952
-rect 135658 307816 135664 307828
-rect 135619 307788 135664 307816
-rect 135658 307776 135664 307788
-rect 135716 307776 135722 307828
-rect 152218 307816 152224 307828
-rect 152179 307788 152224 307816
-rect 152218 307776 152224 307788
-rect 152276 307776 152282 307828
-rect 170158 307816 170164 307828
-rect 170119 307788 170164 307816
-rect 170158 307776 170164 307788
-rect 170216 307776 170222 307828
-rect 190858 307816 190864 307828
-rect 190819 307788 190864 307816
-rect 190858 307776 190864 307788
-rect 190916 307776 190922 307828
-rect 214318 307816 214324 307828
-rect 214279 307788 214324 307816
-rect 214318 307776 214324 307788
-rect 214376 307776 214382 307828
-rect 319934 307776 319940 307828
-rect 319992 307816 319998 307828
-rect 320118 307816 320124 307828
-rect 319992 307788 320124 307816
-rect 319992 307776 319998 307788
-rect 320118 307776 320124 307788
-rect 320176 307776 320182 307828
-rect 385530 307776 385536 307828
-rect 385588 307816 385594 307828
-rect 392430 307816 392436 307828
-rect 385588 307788 385633 307816
-rect 392391 307788 392436 307816
-rect 385588 307776 385594 307788
-rect 392430 307776 392436 307788
-rect 392488 307776 392494 307828
-rect 229866 307708 229872 307760
-rect 229924 307748 229930 307760
-rect 229958 307748 229964 307760
-rect 229924 307720 229964 307748
-rect 229924 307708 229930 307720
-rect 229958 307708 229964 307720
-rect 230016 307708 230022 307760
-rect 372926 307708 372932 307760
-rect 372984 307748 372990 307760
-rect 373202 307748 373208 307760
-rect 372984 307720 373208 307748
-rect 372984 307708 372990 307720
-rect 373202 307708 373208 307720
-rect 373260 307708 373266 307760
-rect 1600 307312 583316 307408
-rect 247732 307176 247944 307204
-rect 160498 307096 160504 307148
-rect 160556 307136 160562 307148
-rect 247732 307136 247760 307176
-rect 160556 307108 247760 307136
-rect 247916 307136 247944 307176
-rect 263078 307136 263084 307148
-rect 247916 307108 263084 307136
-rect 160556 307096 160562 307108
-rect 263078 307096 263084 307108
-rect 263136 307096 263142 307148
-rect 321682 307096 321688 307148
-rect 321740 307136 321746 307148
-rect 443582 307136 443588 307148
-rect 321740 307108 443588 307136
-rect 321740 307096 321746 307108
-rect 443582 307096 443588 307108
-rect 443640 307096 443646 307148
-rect 103918 307028 103924 307080
-rect 103976 307068 103982 307080
-rect 250934 307068 250940 307080
-rect 103976 307040 250940 307068
-rect 103976 307028 103982 307040
-rect 250934 307028 250940 307040
-rect 250992 307028 250998 307080
-rect 339530 307028 339536 307080
-rect 339588 307068 339594 307080
-rect 529050 307068 529056 307080
-rect 339588 307040 529056 307068
-rect 339588 307028 339594 307040
-rect 529050 307028 529056 307040
-rect 529108 307028 529114 307080
-rect 1600 306768 583316 306864
-rect 342198 306348 342204 306400
-rect 342256 306388 342262 306400
-rect 342382 306388 342388 306400
-rect 342256 306360 342388 306388
-rect 342256 306348 342262 306360
-rect 342382 306348 342388 306360
-rect 342440 306348 342446 306400
-rect 1600 306224 583316 306320
-rect 1600 305680 583316 305776
-rect 1600 305136 583316 305232
-rect 272554 304920 272560 304972
-rect 272612 304960 272618 304972
-rect 272830 304960 272836 304972
-rect 272612 304932 272836 304960
-rect 272612 304920 272618 304932
-rect 272830 304920 272836 304932
-rect 272888 304920 272894 304972
-rect 273014 304920 273020 304972
-rect 273072 304960 273078 304972
-rect 273198 304960 273204 304972
-rect 273072 304932 273204 304960
-rect 273072 304920 273078 304932
-rect 273198 304920 273204 304932
-rect 273256 304920 273262 304972
-rect 276602 304920 276608 304972
-rect 276660 304920 276666 304972
-rect 276694 304920 276700 304972
-rect 276752 304960 276758 304972
-rect 276970 304960 276976 304972
-rect 276752 304932 276976 304960
-rect 276752 304920 276758 304932
-rect 276970 304920 276976 304932
-rect 277028 304920 277034 304972
-rect 276620 304892 276648 304920
-rect 277246 304892 277252 304904
-rect 276620 304864 277252 304892
-rect 277246 304852 277252 304864
-rect 277304 304852 277310 304904
-rect 1600 304592 583316 304688
-rect 322970 304308 322976 304360
-rect 323028 304348 323034 304360
-rect 451770 304348 451776 304360
-rect 323028 304320 451776 304348
-rect 323028 304308 323034 304320
-rect 451770 304308 451776 304320
-rect 451828 304308 451834 304360
-rect 128758 304240 128764 304292
-rect 128816 304280 128822 304292
-rect 255994 304280 256000 304292
-rect 128816 304252 256000 304280
-rect 128816 304240 128822 304252
-rect 255994 304240 256000 304252
-rect 256052 304240 256058 304292
-rect 343762 304240 343768 304292
-rect 343820 304280 343826 304292
-rect 546990 304280 546996 304292
-rect 343820 304252 546996 304280
-rect 343820 304240 343826 304252
-rect 546990 304240 546996 304252
-rect 547048 304240 547054 304292
-rect 1600 304048 583316 304144
-rect 1600 303504 583316 303600
-rect 1600 302960 583316 303056
-rect 1600 302416 583316 302512
-rect 235754 302308 235760 302320
-rect 235680 302280 235760 302308
-rect 235680 302184 235708 302280
-rect 235754 302268 235760 302280
-rect 235812 302268 235818 302320
-rect 239250 302268 239256 302320
-rect 239308 302268 239314 302320
-rect 239342 302268 239348 302320
-rect 239400 302268 239406 302320
-rect 285342 302308 285348 302320
-rect 285268 302280 285348 302308
-rect 239268 302184 239296 302268
-rect 239360 302184 239388 302268
-rect 285268 302184 285296 302280
-rect 285342 302268 285348 302280
-rect 285400 302268 285406 302320
-rect 285710 302268 285716 302320
-rect 285768 302268 285774 302320
-rect 235662 302132 235668 302184
-rect 235720 302132 235726 302184
-rect 239250 302132 239256 302184
-rect 239308 302132 239314 302184
-rect 239342 302132 239348 302184
-rect 239400 302132 239406 302184
-rect 285250 302132 285256 302184
-rect 285308 302132 285314 302184
-rect 285728 302104 285756 302268
-rect 331158 302200 331164 302252
-rect 331216 302200 331222 302252
-rect 331176 302172 331204 302200
-rect 331250 302172 331256 302184
-rect 331176 302144 331256 302172
-rect 331250 302132 331256 302144
-rect 331308 302132 331314 302184
-rect 340818 302132 340824 302184
-rect 340876 302172 340882 302184
-rect 341186 302172 341192 302184
-rect 340876 302144 341192 302172
-rect 340876 302132 340882 302144
-rect 341186 302132 341192 302144
-rect 341244 302132 341250 302184
-rect 371822 302172 371828 302184
-rect 371783 302144 371828 302172
-rect 371822 302132 371828 302144
-rect 371880 302132 371886 302184
-rect 285802 302104 285808 302116
-rect 285728 302076 285808 302104
-rect 285802 302064 285808 302076
-rect 285860 302064 285866 302116
-rect 1600 301872 583316 301968
-rect 324350 301520 324356 301572
-rect 324408 301560 324414 301572
-rect 458670 301560 458676 301572
-rect 324408 301532 458676 301560
-rect 324408 301520 324414 301532
-rect 458670 301520 458676 301532
-rect 458728 301520 458734 301572
-rect 139798 301452 139804 301504
-rect 139856 301492 139862 301504
-rect 258846 301492 258852 301504
-rect 139856 301464 258852 301492
-rect 139856 301452 139862 301464
-rect 258846 301452 258852 301464
-rect 258904 301452 258910 301504
-rect 346430 301452 346436 301504
-rect 346488 301492 346494 301504
-rect 563550 301492 563556 301504
-rect 346488 301464 563556 301492
-rect 346488 301452 346494 301464
-rect 563550 301452 563556 301464
-rect 563608 301452 563614 301504
-rect 1600 301328 583316 301424
-rect 1600 300784 583316 300880
-rect 1600 300240 583316 300336
-rect 325730 300160 325736 300212
-rect 325788 300200 325794 300212
-rect 461430 300200 461436 300212
-rect 325788 300172 461436 300200
-rect 325788 300160 325794 300172
-rect 461430 300160 461436 300172
-rect 461488 300160 461494 300212
-rect 142466 300092 142472 300144
-rect 142524 300132 142530 300144
-rect 258754 300132 258760 300144
-rect 142524 300104 258760 300132
-rect 142524 300092 142530 300104
-rect 258754 300092 258760 300104
-rect 258812 300092 258818 300144
-rect 347810 300092 347816 300144
-rect 347868 300132 347874 300144
-rect 567690 300132 567696 300144
-rect 347868 300104 567696 300132
-rect 347868 300092 347874 300104
-rect 567690 300092 567696 300104
-rect 567748 300092 567754 300144
-rect 1600 299696 583316 299792
-rect 225358 299520 225364 299532
-rect 225319 299492 225364 299520
-rect 225358 299480 225364 299492
-rect 225416 299480 225422 299532
-rect 242470 299480 242476 299532
-rect 242528 299520 242534 299532
-rect 242562 299520 242568 299532
-rect 242528 299492 242568 299520
-rect 242528 299480 242534 299492
-rect 242562 299480 242568 299492
-rect 242620 299480 242626 299532
-rect 259309 299523 259367 299529
-rect 259309 299489 259321 299523
-rect 259355 299520 259367 299523
-rect 259398 299520 259404 299532
-rect 259355 299492 259404 299520
-rect 259355 299489 259367 299492
-rect 259309 299483 259367 299489
-rect 259398 299480 259404 299492
-rect 259456 299480 259462 299532
-rect 271358 299480 271364 299532
-rect 271416 299480 271422 299532
-rect 275501 299523 275559 299529
-rect 275501 299489 275513 299523
-rect 275547 299520 275559 299523
-rect 275682 299520 275688 299532
-rect 275547 299492 275688 299520
-rect 275547 299489 275559 299492
-rect 275501 299483 275559 299489
-rect 275682 299480 275688 299492
-rect 275740 299480 275746 299532
-rect 357930 299480 357936 299532
-rect 357988 299520 357994 299532
-rect 357988 299492 358033 299520
-rect 357988 299480 357994 299492
-rect 235662 299452 235668 299464
-rect 235623 299424 235668 299452
-rect 235662 299412 235668 299424
-rect 235720 299412 235726 299464
-rect 239250 299412 239256 299464
-rect 239308 299452 239314 299464
-rect 239434 299452 239440 299464
-rect 239308 299424 239440 299452
-rect 239308 299412 239314 299424
-rect 239434 299412 239440 299424
-rect 239492 299412 239498 299464
-rect 271376 299384 271404 299480
-rect 309170 299452 309176 299464
-rect 309131 299424 309176 299452
-rect 309170 299412 309176 299424
-rect 309228 299412 309234 299464
-rect 553798 299412 553804 299464
-rect 553856 299452 553862 299464
-rect 553890 299452 553896 299464
-rect 553856 299424 553896 299452
-rect 553856 299412 553862 299424
-rect 553890 299412 553896 299424
-rect 553948 299412 553954 299464
-rect 271450 299384 271456 299396
-rect 271376 299356 271456 299384
-rect 271450 299344 271456 299356
-rect 271508 299344 271514 299396
-rect 1600 299152 583316 299248
-rect 272554 298732 272560 298784
-rect 272612 298772 272618 298784
-rect 272922 298772 272928 298784
-rect 272612 298744 272928 298772
-rect 272612 298732 272618 298744
-rect 272922 298732 272928 298744
-rect 272980 298732 272986 298784
-rect 1600 298608 583316 298704
-rect 1600 298064 583316 298160
-rect 229866 297984 229872 298036
-rect 229924 298024 229930 298036
-rect 230234 298024 230240 298036
-rect 229924 297996 230240 298024
-rect 229924 297984 229930 297996
-rect 230234 297984 230240 297996
-rect 230292 297984 230298 298036
-rect 319934 298024 319940 298036
-rect 319895 297996 319940 298024
-rect 319934 297984 319940 297996
-rect 319992 297984 319998 298036
-rect 1600 297520 583316 297616
-rect 183958 297440 183964 297492
-rect 184016 297480 184022 297492
-rect 267126 297480 267132 297492
-rect 184016 297452 267132 297480
-rect 184016 297440 184022 297452
-rect 267126 297440 267132 297452
-rect 267184 297440 267190 297492
-rect 307882 297440 307888 297492
-rect 307940 297480 307946 297492
-rect 374490 297480 374496 297492
-rect 307940 297452 374496 297480
-rect 307940 297440 307946 297452
-rect 374490 297440 374496 297452
-rect 374548 297440 374554 297492
-rect 29398 297372 29404 297424
-rect 29456 297412 29462 297424
-rect 235665 297415 235723 297421
-rect 235665 297412 235677 297415
-rect 29456 297384 235677 297412
-rect 29456 297372 29462 297384
-rect 235665 297381 235677 297384
-rect 235711 297381 235723 297415
-rect 235665 297375 235723 297381
-rect 340910 297372 340916 297424
-rect 340968 297412 340974 297424
-rect 534570 297412 534576 297424
-rect 340968 297384 534576 297412
-rect 340968 297372 340974 297384
-rect 534570 297372 534576 297384
-rect 534628 297372 534634 297424
-rect 1600 296976 583316 297072
-rect 275498 296936 275504 296948
-rect 275459 296908 275504 296936
-rect 275498 296896 275504 296908
-rect 275556 296896 275562 296948
-rect 278718 296692 278724 296744
-rect 278776 296732 278782 296744
-rect 278902 296732 278908 296744
-rect 278776 296704 278908 296732
-rect 278776 296692 278782 296704
-rect 278902 296692 278908 296704
-rect 278960 296692 278966 296744
-rect 342198 296692 342204 296744
-rect 342256 296732 342262 296744
-rect 342382 296732 342388 296744
-rect 342256 296704 342388 296732
-rect 342256 296692 342262 296704
-rect 342382 296692 342388 296704
-rect 342440 296692 342446 296744
-rect 1600 296432 583316 296528
-rect 1600 295888 583316 295984
-rect 272646 295576 272652 295588
-rect 272607 295548 272652 295576
-rect 272646 295536 272652 295548
-rect 272704 295536 272710 295588
-rect 272738 295508 272744 295520
-rect 272699 295480 272744 295508
-rect 272738 295468 272744 295480
-rect 272796 295468 272802 295520
-rect 1600 295344 583316 295440
-rect 249370 295264 249376 295316
-rect 249428 295304 249434 295316
-rect 249646 295304 249652 295316
-rect 249428 295276 249652 295304
-rect 249428 295264 249434 295276
-rect 249646 295264 249652 295276
-rect 249704 295264 249710 295316
-rect 272738 295304 272744 295316
-rect 272699 295276 272744 295304
-rect 272738 295264 272744 295276
-rect 272796 295264 272802 295316
-rect 276694 295304 276700 295316
-rect 276655 295276 276700 295304
-rect 276694 295264 276700 295276
-rect 276752 295264 276758 295316
-rect 278442 295264 278448 295316
-rect 278500 295304 278506 295316
-rect 278718 295304 278724 295316
-rect 278500 295276 278724 295304
-rect 278500 295264 278506 295276
-rect 278718 295264 278724 295276
-rect 278776 295264 278782 295316
-rect 272646 295236 272652 295248
-rect 272607 295208 272652 295236
-rect 272646 295196 272652 295208
-rect 272704 295196 272710 295248
-rect 276602 295236 276608 295248
-rect 276563 295208 276608 295236
-rect 276602 295196 276608 295208
-rect 276660 295196 276666 295248
-rect 340818 294924 340824 294976
-rect 340876 294964 340882 294976
-rect 341186 294964 341192 294976
-rect 340876 294936 341192 294964
-rect 340876 294924 340882 294936
-rect 341186 294924 341192 294936
-rect 341244 294924 341250 294976
-rect 1600 294800 583316 294896
-rect 81838 294584 81844 294636
-rect 81896 294624 81902 294636
-rect 246426 294624 246432 294636
-rect 81896 294596 246432 294624
-rect 81896 294584 81902 294596
-rect 246426 294584 246432 294596
-rect 246484 294584 246490 294636
-rect 303466 294584 303472 294636
-rect 303524 294624 303530 294636
-rect 339990 294624 339996 294636
-rect 303524 294596 339996 294624
-rect 303524 294584 303530 294596
-rect 339990 294584 339996 294596
-rect 340048 294584 340054 294636
-rect 341002 294584 341008 294636
-rect 341060 294624 341066 294636
-rect 537330 294624 537336 294636
-rect 341060 294596 537336 294624
-rect 341060 294584 341066 294596
-rect 537330 294584 537336 294596
-rect 537388 294584 537394 294636
-rect 1600 294256 583316 294352
-rect 3546 293836 3552 293888
-rect 3604 293876 3610 293888
-rect 6674 293876 6680 293888
-rect 3604 293848 6680 293876
-rect 3604 293836 3610 293848
-rect 6674 293836 6680 293848
-rect 6732 293836 6738 293888
-rect 1600 293712 583316 293808
-rect 1600 293168 583316 293264
-rect 1600 292624 583316 292720
-rect 331158 292544 331164 292596
-rect 331216 292584 331222 292596
-rect 331216 292556 331296 292584
-rect 331216 292544 331222 292556
-rect 331268 292528 331296 292556
-rect 268690 292476 268696 292528
-rect 268748 292476 268754 292528
-rect 331250 292476 331256 292528
-rect 331308 292476 331314 292528
-rect 268708 292392 268736 292476
-rect 319937 292451 319995 292457
-rect 319937 292417 319949 292451
-rect 319983 292448 319995 292451
-rect 320118 292448 320124 292460
-rect 319983 292420 320124 292448
-rect 319983 292417 319995 292420
-rect 319937 292411 319995 292417
-rect 320118 292408 320124 292420
-rect 320176 292408 320182 292460
-rect 268690 292340 268696 292392
-rect 268748 292340 268754 292392
-rect 1600 292080 583316 292176
-rect 84598 291796 84604 291848
-rect 84656 291836 84662 291848
-rect 245414 291836 245420 291848
-rect 84656 291808 245420 291836
-rect 84656 291796 84662 291808
-rect 245414 291796 245420 291808
-rect 245472 291796 245478 291848
-rect 342290 291796 342296 291848
-rect 342348 291836 342354 291848
-rect 545610 291836 545616 291848
-rect 342348 291808 545616 291836
-rect 342348 291796 342354 291808
-rect 545610 291796 545616 291808
-rect 545668 291796 545674 291848
-rect 1600 291536 583316 291632
-rect 1600 290992 583316 291088
-rect 1600 290448 583316 290544
-rect 1600 289904 583316 290000
-rect 235478 289824 235484 289876
-rect 235536 289864 235542 289876
-rect 235570 289864 235576 289876
-rect 235536 289836 235576 289864
-rect 235536 289824 235542 289836
-rect 235570 289824 235576 289836
-rect 235628 289824 235634 289876
-rect 309170 289864 309176 289876
-rect 309131 289836 309176 289864
-rect 309170 289824 309176 289836
-rect 309228 289824 309234 289876
-rect 259398 289796 259404 289808
-rect 259359 289768 259404 289796
-rect 259398 289756 259404 289768
-rect 259456 289756 259462 289808
-rect 340913 289799 340971 289805
-rect 340913 289765 340925 289799
-rect 340959 289796 340971 289799
-rect 341186 289796 341192 289808
-rect 340959 289768 341192 289796
-rect 340959 289765 340971 289768
-rect 340913 289759 340971 289765
-rect 341186 289756 341192 289768
-rect 341244 289756 341250 289808
-rect 354434 289756 354440 289808
-rect 354492 289796 354498 289808
-rect 580662 289796 580668 289808
-rect 354492 289768 580668 289796
-rect 354492 289756 354498 289768
-rect 580662 289756 580668 289768
-rect 580720 289756 580726 289808
-rect 357930 289688 357936 289740
-rect 357988 289728 357994 289740
-rect 358025 289731 358083 289737
-rect 358025 289728 358037 289731
-rect 357988 289700 358037 289728
-rect 357988 289688 357994 289700
-rect 358025 289697 358037 289700
-rect 358071 289697 358083 289731
-rect 371914 289728 371920 289740
-rect 371875 289700 371920 289728
-rect 358025 289691 358083 289697
-rect 371914 289688 371920 289700
-rect 371972 289688 371978 289740
-rect 553890 289728 553896 289740
-rect 553851 289700 553896 289728
-rect 553890 289688 553896 289700
-rect 553948 289688 553954 289740
-rect 1600 289360 583316 289456
-rect 88738 289076 88744 289128
-rect 88796 289116 88802 289128
-rect 248266 289116 248272 289128
-rect 88796 289088 248272 289116
-rect 88796 289076 88802 289088
-rect 248266 289076 248272 289088
-rect 248324 289076 248330 289128
-rect 1600 288816 583316 288912
-rect 135474 288396 135480 288448
-rect 135532 288436 135538 288448
-rect 135658 288436 135664 288448
-rect 135532 288408 135664 288436
-rect 135532 288396 135538 288408
-rect 135658 288396 135664 288408
-rect 135716 288396 135722 288448
-rect 152034 288396 152040 288448
-rect 152092 288436 152098 288448
-rect 152218 288436 152224 288448
-rect 152092 288408 152224 288436
-rect 152092 288396 152098 288408
-rect 152218 288396 152224 288408
-rect 152276 288396 152282 288448
-rect 170158 288396 170164 288448
-rect 170216 288436 170222 288448
-rect 170342 288436 170348 288448
-rect 170216 288408 170348 288436
-rect 170216 288396 170222 288408
-rect 170342 288396 170348 288408
-rect 170400 288396 170406 288448
-rect 190674 288396 190680 288448
-rect 190732 288436 190738 288448
-rect 190858 288436 190864 288448
-rect 190732 288408 190864 288436
-rect 190732 288396 190738 288408
-rect 190858 288396 190864 288408
-rect 190916 288396 190922 288448
-rect 214318 288396 214324 288448
-rect 214376 288436 214382 288448
-rect 214502 288436 214508 288448
-rect 214376 288408 214508 288436
-rect 214376 288396 214382 288408
-rect 214502 288396 214508 288408
-rect 214560 288396 214566 288448
-rect 385530 288396 385536 288448
-rect 385588 288436 385594 288448
-rect 385714 288436 385720 288448
-rect 385588 288408 385720 288436
-rect 385588 288396 385594 288408
-rect 385714 288396 385720 288408
-rect 385772 288396 385778 288448
-rect 392430 288396 392436 288448
-rect 392488 288436 392494 288448
-rect 392614 288436 392620 288448
-rect 392488 288408 392620 288436
-rect 392488 288396 392494 288408
-rect 392614 288396 392620 288408
-rect 392672 288396 392678 288448
-rect 528866 288396 528872 288448
-rect 528924 288436 528930 288448
-rect 529050 288436 529056 288448
-rect 528924 288408 529056 288436
-rect 528924 288396 528930 288408
-rect 529050 288396 529056 288408
-rect 529108 288396 529114 288448
-rect 1600 288272 583316 288368
-rect 372834 288192 372840 288244
-rect 372892 288232 372898 288244
-rect 373110 288232 373116 288244
-rect 372892 288204 373116 288232
-rect 372892 288192 372898 288204
-rect 373110 288192 373116 288204
-rect 373168 288192 373174 288244
-rect 1600 287728 583316 287824
-rect 1600 287184 583316 287280
-rect 229958 287036 229964 287088
-rect 230016 287076 230022 287088
-rect 230142 287076 230148 287088
-rect 230016 287048 230148 287076
-rect 230016 287036 230022 287048
-rect 230142 287036 230148 287048
-rect 230200 287036 230206 287088
-rect 1600 286640 583316 286736
-rect 314874 286356 314880 286408
-rect 314932 286396 314938 286408
-rect 408990 286396 408996 286408
-rect 314932 286368 408996 286396
-rect 314932 286356 314938 286368
-rect 408990 286356 408996 286368
-rect 409048 286356 409054 286408
-rect 91501 286331 91559 286337
-rect 91501 286297 91513 286331
-rect 91547 286328 91559 286331
-rect 249186 286328 249192 286340
-rect 91547 286300 249192 286328
-rect 91547 286297 91559 286300
-rect 91501 286291 91559 286297
-rect 249186 286288 249192 286300
-rect 249244 286288 249250 286340
-rect 347902 286288 347908 286340
-rect 347960 286328 347966 286340
-rect 571830 286328 571836 286340
-rect 347960 286300 571836 286328
-rect 347960 286288 347966 286300
-rect 571830 286288 571836 286300
-rect 571888 286288 571894 286340
-rect 1600 286096 583316 286192
-rect 276602 285716 276608 285728
-rect 276563 285688 276608 285716
-rect 276602 285676 276608 285688
-rect 276660 285676 276666 285728
-rect 276697 285719 276755 285725
-rect 276697 285685 276709 285719
-rect 276743 285716 276755 285719
-rect 276970 285716 276976 285728
-rect 276743 285688 276976 285716
-rect 276743 285685 276755 285688
-rect 276697 285679 276755 285685
-rect 276970 285676 276976 285688
-rect 277028 285676 277034 285728
-rect 1600 285552 583316 285648
-rect 235478 285308 235484 285320
-rect 235439 285280 235484 285308
-rect 235478 285268 235484 285280
-rect 235536 285268 235542 285320
-rect 276697 285175 276755 285181
-rect 276697 285141 276709 285175
-rect 276743 285172 276755 285175
-rect 276970 285172 276976 285184
-rect 276743 285144 276976 285172
-rect 276743 285141 276755 285144
-rect 276697 285135 276755 285141
-rect 276970 285132 276976 285144
-rect 277028 285132 277034 285184
-rect 1600 285008 583316 285104
-rect 268690 284968 268696 284980
-rect 268651 284940 268696 284968
-rect 268690 284928 268696 284940
-rect 268748 284928 268754 284980
-rect 1600 284464 583316 284560
-rect 272830 284248 272836 284300
-rect 272888 284288 272894 284300
-rect 272922 284288 272928 284300
-rect 272888 284260 272928 284288
-rect 272888 284248 272894 284260
-rect 272922 284248 272928 284260
-rect 272980 284248 272986 284300
-rect 1600 283920 583316 284016
-rect 316162 283636 316168 283688
-rect 316220 283676 316226 283688
-rect 417362 283676 417368 283688
-rect 316220 283648 417368 283676
-rect 316220 283636 316226 283648
-rect 417362 283636 417368 283648
-rect 417420 283636 417426 283688
-rect 95638 283568 95644 283620
-rect 95696 283608 95702 283620
-rect 249370 283608 249376 283620
-rect 95696 283580 249376 283608
-rect 95696 283568 95702 283580
-rect 249370 283568 249376 283580
-rect 249428 283568 249434 283620
-rect 349282 283568 349288 283620
-rect 349340 283608 349346 283620
-rect 577994 283608 578000 283620
-rect 349340 283580 578000 283608
-rect 349340 283568 349346 283580
-rect 577994 283568 578000 283580
-rect 578052 283568 578058 283620
-rect 1600 283376 583316 283472
-rect 271450 283064 271456 283076
-rect 271411 283036 271456 283064
-rect 271450 283024 271456 283036
-rect 271508 283024 271514 283076
-rect 341094 282996 341100 283008
-rect 341055 282968 341100 282996
-rect 341094 282956 341100 282968
-rect 341152 282956 341158 283008
-rect 1600 282832 583316 282928
-rect 235481 282795 235539 282801
-rect 235481 282761 235493 282795
-rect 235527 282792 235539 282795
-rect 235570 282792 235576 282804
-rect 235527 282764 235576 282792
-rect 235527 282761 235539 282764
-rect 235481 282755 235539 282761
-rect 235570 282752 235576 282764
-rect 235628 282752 235634 282804
-rect 268693 282795 268751 282801
-rect 268693 282761 268705 282795
-rect 268739 282792 268751 282795
-rect 268782 282792 268788 282804
-rect 268739 282764 268788 282792
-rect 268739 282761 268751 282764
-rect 268693 282755 268751 282761
-rect 268782 282752 268788 282764
-rect 268840 282752 268846 282804
-rect 271450 282792 271456 282804
-rect 271411 282764 271456 282792
-rect 271450 282752 271456 282764
-rect 271508 282752 271514 282804
-rect 341094 282792 341100 282804
-rect 341055 282764 341100 282792
-rect 341094 282752 341100 282764
-rect 341152 282752 341158 282804
-rect 371914 282792 371920 282804
-rect 371875 282764 371920 282792
-rect 371914 282752 371920 282764
-rect 371972 282752 371978 282804
-rect 1600 282288 583316 282384
-rect 1600 281744 583316 281840
-rect 1600 281200 583316 281296
-rect 1600 280656 583316 280752
-rect 276786 280480 276792 280492
-rect 276747 280452 276792 280480
-rect 276786 280440 276792 280452
-rect 276844 280440 276850 280492
-rect 357930 280304 357936 280356
-rect 357988 280344 357994 280356
-rect 358025 280347 358083 280353
-rect 358025 280344 358037 280347
-rect 357988 280316 358037 280344
-rect 357988 280304 357994 280316
-rect 358025 280313 358037 280316
-rect 358071 280313 358083 280347
-rect 358025 280307 358083 280313
-rect 91498 280276 91504 280288
-rect 91459 280248 91504 280276
-rect 91498 280236 91504 280248
-rect 91556 280236 91562 280288
-rect 259398 280276 259404 280288
-rect 259359 280248 259404 280276
-rect 259398 280236 259404 280248
-rect 259456 280236 259462 280288
-rect 340910 280276 340916 280288
-rect 340871 280248 340916 280276
-rect 340910 280236 340916 280248
-rect 340968 280236 340974 280288
-rect 372929 280279 372987 280285
-rect 372929 280245 372941 280279
-rect 372975 280276 372987 280279
-rect 373110 280276 373116 280288
-rect 372975 280248 373116 280276
-rect 372975 280245 372987 280248
-rect 372929 280239 372987 280245
-rect 373110 280236 373116 280248
-rect 373168 280236 373174 280288
-rect 553890 280276 553896 280288
-rect 553851 280248 553896 280276
-rect 553890 280236 553896 280248
-rect 553948 280236 553954 280288
-rect 1600 280112 583316 280208
-rect 276786 280072 276792 280084
-rect 276747 280044 276792 280072
-rect 276786 280032 276792 280044
-rect 276844 280032 276850 280084
-rect 309170 280072 309176 280084
-rect 309131 280044 309176 280072
-rect 309170 280032 309176 280044
-rect 309228 280032 309234 280084
-rect 331250 280072 331256 280084
-rect 331211 280044 331256 280072
-rect 331250 280032 331256 280044
-rect 331308 280032 331314 280084
-rect 276694 280004 276700 280016
-rect 276655 279976 276700 280004
-rect 276694 279964 276700 279976
-rect 276752 279964 276758 280016
-rect 285158 279868 285164 279880
-rect 285119 279840 285164 279868
-rect 285158 279828 285164 279840
-rect 285216 279828 285222 279880
-rect 285250 279828 285256 279880
-rect 285308 279868 285314 279880
-rect 285308 279840 285353 279868
-rect 285308 279828 285314 279840
-rect 1600 279568 583316 279664
-rect 99778 279420 99784 279472
-rect 99836 279460 99842 279472
-rect 249554 279460 249560 279472
-rect 99836 279432 249560 279460
-rect 99836 279420 99842 279432
-rect 249554 279420 249560 279432
-rect 249612 279420 249618 279472
-rect 335390 279420 335396 279472
-rect 335448 279460 335454 279472
-rect 506970 279460 506976 279472
-rect 335448 279432 506976 279460
-rect 335448 279420 335454 279432
-rect 506970 279420 506976 279432
-rect 507028 279420 507034 279472
-rect 1600 279024 583316 279120
-rect 242102 278848 242108 278860
-rect 242063 278820 242108 278848
-rect 242102 278808 242108 278820
-rect 242160 278808 242166 278860
-rect 246610 278848 246616 278860
-rect 246571 278820 246616 278848
-rect 246610 278808 246616 278820
-rect 246668 278808 246674 278860
-rect 229958 278740 229964 278792
-rect 230016 278780 230022 278792
-rect 230050 278780 230056 278792
-rect 230016 278752 230056 278780
-rect 230016 278740 230022 278752
-rect 230050 278740 230056 278752
-rect 230108 278740 230114 278792
-rect 372926 278780 372932 278792
-rect 372887 278752 372932 278780
-rect 372926 278740 372932 278752
-rect 372984 278740 372990 278792
-rect 552510 278740 552516 278792
-rect 552568 278780 552574 278792
-rect 552602 278780 552608 278792
-rect 552568 278752 552608 278780
-rect 552568 278740 552574 278752
-rect 552602 278740 552608 278752
-rect 552660 278740 552666 278792
-rect 285710 278672 285716 278724
-rect 285768 278712 285774 278724
-rect 285802 278712 285808 278724
-rect 285768 278684 285808 278712
-rect 285768 278672 285774 278684
-rect 285802 278672 285808 278684
-rect 285860 278672 285866 278724
-rect 1600 278480 583316 278576
-rect 1600 277936 583316 278032
-rect 1600 277392 583316 277488
-rect 242102 277352 242108 277364
-rect 242063 277324 242108 277352
-rect 242102 277312 242108 277324
-rect 242160 277312 242166 277364
-rect 246610 277352 246616 277364
-rect 246571 277324 246616 277352
-rect 246610 277312 246616 277324
-rect 246668 277312 246674 277364
-rect 1600 276848 583316 276944
-rect 90118 276632 90124 276684
-rect 90176 276672 90182 276684
-rect 247714 276672 247720 276684
-rect 90176 276644 247720 276672
-rect 90176 276632 90182 276644
-rect 247714 276632 247720 276644
-rect 247772 276632 247778 276684
-rect 336770 276632 336776 276684
-rect 336828 276672 336834 276684
-rect 513870 276672 513876 276684
-rect 336828 276644 513876 276672
-rect 336828 276632 336834 276644
-rect 513870 276632 513876 276644
-rect 513928 276632 513934 276684
-rect 1600 276304 583316 276400
-rect 273014 275952 273020 276004
-rect 273072 275992 273078 276004
-rect 273106 275992 273112 276004
-rect 273072 275964 273112 275992
-rect 273072 275952 273078 275964
-rect 273106 275952 273112 275964
-rect 273164 275952 273170 276004
-rect 328214 275952 328220 276004
-rect 328272 275992 328278 276004
-rect 328398 275992 328404 276004
-rect 328272 275964 328404 275992
-rect 328272 275952 328278 275964
-rect 328398 275952 328404 275964
-rect 328456 275952 328462 276004
-rect 1600 275760 583316 275856
-rect 1600 275216 583316 275312
-rect 1600 274672 583316 274768
-rect 272830 274592 272836 274644
-rect 272888 274632 272894 274644
-rect 273014 274632 273020 274644
-rect 272888 274604 273020 274632
-rect 272888 274592 272894 274604
-rect 273014 274592 273020 274604
-rect 273072 274592 273078 274644
-rect 358574 274592 358580 274644
-rect 358632 274632 358638 274644
-rect 580662 274632 580668 274644
-rect 358632 274604 580668 274632
-rect 358632 274592 358638 274604
-rect 580662 274592 580668 274604
-rect 580720 274592 580726 274644
-rect 1600 274128 583316 274224
-rect 19738 273912 19744 273964
-rect 19796 273952 19802 273964
-rect 234098 273952 234104 273964
-rect 19796 273924 234104 273952
-rect 19796 273912 19802 273924
-rect 234098 273912 234104 273924
-rect 234156 273912 234162 273964
-rect 1600 273584 583316 273680
-rect 246794 273340 246800 273352
-rect 246720 273312 246800 273340
-rect 239250 273232 239256 273284
-rect 239308 273272 239314 273284
-rect 239434 273272 239440 273284
-rect 239308 273244 239440 273272
-rect 239308 273232 239314 273244
-rect 239434 273232 239440 273244
-rect 239492 273232 239498 273284
-rect 246720 273216 246748 273312
-rect 246794 273300 246800 273312
-rect 246852 273300 246858 273352
-rect 259309 273343 259367 273349
-rect 259309 273309 259321 273343
-rect 259355 273340 259367 273343
-rect 259398 273340 259404 273352
-rect 259355 273312 259404 273340
-rect 259355 273309 259367 273312
-rect 259309 273303 259367 273309
-rect 259398 273300 259404 273312
-rect 259456 273300 259462 273352
-rect 372006 273340 372012 273352
-rect 371932 273312 372012 273340
-rect 371932 273216 371960 273312
-rect 372006 273300 372012 273312
-rect 372064 273300 372070 273352
-rect 246702 273164 246708 273216
-rect 246760 273164 246766 273216
-rect 371914 273164 371920 273216
-rect 371972 273164 371978 273216
-rect 1600 273040 583316 273136
-rect 1600 272496 583316 272592
-rect 1600 271952 583316 272048
-rect 1600 271408 583316 271504
-rect 125906 271124 125912 271176
-rect 125964 271164 125970 271176
-rect 255902 271164 255908 271176
-rect 125964 271136 255908 271164
-rect 125964 271124 125970 271136
-rect 255902 271124 255908 271136
-rect 255960 271124 255966 271176
-rect 341094 271124 341100 271176
-rect 341152 271164 341158 271176
-rect 535953 271167 536011 271173
-rect 535953 271164 535965 271167
-rect 341152 271136 535965 271164
-rect 341152 271124 341158 271136
-rect 535953 271133 535965 271136
-rect 535999 271133 536011 271167
-rect 535953 271127 536011 271133
-rect 1600 270864 583316 270960
-rect 259306 270552 259312 270564
-rect 259267 270524 259312 270552
-rect 259306 270512 259312 270524
-rect 259364 270512 259370 270564
-rect 285253 270555 285311 270561
-rect 285253 270521 285265 270555
-rect 285299 270552 285311 270555
-rect 285342 270552 285348 270564
-rect 285299 270524 285348 270552
-rect 285299 270521 285311 270524
-rect 285253 270515 285311 270521
-rect 285342 270512 285348 270524
-rect 285400 270512 285406 270564
-rect 309170 270552 309176 270564
-rect 309131 270524 309176 270552
-rect 309170 270512 309176 270524
-rect 309228 270512 309234 270564
-rect 331250 270552 331256 270564
-rect 331211 270524 331256 270552
-rect 331250 270512 331256 270524
-rect 331308 270512 331314 270564
-rect 91498 270484 91504 270496
-rect 91459 270456 91504 270484
-rect 91498 270444 91504 270456
-rect 91556 270444 91562 270496
-rect 225358 270484 225364 270496
-rect 225319 270456 225364 270484
-rect 225358 270444 225364 270456
-rect 225416 270444 225422 270496
-rect 357930 270444 357936 270496
-rect 357988 270484 357994 270496
-rect 553890 270484 553896 270496
-rect 357988 270456 358033 270484
-rect 553851 270456 553896 270484
-rect 357988 270444 357994 270456
-rect 553890 270444 553896 270456
-rect 553948 270444 553954 270496
-rect 1600 270320 583316 270416
-rect 278442 270240 278448 270292
-rect 278500 270280 278506 270292
-rect 278810 270280 278816 270292
-rect 278500 270252 278816 270280
-rect 278500 270240 278506 270252
-rect 278810 270240 278816 270252
-rect 278868 270240 278874 270292
-rect 1600 269776 583316 269872
-rect 242746 269736 242752 269748
-rect 242707 269708 242752 269736
-rect 242746 269696 242752 269708
-rect 242804 269696 242810 269748
-rect 1600 269232 583316 269328
-rect 135474 269084 135480 269136
-rect 135532 269124 135538 269136
-rect 135658 269124 135664 269136
-rect 135532 269096 135664 269124
-rect 135532 269084 135538 269096
-rect 135658 269084 135664 269096
-rect 135716 269084 135722 269136
-rect 152034 269084 152040 269136
-rect 152092 269124 152098 269136
-rect 152218 269124 152224 269136
-rect 152092 269096 152224 269124
-rect 152092 269084 152098 269096
-rect 152218 269084 152224 269096
-rect 152276 269084 152282 269136
-rect 170158 269084 170164 269136
-rect 170216 269124 170222 269136
-rect 170342 269124 170348 269136
-rect 170216 269096 170348 269124
-rect 170216 269084 170222 269096
-rect 170342 269084 170348 269096
-rect 170400 269084 170406 269136
-rect 190674 269084 190680 269136
-rect 190732 269124 190738 269136
-rect 190858 269124 190864 269136
-rect 190732 269096 190864 269124
-rect 190732 269084 190738 269096
-rect 190858 269084 190864 269096
-rect 190916 269084 190922 269136
-rect 214318 269084 214324 269136
-rect 214376 269124 214382 269136
-rect 214502 269124 214508 269136
-rect 214376 269096 214508 269124
-rect 214376 269084 214382 269096
-rect 214502 269084 214508 269096
-rect 214560 269084 214566 269136
-rect 229774 269084 229780 269136
-rect 229832 269124 229838 269136
-rect 229866 269124 229872 269136
-rect 229832 269096 229872 269124
-rect 229832 269084 229838 269096
-rect 229866 269084 229872 269096
-rect 229924 269084 229930 269136
-rect 235386 269084 235392 269136
-rect 235444 269124 235450 269136
-rect 235570 269124 235576 269136
-rect 235444 269096 235576 269124
-rect 235444 269084 235450 269096
-rect 235570 269084 235576 269096
-rect 235628 269084 235634 269136
-rect 268598 269084 268604 269136
-rect 268656 269124 268662 269136
-rect 268690 269124 268696 269136
-rect 268656 269096 268696 269124
-rect 268656 269084 268662 269096
-rect 268690 269084 268696 269096
-rect 268748 269084 268754 269136
-rect 279822 269084 279828 269136
-rect 279880 269124 279886 269136
-rect 280006 269124 280012 269136
-rect 279880 269096 280012 269124
-rect 279880 269084 279886 269096
-rect 280006 269084 280012 269096
-rect 280064 269084 280070 269136
-rect 285158 269124 285164 269136
-rect 285119 269096 285164 269124
-rect 285158 269084 285164 269096
-rect 285216 269084 285222 269136
-rect 372926 269084 372932 269136
-rect 372984 269124 372990 269136
-rect 373110 269124 373116 269136
-rect 372984 269096 373116 269124
-rect 372984 269084 372990 269096
-rect 373110 269084 373116 269096
-rect 373168 269084 373174 269136
-rect 385530 269084 385536 269136
-rect 385588 269124 385594 269136
-rect 385714 269124 385720 269136
-rect 385588 269096 385720 269124
-rect 385588 269084 385594 269096
-rect 385714 269084 385720 269096
-rect 385772 269084 385778 269136
-rect 392430 269084 392436 269136
-rect 392488 269124 392494 269136
-rect 392614 269124 392620 269136
-rect 392488 269096 392620 269124
-rect 392488 269084 392494 269096
-rect 392614 269084 392620 269096
-rect 392672 269084 392678 269136
-rect 528866 269084 528872 269136
-rect 528924 269124 528930 269136
-rect 529050 269124 529056 269136
-rect 528924 269096 529056 269124
-rect 528924 269084 528930 269096
-rect 529050 269084 529056 269096
-rect 529108 269084 529114 269136
-rect 535950 269124 535956 269136
-rect 535911 269096 535956 269124
-rect 535950 269084 535956 269096
-rect 536008 269084 536014 269136
-rect 552602 269084 552608 269136
-rect 552660 269124 552666 269136
-rect 552786 269124 552792 269136
-rect 552660 269096 552792 269124
-rect 552660 269084 552666 269096
-rect 552786 269084 552792 269096
-rect 552844 269084 552850 269136
-rect 571830 269084 571836 269136
-rect 571888 269124 571894 269136
-rect 572014 269124 572020 269136
-rect 571888 269096 572020 269124
-rect 571888 269084 571894 269096
-rect 572014 269084 572020 269096
-rect 572072 269084 572078 269136
-rect 1600 268688 583316 268784
-rect 342382 268336 342388 268388
-rect 342440 268376 342446 268388
-rect 542850 268376 542856 268388
-rect 342440 268348 542856 268376
-rect 342440 268336 342446 268348
-rect 542850 268336 542856 268348
-rect 542908 268336 542914 268388
-rect 1600 268144 583316 268240
-rect 242562 267832 242568 267844
-rect 242488 267804 242568 267832
-rect 242488 267776 242516 267804
-rect 242562 267792 242568 267804
-rect 242620 267792 242626 267844
-rect 242470 267724 242476 267776
-rect 242528 267724 242534 267776
-rect 1600 267600 583316 267696
-rect 242749 267563 242807 267569
-rect 242749 267529 242761 267563
-rect 242795 267560 242807 267563
-rect 242930 267560 242936 267572
-rect 242795 267532 242936 267560
-rect 242795 267529 242807 267532
-rect 242749 267523 242807 267529
-rect 242930 267520 242936 267532
-rect 242988 267520 242994 267572
-rect 1600 267056 583316 267152
-rect 1600 266512 583316 266608
-rect 1600 265968 583316 266064
-rect 300890 265616 300896 265668
-rect 300948 265656 300954 265668
-rect 342750 265656 342756 265668
-rect 300948 265628 342756 265656
-rect 300948 265616 300954 265628
-rect 342750 265616 342756 265628
-rect 342808 265616 342814 265668
-rect 343854 265616 343860 265668
-rect 343912 265656 343918 265668
-rect 549750 265656 549756 265668
-rect 343912 265628 549756 265656
-rect 343912 265616 343918 265628
-rect 549750 265616 549756 265628
-rect 549808 265616 549814 265668
-rect 1600 265424 583316 265520
-rect 1600 264880 583316 264976
-rect 242841 264843 242899 264849
-rect 242841 264809 242853 264843
-rect 242887 264840 242899 264843
-rect 242930 264840 242936 264852
-rect 242887 264812 242936 264840
-rect 242887 264809 242899 264812
-rect 242841 264803 242899 264809
-rect 242930 264800 242936 264812
-rect 242988 264800 242994 264852
-rect 1600 264336 583316 264432
-rect 1600 263792 583316 263888
-rect 235570 263644 235576 263696
-rect 235628 263644 235634 263696
-rect 285342 263684 285348 263696
-rect 285268 263656 285348 263684
-rect 235588 263492 235616 263644
-rect 239250 263576 239256 263628
-rect 239308 263616 239314 263628
-rect 239434 263616 239440 263628
-rect 239308 263588 239440 263616
-rect 239308 263576 239314 263588
-rect 239434 263576 239440 263588
-rect 239492 263576 239498 263628
-rect 285268 263560 285296 263656
-rect 285342 263644 285348 263656
-rect 285400 263644 285406 263696
-rect 328398 263684 328404 263696
-rect 328324 263656 328404 263684
-rect 320026 263616 320032 263628
-rect 319987 263588 320032 263616
-rect 320026 263576 320032 263588
-rect 320084 263576 320090 263628
-rect 328324 263560 328352 263656
-rect 328398 263644 328404 263656
-rect 328456 263644 328462 263696
-rect 341186 263684 341192 263696
-rect 341112 263656 341192 263684
-rect 341112 263560 341140 263656
-rect 341186 263644 341192 263656
-rect 341244 263644 341250 263696
-rect 285250 263508 285256 263560
-rect 285308 263508 285314 263560
-rect 328306 263508 328312 263560
-rect 328364 263508 328370 263560
-rect 341094 263508 341100 263560
-rect 341152 263508 341158 263560
-rect 235570 263440 235576 263492
-rect 235628 263440 235634 263492
-rect 1600 263248 583316 263344
-rect 345234 262828 345240 262880
-rect 345292 262868 345298 262880
-rect 556650 262868 556656 262880
-rect 345292 262840 556656 262868
-rect 345292 262828 345298 262840
-rect 556650 262828 556656 262840
-rect 556708 262828 556714 262880
-rect 1600 262704 583316 262800
-rect 1600 262160 583316 262256
-rect 1600 261616 583316 261712
-rect 351766 261468 351772 261520
-rect 351824 261508 351830 261520
-rect 574590 261508 574596 261520
-rect 351824 261480 574596 261508
-rect 351824 261468 351830 261480
-rect 574590 261468 574596 261480
-rect 574648 261468 574654 261520
-rect 1600 261072 583316 261168
-rect 553890 260964 553896 260976
-rect 553851 260936 553896 260964
-rect 553890 260924 553896 260936
-rect 553948 260924 553954 260976
-rect 91498 260896 91504 260908
-rect 91459 260868 91504 260896
-rect 91498 260856 91504 260868
-rect 91556 260856 91562 260908
-rect 225358 260896 225364 260908
-rect 225319 260868 225364 260896
-rect 225358 260856 225364 260868
-rect 225416 260856 225422 260908
-rect 279730 260856 279736 260908
-rect 279788 260896 279794 260908
-rect 279822 260896 279828 260908
-rect 279788 260868 279828 260896
-rect 279788 260856 279794 260868
-rect 279822 260856 279828 260868
-rect 279880 260856 279886 260908
-rect 357930 260856 357936 260908
-rect 357988 260896 357994 260908
-rect 357988 260868 358033 260896
-rect 357988 260856 357994 260868
-rect 3822 260788 3828 260840
-rect 3880 260828 3886 260840
-rect 179174 260828 179180 260840
-rect 3880 260800 179180 260828
-rect 3880 260788 3886 260800
-rect 179174 260788 179180 260800
-rect 179232 260788 179238 260840
-rect 235570 260828 235576 260840
-rect 235531 260800 235576 260828
-rect 235570 260788 235576 260800
-rect 235628 260788 235634 260840
-rect 285618 260828 285624 260840
-rect 285579 260800 285624 260828
-rect 285618 260788 285624 260800
-rect 285676 260788 285682 260840
-rect 331250 260828 331256 260840
-rect 331211 260800 331256 260828
-rect 331250 260788 331256 260800
-rect 331308 260788 331314 260840
-rect 341094 260828 341100 260840
-rect 341055 260800 341100 260828
-rect 341094 260788 341100 260800
-rect 341152 260788 341158 260840
-rect 553890 260788 553896 260840
-rect 553948 260788 553954 260840
-rect 285250 260760 285256 260772
-rect 285211 260732 285256 260760
-rect 285250 260720 285256 260732
-rect 285308 260720 285314 260772
-rect 553798 260720 553804 260772
-rect 553856 260760 553862 260772
-rect 553908 260760 553936 260788
-rect 553856 260732 553936 260760
-rect 553856 260720 553862 260732
-rect 1600 260528 583316 260624
-rect 1600 259984 583316 260080
-rect 1600 259440 583316 259536
-rect 305030 259400 305036 259412
-rect 304991 259372 305036 259400
-rect 305030 259360 305036 259372
-rect 305088 259360 305094 259412
-rect 1600 258896 583316 258992
-rect 1600 258352 583316 258448
-rect 320026 258108 320032 258120
-rect 319987 258080 320032 258108
-rect 320026 258068 320032 258080
-rect 320084 258068 320090 258120
-rect 1600 257808 583316 257904
-rect 1600 257264 583316 257360
-rect 273014 256884 273020 256896
-rect 272975 256856 273020 256884
-rect 273014 256844 273020 256856
-rect 273072 256844 273078 256896
-rect 1600 256720 583316 256816
-rect 328306 256680 328312 256692
-rect 328267 256652 328312 256680
-rect 328306 256640 328312 256652
-rect 328364 256640 328370 256692
-rect 1600 256176 583316 256272
-rect 279730 256028 279736 256080
-rect 279788 256028 279794 256080
-rect 279748 256000 279776 256028
-rect 279822 256000 279828 256012
-rect 279748 255972 279828 256000
-rect 279822 255960 279828 255972
-rect 279880 255960 279886 256012
-rect 1600 255632 583316 255728
-rect 242838 255320 242844 255332
-rect 242799 255292 242844 255320
-rect 242838 255280 242844 255292
-rect 242896 255280 242902 255332
-rect 273014 255320 273020 255332
-rect 272975 255292 273020 255320
-rect 273014 255280 273020 255292
-rect 273072 255280 273078 255332
-rect 242562 255252 242568 255264
-rect 242523 255224 242568 255252
-rect 242562 255212 242568 255224
-rect 242620 255212 242626 255264
-rect 275593 255255 275651 255261
-rect 275593 255221 275605 255255
-rect 275639 255252 275651 255255
-rect 275682 255252 275688 255264
-rect 275639 255224 275688 255252
-rect 275639 255221 275651 255224
-rect 275593 255215 275651 255221
-rect 275682 255212 275688 255224
-rect 275740 255212 275746 255264
-rect 1600 255088 583316 255184
-rect 1600 254544 583316 254640
-rect 246521 254167 246579 254173
-rect 246521 254133 246533 254167
-rect 246567 254164 246579 254167
-rect 246610 254164 246616 254176
-rect 246567 254136 246616 254164
-rect 246567 254133 246579 254136
-rect 246521 254127 246579 254133
-rect 246610 254124 246616 254136
-rect 246668 254124 246674 254176
-rect 1600 254000 583316 254096
-rect 308986 253920 308992 253972
-rect 309044 253960 309050 253972
-rect 309044 253932 309124 253960
-rect 309044 253920 309050 253932
-rect 309096 253904 309124 253932
-rect 371914 253920 371920 253972
-rect 371972 253960 371978 253972
-rect 372098 253960 372104 253972
-rect 371972 253932 372104 253960
-rect 371972 253920 371978 253932
-rect 372098 253920 372104 253932
-rect 372156 253920 372162 253972
-rect 273014 253892 273020 253904
-rect 272975 253864 273020 253892
-rect 273014 253852 273020 253864
-rect 273072 253852 273078 253904
-rect 309078 253852 309084 253904
-rect 309136 253852 309142 253904
-rect 328306 253892 328312 253904
-rect 328267 253864 328312 253892
-rect 328306 253852 328312 253864
-rect 328364 253852 328370 253904
-rect 235570 253824 235576 253836
-rect 235531 253796 235576 253824
-rect 235570 253784 235576 253796
-rect 235628 253784 235634 253836
-rect 1600 253456 583316 253552
-rect 302362 253172 302368 253224
-rect 302420 253212 302426 253224
-rect 349650 253212 349656 253224
-rect 302420 253184 349656 253212
-rect 302420 253172 302426 253184
-rect 349650 253172 349656 253184
-rect 349708 253172 349714 253224
-rect 350846 253172 350852 253224
-rect 350904 253212 350910 253224
-rect 581490 253212 581496 253224
-rect 350904 253184 581496 253212
-rect 350904 253172 350910 253184
-rect 581490 253172 581496 253184
-rect 581548 253172 581554 253224
-rect 1600 252912 583316 253008
-rect 1600 252368 583316 252464
-rect 1600 251824 583316 251920
-rect 1600 251280 583316 251376
-rect 278810 251200 278816 251252
-rect 278868 251200 278874 251252
-rect 285253 251243 285311 251249
-rect 285253 251209 285265 251243
-rect 285299 251240 285311 251243
-rect 285342 251240 285348 251252
-rect 285299 251212 285348 251240
-rect 285299 251209 285311 251212
-rect 285253 251203 285311 251209
-rect 285342 251200 285348 251212
-rect 285400 251200 285406 251252
-rect 285621 251243 285679 251249
-rect 285621 251209 285633 251243
-rect 285667 251240 285679 251243
-rect 285710 251240 285716 251252
-rect 285667 251212 285716 251240
-rect 285667 251209 285679 251212
-rect 285621 251203 285679 251209
-rect 285710 251200 285716 251212
-rect 285768 251200 285774 251252
-rect 331250 251240 331256 251252
-rect 331211 251212 331256 251240
-rect 331250 251200 331256 251212
-rect 331308 251200 331314 251252
-rect 341097 251243 341155 251249
-rect 341097 251209 341109 251243
-rect 341143 251240 341155 251243
-rect 341186 251240 341192 251252
-rect 341143 251212 341192 251240
-rect 341143 251209 341155 251212
-rect 341097 251203 341155 251209
-rect 341186 251200 341192 251212
-rect 341244 251200 341250 251252
-rect 91498 251172 91504 251184
-rect 91459 251144 91504 251172
-rect 91498 251132 91504 251144
-rect 91556 251132 91562 251184
-rect 225358 251172 225364 251184
-rect 225319 251144 225364 251172
-rect 225358 251132 225364 251144
-rect 225416 251132 225422 251184
-rect 229958 251172 229964 251184
-rect 229919 251144 229964 251172
-rect 229958 251132 229964 251144
-rect 230016 251132 230022 251184
-rect 278828 251116 278856 251200
-rect 357930 251132 357936 251184
-rect 357988 251172 357994 251184
-rect 553890 251172 553896 251184
-rect 357988 251144 358033 251172
-rect 553851 251144 553896 251172
-rect 357988 251132 357994 251144
-rect 553890 251132 553896 251144
-rect 553948 251132 553954 251184
-rect 278810 251064 278816 251116
-rect 278868 251064 278874 251116
-rect 1600 250736 583316 250832
-rect 1600 250192 583316 250288
-rect 135474 249772 135480 249824
-rect 135532 249812 135538 249824
-rect 135658 249812 135664 249824
-rect 135532 249784 135664 249812
-rect 135532 249772 135538 249784
-rect 135658 249772 135664 249784
-rect 135716 249772 135722 249824
-rect 152034 249772 152040 249824
-rect 152092 249812 152098 249824
-rect 152218 249812 152224 249824
-rect 152092 249784 152224 249812
-rect 152092 249772 152098 249784
-rect 152218 249772 152224 249784
-rect 152276 249772 152282 249824
-rect 170158 249772 170164 249824
-rect 170216 249812 170222 249824
-rect 170342 249812 170348 249824
-rect 170216 249784 170348 249812
-rect 170216 249772 170222 249784
-rect 170342 249772 170348 249784
-rect 170400 249772 170406 249824
-rect 190674 249772 190680 249824
-rect 190732 249812 190738 249824
-rect 190858 249812 190864 249824
-rect 190732 249784 190864 249812
-rect 190732 249772 190738 249784
-rect 190858 249772 190864 249784
-rect 190916 249772 190922 249824
-rect 214318 249772 214324 249824
-rect 214376 249812 214382 249824
-rect 214502 249812 214508 249824
-rect 214376 249784 214508 249812
-rect 214376 249772 214382 249784
-rect 214502 249772 214508 249784
-rect 214560 249772 214566 249824
-rect 246518 249812 246524 249824
-rect 246479 249784 246524 249812
-rect 246518 249772 246524 249784
-rect 246576 249772 246582 249824
-rect 305033 249815 305091 249821
-rect 305033 249781 305045 249815
-rect 305079 249812 305091 249815
-rect 305122 249812 305128 249824
-rect 305079 249784 305128 249812
-rect 305079 249781 305091 249784
-rect 305033 249775 305091 249781
-rect 305122 249772 305128 249784
-rect 305180 249772 305186 249824
-rect 372926 249772 372932 249824
-rect 372984 249812 372990 249824
-rect 373110 249812 373116 249824
-rect 372984 249784 373116 249812
-rect 372984 249772 372990 249784
-rect 373110 249772 373116 249784
-rect 373168 249772 373174 249824
-rect 385530 249772 385536 249824
-rect 385588 249812 385594 249824
-rect 385714 249812 385720 249824
-rect 385588 249784 385720 249812
-rect 385588 249772 385594 249784
-rect 385714 249772 385720 249784
-rect 385772 249772 385778 249824
-rect 392430 249772 392436 249824
-rect 392488 249812 392494 249824
-rect 392614 249812 392620 249824
-rect 392488 249784 392620 249812
-rect 392488 249772 392494 249784
-rect 392614 249772 392620 249784
-rect 392672 249772 392678 249824
-rect 528866 249772 528872 249824
-rect 528924 249812 528930 249824
-rect 529050 249812 529056 249824
-rect 528924 249784 529056 249812
-rect 528924 249772 528930 249784
-rect 529050 249772 529056 249784
-rect 529108 249772 529114 249824
-rect 535950 249772 535956 249824
-rect 536008 249812 536014 249824
-rect 536134 249812 536140 249824
-rect 536008 249784 536140 249812
-rect 536008 249772 536014 249784
-rect 536134 249772 536140 249784
-rect 536192 249772 536198 249824
-rect 571830 249772 571836 249824
-rect 571888 249812 571894 249824
-rect 572014 249812 572020 249824
-rect 571888 249784 572020 249812
-rect 571888 249772 571894 249784
-rect 572014 249772 572020 249784
-rect 572072 249772 572078 249824
-rect 1600 249648 583316 249744
-rect 1600 249104 583316 249200
-rect 1600 248560 583316 248656
-rect 1600 248016 583316 248112
-rect 1600 247472 583316 247568
-rect 1600 246928 583316 247024
-rect 242746 246820 242752 246832
-rect 242707 246792 242752 246820
-rect 242746 246780 242752 246792
-rect 242804 246780 242810 246832
-rect 1600 246384 583316 246480
-rect 1600 245840 583316 245936
-rect 242565 245667 242623 245673
-rect 242565 245633 242577 245667
-rect 242611 245664 242623 245667
-rect 242654 245664 242660 245676
-rect 242611 245636 242660 245664
-rect 242611 245633 242623 245636
-rect 242565 245627 242623 245633
-rect 242654 245624 242660 245636
-rect 242712 245624 242718 245676
-rect 275590 245664 275596 245676
-rect 275551 245636 275596 245664
-rect 275590 245624 275596 245636
-rect 275648 245624 275654 245676
-rect 1600 245296 583316 245392
-rect 1600 244752 583316 244848
-rect 239250 244332 239256 244384
-rect 239308 244372 239314 244384
-rect 239434 244372 239440 244384
-rect 239308 244344 239440 244372
-rect 239308 244332 239314 244344
-rect 239434 244332 239440 244344
-rect 239492 244332 239498 244384
-rect 285342 244372 285348 244384
-rect 285303 244344 285348 244372
-rect 285342 244332 285348 244344
-rect 285400 244332 285406 244384
-rect 1600 244208 583316 244304
-rect 3822 244128 3828 244180
-rect 3880 244168 3886 244180
-rect 228854 244168 228860 244180
-rect 3880 244140 228860 244168
-rect 3880 244128 3886 244140
-rect 228854 244128 228860 244140
-rect 228912 244128 228918 244180
-rect 229958 244168 229964 244180
-rect 229919 244140 229964 244168
-rect 229958 244128 229964 244140
-rect 230016 244128 230022 244180
-rect 239250 244128 239256 244180
-rect 239308 244168 239314 244180
-rect 239434 244168 239440 244180
-rect 239308 244140 239440 244168
-rect 239308 244128 239314 244140
-rect 239434 244128 239440 244140
-rect 239492 244128 239498 244180
-rect 285342 244168 285348 244180
-rect 285303 244140 285348 244168
-rect 285342 244128 285348 244140
-rect 285400 244128 285406 244180
-rect 1600 243664 583316 243760
-rect 328306 243448 328312 243500
-rect 328364 243488 328370 243500
-rect 328398 243488 328404 243500
-rect 328364 243460 328404 243488
-rect 328364 243448 328370 243460
-rect 328398 243448 328404 243460
-rect 328456 243448 328462 243500
-rect 1600 243120 583316 243216
-rect 1600 242576 583316 242672
-rect 1600 242032 583316 242128
-rect 242746 241992 242752 242004
-rect 242707 241964 242752 241992
-rect 242746 241952 242752 241964
-rect 242804 241952 242810 242004
-rect 251670 241748 251676 241800
-rect 251728 241788 251734 241800
-rect 261146 241788 261152 241800
-rect 251728 241760 261152 241788
-rect 251728 241748 251734 241760
-rect 261146 241748 261152 241760
-rect 261204 241748 261210 241800
-rect 91498 241652 91504 241664
-rect 91459 241624 91504 241652
-rect 91498 241612 91504 241624
-rect 91556 241612 91562 241664
-rect 225358 241652 225364 241664
-rect 225319 241624 225364 241652
-rect 225358 241612 225364 241624
-rect 225416 241612 225422 241664
-rect 290310 241612 290316 241664
-rect 290368 241652 290374 241664
-rect 294818 241652 294824 241664
-rect 290368 241624 294824 241652
-rect 290368 241612 290374 241624
-rect 294818 241612 294824 241624
-rect 294876 241612 294882 241664
-rect 357930 241612 357936 241664
-rect 357988 241652 357994 241664
-rect 553890 241652 553896 241664
-rect 357988 241624 358033 241652
-rect 553851 241624 553896 241652
-rect 357988 241612 357994 241624
-rect 553890 241612 553896 241624
-rect 553948 241612 553954 241664
-rect 1600 241488 583316 241584
-rect 246426 241408 246432 241460
-rect 246484 241448 246490 241460
-rect 246702 241448 246708 241460
-rect 246484 241420 246708 241448
-rect 246484 241408 246490 241420
-rect 246702 241408 246708 241420
-rect 246760 241408 246766 241460
-rect 309170 241448 309176 241460
-rect 309131 241420 309176 241448
-rect 309170 241408 309176 241420
-rect 309228 241408 309234 241460
-rect 1600 240944 583316 241040
-rect 1600 240400 583316 240496
-rect 279454 240116 279460 240168
-rect 279512 240156 279518 240168
-rect 279730 240156 279736 240168
-rect 279512 240128 279736 240156
-rect 279512 240116 279518 240128
-rect 279730 240116 279736 240128
-rect 279788 240116 279794 240168
-rect 235478 240088 235484 240100
-rect 235439 240060 235484 240088
-rect 235478 240048 235484 240060
-rect 235536 240048 235542 240100
-rect 1600 239856 583316 239952
-rect 1600 239312 583316 239408
-rect 1600 238768 583316 238864
-rect 1600 238224 583316 238320
-rect 1600 237680 583316 237776
-rect 242470 237368 242476 237380
-rect 242431 237340 242476 237368
-rect 242470 237328 242476 237340
-rect 242528 237328 242534 237380
-rect 276694 237328 276700 237380
-rect 276752 237368 276758 237380
-rect 276878 237368 276884 237380
-rect 276752 237340 276884 237368
-rect 276752 237328 276758 237340
-rect 276878 237328 276884 237340
-rect 276936 237328 276942 237380
-rect 1600 237136 583316 237232
-rect 285342 236756 285348 236768
-rect 285303 236728 285348 236756
-rect 285342 236716 285348 236728
-rect 285400 236716 285406 236768
-rect 1600 236592 583316 236688
-rect 1600 236048 583316 236144
-rect 273014 236008 273020 236020
-rect 272975 235980 273020 236008
-rect 273014 235968 273020 235980
-rect 273072 235968 273078 236020
-rect 272830 235940 272836 235952
-rect 272791 235912 272836 235940
-rect 272830 235900 272836 235912
-rect 272888 235900 272894 235952
-rect 328306 235940 328312 235952
-rect 328267 235912 328312 235940
-rect 328306 235900 328312 235912
-rect 328364 235900 328370 235952
-rect 1600 235504 583316 235600
-rect 1600 234960 583316 235056
-rect 371822 234716 371828 234728
-rect 371748 234688 371828 234716
-rect 371748 234592 371776 234688
-rect 371822 234676 371828 234688
-rect 371880 234676 371886 234728
-rect 275682 234580 275688 234592
-rect 275643 234552 275688 234580
-rect 275682 234540 275688 234552
-rect 275740 234540 275746 234592
-rect 371730 234540 371736 234592
-rect 371788 234540 371794 234592
-rect 1600 234416 583316 234512
-rect 309170 234376 309176 234388
-rect 309131 234348 309176 234376
-rect 309170 234336 309176 234348
-rect 309228 234336 309234 234388
-rect 319934 234336 319940 234388
-rect 319992 234376 319998 234388
-rect 320118 234376 320124 234388
-rect 319992 234348 320124 234376
-rect 319992 234336 319998 234348
-rect 320118 234336 320124 234348
-rect 320176 234336 320182 234388
-rect 1600 233872 583316 233968
-rect 1600 233328 583316 233424
-rect 1600 232784 583316 232880
-rect 276513 232611 276571 232617
-rect 276513 232577 276525 232611
-rect 276559 232608 276571 232611
-rect 276602 232608 276608 232620
-rect 276559 232580 276608 232608
-rect 276559 232577 276571 232580
-rect 276513 232571 276571 232577
-rect 276602 232568 276608 232580
-rect 276660 232568 276666 232620
-rect 277062 232500 277068 232552
-rect 277120 232540 277126 232552
-rect 277246 232540 277252 232552
-rect 277120 232512 277252 232540
-rect 277120 232500 277126 232512
-rect 277246 232500 277252 232512
-rect 277304 232500 277310 232552
-rect 1600 232240 583316 232336
-rect 285342 231928 285348 231940
-rect 285303 231900 285348 231928
-rect 285342 231888 285348 231900
-rect 285400 231888 285406 231940
-rect 242102 231820 242108 231872
-rect 242160 231860 242166 231872
-rect 242194 231860 242200 231872
-rect 242160 231832 242200 231860
-rect 242160 231820 242166 231832
-rect 242194 231820 242200 231832
-rect 242252 231820 242258 231872
-rect 246610 231820 246616 231872
-rect 246668 231860 246674 231872
-rect 246702 231860 246708 231872
-rect 246668 231832 246708 231860
-rect 246668 231820 246674 231832
-rect 246702 231820 246708 231832
-rect 246760 231820 246766 231872
-rect 285710 231820 285716 231872
-rect 285768 231860 285774 231872
-rect 285802 231860 285808 231872
-rect 285768 231832 285808 231860
-rect 285768 231820 285774 231832
-rect 285802 231820 285808 231832
-rect 285860 231820 285866 231872
-rect 331066 231820 331072 231872
-rect 331124 231860 331130 231872
-rect 331250 231860 331256 231872
-rect 331124 231832 331256 231860
-rect 331124 231820 331130 231832
-rect 331250 231820 331256 231832
-rect 331308 231820 331314 231872
-rect 341002 231820 341008 231872
-rect 341060 231860 341066 231872
-rect 341094 231860 341100 231872
-rect 341060 231832 341100 231860
-rect 341060 231820 341066 231832
-rect 341094 231820 341100 231832
-rect 341152 231820 341158 231872
-rect 1600 231696 583316 231792
-rect 272833 231591 272891 231597
-rect 272833 231557 272845 231591
-rect 272879 231588 272891 231591
-rect 272922 231588 272928 231600
-rect 272879 231560 272928 231588
-rect 272879 231557 272891 231560
-rect 272833 231551 272891 231557
-rect 272922 231548 272928 231560
-rect 272980 231548 272986 231600
-rect 1600 231152 583316 231248
-rect 1600 230608 583316 230704
-rect 135474 230460 135480 230512
-rect 135532 230500 135538 230512
-rect 135658 230500 135664 230512
-rect 135532 230472 135664 230500
-rect 135532 230460 135538 230472
-rect 135658 230460 135664 230472
-rect 135716 230460 135722 230512
-rect 152034 230460 152040 230512
-rect 152092 230500 152098 230512
-rect 152218 230500 152224 230512
-rect 152092 230472 152224 230500
-rect 152092 230460 152098 230472
-rect 152218 230460 152224 230472
-rect 152276 230460 152282 230512
-rect 170158 230460 170164 230512
-rect 170216 230500 170222 230512
-rect 170342 230500 170348 230512
-rect 170216 230472 170348 230500
-rect 170216 230460 170222 230472
-rect 170342 230460 170348 230472
-rect 170400 230460 170406 230512
-rect 190674 230460 190680 230512
-rect 190732 230500 190738 230512
-rect 190858 230500 190864 230512
-rect 190732 230472 190864 230500
-rect 190732 230460 190738 230472
-rect 190858 230460 190864 230472
-rect 190916 230460 190922 230512
-rect 214318 230460 214324 230512
-rect 214376 230500 214382 230512
-rect 214502 230500 214508 230512
-rect 214376 230472 214508 230500
-rect 214376 230460 214382 230472
-rect 214502 230460 214508 230472
-rect 214560 230460 214566 230512
-rect 235481 230503 235539 230509
-rect 235481 230469 235493 230503
-rect 235527 230500 235539 230503
-rect 235662 230500 235668 230512
-rect 235527 230472 235668 230500
-rect 235527 230469 235539 230472
-rect 235481 230463 235539 230469
-rect 235662 230460 235668 230472
-rect 235720 230460 235726 230512
-rect 385530 230460 385536 230512
-rect 385588 230500 385594 230512
-rect 385714 230500 385720 230512
-rect 385588 230472 385720 230500
-rect 385588 230460 385594 230472
-rect 385714 230460 385720 230472
-rect 385772 230460 385778 230512
-rect 392430 230460 392436 230512
-rect 392488 230500 392494 230512
-rect 392614 230500 392620 230512
-rect 392488 230472 392620 230500
-rect 392488 230460 392494 230472
-rect 392614 230460 392620 230472
-rect 392672 230460 392678 230512
-rect 528866 230460 528872 230512
-rect 528924 230500 528930 230512
-rect 529050 230500 529056 230512
-rect 528924 230472 529056 230500
-rect 528924 230460 528930 230472
-rect 529050 230460 529056 230472
-rect 529108 230460 529114 230512
-rect 535950 230460 535956 230512
-rect 536008 230500 536014 230512
-rect 536134 230500 536140 230512
-rect 536008 230472 536140 230500
-rect 536008 230460 536014 230472
-rect 536134 230460 536140 230472
-rect 536192 230460 536198 230512
-rect 552326 230460 552332 230512
-rect 552384 230500 552390 230512
-rect 552418 230500 552424 230512
-rect 552384 230472 552424 230500
-rect 552384 230460 552390 230472
-rect 552418 230460 552424 230472
-rect 552476 230460 552482 230512
-rect 571830 230460 571836 230512
-rect 571888 230500 571894 230512
-rect 572014 230500 572020 230512
-rect 571888 230472 572020 230500
-rect 571888 230460 571894 230472
-rect 572014 230460 572020 230472
-rect 572072 230460 572078 230512
-rect 1600 230064 583316 230160
-rect 1600 229520 583316 229616
-rect 1600 228976 583316 229072
-rect 1600 228432 583316 228528
-rect 1600 227888 583316 227984
-rect 242473 227783 242531 227789
-rect 242473 227749 242485 227783
-rect 242519 227780 242531 227783
-rect 242838 227780 242844 227792
-rect 242519 227752 242844 227780
-rect 242519 227749 242531 227752
-rect 242473 227743 242531 227749
-rect 242838 227740 242844 227752
-rect 242896 227740 242902 227792
-rect 276510 227740 276516 227792
-rect 276568 227780 276574 227792
-rect 276568 227752 276613 227780
-rect 276568 227740 276574 227752
-rect 1600 227344 583316 227440
-rect 268690 227032 268696 227044
-rect 268651 227004 268696 227032
-rect 268690 226992 268696 227004
-rect 268748 226992 268754 227044
-rect 1600 226800 583316 226896
-rect 300430 226584 300436 226636
-rect 300488 226624 300494 226636
-rect 308158 226624 308164 226636
-rect 300488 226596 308164 226624
-rect 300488 226584 300494 226596
-rect 308158 226584 308164 226596
-rect 308216 226584 308222 226636
-rect 360598 226516 360604 226568
-rect 360656 226556 360662 226568
-rect 362254 226556 362260 226568
-rect 360656 226528 362260 226556
-rect 360656 226516 360662 226528
-rect 362254 226516 362260 226528
-rect 362312 226516 362318 226568
-rect 1600 226256 583316 226352
-rect 1600 225712 583316 225808
-rect 239250 225632 239256 225684
-rect 239308 225672 239314 225684
-rect 239434 225672 239440 225684
-rect 239308 225644 239440 225672
-rect 239308 225632 239314 225644
-rect 239434 225632 239440 225644
-rect 239492 225632 239498 225684
-rect 1600 225168 583316 225264
-rect 341094 225060 341100 225072
-rect 341020 225032 341100 225060
-rect 229774 224952 229780 225004
-rect 229832 224992 229838 225004
-rect 229958 224992 229964 225004
-rect 229832 224964 229964 224992
-rect 229832 224952 229838 224964
-rect 229958 224952 229964 224964
-rect 230016 224952 230022 225004
-rect 275682 224992 275688 225004
-rect 275643 224964 275688 224992
-rect 275682 224952 275688 224964
-rect 275740 224952 275746 225004
-rect 285253 224995 285311 225001
-rect 285253 224961 285265 224995
-rect 285299 224992 285311 224995
-rect 285342 224992 285348 225004
-rect 285299 224964 285348 224992
-rect 285299 224961 285311 224964
-rect 285253 224955 285311 224961
-rect 285342 224952 285348 224964
-rect 285400 224952 285406 225004
-rect 285526 224952 285532 225004
-rect 285584 224992 285590 225004
-rect 285710 224992 285716 225004
-rect 285584 224964 285716 224992
-rect 285584 224952 285590 224964
-rect 285710 224952 285716 224964
-rect 285768 224952 285774 225004
-rect 304938 224952 304944 225004
-rect 304996 224952 305002 225004
-rect 320026 224992 320032 225004
-rect 319987 224964 320032 224992
-rect 320026 224952 320032 224964
-rect 320084 224952 320090 225004
-rect 271358 224884 271364 224936
-rect 271416 224924 271422 224936
-rect 271542 224924 271548 224936
-rect 271416 224896 271548 224924
-rect 271416 224884 271422 224896
-rect 271542 224884 271548 224896
-rect 271600 224884 271606 224936
-rect 272922 224884 272928 224936
-rect 272980 224924 272986 224936
-rect 272980 224896 273025 224924
-rect 272980 224884 272986 224896
-rect 304956 224868 304984 224952
-rect 341020 224936 341048 225032
-rect 341094 225020 341100 225032
-rect 341152 225020 341158 225072
-rect 341002 224884 341008 224936
-rect 341060 224884 341066 224936
-rect 275593 224859 275651 224865
-rect 275593 224825 275605 224859
-rect 275639 224856 275651 224859
-rect 275682 224856 275688 224868
-rect 275639 224828 275688 224856
-rect 275639 224825 275651 224828
-rect 275593 224819 275651 224825
-rect 275682 224816 275688 224828
-rect 275740 224816 275746 224868
-rect 304938 224816 304944 224868
-rect 304996 224816 305002 224868
-rect 1600 224624 583316 224720
-rect 1600 224080 583316 224176
-rect 1600 223536 583316 223632
-rect 1600 222992 583316 223088
-rect 1600 222448 583316 222544
-rect 239342 222232 239348 222284
-rect 239400 222232 239406 222284
-rect 242194 222272 242200 222284
-rect 242120 222244 242200 222272
-rect 91314 222164 91320 222216
-rect 91372 222204 91378 222216
-rect 91498 222204 91504 222216
-rect 91372 222176 91504 222204
-rect 91372 222164 91378 222176
-rect 91498 222164 91504 222176
-rect 91556 222164 91562 222216
-rect 239360 222148 239388 222232
-rect 242120 222148 242148 222244
-rect 242194 222232 242200 222244
-rect 242252 222232 242258 222284
-rect 246610 222272 246616 222284
-rect 246536 222244 246616 222272
-rect 246536 222148 246564 222244
-rect 246610 222232 246616 222244
-rect 246668 222232 246674 222284
-rect 246794 222164 246800 222216
-rect 246852 222164 246858 222216
-rect 268693 222207 268751 222213
-rect 268693 222173 268705 222207
-rect 268739 222204 268751 222207
-rect 268782 222204 268788 222216
-rect 268739 222176 268788 222204
-rect 268739 222173 268751 222176
-rect 268693 222167 268751 222173
-rect 268782 222164 268788 222176
-rect 268840 222164 268846 222216
-rect 276694 222164 276700 222216
-rect 276752 222204 276758 222216
-rect 276878 222204 276884 222216
-rect 276752 222176 276884 222204
-rect 276752 222164 276758 222176
-rect 276878 222164 276884 222176
-rect 276936 222164 276942 222216
-rect 357930 222164 357936 222216
-rect 357988 222204 357994 222216
-rect 358114 222204 358120 222216
-rect 357988 222176 358120 222204
-rect 357988 222164 357994 222176
-rect 358114 222164 358120 222176
-rect 358172 222164 358178 222216
-rect 371546 222164 371552 222216
-rect 371604 222204 371610 222216
-rect 371822 222204 371828 222216
-rect 371604 222176 371828 222204
-rect 371604 222164 371610 222176
-rect 371822 222164 371828 222176
-rect 371880 222164 371886 222216
-rect 553706 222164 553712 222216
-rect 553764 222204 553770 222216
-rect 553890 222204 553896 222216
-rect 553764 222176 553896 222204
-rect 553764 222164 553770 222176
-rect 553890 222164 553896 222176
-rect 553948 222164 553954 222216
-rect 239342 222096 239348 222148
-rect 239400 222096 239406 222148
-rect 242102 222096 242108 222148
-rect 242160 222096 242166 222148
-rect 246518 222096 246524 222148
-rect 246576 222096 246582 222148
-rect 246812 222080 246840 222164
-rect 246794 222028 246800 222080
-rect 246852 222028 246858 222080
-rect 1600 221904 583316 222000
-rect 1600 221360 583316 221456
-rect 320026 220980 320032 220992
-rect 319987 220952 320032 220980
-rect 320026 220940 320032 220952
-rect 320084 220940 320090 220992
-rect 1600 220816 583316 220912
-rect 276694 220776 276700 220788
-rect 276655 220748 276700 220776
-rect 276694 220736 276700 220748
-rect 276752 220736 276758 220788
-rect 341002 220776 341008 220788
-rect 340963 220748 341008 220776
-rect 341002 220736 341008 220748
-rect 341060 220736 341066 220788
-rect 373202 220776 373208 220788
-rect 373163 220748 373208 220776
-rect 373202 220736 373208 220748
-rect 373260 220736 373266 220788
-rect 1600 220272 583316 220368
-rect 1600 219728 583316 219824
-rect 279730 219444 279736 219496
-rect 279788 219484 279794 219496
-rect 279822 219484 279828 219496
-rect 279788 219456 279828 219484
-rect 279788 219444 279794 219456
-rect 279822 219444 279828 219456
-rect 279880 219444 279886 219496
-rect 285250 219484 285256 219496
-rect 285211 219456 285256 219484
-rect 285250 219444 285256 219456
-rect 285308 219444 285314 219496
-rect 1600 219184 583316 219280
-rect 1600 218640 583316 218736
-rect 1600 218096 583316 218192
-rect 272922 217988 272928 218000
-rect 272883 217960 272928 217988
-rect 272922 217948 272928 217960
-rect 272980 217948 272986 218000
-rect 1600 217552 583316 217648
-rect 1600 217008 583316 217104
-rect 242470 216628 242476 216640
-rect 242431 216600 242476 216628
-rect 242470 216588 242476 216600
-rect 242528 216588 242534 216640
-rect 1600 216464 583316 216560
-rect 1600 215920 583316 216016
-rect 1600 215376 583316 215472
-rect 275590 215336 275596 215348
-rect 275551 215308 275596 215336
-rect 275590 215296 275596 215308
-rect 275648 215296 275654 215348
-rect 272830 215268 272836 215280
-rect 272791 215240 272836 215268
-rect 272830 215228 272836 215240
-rect 272888 215228 272894 215280
-rect 341005 215271 341063 215277
-rect 341005 215237 341017 215271
-rect 341051 215268 341063 215271
-rect 341094 215268 341100 215280
-rect 341051 215240 341100 215268
-rect 341051 215237 341063 215240
-rect 341005 215231 341063 215237
-rect 341094 215228 341100 215240
-rect 341152 215228 341158 215280
-rect 1600 214832 583316 214928
-rect 1600 214288 583316 214384
-rect 1600 213744 583316 213840
-rect 1600 213200 583316 213296
-rect 1600 212656 583316 212752
-rect 331066 212508 331072 212560
-rect 331124 212548 331130 212560
-rect 331250 212548 331256 212560
-rect 331124 212520 331256 212548
-rect 331124 212508 331130 212520
-rect 331250 212508 331256 212520
-rect 331308 212508 331314 212560
-rect 553706 212508 553712 212560
-rect 553764 212548 553770 212560
-rect 553890 212548 553896 212560
-rect 553764 212520 553896 212548
-rect 553764 212508 553770 212520
-rect 553890 212508 553896 212520
-rect 553948 212508 553954 212560
-rect 279730 212440 279736 212492
-rect 279788 212480 279794 212492
-rect 279822 212480 279828 212492
-rect 279788 212452 279828 212480
-rect 279788 212440 279794 212452
-rect 279822 212440 279828 212452
-rect 279880 212440 279886 212492
-rect 357930 212440 357936 212492
-rect 357988 212480 357994 212492
-rect 373202 212480 373208 212492
-rect 357988 212452 358033 212480
-rect 373163 212452 373208 212480
-rect 357988 212440 357994 212452
-rect 373202 212440 373208 212452
-rect 373260 212440 373266 212492
-rect 1600 212112 583316 212208
-rect 1600 211568 583316 211664
-rect 275590 211256 275596 211268
-rect 275551 211228 275596 211256
-rect 275590 211216 275596 211228
-rect 275648 211216 275654 211268
-rect 235386 211148 235392 211200
-rect 235444 211188 235450 211200
-rect 235478 211188 235484 211200
-rect 235444 211160 235484 211188
-rect 235444 211148 235450 211160
-rect 235478 211148 235484 211160
-rect 235536 211148 235542 211200
-rect 276694 211188 276700 211200
-rect 276655 211160 276700 211188
-rect 276694 211148 276700 211160
-rect 276752 211148 276758 211200
-rect 328306 211188 328312 211200
-rect 328267 211160 328312 211188
-rect 328306 211148 328312 211160
-rect 328364 211148 328370 211200
-rect 385530 211148 385536 211200
-rect 385588 211188 385594 211200
-rect 385714 211188 385720 211200
-rect 385588 211160 385720 211188
-rect 385588 211148 385594 211160
-rect 385714 211148 385720 211160
-rect 385772 211148 385778 211200
-rect 392430 211148 392436 211200
-rect 392488 211188 392494 211200
-rect 392614 211188 392620 211200
-rect 392488 211160 392620 211188
-rect 392488 211148 392494 211160
-rect 392614 211148 392620 211160
-rect 392672 211148 392678 211200
-rect 528866 211148 528872 211200
-rect 528924 211188 528930 211200
-rect 529050 211188 529056 211200
-rect 528924 211160 529056 211188
-rect 528924 211148 528930 211160
-rect 529050 211148 529056 211160
-rect 529108 211148 529114 211200
-rect 535950 211148 535956 211200
-rect 536008 211188 536014 211200
-rect 536134 211188 536140 211200
-rect 536008 211160 536140 211188
-rect 536008 211148 536014 211160
-rect 536134 211148 536140 211160
-rect 536192 211148 536198 211200
-rect 552326 211148 552332 211200
-rect 552384 211188 552390 211200
-rect 552510 211188 552516 211200
-rect 552384 211160 552516 211188
-rect 552384 211148 552390 211160
-rect 552510 211148 552516 211160
-rect 552568 211148 552574 211200
-rect 571830 211148 571836 211200
-rect 571888 211188 571894 211200
-rect 572014 211188 572020 211200
-rect 571888 211160 572020 211188
-rect 571888 211148 571894 211160
-rect 572014 211148 572020 211160
-rect 572072 211148 572078 211200
-rect 1600 211024 583316 211120
-rect 1600 210480 583316 210576
-rect 272830 210440 272836 210452
-rect 272791 210412 272836 210440
-rect 272830 210400 272836 210412
-rect 272888 210400 272894 210452
-rect 275590 210440 275596 210452
-rect 275551 210412 275596 210440
-rect 275590 210400 275596 210412
-rect 275648 210400 275654 210452
-rect 1600 209936 583316 210032
-rect 3730 209720 3736 209772
-rect 3788 209760 3794 209772
-rect 224714 209760 224720 209772
-rect 3788 209732 224720 209760
-rect 3788 209720 3794 209732
-rect 224714 209720 224720 209732
-rect 224772 209720 224778 209772
-rect 328398 209760 328404 209772
-rect 328359 209732 328404 209760
-rect 328398 209720 328404 209732
-rect 328456 209720 328462 209772
-rect 1600 209392 583316 209488
-rect 1600 208848 583316 208944
-rect 285250 208564 285256 208616
-rect 285308 208564 285314 208616
-rect 285268 208480 285296 208564
-rect 285250 208428 285256 208480
-rect 285308 208428 285314 208480
-rect 1600 208304 583316 208400
-rect 285069 208267 285127 208273
-rect 285069 208233 285081 208267
-rect 285115 208264 285127 208267
-rect 285250 208264 285256 208276
-rect 285115 208236 285256 208264
-rect 285115 208233 285127 208236
-rect 285069 208227 285127 208233
-rect 285250 208224 285256 208236
-rect 285308 208224 285314 208276
-rect 1600 207760 583316 207856
-rect 268690 207720 268696 207732
-rect 268651 207692 268696 207720
-rect 268690 207680 268696 207692
-rect 268748 207680 268754 207732
-rect 1600 207216 583316 207312
-rect 242470 207040 242476 207052
-rect 242431 207012 242476 207040
-rect 242470 207000 242476 207012
-rect 242528 207000 242534 207052
-rect 273014 206932 273020 206984
-rect 273072 206932 273078 206984
-rect 273032 206904 273060 206932
-rect 273106 206904 273112 206916
-rect 273032 206876 273112 206904
-rect 273106 206864 273112 206876
-rect 273164 206864 273170 206916
-rect 1600 206672 583316 206768
-rect 1600 206128 583316 206224
-rect 229961 205819 230019 205825
-rect 229961 205785 229973 205819
-rect 230007 205816 230019 205819
-rect 230050 205816 230056 205828
-rect 230007 205788 230056 205816
-rect 230007 205785 230019 205788
-rect 229961 205779 230019 205785
-rect 230050 205776 230056 205788
-rect 230108 205776 230114 205828
-rect 341097 205819 341155 205825
-rect 341097 205785 341109 205819
-rect 341143 205816 341155 205819
-rect 341186 205816 341192 205828
-rect 341143 205788 341192 205816
-rect 341143 205785 341155 205788
-rect 341097 205779 341155 205785
-rect 341186 205776 341192 205788
-rect 341244 205776 341250 205828
-rect 235478 205748 235484 205760
-rect 235439 205720 235484 205748
-rect 235478 205708 235484 205720
-rect 235536 205708 235542 205760
-rect 271450 205748 271456 205760
-rect 271411 205720 271456 205748
-rect 271450 205708 271456 205720
-rect 271508 205708 271514 205760
-rect 309078 205748 309084 205760
-rect 309039 205720 309084 205748
-rect 309078 205708 309084 205720
-rect 309136 205708 309142 205760
-rect 1600 205584 583316 205680
-rect 229958 205544 229964 205556
-rect 229919 205516 229964 205544
-rect 229958 205504 229964 205516
-rect 230016 205504 230022 205556
-rect 239250 205504 239256 205556
-rect 239308 205544 239314 205556
-rect 239434 205544 239440 205556
-rect 239308 205516 239440 205544
-rect 239308 205504 239314 205516
-rect 239434 205504 239440 205516
-rect 239492 205504 239498 205556
-rect 268693 205547 268751 205553
-rect 268693 205513 268705 205547
-rect 268739 205544 268751 205547
-rect 268782 205544 268788 205556
-rect 268739 205516 268788 205544
-rect 268739 205513 268751 205516
-rect 268693 205507 268751 205513
-rect 268782 205504 268788 205516
-rect 268840 205504 268846 205556
-rect 271450 205544 271456 205556
-rect 271411 205516 271456 205544
-rect 271450 205504 271456 205516
-rect 271508 205504 271514 205556
-rect 309078 205544 309084 205556
-rect 309039 205516 309084 205544
-rect 309078 205504 309084 205516
-rect 309136 205504 309142 205556
-rect 341094 205544 341100 205556
-rect 341055 205516 341100 205544
-rect 341094 205504 341100 205516
-rect 341152 205504 341158 205556
-rect 1600 205040 583316 205136
-rect 328398 204932 328404 204944
-rect 328359 204904 328404 204932
-rect 328398 204892 328404 204904
-rect 328456 204892 328462 204944
-rect 1600 204496 583316 204592
-rect 1600 203952 583316 204048
-rect 1600 203408 583316 203504
-rect 91314 202988 91320 203040
-rect 91372 203028 91378 203040
-rect 91498 203028 91504 203040
-rect 91372 203000 91504 203028
-rect 91372 202988 91378 203000
-rect 91498 202988 91504 203000
-rect 91556 202988 91562 203040
-rect 225358 202988 225364 203040
-rect 225416 203028 225422 203040
-rect 225542 203028 225548 203040
-rect 225416 203000 225548 203028
-rect 225416 202988 225422 203000
-rect 225542 202988 225548 203000
-rect 225600 202988 225606 203040
-rect 235478 203028 235484 203040
-rect 235439 203000 235484 203028
-rect 235478 202988 235484 203000
-rect 235536 202988 235542 203040
-rect 357930 202988 357936 203040
-rect 357988 203028 357994 203040
-rect 357988 203000 358033 203028
-rect 357988 202988 357994 203000
-rect 1600 202864 583316 202960
-rect 235570 202824 235576 202836
-rect 235531 202796 235576 202824
-rect 235570 202784 235576 202796
-rect 235628 202784 235634 202836
-rect 341094 202824 341100 202836
-rect 341055 202796 341100 202824
-rect 341094 202784 341100 202796
-rect 341152 202784 341158 202836
-rect 1600 202320 583316 202416
-rect 1600 201776 583316 201872
-rect 242470 201492 242476 201544
-rect 242528 201492 242534 201544
-rect 285618 201492 285624 201544
-rect 285676 201532 285682 201544
-rect 285710 201532 285716 201544
-rect 285676 201504 285716 201532
-rect 285676 201492 285682 201504
-rect 285710 201492 285716 201504
-rect 285768 201492 285774 201544
-rect 135474 201424 135480 201476
-rect 135532 201464 135538 201476
-rect 135658 201464 135664 201476
-rect 135532 201436 135664 201464
-rect 135532 201424 135538 201436
-rect 135658 201424 135664 201436
-rect 135716 201424 135722 201476
-rect 152034 201424 152040 201476
-rect 152092 201464 152098 201476
-rect 152218 201464 152224 201476
-rect 152092 201436 152224 201464
-rect 152092 201424 152098 201436
-rect 152218 201424 152224 201436
-rect 152276 201424 152282 201476
-rect 170158 201424 170164 201476
-rect 170216 201464 170222 201476
-rect 170342 201464 170348 201476
-rect 170216 201436 170348 201464
-rect 170216 201424 170222 201436
-rect 170342 201424 170348 201436
-rect 170400 201424 170406 201476
-rect 190674 201424 190680 201476
-rect 190732 201464 190738 201476
-rect 190858 201464 190864 201476
-rect 190732 201436 190864 201464
-rect 190732 201424 190738 201436
-rect 190858 201424 190864 201436
-rect 190916 201424 190922 201476
-rect 214318 201424 214324 201476
-rect 214376 201464 214382 201476
-rect 214502 201464 214508 201476
-rect 214376 201436 214508 201464
-rect 214376 201424 214382 201436
-rect 214502 201424 214508 201436
-rect 214560 201424 214566 201476
-rect 242488 201396 242516 201492
-rect 268506 201424 268512 201476
-rect 268564 201464 268570 201476
-rect 268782 201464 268788 201476
-rect 268564 201436 268788 201464
-rect 268564 201424 268570 201436
-rect 268782 201424 268788 201436
-rect 268840 201424 268846 201476
-rect 385530 201424 385536 201476
-rect 385588 201464 385594 201476
-rect 385714 201464 385720 201476
-rect 385588 201436 385720 201464
-rect 385588 201424 385594 201436
-rect 385714 201424 385720 201436
-rect 385772 201424 385778 201476
-rect 392430 201424 392436 201476
-rect 392488 201464 392494 201476
-rect 392614 201464 392620 201476
-rect 392488 201436 392620 201464
-rect 392488 201424 392494 201436
-rect 392614 201424 392620 201436
-rect 392672 201424 392678 201476
-rect 528866 201424 528872 201476
-rect 528924 201464 528930 201476
-rect 529050 201464 529056 201476
-rect 528924 201436 529056 201464
-rect 528924 201424 528930 201436
-rect 529050 201424 529056 201436
-rect 529108 201424 529114 201476
-rect 535950 201424 535956 201476
-rect 536008 201464 536014 201476
-rect 536134 201464 536140 201476
-rect 536008 201436 536140 201464
-rect 536008 201424 536014 201436
-rect 536134 201424 536140 201436
-rect 536192 201424 536198 201476
-rect 552418 201464 552424 201476
-rect 552379 201436 552424 201464
-rect 552418 201424 552424 201436
-rect 552476 201424 552482 201476
-rect 571830 201424 571836 201476
-rect 571888 201464 571894 201476
-rect 572014 201464 572020 201476
-rect 571888 201436 572020 201464
-rect 571888 201424 571894 201436
-rect 572014 201424 572020 201436
-rect 572072 201424 572078 201476
-rect 242562 201396 242568 201408
-rect 242488 201368 242568 201396
-rect 242562 201356 242568 201368
-rect 242620 201356 242626 201408
-rect 1600 201232 583316 201328
-rect 1600 200688 583316 200784
-rect 1600 200144 583316 200240
-rect 279822 200104 279828 200116
-rect 279783 200076 279828 200104
-rect 279822 200064 279828 200076
-rect 279880 200064 279886 200116
-rect 320026 200104 320032 200116
-rect 319987 200076 320032 200104
-rect 320026 200064 320032 200076
-rect 320084 200064 320090 200116
-rect 372006 200104 372012 200116
-rect 371967 200076 372012 200104
-rect 372006 200064 372012 200076
-rect 372064 200064 372070 200116
-rect 373021 200107 373079 200113
-rect 373021 200073 373033 200107
-rect 373067 200104 373079 200107
-rect 373110 200104 373116 200116
-rect 373067 200076 373116 200104
-rect 373067 200073 373079 200076
-rect 373021 200067 373079 200073
-rect 373110 200064 373116 200076
-rect 373168 200064 373174 200116
-rect 328398 200036 328404 200048
-rect 328359 200008 328404 200036
-rect 328398 199996 328404 200008
-rect 328456 199996 328462 200048
-rect 1600 199600 583316 199696
-rect 1600 199056 583316 199152
-rect 285066 198744 285072 198756
-rect 285027 198716 285072 198744
-rect 285066 198704 285072 198716
-rect 285124 198704 285130 198756
-rect 242473 198679 242531 198685
-rect 242473 198645 242485 198679
-rect 242519 198676 242531 198679
-rect 242562 198676 242568 198688
-rect 242519 198648 242568 198676
-rect 242519 198645 242531 198648
-rect 242473 198639 242531 198645
-rect 242562 198636 242568 198648
-rect 242620 198636 242626 198688
-rect 1600 198512 583316 198608
-rect 276970 198132 276976 198144
-rect 276931 198104 276976 198132
-rect 276970 198092 276976 198104
-rect 277028 198092 277034 198144
-rect 1600 197968 583316 198064
-rect 275590 197548 275596 197600
-rect 275648 197588 275654 197600
-rect 275685 197591 275743 197597
-rect 275685 197588 275697 197591
-rect 275648 197560 275697 197588
-rect 275648 197548 275654 197560
-rect 275685 197557 275697 197560
-rect 275731 197557 275743 197591
-rect 275685 197551 275743 197557
-rect 1600 197424 583316 197520
-rect 275682 197344 275688 197396
-rect 275740 197384 275746 197396
-rect 275740 197356 275785 197384
-rect 275740 197344 275746 197356
-rect 1600 196880 583316 196976
-rect 1600 196336 583316 196432
-rect 552418 196296 552424 196308
-rect 552379 196268 552424 196296
-rect 552418 196256 552424 196268
-rect 552476 196256 552482 196308
-rect 320026 195956 320032 195968
-rect 319987 195928 320032 195956
-rect 320026 195916 320032 195928
-rect 320084 195916 320090 195968
-rect 353054 195916 353060 195968
-rect 353112 195956 353118 195968
-rect 580662 195956 580668 195968
-rect 353112 195928 580668 195956
-rect 353112 195916 353118 195928
-rect 580662 195916 580668 195928
-rect 580720 195916 580726 195968
-rect 1600 195792 583316 195888
-rect 235570 195752 235576 195764
-rect 235531 195724 235576 195752
-rect 235570 195712 235576 195724
-rect 235628 195712 235634 195764
-rect 328398 195752 328404 195764
-rect 328359 195724 328404 195752
-rect 328398 195712 328404 195724
-rect 328456 195712 328462 195764
-rect 1600 195248 583316 195344
-rect 1600 194704 583316 194800
-rect 1600 194160 583316 194256
-rect 246702 193876 246708 193928
-rect 246760 193916 246766 193928
-rect 246978 193916 246984 193928
-rect 246760 193888 246984 193916
-rect 246760 193876 246766 193888
-rect 246978 193876 246984 193888
-rect 247036 193876 247042 193928
-rect 1600 193616 583316 193712
-rect 341097 193375 341155 193381
-rect 341097 193341 341109 193375
-rect 341143 193372 341155 193375
-rect 341186 193372 341192 193384
-rect 341143 193344 341192 193372
-rect 341143 193341 341155 193344
-rect 341097 193335 341155 193341
-rect 341186 193332 341192 193344
-rect 341244 193332 341250 193384
-rect 331066 193196 331072 193248
-rect 331124 193236 331130 193248
-rect 331250 193236 331256 193248
-rect 331124 193208 331256 193236
-rect 331124 193196 331130 193208
-rect 331250 193196 331256 193208
-rect 331308 193196 331314 193248
-rect 553706 193196 553712 193248
-rect 553764 193236 553770 193248
-rect 553890 193236 553896 193248
-rect 553764 193208 553896 193236
-rect 553764 193196 553770 193208
-rect 553890 193196 553896 193208
-rect 553948 193196 553954 193248
-rect 1600 193072 583316 193168
-rect 3822 192652 3828 192704
-rect 3880 192692 3886 192704
-rect 9434 192692 9440 192704
-rect 3880 192664 9440 192692
-rect 3880 192652 3886 192664
-rect 9434 192652 9440 192664
-rect 9492 192652 9498 192704
-rect 1600 192528 583316 192624
-rect 1600 191984 583316 192080
-rect 235570 191768 235576 191820
-rect 235628 191808 235634 191820
-rect 235754 191808 235760 191820
-rect 235628 191780 235760 191808
-rect 235628 191768 235634 191780
-rect 235754 191768 235760 191780
-rect 235812 191768 235818 191820
-rect 552418 191808 552424 191820
-rect 552379 191780 552424 191808
-rect 552418 191768 552424 191780
-rect 552476 191768 552482 191820
-rect 1600 191440 583316 191536
-rect 372006 191264 372012 191276
-rect 371967 191236 372012 191264
-rect 372006 191224 372012 191236
-rect 372064 191224 372070 191276
-rect 1600 190896 583316 190992
-rect 373018 190584 373024 190596
-rect 372979 190556 373024 190584
-rect 373018 190544 373024 190556
-rect 373076 190544 373082 190596
-rect 279822 190516 279828 190528
-rect 279783 190488 279828 190516
-rect 279822 190476 279828 190488
-rect 279880 190476 279886 190528
-rect 1600 190352 583316 190448
-rect 1600 189808 583316 189904
-rect 1600 189264 583316 189360
-rect 242470 189088 242476 189100
-rect 242431 189060 242476 189088
-rect 242470 189048 242476 189060
-rect 242528 189048 242534 189100
-rect 246426 189048 246432 189100
-rect 246484 189088 246490 189100
-rect 246610 189088 246616 189100
-rect 246484 189060 246616 189088
-rect 246484 189048 246490 189060
-rect 246610 189048 246616 189060
-rect 246668 189048 246674 189100
-rect 272922 189048 272928 189100
-rect 272980 189048 272986 189100
-rect 276970 189088 276976 189100
-rect 276931 189060 276976 189088
-rect 276970 189048 276976 189060
-rect 277028 189048 277034 189100
-rect 242838 189020 242844 189032
-rect 242799 188992 242844 189020
-rect 242838 188980 242844 188992
-rect 242896 188980 242902 189032
-rect 272940 188964 272968 189048
-rect 272922 188912 272928 188964
-rect 272980 188912 272986 188964
-rect 1600 188720 583316 188816
-rect 1600 188176 583316 188272
-rect 1600 187632 583316 187728
-rect 1600 187088 583316 187184
-rect 1600 186544 583316 186640
-rect 229774 186328 229780 186380
-rect 229832 186368 229838 186380
-rect 229958 186368 229964 186380
-rect 229832 186340 229964 186368
-rect 229832 186328 229838 186340
-rect 229958 186328 229964 186340
-rect 230016 186328 230022 186380
-rect 285526 186328 285532 186380
-rect 285584 186328 285590 186380
-rect 305030 186328 305036 186380
-rect 305088 186368 305094 186380
-rect 305214 186368 305220 186380
-rect 305088 186340 305220 186368
-rect 305088 186328 305094 186340
-rect 305214 186328 305220 186340
-rect 305272 186328 305278 186380
-rect 308989 186371 309047 186377
-rect 308989 186337 309001 186371
-rect 309035 186368 309047 186371
-rect 309078 186368 309084 186380
-rect 309035 186340 309084 186368
-rect 309035 186337 309047 186340
-rect 308989 186331 309047 186337
-rect 309078 186328 309084 186340
-rect 309136 186328 309142 186380
-rect 341094 186368 341100 186380
-rect 341055 186340 341100 186368
-rect 341094 186328 341100 186340
-rect 341152 186328 341158 186380
-rect 285066 186260 285072 186312
-rect 285124 186300 285130 186312
-rect 285250 186300 285256 186312
-rect 285124 186272 285256 186300
-rect 285124 186260 285130 186272
-rect 285250 186260 285256 186272
-rect 285308 186260 285314 186312
-rect 285544 186232 285572 186328
-rect 285618 186232 285624 186244
-rect 285544 186204 285624 186232
-rect 285618 186192 285624 186204
-rect 285676 186192 285682 186244
-rect 1600 186000 583316 186096
-rect 1600 185456 583316 185552
-rect 1600 184912 583316 185008
-rect 1600 184368 583316 184464
-rect 1600 183824 583316 183920
-rect 279822 183648 279828 183660
-rect 279748 183620 279828 183648
-rect 91314 183540 91320 183592
-rect 91372 183580 91378 183592
-rect 91498 183580 91504 183592
-rect 91372 183552 91504 183580
-rect 91372 183540 91378 183552
-rect 91498 183540 91504 183552
-rect 91556 183540 91562 183592
-rect 279748 183524 279776 183620
-rect 279822 183608 279828 183620
-rect 279880 183608 279886 183660
-rect 341094 183648 341100 183660
-rect 341055 183620 341100 183648
-rect 341094 183608 341100 183620
-rect 341152 183608 341158 183660
-rect 308986 183580 308992 183592
-rect 308947 183552 308992 183580
-rect 308986 183540 308992 183552
-rect 309044 183540 309050 183592
-rect 357930 183540 357936 183592
-rect 357988 183580 357994 183592
-rect 358114 183580 358120 183592
-rect 357988 183552 358120 183580
-rect 357988 183540 357994 183552
-rect 358114 183540 358120 183552
-rect 358172 183540 358178 183592
-rect 553706 183540 553712 183592
-rect 553764 183580 553770 183592
-rect 553890 183580 553896 183592
-rect 553764 183552 553896 183580
-rect 553764 183540 553770 183552
-rect 553890 183540 553896 183552
-rect 553948 183540 553954 183592
-rect 279730 183472 279736 183524
-rect 279788 183472 279794 183524
-rect 341094 183472 341100 183524
-rect 341152 183512 341158 183524
-rect 341462 183512 341468 183524
-rect 341152 183484 341468 183512
-rect 341152 183472 341158 183484
-rect 341462 183472 341468 183484
-rect 341520 183472 341526 183524
-rect 552418 183512 552424 183524
-rect 552379 183484 552424 183512
-rect 552418 183472 552424 183484
-rect 552476 183472 552482 183524
-rect 1600 183280 583316 183376
-rect 1600 182736 583316 182832
-rect 1600 182192 583316 182288
-rect 135474 182112 135480 182164
-rect 135532 182152 135538 182164
-rect 135658 182152 135664 182164
-rect 135532 182124 135664 182152
-rect 135532 182112 135538 182124
-rect 135658 182112 135664 182124
-rect 135716 182112 135722 182164
-rect 152034 182112 152040 182164
-rect 152092 182152 152098 182164
-rect 152218 182152 152224 182164
-rect 152092 182124 152224 182152
-rect 152092 182112 152098 182124
-rect 152218 182112 152224 182124
-rect 152276 182112 152282 182164
-rect 170158 182112 170164 182164
-rect 170216 182152 170222 182164
-rect 170342 182152 170348 182164
-rect 170216 182124 170348 182152
-rect 170216 182112 170222 182124
-rect 170342 182112 170348 182124
-rect 170400 182112 170406 182164
-rect 190674 182112 190680 182164
-rect 190732 182152 190738 182164
-rect 190858 182152 190864 182164
-rect 190732 182124 190864 182152
-rect 190732 182112 190738 182124
-rect 190858 182112 190864 182124
-rect 190916 182112 190922 182164
-rect 214318 182112 214324 182164
-rect 214376 182152 214382 182164
-rect 214502 182152 214508 182164
-rect 214376 182124 214508 182152
-rect 214376 182112 214382 182124
-rect 214502 182112 214508 182124
-rect 214560 182112 214566 182164
-rect 229866 182152 229872 182164
-rect 229827 182124 229872 182152
-rect 229866 182112 229872 182124
-rect 229924 182112 229930 182164
-rect 242102 182112 242108 182164
-rect 242160 182152 242166 182164
-rect 242194 182152 242200 182164
-rect 242160 182124 242200 182152
-rect 242160 182112 242166 182124
-rect 242194 182112 242200 182124
-rect 242252 182112 242258 182164
-rect 246518 182112 246524 182164
-rect 246576 182152 246582 182164
-rect 246610 182152 246616 182164
-rect 246576 182124 246616 182152
-rect 246576 182112 246582 182124
-rect 246610 182112 246616 182124
-rect 246668 182112 246674 182164
-rect 285158 182152 285164 182164
-rect 285119 182124 285164 182152
-rect 285158 182112 285164 182124
-rect 285216 182112 285222 182164
-rect 285618 182112 285624 182164
-rect 285676 182152 285682 182164
-rect 285710 182152 285716 182164
-rect 285676 182124 285716 182152
-rect 285676 182112 285682 182124
-rect 285710 182112 285716 182124
-rect 285768 182112 285774 182164
-rect 328306 182152 328312 182164
-rect 328267 182124 328312 182152
-rect 328306 182112 328312 182124
-rect 328364 182112 328370 182164
-rect 385530 182112 385536 182164
-rect 385588 182152 385594 182164
-rect 385714 182152 385720 182164
-rect 385588 182124 385720 182152
-rect 385588 182112 385594 182124
-rect 385714 182112 385720 182124
-rect 385772 182112 385778 182164
-rect 392430 182112 392436 182164
-rect 392488 182152 392494 182164
-rect 392614 182152 392620 182164
-rect 392488 182124 392620 182152
-rect 392488 182112 392494 182124
-rect 392614 182112 392620 182124
-rect 392672 182112 392678 182164
-rect 528866 182112 528872 182164
-rect 528924 182152 528930 182164
-rect 529050 182152 529056 182164
-rect 528924 182124 529056 182152
-rect 528924 182112 528930 182124
-rect 529050 182112 529056 182124
-rect 529108 182112 529114 182164
-rect 535950 182112 535956 182164
-rect 536008 182152 536014 182164
-rect 536134 182152 536140 182164
-rect 536008 182124 536140 182152
-rect 536008 182112 536014 182124
-rect 536134 182112 536140 182124
-rect 536192 182112 536198 182164
-rect 571830 182112 571836 182164
-rect 571888 182152 571894 182164
-rect 572014 182152 572020 182164
-rect 571888 182124 572020 182152
-rect 571888 182112 571894 182124
-rect 572014 182112 572020 182124
-rect 572072 182112 572078 182164
-rect 242838 182016 242844 182028
-rect 242799 181988 242844 182016
-rect 242838 181976 242844 181988
-rect 242896 181976 242902 182028
-rect 1600 181648 583316 181744
-rect 295186 181432 295192 181484
-rect 295244 181472 295250 181484
-rect 308250 181472 308256 181484
-rect 295244 181444 308256 181472
-rect 295244 181432 295250 181444
-rect 308250 181432 308256 181444
-rect 308308 181432 308314 181484
-rect 1600 181104 583316 181200
-rect 273014 180752 273020 180804
-rect 273072 180792 273078 180804
-rect 273106 180792 273112 180804
-rect 273072 180764 273112 180792
-rect 273072 180752 273078 180764
-rect 273106 180752 273112 180764
-rect 273164 180752 273170 180804
-rect 278810 180792 278816 180804
-rect 278771 180764 278816 180792
-rect 278810 180752 278816 180764
-rect 278868 180752 278874 180804
-rect 371914 180752 371920 180804
-rect 371972 180792 371978 180804
-rect 372006 180792 372012 180804
-rect 371972 180764 372012 180792
-rect 371972 180752 371978 180764
-rect 372006 180752 372012 180764
-rect 372064 180752 372070 180804
-rect 1600 180560 583316 180656
-rect 1600 180016 583316 180112
-rect 1600 179472 583316 179568
-rect 251670 179392 251676 179444
-rect 251728 179432 251734 179444
-rect 259858 179432 259864 179444
-rect 251728 179404 259864 179432
-rect 251728 179392 251734 179404
-rect 259858 179392 259864 179404
-rect 259916 179392 259922 179444
-rect 371914 179364 371920 179376
-rect 371875 179336 371920 179364
-rect 371914 179324 371920 179336
-rect 371972 179324 371978 179376
-rect 1600 178928 583316 179024
-rect 304846 178712 304852 178764
-rect 304904 178752 304910 178764
-rect 305122 178752 305128 178764
-rect 304904 178724 305128 178752
-rect 304904 178712 304910 178724
-rect 305122 178712 305128 178724
-rect 305180 178712 305186 178764
-rect 1600 178384 583316 178480
-rect 1600 177840 583316 177936
-rect 1600 177296 583316 177392
-rect 319750 177216 319756 177268
-rect 319808 177256 319814 177268
-rect 320026 177256 320032 177268
-rect 319808 177228 320032 177256
-rect 319808 177216 319814 177228
-rect 320026 177216 320032 177228
-rect 320084 177216 320090 177268
-rect 1600 176752 583316 176848
-rect 235478 176672 235484 176724
-rect 235536 176672 235542 176724
-rect 229866 176576 229872 176588
-rect 229827 176548 229872 176576
-rect 229866 176536 229872 176548
-rect 229924 176536 229930 176588
-rect 235496 176576 235524 176672
-rect 235570 176576 235576 176588
-rect 235496 176548 235576 176576
-rect 235570 176536 235576 176548
-rect 235628 176536 235634 176588
-rect 328309 176579 328367 176585
-rect 328309 176545 328321 176579
-rect 328355 176576 328367 176579
-rect 328398 176576 328404 176588
-rect 328355 176548 328404 176576
-rect 328355 176545 328367 176548
-rect 328309 176539 328367 176545
-rect 328398 176536 328404 176548
-rect 328456 176536 328462 176588
-rect 1600 176208 583316 176304
-rect 1600 175664 583316 175760
-rect 1600 175120 583316 175216
-rect 1600 174576 583316 174672
-rect 1600 174032 583316 174128
-rect 268506 173884 268512 173936
-rect 268564 173924 268570 173936
-rect 268690 173924 268696 173936
-rect 268564 173896 268696 173924
-rect 268564 173884 268570 173896
-rect 268690 173884 268696 173896
-rect 268748 173884 268754 173936
-rect 308986 173884 308992 173936
-rect 309044 173924 309050 173936
-rect 309078 173924 309084 173936
-rect 309044 173896 309084 173924
-rect 309044 173884 309050 173896
-rect 309078 173884 309084 173896
-rect 309136 173884 309142 173936
-rect 331066 173884 331072 173936
-rect 331124 173924 331130 173936
-rect 331250 173924 331256 173936
-rect 331124 173896 331256 173924
-rect 331124 173884 331130 173896
-rect 331250 173884 331256 173896
-rect 331308 173884 331314 173936
-rect 1600 173488 583316 173584
-rect 275590 173244 275596 173256
-rect 275551 173216 275596 173244
-rect 275590 173204 275596 173216
-rect 275648 173204 275654 173256
-rect 1600 172944 583316 173040
-rect 285158 172564 285164 172576
-rect 285119 172536 285164 172564
-rect 285158 172524 285164 172536
-rect 285216 172524 285222 172576
-rect 1600 172400 583316 172496
-rect 1600 171856 583316 171952
-rect 1600 171312 583316 171408
-rect 278810 171136 278816 171148
-rect 278771 171108 278816 171136
-rect 278810 171096 278816 171108
-rect 278868 171096 278874 171148
-rect 1600 170768 583316 170864
-rect 1600 170224 583316 170320
-rect 1600 169680 583316 169776
-rect 246889 169303 246947 169309
-rect 246889 169269 246901 169303
-rect 246935 169300 246947 169303
-rect 246978 169300 246984 169312
-rect 246935 169272 246984 169300
-rect 246935 169269 246947 169272
-rect 246889 169263 246947 169269
-rect 246978 169260 246984 169272
-rect 247036 169260 247042 169312
-rect 1600 169136 583316 169232
-rect 1600 168592 583316 168688
-rect 273017 168351 273075 168357
-rect 273017 168317 273029 168351
-rect 273063 168348 273075 168351
-rect 273106 168348 273112 168360
-rect 273063 168320 273112 168348
-rect 273063 168317 273075 168320
-rect 273017 168311 273075 168317
-rect 273106 168308 273112 168320
-rect 273164 168308 273170 168360
-rect 1600 168048 583316 168144
-rect 1600 167504 583316 167600
-rect 235481 167195 235539 167201
-rect 235481 167161 235493 167195
-rect 235527 167192 235539 167195
-rect 235570 167192 235576 167204
-rect 235527 167164 235576 167192
-rect 235527 167161 235539 167164
-rect 235481 167155 235539 167161
-rect 235570 167152 235576 167164
-rect 235628 167152 235634 167204
-rect 239250 167084 239256 167136
-rect 239308 167124 239314 167136
-rect 239434 167124 239440 167136
-rect 239308 167096 239440 167124
-rect 239308 167084 239314 167096
-rect 239434 167084 239440 167096
-rect 239492 167084 239498 167136
-rect 1600 166960 583316 167056
-rect 235478 166920 235484 166932
-rect 235439 166892 235484 166920
-rect 235478 166880 235484 166892
-rect 235536 166880 235542 166932
-rect 239250 166880 239256 166932
-rect 239308 166920 239314 166932
-rect 239434 166920 239440 166932
-rect 239308 166892 239440 166920
-rect 239308 166880 239314 166892
-rect 239434 166880 239440 166892
-rect 239492 166880 239498 166932
-rect 285710 166812 285716 166864
-rect 285768 166812 285774 166864
-rect 285728 166728 285756 166812
-rect 285710 166676 285716 166728
-rect 285768 166676 285774 166728
-rect 1600 166416 583316 166512
-rect 272922 166308 272928 166320
-rect 272848 166280 272928 166308
-rect 272848 166252 272876 166280
-rect 272922 166268 272928 166280
-rect 272980 166268 272986 166320
-rect 272830 166200 272836 166252
-rect 272888 166200 272894 166252
-rect 1600 165872 583316 165968
-rect 1600 165328 583316 165424
-rect 1600 164784 583316 164880
-rect 284790 164364 284796 164416
-rect 284848 164404 284854 164416
-rect 285618 164404 285624 164416
-rect 284848 164376 285624 164404
-rect 284848 164364 284854 164376
-rect 285618 164364 285624 164376
-rect 285676 164364 285682 164416
-rect 382034 164364 382040 164416
-rect 382092 164404 382098 164416
-rect 385806 164404 385812 164416
-rect 382092 164376 385812 164404
-rect 382092 164364 382098 164376
-rect 385806 164364 385812 164376
-rect 385864 164364 385870 164416
-rect 391694 164364 391700 164416
-rect 391752 164404 391758 164416
-rect 392706 164404 392712 164416
-rect 391752 164376 392712 164404
-rect 391752 164364 391758 164376
-rect 392706 164364 392712 164376
-rect 392764 164364 392770 164416
-rect 526934 164364 526940 164416
-rect 526992 164404 526998 164416
-rect 529326 164404 529332 164416
-rect 526992 164376 529332 164404
-rect 526992 164364 526998 164376
-rect 529326 164364 529332 164376
-rect 529384 164364 529390 164416
-rect 535674 164364 535680 164416
-rect 535732 164404 535738 164416
-rect 536594 164404 536600 164416
-rect 535732 164376 536600 164404
-rect 535732 164364 535738 164376
-rect 536594 164364 536600 164376
-rect 536652 164364 536658 164416
-rect 552510 164364 552516 164416
-rect 552568 164404 552574 164416
-rect 552694 164404 552700 164416
-rect 552568 164376 552700 164404
-rect 552568 164364 552574 164376
-rect 552694 164364 552700 164376
-rect 552752 164364 552758 164416
-rect 571554 164364 571560 164416
-rect 571612 164404 571618 164416
-rect 572106 164404 572112 164416
-rect 571612 164376 572112 164404
-rect 571612 164364 571618 164376
-rect 572106 164364 572112 164376
-rect 572164 164364 572170 164416
-rect 1600 164240 583316 164336
-rect 242102 164160 242108 164212
-rect 242160 164160 242166 164212
-rect 242654 164200 242660 164212
-rect 242615 164172 242660 164200
-rect 242654 164160 242660 164172
-rect 242712 164160 242718 164212
-rect 246518 164160 246524 164212
-rect 246576 164160 246582 164212
-rect 259306 164200 259312 164212
-rect 259267 164172 259312 164200
-rect 259306 164160 259312 164172
-rect 259364 164160 259370 164212
-rect 305122 164200 305128 164212
-rect 305083 164172 305128 164200
-rect 305122 164160 305128 164172
-rect 305180 164160 305186 164212
-rect 308986 164160 308992 164212
-rect 309044 164200 309050 164212
-rect 309078 164200 309084 164212
-rect 309044 164172 309084 164200
-rect 309044 164160 309050 164172
-rect 309078 164160 309084 164172
-rect 309136 164160 309142 164212
-rect 331066 164160 331072 164212
-rect 331124 164200 331130 164212
-rect 331250 164200 331256 164212
-rect 331124 164172 331256 164200
-rect 331124 164160 331130 164172
-rect 331250 164160 331256 164172
-rect 331308 164160 331314 164212
-rect 341002 164160 341008 164212
-rect 341060 164200 341066 164212
-rect 341094 164200 341100 164212
-rect 341060 164172 341100 164200
-rect 341060 164160 341066 164172
-rect 341094 164160 341100 164172
-rect 341152 164160 341158 164212
-rect 553890 164200 553896 164212
-rect 553851 164172 553896 164200
-rect 553890 164160 553896 164172
-rect 553948 164160 553954 164212
-rect 242120 164132 242148 164160
-rect 242194 164132 242200 164144
-rect 242120 164104 242200 164132
-rect 242194 164092 242200 164104
-rect 242252 164092 242258 164144
-rect 246536 164132 246564 164160
-rect 246610 164132 246616 164144
-rect 246536 164104 246616 164132
-rect 246610 164092 246616 164104
-rect 246668 164092 246674 164144
-rect 320026 164092 320032 164144
-rect 320084 164132 320090 164144
-rect 320118 164132 320124 164144
-rect 320084 164104 320124 164132
-rect 320084 164092 320090 164104
-rect 320118 164092 320124 164104
-rect 320176 164092 320182 164144
-rect 1600 163696 583316 163792
-rect 273014 163520 273020 163532
-rect 272975 163492 273020 163520
-rect 273014 163480 273020 163492
-rect 273072 163480 273078 163532
-rect 275590 163520 275596 163532
-rect 275551 163492 275596 163520
-rect 275590 163480 275596 163492
-rect 275648 163480 275654 163532
-rect 1600 163152 583316 163248
-rect 242562 162908 242568 162920
-rect 242488 162880 242568 162908
-rect 135658 162840 135664 162852
-rect 135619 162812 135664 162840
-rect 135658 162800 135664 162812
-rect 135716 162800 135722 162852
-rect 152218 162840 152224 162852
-rect 152179 162812 152224 162840
-rect 152218 162800 152224 162812
-rect 152276 162800 152282 162852
-rect 170158 162840 170164 162852
-rect 170119 162812 170164 162840
-rect 170158 162800 170164 162812
-rect 170216 162800 170222 162852
-rect 190858 162840 190864 162852
-rect 190819 162812 190864 162840
-rect 190858 162800 190864 162812
-rect 190916 162800 190922 162852
-rect 214318 162840 214324 162852
-rect 214279 162812 214324 162840
-rect 214318 162800 214324 162812
-rect 214376 162800 214382 162852
-rect 230050 162840 230056 162852
-rect 230011 162812 230056 162840
-rect 230050 162800 230056 162812
-rect 230108 162800 230114 162852
-rect 242010 162800 242016 162852
-rect 242068 162840 242074 162852
-rect 242194 162840 242200 162852
-rect 242068 162812 242200 162840
-rect 242068 162800 242074 162812
-rect 242194 162800 242200 162812
-rect 242252 162800 242258 162852
-rect 242488 162849 242516 162880
-rect 242562 162868 242568 162880
-rect 242620 162868 242626 162920
-rect 242473 162843 242531 162849
-rect 242473 162809 242485 162843
-rect 242519 162809 242531 162843
-rect 246610 162840 246616 162852
-rect 246571 162812 246616 162840
-rect 242473 162803 242531 162809
-rect 246610 162800 246616 162812
-rect 246668 162800 246674 162852
-rect 385530 162800 385536 162852
-rect 385588 162840 385594 162852
-rect 392430 162840 392436 162852
-rect 385588 162812 385633 162840
-rect 392391 162812 392436 162840
-rect 385588 162800 385594 162812
-rect 392430 162800 392436 162812
-rect 392488 162800 392494 162852
-rect 529050 162840 529056 162852
-rect 529011 162812 529056 162840
-rect 529050 162800 529056 162812
-rect 529108 162800 529114 162852
-rect 535950 162840 535956 162852
-rect 535911 162812 535956 162840
-rect 535950 162800 535956 162812
-rect 536008 162800 536014 162852
-rect 552510 162840 552516 162852
-rect 552471 162812 552516 162840
-rect 552510 162800 552516 162812
-rect 552568 162800 552574 162852
-rect 571830 162840 571836 162852
-rect 571791 162812 571836 162840
-rect 571830 162800 571836 162812
-rect 571888 162800 571894 162852
-rect 1600 162608 583316 162704
-rect 1600 162064 583316 162160
-rect 1600 161520 583316 161616
-rect 246886 161480 246892 161492
-rect 246847 161452 246892 161480
-rect 246886 161440 246892 161452
-rect 246944 161440 246950 161492
-rect 285250 161440 285256 161492
-rect 285308 161480 285314 161492
-rect 285342 161480 285348 161492
-rect 285308 161452 285348 161480
-rect 285308 161440 285314 161452
-rect 285342 161440 285348 161452
-rect 285400 161440 285406 161492
-rect 371917 161483 371975 161489
-rect 371917 161449 371929 161483
-rect 371963 161480 371975 161483
-rect 372006 161480 372012 161492
-rect 371963 161452 372012 161480
-rect 371963 161449 371975 161452
-rect 371917 161443 371975 161449
-rect 372006 161440 372012 161452
-rect 372064 161440 372070 161492
-rect 268506 161276 268512 161288
-rect 268467 161248 268512 161276
-rect 268506 161236 268512 161248
-rect 268564 161236 268570 161288
-rect 1600 160976 583316 161072
-rect 1600 160432 583316 160528
-rect 1600 159888 583316 159984
-rect 246797 159511 246855 159517
-rect 246797 159477 246809 159511
-rect 246843 159508 246855 159511
-rect 246886 159508 246892 159520
-rect 246843 159480 246892 159508
-rect 246843 159477 246855 159480
-rect 246797 159471 246855 159477
-rect 246886 159468 246892 159480
-rect 246944 159468 246950 159520
-rect 1600 159344 583316 159440
-rect 235478 159304 235484 159316
-rect 235439 159276 235484 159304
-rect 235478 159264 235484 159276
-rect 235536 159264 235542 159316
-rect 1600 158800 583316 158896
-rect 273014 158652 273020 158704
-rect 273072 158692 273078 158704
-rect 273106 158692 273112 158704
-rect 273072 158664 273112 158692
-rect 273072 158652 273078 158664
-rect 273106 158652 273112 158664
-rect 273164 158652 273170 158704
-rect 1600 158256 583316 158352
-rect 319842 157972 319848 158024
-rect 319900 158012 319906 158024
-rect 320118 158012 320124 158024
-rect 319900 157984 320124 158012
-rect 319900 157972 319906 157984
-rect 320118 157972 320124 157984
-rect 320176 157972 320182 158024
-rect 242470 157944 242476 157956
-rect 242431 157916 242476 157944
-rect 242470 157904 242476 157916
-rect 242528 157904 242534 157956
-rect 1600 157712 583316 157808
-rect 285253 157403 285311 157409
-rect 285253 157369 285265 157403
-rect 285299 157400 285311 157403
-rect 285342 157400 285348 157412
-rect 285299 157372 285348 157400
-rect 285299 157369 285311 157372
-rect 285253 157363 285311 157369
-rect 285342 157360 285348 157372
-rect 285400 157360 285406 157412
-rect 259306 157332 259312 157344
-rect 259267 157304 259312 157332
-rect 259306 157292 259312 157304
-rect 259364 157292 259370 157344
-rect 273017 157335 273075 157341
-rect 273017 157301 273029 157335
-rect 273063 157332 273075 157335
-rect 273106 157332 273112 157344
-rect 273063 157304 273112 157332
-rect 273063 157301 273075 157304
-rect 273017 157295 273075 157301
-rect 273106 157292 273112 157304
-rect 273164 157292 273170 157344
-rect 275590 157332 275596 157344
-rect 275551 157304 275596 157332
-rect 275590 157292 275596 157304
-rect 275648 157292 275654 157344
-rect 305122 157332 305128 157344
-rect 305083 157304 305128 157332
-rect 305122 157292 305128 157304
-rect 305180 157292 305186 157344
-rect 1600 157168 583316 157264
-rect 230050 157128 230056 157140
-rect 230011 157100 230056 157128
-rect 230050 157088 230056 157100
-rect 230108 157088 230114 157140
-rect 235481 157131 235539 157137
-rect 235481 157097 235493 157131
-rect 235527 157128 235539 157131
-rect 235570 157128 235576 157140
-rect 235527 157100 235576 157128
-rect 235527 157097 235539 157100
-rect 235481 157091 235539 157097
-rect 235570 157088 235576 157100
-rect 235628 157088 235634 157140
-rect 242654 157128 242660 157140
-rect 242615 157100 242660 157128
-rect 242654 157088 242660 157100
-rect 242712 157088 242718 157140
-rect 246794 157128 246800 157140
-rect 246755 157100 246800 157128
-rect 246794 157088 246800 157100
-rect 246852 157088 246858 157140
-rect 268509 157131 268567 157137
-rect 268509 157097 268521 157131
-rect 268555 157128 268567 157131
-rect 268690 157128 268696 157140
-rect 268555 157100 268696 157128
-rect 268555 157097 268567 157100
-rect 268509 157091 268567 157097
-rect 268690 157088 268696 157100
-rect 268748 157088 268754 157140
-rect 285069 156791 285127 156797
-rect 285069 156757 285081 156791
-rect 285115 156788 285127 156791
-rect 285158 156788 285164 156800
-rect 285115 156760 285164 156788
-rect 285115 156757 285127 156760
-rect 285069 156751 285127 156757
-rect 285158 156748 285164 156760
-rect 285216 156748 285222 156800
-rect 1600 156624 583316 156720
-rect 1600 156080 583316 156176
-rect 1600 155536 583316 155632
-rect 1600 154992 583316 155088
-rect 553890 154680 553896 154692
-rect 553851 154652 553896 154680
-rect 553890 154640 553896 154652
-rect 553948 154640 553954 154692
-rect 1600 154448 583316 154544
-rect 268690 154408 268696 154420
-rect 268651 154380 268696 154408
-rect 268690 154368 268696 154380
-rect 268748 154368 268754 154420
-rect 1600 153904 583316 154000
-rect 1600 153360 583316 153456
-rect 135658 153252 135664 153264
-rect 135619 153224 135664 153252
-rect 135658 153212 135664 153224
-rect 135716 153212 135722 153264
-rect 152218 153252 152224 153264
-rect 152179 153224 152224 153252
-rect 152218 153212 152224 153224
-rect 152276 153212 152282 153264
-rect 170158 153252 170164 153264
-rect 170119 153224 170164 153252
-rect 170158 153212 170164 153224
-rect 170216 153212 170222 153264
-rect 190858 153252 190864 153264
-rect 190819 153224 190864 153252
-rect 190858 153212 190864 153224
-rect 190916 153212 190922 153264
-rect 214318 153252 214324 153264
-rect 214279 153224 214324 153252
-rect 214318 153212 214324 153224
-rect 214376 153212 214382 153264
-rect 246610 153252 246616 153264
-rect 246571 153224 246616 153252
-rect 246610 153212 246616 153224
-rect 246668 153212 246674 153264
-rect 372006 153252 372012 153264
-rect 371932 153224 372012 153252
-rect 371932 153196 371960 153224
-rect 372006 153212 372012 153224
-rect 372064 153212 372070 153264
-rect 385530 153212 385536 153264
-rect 385588 153252 385594 153264
-rect 392430 153252 392436 153264
-rect 385588 153224 385633 153252
-rect 392391 153224 392436 153252
-rect 385588 153212 385594 153224
-rect 392430 153212 392436 153224
-rect 392488 153212 392494 153264
-rect 529050 153252 529056 153264
-rect 529011 153224 529056 153252
-rect 529050 153212 529056 153224
-rect 529108 153212 529114 153264
-rect 535950 153252 535956 153264
-rect 535911 153224 535956 153252
-rect 535950 153212 535956 153224
-rect 536008 153212 536014 153264
-rect 552510 153252 552516 153264
-rect 552471 153224 552516 153252
-rect 552510 153212 552516 153224
-rect 552568 153212 552574 153264
-rect 571830 153252 571836 153264
-rect 571791 153224 571836 153252
-rect 571830 153212 571836 153224
-rect 571888 153212 571894 153264
-rect 371914 153144 371920 153196
-rect 371972 153144 371978 153196
-rect 373110 153184 373116 153196
-rect 373071 153156 373116 153184
-rect 373110 153144 373116 153156
-rect 373168 153144 373174 153196
-rect 1600 152816 583316 152912
-rect 1600 152272 583316 152368
-rect 1600 151728 583316 151824
-rect 1600 151184 583316 151280
-rect 1600 150640 583316 150736
-rect 1600 150096 583316 150192
-rect 278718 149852 278724 149864
-rect 278679 149824 278724 149852
-rect 278718 149812 278724 149824
-rect 278776 149812 278782 149864
-rect 1600 149552 583316 149648
-rect 271450 149132 271456 149184
-rect 271508 149172 271514 149184
-rect 271542 149172 271548 149184
-rect 271508 149144 271548 149172
-rect 271508 149132 271514 149144
-rect 271542 149132 271548 149144
-rect 271600 149132 271606 149184
-rect 1600 149008 583316 149104
-rect 351674 148928 351680 148980
-rect 351732 148968 351738 148980
-rect 580386 148968 580392 148980
-rect 351732 148940 580392 148968
-rect 351732 148928 351738 148940
-rect 580386 148928 580392 148940
-rect 580444 148928 580450 148980
-rect 1600 148464 583316 148560
-rect 1600 147920 583316 148016
-rect 246610 147744 246616 147756
-rect 246536 147716 246616 147744
-rect 246536 147620 246564 147716
-rect 246610 147704 246616 147716
-rect 246668 147704 246674 147756
-rect 341186 147744 341192 147756
-rect 341112 147716 341192 147744
-rect 273014 147676 273020 147688
-rect 272975 147648 273020 147676
-rect 273014 147636 273020 147648
-rect 273072 147636 273078 147688
-rect 275593 147679 275651 147685
-rect 275593 147645 275605 147679
-rect 275639 147676 275651 147679
-rect 275682 147676 275688 147688
-rect 275639 147648 275688 147676
-rect 275639 147645 275651 147648
-rect 275593 147639 275651 147645
-rect 275682 147636 275688 147648
-rect 275740 147636 275746 147688
-rect 285526 147636 285532 147688
-rect 285584 147676 285590 147688
-rect 285710 147676 285716 147688
-rect 285584 147648 285716 147676
-rect 285584 147636 285590 147648
-rect 285710 147636 285716 147648
-rect 285768 147636 285774 147688
-rect 305030 147636 305036 147688
-rect 305088 147676 305094 147688
-rect 305214 147676 305220 147688
-rect 305088 147648 305220 147676
-rect 305088 147636 305094 147648
-rect 305214 147636 305220 147648
-rect 305272 147636 305278 147688
-rect 341112 147620 341140 147716
-rect 341186 147704 341192 147716
-rect 341244 147704 341250 147756
-rect 246518 147568 246524 147620
-rect 246576 147568 246582 147620
-rect 268690 147608 268696 147620
-rect 268651 147580 268696 147608
-rect 268690 147568 268696 147580
-rect 268748 147568 268754 147620
-rect 341094 147568 341100 147620
-rect 341152 147568 341158 147620
-rect 1600 147376 583316 147472
-rect 1600 146832 583316 146928
-rect 1600 146288 583316 146384
-rect 1600 145744 583316 145840
-rect 1600 145200 583316 145296
-rect 373110 145160 373116 145172
-rect 373071 145132 373116 145160
-rect 373110 145120 373116 145132
-rect 373168 145120 373174 145172
-rect 320026 144984 320032 145036
-rect 320084 145024 320090 145036
-rect 320118 145024 320124 145036
-rect 320084 144996 320124 145024
-rect 320084 144984 320090 144996
-rect 320118 144984 320124 144996
-rect 320176 144984 320182 145036
-rect 328306 144984 328312 145036
-rect 328364 145024 328370 145036
-rect 328398 145024 328404 145036
-rect 328364 144996 328404 145024
-rect 328364 144984 328370 144996
-rect 328398 144984 328404 144996
-rect 328456 144984 328462 145036
-rect 225358 144888 225364 144900
-rect 225319 144860 225364 144888
-rect 225358 144848 225364 144860
-rect 225416 144848 225422 144900
-rect 242102 144848 242108 144900
-rect 242160 144888 242166 144900
-rect 242194 144888 242200 144900
-rect 242160 144860 242200 144888
-rect 242160 144848 242166 144860
-rect 242194 144848 242200 144860
-rect 242252 144848 242258 144900
-rect 285618 144888 285624 144900
-rect 285579 144860 285624 144888
-rect 285618 144848 285624 144860
-rect 285676 144848 285682 144900
-rect 331066 144848 331072 144900
-rect 331124 144888 331130 144900
-rect 331250 144888 331256 144900
-rect 331124 144860 331256 144888
-rect 331124 144848 331130 144860
-rect 331250 144848 331256 144860
-rect 331308 144848 331314 144900
-rect 341002 144848 341008 144900
-rect 341060 144888 341066 144900
-rect 341094 144888 341100 144900
-rect 341060 144860 341100 144888
-rect 341060 144848 341066 144860
-rect 341094 144848 341100 144860
-rect 341152 144848 341158 144900
-rect 553890 144888 553896 144900
-rect 553851 144860 553896 144888
-rect 553890 144848 553896 144860
-rect 553948 144848 553954 144900
-rect 242654 144780 242660 144832
-rect 242712 144820 242718 144832
-rect 242746 144820 242752 144832
-rect 242712 144792 242752 144820
-rect 242712 144780 242718 144792
-rect 242746 144780 242752 144792
-rect 242804 144780 242810 144832
-rect 1600 144656 583316 144752
-rect 1600 144112 583316 144208
-rect 273014 143732 273020 143744
-rect 272975 143704 273020 143732
-rect 273014 143692 273020 143704
-rect 273072 143692 273078 143744
-rect 1600 143568 583316 143664
-rect 4006 143488 4012 143540
-rect 4064 143528 4070 143540
-rect 227474 143528 227480 143540
-rect 4064 143500 227480 143528
-rect 4064 143488 4070 143500
-rect 227474 143488 227480 143500
-rect 227532 143488 227538 143540
-rect 242010 143488 242016 143540
-rect 242068 143528 242074 143540
-rect 242194 143528 242200 143540
-rect 242068 143500 242200 143528
-rect 242068 143488 242074 143500
-rect 242194 143488 242200 143500
-rect 242252 143488 242258 143540
-rect 242470 143488 242476 143540
-rect 242528 143528 242534 143540
-rect 242562 143528 242568 143540
-rect 242528 143500 242568 143528
-rect 242528 143488 242534 143500
-rect 242562 143488 242568 143500
-rect 242620 143488 242626 143540
-rect 276694 143488 276700 143540
-rect 276752 143528 276758 143540
-rect 276878 143528 276884 143540
-rect 276752 143500 276884 143528
-rect 276752 143488 276758 143500
-rect 276878 143488 276884 143500
-rect 276936 143488 276942 143540
-rect 319937 143531 319995 143537
-rect 319937 143497 319949 143531
-rect 319983 143528 319995 143531
-rect 320118 143528 320124 143540
-rect 319983 143500 320124 143528
-rect 319983 143497 319995 143500
-rect 319937 143491 319995 143497
-rect 320118 143488 320124 143500
-rect 320176 143488 320182 143540
-rect 328398 143528 328404 143540
-rect 328359 143500 328404 143528
-rect 328398 143488 328404 143500
-rect 328456 143488 328462 143540
-rect 373018 143488 373024 143540
-rect 373076 143528 373082 143540
-rect 373110 143528 373116 143540
-rect 373076 143500 373116 143528
-rect 373076 143488 373082 143500
-rect 373110 143488 373116 143500
-rect 373168 143488 373174 143540
-rect 385530 143488 385536 143540
-rect 385588 143528 385594 143540
-rect 392430 143528 392436 143540
-rect 385588 143500 385633 143528
-rect 392391 143500 392436 143528
-rect 385588 143488 385594 143500
-rect 392430 143488 392436 143500
-rect 392488 143488 392494 143540
-rect 529050 143528 529056 143540
-rect 529011 143500 529056 143528
-rect 529050 143488 529056 143500
-rect 529108 143488 529114 143540
-rect 535950 143528 535956 143540
-rect 535911 143500 535956 143528
-rect 535950 143488 535956 143500
-rect 536008 143488 536014 143540
-rect 552237 143531 552295 143537
-rect 552237 143497 552249 143531
-rect 552283 143528 552295 143531
-rect 552510 143528 552516 143540
-rect 552283 143500 552516 143528
-rect 552283 143497 552295 143500
-rect 552237 143491 552295 143497
-rect 552510 143488 552516 143500
-rect 552568 143488 552574 143540
-rect 571830 143528 571836 143540
-rect 571791 143500 571836 143528
-rect 571830 143488 571836 143500
-rect 571888 143488 571894 143540
-rect 135658 143460 135664 143472
-rect 135619 143432 135664 143460
-rect 135658 143420 135664 143432
-rect 135716 143420 135722 143472
-rect 152218 143460 152224 143472
-rect 152179 143432 152224 143460
-rect 152218 143420 152224 143432
-rect 152276 143420 152282 143472
-rect 170158 143460 170164 143472
-rect 170119 143432 170164 143460
-rect 170158 143420 170164 143432
-rect 170216 143420 170222 143472
-rect 190858 143460 190864 143472
-rect 190819 143432 190864 143460
-rect 190858 143420 190864 143432
-rect 190916 143420 190922 143472
-rect 214318 143460 214324 143472
-rect 214279 143432 214324 143460
-rect 214318 143420 214324 143432
-rect 214376 143420 214382 143472
-rect 1600 143024 583316 143120
-rect 1600 142480 583316 142576
-rect 278721 142171 278779 142177
-rect 278721 142137 278733 142171
-rect 278767 142168 278779 142171
-rect 278810 142168 278816 142180
-rect 278767 142140 278816 142168
-rect 278767 142137 278779 142140
-rect 278721 142131 278779 142137
-rect 278810 142128 278816 142140
-rect 278868 142128 278874 142180
-rect 1600 141936 583316 142032
-rect 1600 141392 583316 141488
-rect 1600 140848 583316 140944
-rect 309078 140740 309084 140752
-rect 309039 140712 309084 140740
-rect 309078 140700 309084 140712
-rect 309136 140700 309142 140752
-rect 1600 140304 583316 140400
-rect 1600 139760 583316 139856
-rect 275498 139544 275504 139596
-rect 275556 139584 275562 139596
-rect 275682 139584 275688 139596
-rect 275556 139556 275688 139584
-rect 275556 139544 275562 139556
-rect 275682 139544 275688 139556
-rect 275740 139544 275746 139596
-rect 271450 139408 271456 139460
-rect 271508 139448 271514 139460
-rect 271542 139448 271548 139460
-rect 271508 139420 271548 139448
-rect 271508 139408 271514 139420
-rect 271542 139408 271548 139420
-rect 271600 139408 271606 139460
-rect 273014 139448 273020 139460
-rect 272975 139420 273020 139448
-rect 273014 139408 273020 139420
-rect 273072 139408 273078 139460
-rect 279730 139380 279736 139392
-rect 279691 139352 279736 139380
-rect 279730 139340 279736 139352
-rect 279788 139340 279794 139392
-rect 1600 139216 583316 139312
-rect 1600 138672 583316 138768
-rect 1600 138128 583316 138224
-rect 246886 138088 246892 138100
-rect 246812 138060 246892 138088
-rect 229866 137980 229872 138032
-rect 229924 137980 229930 138032
-rect 235478 137980 235484 138032
-rect 235536 137980 235542 138032
-rect 229774 137912 229780 137964
-rect 229832 137952 229838 137964
-rect 229884 137952 229912 137980
-rect 229832 137924 229912 137952
-rect 235496 137952 235524 137980
-rect 246812 137964 246840 138060
-rect 246886 138048 246892 138060
-rect 246944 138048 246950 138100
-rect 285253 138091 285311 138097
-rect 285253 138057 285265 138091
-rect 285299 138088 285311 138091
-rect 285342 138088 285348 138100
-rect 285299 138060 285348 138088
-rect 285299 138057 285311 138060
-rect 285253 138051 285311 138057
-rect 285342 138048 285348 138060
-rect 285400 138048 285406 138100
-rect 259306 137980 259312 138032
-rect 259364 138020 259370 138032
-rect 268782 138020 268788 138032
-rect 259364 137992 259444 138020
-rect 259364 137980 259370 137992
-rect 235570 137952 235576 137964
-rect 235496 137924 235576 137952
-rect 229832 137912 229838 137924
-rect 235570 137912 235576 137924
-rect 235628 137912 235634 137964
-rect 246794 137912 246800 137964
-rect 246852 137912 246858 137964
-rect 259416 137828 259444 137992
-rect 268708 137992 268788 138020
-rect 268708 137964 268736 137992
-rect 268782 137980 268788 137992
-rect 268840 137980 268846 138032
-rect 305030 137980 305036 138032
-rect 305088 137980 305094 138032
-rect 371822 137980 371828 138032
-rect 371880 137980 371886 138032
-rect 268690 137912 268696 137964
-rect 268748 137912 268754 137964
-rect 271450 137952 271456 137964
-rect 271411 137924 271456 137952
-rect 271450 137912 271456 137924
-rect 271508 137912 271514 137964
-rect 285618 137952 285624 137964
-rect 285579 137924 285624 137952
-rect 285618 137912 285624 137924
-rect 285676 137912 285682 137964
-rect 305048 137952 305076 137980
-rect 305122 137952 305128 137964
-rect 305048 137924 305128 137952
-rect 305122 137912 305128 137924
-rect 305180 137912 305186 137964
-rect 371840 137952 371868 137980
-rect 371914 137952 371920 137964
-rect 371840 137924 371920 137952
-rect 371914 137912 371920 137924
-rect 371972 137912 371978 137964
-rect 285069 137887 285127 137893
-rect 285069 137853 285081 137887
-rect 285115 137884 285127 137887
-rect 285158 137884 285164 137896
-rect 285115 137856 285164 137884
-rect 285115 137853 285127 137856
-rect 285069 137847 285127 137853
-rect 285158 137844 285164 137856
-rect 285216 137844 285222 137896
-rect 259398 137776 259404 137828
-rect 259456 137776 259462 137828
-rect 1600 137584 583316 137680
-rect 1600 137040 583316 137136
-rect 1600 136496 583316 136592
-rect 1600 135952 583316 136048
-rect 285434 135572 285440 135584
-rect 285395 135544 285440 135572
-rect 285434 135532 285440 135544
-rect 285492 135532 285498 135584
-rect 1600 135408 583316 135504
-rect 225358 135368 225364 135380
-rect 225319 135340 225364 135368
-rect 225358 135328 225364 135340
-rect 225416 135328 225422 135380
-rect 553890 135368 553896 135380
-rect 553851 135340 553896 135368
-rect 553890 135328 553896 135340
-rect 553948 135328 553954 135380
-rect 246518 135260 246524 135312
-rect 246576 135260 246582 135312
-rect 285437 135303 285495 135309
-rect 285437 135269 285449 135303
-rect 285483 135300 285495 135303
-rect 285526 135300 285532 135312
-rect 285483 135272 285532 135300
-rect 285483 135269 285495 135272
-rect 285437 135263 285495 135269
-rect 285526 135260 285532 135272
-rect 285584 135260 285590 135312
-rect 91314 135192 91320 135244
-rect 91372 135232 91378 135244
-rect 91498 135232 91504 135244
-rect 91372 135204 91504 135232
-rect 91372 135192 91378 135204
-rect 91498 135192 91504 135204
-rect 91556 135192 91562 135244
-rect 235570 135192 235576 135244
-rect 235628 135232 235634 135244
-rect 235754 135232 235760 135244
-rect 235628 135204 235760 135232
-rect 235628 135192 235634 135204
-rect 235754 135192 235760 135204
-rect 235812 135192 235818 135244
-rect 246536 135176 246564 135260
-rect 259398 135232 259404 135244
-rect 259359 135204 259404 135232
-rect 259398 135192 259404 135204
-rect 259456 135192 259462 135244
-rect 268690 135232 268696 135244
-rect 268651 135204 268696 135232
-rect 268690 135192 268696 135204
-rect 268748 135192 268754 135244
-rect 341186 135192 341192 135244
-rect 341244 135232 341250 135244
-rect 341370 135232 341376 135244
-rect 341244 135204 341376 135232
-rect 341244 135192 341250 135204
-rect 341370 135192 341376 135204
-rect 341428 135192 341434 135244
-rect 371914 135232 371920 135244
-rect 371875 135204 371920 135232
-rect 371914 135192 371920 135204
-rect 371972 135192 371978 135244
-rect 553706 135192 553712 135244
-rect 553764 135232 553770 135244
-rect 553890 135232 553896 135244
-rect 553764 135204 553896 135232
-rect 553764 135192 553770 135204
-rect 553890 135192 553896 135204
-rect 553948 135192 553954 135244
-rect 246518 135124 246524 135176
-rect 246576 135124 246582 135176
-rect 1600 134864 583316 134960
-rect 309078 134688 309084 134700
-rect 309039 134660 309084 134688
-rect 309078 134648 309084 134660
-rect 309136 134648 309142 134700
-rect 1600 134320 583316 134416
-rect 135658 133940 135664 133952
-rect 135619 133912 135664 133940
-rect 135658 133900 135664 133912
-rect 135716 133900 135722 133952
-rect 152218 133940 152224 133952
-rect 152179 133912 152224 133940
-rect 152218 133900 152224 133912
-rect 152276 133900 152282 133952
-rect 170158 133940 170164 133952
-rect 170119 133912 170164 133940
-rect 170158 133900 170164 133912
-rect 170216 133900 170222 133952
-rect 190858 133940 190864 133952
-rect 190819 133912 190864 133940
-rect 190858 133900 190864 133912
-rect 190916 133900 190922 133952
-rect 214318 133940 214324 133952
-rect 214279 133912 214324 133940
-rect 214318 133900 214324 133912
-rect 214376 133900 214382 133952
-rect 319934 133940 319940 133952
-rect 319895 133912 319940 133940
-rect 319934 133900 319940 133912
-rect 319992 133900 319998 133952
-rect 328398 133940 328404 133952
-rect 328359 133912 328404 133940
-rect 328398 133900 328404 133912
-rect 328456 133900 328462 133952
-rect 385530 133900 385536 133952
-rect 385588 133940 385594 133952
-rect 392430 133940 392436 133952
-rect 385588 133912 385633 133940
-rect 392391 133912 392436 133940
-rect 385588 133900 385594 133912
-rect 392430 133900 392436 133912
-rect 392488 133900 392494 133952
-rect 529050 133940 529056 133952
-rect 529011 133912 529056 133940
-rect 529050 133900 529056 133912
-rect 529108 133900 529114 133952
-rect 535950 133940 535956 133952
-rect 535911 133912 535956 133940
-rect 535950 133900 535956 133912
-rect 536008 133900 536014 133952
-rect 552234 133940 552240 133952
-rect 552195 133912 552240 133940
-rect 552234 133900 552240 133912
-rect 552292 133900 552298 133952
-rect 571830 133940 571836 133952
-rect 571791 133912 571836 133940
-rect 571830 133900 571836 133912
-rect 571888 133900 571894 133952
-rect 1600 133776 583316 133872
-rect 552234 133736 552240 133748
-rect 552195 133708 552240 133736
-rect 552234 133696 552240 133708
-rect 552292 133696 552298 133748
-rect 1600 133232 583316 133328
-rect 290310 132812 290316 132864
-rect 290368 132852 290374 132864
-rect 293254 132852 293260 132864
-rect 290368 132824 293260 132852
-rect 290368 132812 290374 132824
-rect 293254 132812 293260 132824
-rect 293312 132812 293318 132864
-rect 399238 132812 399244 132864
-rect 399296 132852 399302 132864
-rect 406138 132852 406144 132864
-rect 399296 132824 406144 132852
-rect 399296 132812 399302 132824
-rect 406138 132812 406144 132824
-rect 406196 132812 406202 132864
-rect 1600 132688 583316 132784
-rect 375870 132540 375876 132592
-rect 375928 132580 375934 132592
-rect 385438 132580 385444 132592
-rect 375928 132552 385444 132580
-rect 375928 132540 375934 132552
-rect 385438 132540 385444 132552
-rect 385496 132540 385502 132592
-rect 1600 132144 583316 132240
-rect 1600 131600 583316 131696
-rect 1600 131056 583316 131152
-rect 1600 130512 583316 130608
-rect 1600 129968 583316 130064
-rect 275498 129820 275504 129872
-rect 275556 129860 275562 129872
-rect 275682 129860 275688 129872
-rect 275556 129832 275688 129860
-rect 275556 129820 275562 129832
-rect 275682 129820 275688 129832
-rect 275740 129820 275746 129872
-rect 279733 129795 279791 129801
-rect 279733 129761 279745 129795
-rect 279779 129792 279791 129795
-rect 279822 129792 279828 129804
-rect 279779 129764 279828 129792
-rect 279779 129761 279791 129764
-rect 279733 129755 279791 129761
-rect 279822 129752 279828 129764
-rect 279880 129752 279886 129804
-rect 275590 129684 275596 129736
-rect 275648 129724 275654 129736
-rect 275682 129724 275688 129736
-rect 275648 129696 275688 129724
-rect 275648 129684 275654 129696
-rect 275682 129684 275688 129696
-rect 275740 129684 275746 129736
-rect 1600 129424 583316 129520
-rect 1600 128880 583316 128976
-rect 239250 128460 239256 128512
-rect 239308 128500 239314 128512
-rect 239434 128500 239440 128512
-rect 239308 128472 239440 128500
-rect 239308 128460 239314 128472
-rect 239434 128460 239440 128472
-rect 239492 128460 239498 128512
-rect 271450 128500 271456 128512
-rect 271411 128472 271456 128500
-rect 271450 128460 271456 128472
-rect 271508 128460 271514 128512
-rect 1600 128336 583316 128432
-rect 239250 128256 239256 128308
-rect 239308 128296 239314 128308
-rect 239434 128296 239440 128308
-rect 239308 128268 239440 128296
-rect 239308 128256 239314 128268
-rect 239434 128256 239440 128268
-rect 239492 128256 239498 128308
-rect 259398 128296 259404 128308
-rect 259359 128268 259404 128296
-rect 259398 128256 259404 128268
-rect 259456 128256 259462 128308
-rect 268690 128296 268696 128308
-rect 268651 128268 268696 128296
-rect 268690 128256 268696 128268
-rect 268748 128256 268754 128308
-rect 371914 128296 371920 128308
-rect 371875 128268 371920 128296
-rect 371914 128256 371920 128268
-rect 371972 128256 371978 128308
-rect 1600 127792 583316 127888
-rect 1600 127248 583316 127344
-rect 1600 126704 583316 126800
-rect 1600 126160 583316 126256
-rect 1600 125616 583316 125712
-rect 242838 125576 242844 125588
-rect 242799 125548 242844 125576
-rect 242838 125536 242844 125548
-rect 242896 125536 242902 125588
-rect 246518 125536 246524 125588
-rect 246576 125536 246582 125588
-rect 305122 125576 305128 125588
-rect 305083 125548 305128 125576
-rect 305122 125536 305128 125548
-rect 305180 125536 305186 125588
-rect 308986 125576 308992 125588
-rect 308947 125548 308992 125576
-rect 308986 125536 308992 125548
-rect 309044 125536 309050 125588
-rect 331066 125536 331072 125588
-rect 331124 125576 331130 125588
-rect 331250 125576 331256 125588
-rect 331124 125548 331256 125576
-rect 331124 125536 331130 125548
-rect 331250 125536 331256 125548
-rect 331308 125536 331314 125588
-rect 341094 125576 341100 125588
-rect 341055 125548 341100 125576
-rect 341094 125536 341100 125548
-rect 341152 125536 341158 125588
-rect 246536 125508 246564 125536
-rect 246610 125508 246616 125520
-rect 246536 125480 246616 125508
-rect 246610 125468 246616 125480
-rect 246668 125468 246674 125520
-rect 552237 125443 552295 125449
-rect 552237 125409 552249 125443
-rect 552283 125440 552295 125443
-rect 552510 125440 552516 125452
-rect 552283 125412 552516 125440
-rect 552283 125409 552295 125412
-rect 552237 125403 552295 125409
-rect 552510 125400 552516 125412
-rect 552568 125400 552574 125452
-rect 1600 125072 583316 125168
-rect 1600 124528 583316 124624
-rect 135658 124148 135664 124160
-rect 135619 124120 135664 124148
-rect 135658 124108 135664 124120
-rect 135716 124108 135722 124160
-rect 152218 124148 152224 124160
-rect 152179 124120 152224 124148
-rect 152218 124108 152224 124120
-rect 152276 124108 152282 124160
-rect 170158 124148 170164 124160
-rect 170119 124120 170164 124148
-rect 170158 124108 170164 124120
-rect 170216 124108 170222 124160
-rect 190858 124148 190864 124160
-rect 190819 124120 190864 124148
-rect 190858 124108 190864 124120
-rect 190916 124108 190922 124160
-rect 214318 124148 214324 124160
-rect 214279 124120 214324 124148
-rect 214318 124108 214324 124120
-rect 214376 124108 214382 124160
-rect 373110 124148 373116 124160
-rect 373071 124120 373116 124148
-rect 373110 124108 373116 124120
-rect 373168 124108 373174 124160
-rect 385530 124108 385536 124160
-rect 385588 124148 385594 124160
-rect 392430 124148 392436 124160
-rect 385588 124120 385633 124148
-rect 392391 124120 392436 124148
-rect 385588 124108 385594 124120
-rect 392430 124108 392436 124120
-rect 392488 124108 392494 124160
-rect 529050 124148 529056 124160
-rect 529011 124120 529056 124148
-rect 529050 124108 529056 124120
-rect 529108 124108 529114 124160
-rect 535950 124148 535956 124160
-rect 535911 124120 535956 124148
-rect 535950 124108 535956 124120
-rect 536008 124108 536014 124160
-rect 571830 124148 571836 124160
-rect 571791 124120 571836 124148
-rect 571830 124108 571836 124120
-rect 571888 124108 571894 124160
-rect 1600 123984 583316 124080
-rect 1600 123440 583316 123536
-rect 1600 122896 583316 122992
-rect 553430 122748 553436 122800
-rect 553488 122788 553494 122800
-rect 553890 122788 553896 122800
-rect 553488 122760 553896 122788
-rect 553488 122748 553494 122760
-rect 553890 122748 553896 122760
-rect 553948 122748 553954 122800
-rect 1600 122352 583316 122448
-rect 1600 121808 583316 121904
-rect 272830 121428 272836 121440
-rect 272791 121400 272836 121428
-rect 272830 121388 272836 121400
-rect 272888 121388 272894 121440
-rect 273014 121388 273020 121440
-rect 273072 121428 273078 121440
-rect 273106 121428 273112 121440
-rect 273072 121400 273112 121428
-rect 273072 121388 273078 121400
-rect 273106 121388 273112 121400
-rect 273164 121388 273170 121440
-rect 279730 121388 279736 121440
-rect 279788 121428 279794 121440
-rect 279822 121428 279828 121440
-rect 279788 121400 279828 121428
-rect 279788 121388 279794 121400
-rect 279822 121388 279828 121400
-rect 279880 121388 279886 121440
-rect 1600 121264 583316 121360
-rect 1600 120720 583316 120816
-rect 1600 120176 583316 120272
-rect 285618 120068 285624 120080
-rect 285579 120040 285624 120068
-rect 285618 120028 285624 120040
-rect 285676 120028 285682 120080
-rect 1600 119632 583316 119728
-rect 242562 119348 242568 119400
-rect 242620 119388 242626 119400
-rect 242930 119388 242936 119400
-rect 242620 119360 242936 119388
-rect 242620 119348 242626 119360
-rect 242930 119348 242936 119360
-rect 242988 119348 242994 119400
-rect 308989 119391 309047 119397
-rect 308989 119357 309001 119391
-rect 309035 119388 309047 119391
-rect 309170 119388 309176 119400
-rect 309035 119360 309176 119388
-rect 309035 119357 309047 119360
-rect 308989 119351 309047 119357
-rect 309170 119348 309176 119360
-rect 309228 119348 309234 119400
-rect 1600 119088 583316 119184
-rect 229777 118779 229835 118785
-rect 229777 118745 229789 118779
-rect 229823 118776 229835 118779
-rect 229866 118776 229872 118788
-rect 229823 118748 229872 118776
-rect 229823 118745 229835 118748
-rect 229777 118739 229835 118745
-rect 229866 118736 229872 118748
-rect 229924 118736 229930 118788
-rect 1600 118544 583316 118640
-rect 305122 118504 305128 118516
-rect 305083 118476 305128 118504
-rect 305122 118464 305128 118476
-rect 305180 118464 305186 118516
-rect 1600 118000 583316 118096
-rect 1600 117456 583316 117552
-rect 1600 116912 583316 117008
-rect 242838 116736 242844 116748
-rect 242799 116708 242844 116736
-rect 242838 116696 242844 116708
-rect 242896 116696 242902 116748
-rect 1600 116368 583316 116464
-rect 341097 116059 341155 116065
-rect 341097 116025 341109 116059
-rect 341143 116056 341155 116059
-rect 341186 116056 341192 116068
-rect 341143 116028 341192 116056
-rect 341143 116025 341155 116028
-rect 341097 116019 341155 116025
-rect 341186 116016 341192 116028
-rect 341244 116016 341250 116068
-rect 259306 115948 259312 116000
-rect 259364 115988 259370 116000
-rect 259490 115988 259496 116000
-rect 259364 115960 259496 115988
-rect 259364 115948 259370 115960
-rect 259490 115948 259496 115960
-rect 259548 115948 259554 116000
-rect 1600 115824 583316 115920
-rect 91498 115784 91504 115796
-rect 91459 115756 91504 115784
-rect 91498 115744 91504 115756
-rect 91556 115744 91562 115796
-rect 235570 115784 235576 115796
-rect 235531 115756 235576 115784
-rect 235570 115744 235576 115756
-rect 235628 115744 235634 115796
-rect 357930 115744 357936 115796
-rect 357988 115784 357994 115796
-rect 357988 115756 358033 115784
-rect 357988 115744 357994 115756
-rect 1600 115280 583316 115376
-rect 1600 114736 583316 114832
-rect 229774 114696 229780 114708
-rect 229735 114668 229780 114696
-rect 229774 114656 229780 114668
-rect 229832 114656 229838 114708
-rect 135658 114560 135664 114572
-rect 135619 114532 135664 114560
-rect 135658 114520 135664 114532
-rect 135716 114520 135722 114572
-rect 152218 114560 152224 114572
-rect 152179 114532 152224 114560
-rect 152218 114520 152224 114532
-rect 152276 114520 152282 114572
-rect 170158 114560 170164 114572
-rect 170119 114532 170164 114560
-rect 170158 114520 170164 114532
-rect 170216 114520 170222 114572
-rect 190858 114560 190864 114572
-rect 190819 114532 190864 114560
-rect 190858 114520 190864 114532
-rect 190916 114520 190922 114572
-rect 214318 114560 214324 114572
-rect 214279 114532 214324 114560
-rect 214318 114520 214324 114532
-rect 214376 114520 214382 114572
-rect 319934 114520 319940 114572
-rect 319992 114560 319998 114572
-rect 320118 114560 320124 114572
-rect 319992 114532 320124 114560
-rect 319992 114520 319998 114532
-rect 320118 114520 320124 114532
-rect 320176 114520 320182 114572
-rect 373110 114560 373116 114572
-rect 373071 114532 373116 114560
-rect 373110 114520 373116 114532
-rect 373168 114520 373174 114572
-rect 385530 114520 385536 114572
-rect 385588 114560 385594 114572
-rect 392430 114560 392436 114572
-rect 385588 114532 385633 114560
-rect 392391 114532 392436 114560
-rect 385588 114520 385594 114532
-rect 392430 114520 392436 114532
-rect 392488 114520 392494 114572
-rect 529050 114560 529056 114572
-rect 529011 114532 529056 114560
-rect 529050 114520 529056 114532
-rect 529108 114520 529114 114572
-rect 535950 114560 535956 114572
-rect 535911 114532 535956 114560
-rect 535950 114520 535956 114532
-rect 536008 114520 536014 114572
-rect 571830 114560 571836 114572
-rect 571791 114532 571836 114560
-rect 571830 114520 571836 114532
-rect 571888 114520 571894 114572
-rect 242838 114492 242844 114504
-rect 242799 114464 242844 114492
-rect 242838 114452 242844 114464
-rect 242896 114452 242902 114504
-rect 552510 114452 552516 114504
-rect 552568 114492 552574 114504
-rect 552602 114492 552608 114504
-rect 552568 114464 552608 114492
-rect 552568 114452 552574 114464
-rect 552602 114452 552608 114464
-rect 552660 114452 552666 114504
-rect 1600 114192 583316 114288
-rect 1600 113648 583316 113744
-rect 1600 113104 583316 113200
-rect 1600 112560 583316 112656
-rect 1600 112016 583316 112112
-rect 272830 111840 272836 111852
-rect 272791 111812 272836 111840
-rect 272830 111800 272836 111812
-rect 272888 111800 272894 111852
-rect 285250 111800 285256 111852
-rect 285308 111840 285314 111852
-rect 285342 111840 285348 111852
-rect 285308 111812 285348 111840
-rect 285308 111800 285314 111812
-rect 285342 111800 285348 111812
-rect 285400 111800 285406 111852
-rect 1600 111472 583316 111568
-rect 285618 111296 285624 111308
-rect 285579 111268 285624 111296
-rect 285618 111256 285624 111268
-rect 285676 111256 285682 111308
-rect 242657 111163 242715 111169
-rect 242657 111129 242669 111163
-rect 242703 111160 242715 111163
-rect 242930 111160 242936 111172
-rect 242703 111132 242936 111160
-rect 242703 111129 242715 111132
-rect 242657 111123 242715 111129
-rect 242930 111120 242936 111132
-rect 242988 111120 242994 111172
-rect 1600 110928 583316 111024
-rect 242841 110551 242899 110557
-rect 242841 110517 242853 110551
-rect 242887 110548 242899 110551
-rect 242930 110548 242936 110560
-rect 242887 110520 242936 110548
-rect 242887 110517 242899 110520
-rect 242841 110511 242899 110517
-rect 242930 110508 242936 110520
-rect 242988 110508 242994 110560
-rect 1600 110384 583316 110480
-rect 1600 109840 583316 109936
-rect 553614 109692 553620 109744
-rect 553672 109732 553678 109744
-rect 553893 109735 553951 109741
-rect 553893 109732 553905 109735
-rect 553672 109704 553905 109732
-rect 553672 109692 553678 109704
-rect 553893 109701 553905 109704
-rect 553939 109701 553951 109735
-rect 553893 109695 553951 109701
-rect 1600 109296 583316 109392
-rect 229774 109012 229780 109064
-rect 229832 109012 229838 109064
-rect 275498 109012 275504 109064
-rect 275556 109052 275562 109064
-rect 275590 109052 275596 109064
-rect 275556 109024 275596 109052
-rect 275556 109012 275562 109024
-rect 275590 109012 275596 109024
-rect 275648 109012 275654 109064
-rect 305030 109012 305036 109064
-rect 305088 109052 305094 109064
-rect 305214 109052 305220 109064
-rect 305088 109024 305220 109052
-rect 305088 109012 305094 109024
-rect 305214 109012 305220 109024
-rect 305272 109012 305278 109064
-rect 320118 109052 320124 109064
-rect 320079 109024 320124 109052
-rect 320118 109012 320124 109024
-rect 320176 109012 320182 109064
-rect 328398 109052 328404 109064
-rect 328359 109024 328404 109052
-rect 328398 109012 328404 109024
-rect 328456 109012 328462 109064
-rect 229792 108984 229820 109012
-rect 229866 108984 229872 108996
-rect 229792 108956 229872 108984
-rect 229866 108944 229872 108956
-rect 229924 108944 229930 108996
-rect 235570 108984 235576 108996
-rect 235531 108956 235576 108984
-rect 235570 108944 235576 108956
-rect 235628 108944 235634 108996
-rect 1600 108752 583316 108848
-rect 1600 108208 583316 108304
-rect 1600 107664 583316 107760
-rect 1600 107120 583316 107216
-rect 1600 106576 583316 106672
-rect 91498 106332 91504 106344
-rect 91459 106304 91504 106332
-rect 91498 106292 91504 106304
-rect 91556 106292 91562 106344
-rect 275501 106335 275559 106341
-rect 275501 106301 275513 106335
-rect 275547 106332 275559 106335
-rect 275590 106332 275596 106344
-rect 275547 106304 275596 106332
-rect 275547 106301 275559 106304
-rect 275501 106295 275559 106301
-rect 275590 106292 275596 106304
-rect 275648 106292 275654 106344
-rect 309170 106332 309176 106344
-rect 309096 106304 309176 106332
-rect 309096 106276 309124 106304
-rect 309170 106292 309176 106304
-rect 309228 106292 309234 106344
-rect 357930 106292 357936 106344
-rect 357988 106332 357994 106344
-rect 357988 106304 358033 106332
-rect 357988 106292 357994 106304
-rect 235478 106264 235484 106276
-rect 235439 106236 235484 106264
-rect 235478 106224 235484 106236
-rect 235536 106224 235542 106276
-rect 239250 106224 239256 106276
-rect 239308 106264 239314 106276
-rect 239434 106264 239440 106276
-rect 239308 106236 239440 106264
-rect 239308 106224 239314 106236
-rect 239434 106224 239440 106236
-rect 239492 106224 239498 106276
-rect 309078 106224 309084 106276
-rect 309136 106224 309142 106276
-rect 331066 106224 331072 106276
-rect 331124 106264 331130 106276
-rect 331250 106264 331256 106276
-rect 331124 106236 331256 106264
-rect 331124 106224 331130 106236
-rect 331250 106224 331256 106236
-rect 331308 106224 331314 106276
-rect 341002 106224 341008 106276
-rect 341060 106264 341066 106276
-rect 341094 106264 341100 106276
-rect 341060 106236 341100 106264
-rect 341060 106224 341066 106236
-rect 341094 106224 341100 106236
-rect 341152 106224 341158 106276
-rect 1600 106032 583316 106128
-rect 1600 105488 583316 105584
-rect 1600 104944 583316 105040
-rect 242654 104904 242660 104916
-rect 242615 104876 242660 104904
-rect 242654 104864 242660 104876
-rect 242712 104864 242718 104916
-rect 320118 104904 320124 104916
-rect 320079 104876 320124 104904
-rect 320118 104864 320124 104876
-rect 320176 104864 320182 104916
-rect 328398 104904 328404 104916
-rect 328359 104876 328404 104904
-rect 328398 104864 328404 104876
-rect 328456 104864 328462 104916
-rect 371822 104864 371828 104916
-rect 371880 104904 371886 104916
-rect 371914 104904 371920 104916
-rect 371880 104876 371920 104904
-rect 371880 104864 371886 104876
-rect 371914 104864 371920 104876
-rect 371972 104864 371978 104916
-rect 135658 104836 135664 104848
-rect 135619 104808 135664 104836
-rect 135658 104796 135664 104808
-rect 135716 104796 135722 104848
-rect 152218 104836 152224 104848
-rect 152179 104808 152224 104836
-rect 152218 104796 152224 104808
-rect 152276 104796 152282 104848
-rect 170158 104836 170164 104848
-rect 170119 104808 170164 104836
-rect 170158 104796 170164 104808
-rect 170216 104796 170222 104848
-rect 190858 104836 190864 104848
-rect 190819 104808 190864 104836
-rect 190858 104796 190864 104808
-rect 190916 104796 190922 104848
-rect 214318 104836 214324 104848
-rect 214279 104808 214324 104836
-rect 214318 104796 214324 104808
-rect 214376 104796 214382 104848
-rect 305030 104836 305036 104848
-rect 304991 104808 305036 104836
-rect 305030 104796 305036 104808
-rect 305088 104796 305094 104848
-rect 373110 104836 373116 104848
-rect 373071 104808 373116 104836
-rect 373110 104796 373116 104808
-rect 373168 104796 373174 104848
-rect 385530 104796 385536 104848
-rect 385588 104836 385594 104848
-rect 392430 104836 392436 104848
-rect 385588 104808 385633 104836
-rect 392391 104808 392436 104836
-rect 385588 104796 385594 104808
-rect 392430 104796 392436 104808
-rect 392488 104796 392494 104848
-rect 529050 104836 529056 104848
-rect 529011 104808 529056 104836
-rect 529050 104796 529056 104808
-rect 529108 104796 529114 104848
-rect 535950 104836 535956 104848
-rect 535911 104808 535956 104836
-rect 535950 104796 535956 104808
-rect 536008 104796 536014 104848
-rect 571830 104836 571836 104848
-rect 571791 104808 571836 104836
-rect 571830 104796 571836 104808
-rect 571888 104796 571894 104848
-rect 1600 104400 583316 104496
-rect 1600 103856 583316 103952
-rect 229777 103479 229835 103485
-rect 229777 103445 229789 103479
-rect 229823 103476 229835 103479
-rect 229866 103476 229872 103488
-rect 229823 103448 229872 103476
-rect 229823 103445 229835 103448
-rect 229777 103439 229835 103445
-rect 229866 103436 229872 103448
-rect 229924 103436 229930 103488
-rect 308897 103479 308955 103485
-rect 308897 103445 308909 103479
-rect 308943 103476 308955 103479
-rect 309078 103476 309084 103488
-rect 308943 103448 309084 103476
-rect 308943 103445 308955 103448
-rect 308897 103439 308955 103445
-rect 309078 103436 309084 103448
-rect 309136 103436 309142 103488
-rect 319937 103479 319995 103485
-rect 319937 103445 319949 103479
-rect 319983 103476 319995 103479
-rect 320118 103476 320124 103488
-rect 319983 103448 320124 103476
-rect 319983 103445 319995 103448
-rect 319937 103439 319995 103445
-rect 320118 103436 320124 103448
-rect 320176 103436 320182 103488
-rect 552418 103436 552424 103488
-rect 552476 103476 552482 103488
-rect 552513 103479 552571 103485
-rect 552513 103476 552525 103479
-rect 552476 103448 552525 103476
-rect 552476 103436 552482 103448
-rect 552513 103445 552525 103448
-rect 552559 103445 552571 103479
-rect 552513 103439 552571 103445
-rect 1600 103312 583316 103408
-rect 1600 102768 583316 102864
-rect 285253 102391 285311 102397
-rect 285253 102357 285265 102391
-rect 285299 102388 285311 102391
-rect 285342 102388 285348 102400
-rect 285299 102360 285348 102388
-rect 285299 102357 285311 102360
-rect 285253 102351 285311 102357
-rect 285342 102348 285348 102360
-rect 285400 102348 285406 102400
-rect 1600 102224 583316 102320
-rect 285250 102184 285256 102196
-rect 285211 102156 285256 102184
-rect 285250 102144 285256 102156
-rect 285308 102144 285314 102196
-rect 1600 101680 583316 101776
-rect 242013 101439 242071 101445
-rect 242013 101405 242025 101439
-rect 242059 101436 242071 101439
-rect 242102 101436 242108 101448
-rect 242059 101408 242108 101436
-rect 242059 101405 242071 101408
-rect 242013 101399 242071 101405
-rect 242102 101396 242108 101408
-rect 242160 101396 242166 101448
-rect 1600 101136 583316 101232
-rect 360414 100920 360420 100972
-rect 360472 100960 360478 100972
-rect 366118 100960 366124 100972
-rect 360472 100932 366124 100960
-rect 360472 100920 360478 100932
-rect 366118 100920 366124 100932
-rect 366176 100920 366182 100972
-rect 251670 100852 251676 100904
-rect 251728 100892 251734 100904
-rect 261146 100892 261152 100904
-rect 251728 100864 261152 100892
-rect 251728 100852 251734 100864
-rect 261146 100852 261152 100864
-rect 261204 100852 261210 100904
-rect 275498 100756 275504 100768
-rect 275459 100728 275504 100756
-rect 275498 100716 275504 100728
-rect 275556 100716 275562 100768
-rect 279730 100716 279736 100768
-rect 279788 100756 279794 100768
-rect 280006 100756 280012 100768
-rect 279788 100728 280012 100756
-rect 279788 100716 279794 100728
-rect 280006 100716 280012 100728
-rect 280064 100716 280070 100768
-rect 1600 100592 583316 100688
-rect 1600 100048 583316 100144
-rect 1600 99504 583316 99600
-rect 246610 99424 246616 99476
-rect 246668 99424 246674 99476
-rect 246628 99340 246656 99424
-rect 268690 99356 268696 99408
-rect 268748 99356 268754 99408
-rect 271450 99356 271456 99408
-rect 271508 99396 271514 99408
-rect 271634 99396 271640 99408
-rect 271508 99368 271640 99396
-rect 271508 99356 271514 99368
-rect 271634 99356 271640 99368
-rect 271692 99356 271698 99408
-rect 246610 99288 246616 99340
-rect 246668 99288 246674 99340
-rect 268708 99272 268736 99356
-rect 305033 99331 305091 99337
-rect 305033 99297 305045 99331
-rect 305079 99328 305091 99331
-rect 305122 99328 305128 99340
-rect 305079 99300 305128 99328
-rect 305079 99297 305091 99300
-rect 305033 99291 305091 99297
-rect 305122 99288 305128 99300
-rect 305180 99288 305186 99340
-rect 268690 99220 268696 99272
-rect 268748 99220 268754 99272
-rect 1600 98960 583316 99056
-rect 1600 98416 583316 98512
-rect 1600 97872 583316 97968
-rect 1600 97328 583316 97424
-rect 1600 96784 583316 96880
-rect 553890 96744 553896 96756
-rect 553851 96716 553896 96744
-rect 553890 96704 553896 96716
-rect 553948 96704 553954 96756
-rect 235478 96676 235484 96688
-rect 235439 96648 235484 96676
-rect 235478 96636 235484 96648
-rect 235536 96636 235542 96688
-rect 91314 96568 91320 96620
-rect 91372 96608 91378 96620
-rect 91498 96608 91504 96620
-rect 91372 96580 91504 96608
-rect 91372 96568 91378 96580
-rect 91498 96568 91504 96580
-rect 91556 96568 91562 96620
-rect 235570 96608 235576 96620
-rect 235531 96580 235576 96608
-rect 235570 96568 235576 96580
-rect 235628 96568 235634 96620
-rect 268690 96608 268696 96620
-rect 268651 96580 268696 96608
-rect 268690 96568 268696 96580
-rect 268748 96568 268754 96620
-rect 307882 96568 307888 96620
-rect 307940 96608 307946 96620
-rect 308250 96608 308256 96620
-rect 307940 96580 308256 96608
-rect 307940 96568 307946 96580
-rect 308250 96568 308256 96580
-rect 308308 96568 308314 96620
-rect 341186 96568 341192 96620
-rect 341244 96608 341250 96620
-rect 341370 96608 341376 96620
-rect 341244 96580 341376 96608
-rect 341244 96568 341250 96580
-rect 341370 96568 341376 96580
-rect 341428 96568 341434 96620
-rect 357930 96568 357936 96620
-rect 357988 96608 357994 96620
-rect 358206 96608 358212 96620
-rect 357988 96580 358212 96608
-rect 357988 96568 357994 96580
-rect 358206 96568 358212 96580
-rect 358264 96568 358270 96620
-rect 553706 96568 553712 96620
-rect 553764 96608 553770 96620
-rect 553890 96608 553896 96620
-rect 553764 96580 553896 96608
-rect 553764 96568 553770 96580
-rect 553890 96568 553896 96580
-rect 553948 96568 553954 96620
-rect 1600 96240 583316 96336
-rect 1600 95696 583316 95792
-rect 135658 95316 135664 95328
-rect 135619 95288 135664 95316
-rect 135658 95276 135664 95288
-rect 135716 95276 135722 95328
-rect 152218 95316 152224 95328
-rect 152179 95288 152224 95316
-rect 152218 95276 152224 95288
-rect 152276 95276 152282 95328
-rect 170158 95316 170164 95328
-rect 170119 95288 170164 95316
-rect 170158 95276 170164 95288
-rect 170216 95276 170222 95328
-rect 190858 95316 190864 95328
-rect 190819 95288 190864 95316
-rect 190858 95276 190864 95288
-rect 190916 95276 190922 95328
-rect 214318 95316 214324 95328
-rect 214279 95288 214324 95316
-rect 214318 95276 214324 95288
-rect 214376 95276 214382 95328
-rect 371914 95276 371920 95328
-rect 371972 95316 371978 95328
-rect 372006 95316 372012 95328
-rect 371972 95288 372012 95316
-rect 371972 95276 371978 95288
-rect 372006 95276 372012 95288
-rect 372064 95276 372070 95328
-rect 373110 95316 373116 95328
-rect 373071 95288 373116 95316
-rect 373110 95276 373116 95288
-rect 373168 95276 373174 95328
-rect 385530 95276 385536 95328
-rect 385588 95316 385594 95328
-rect 392430 95316 392436 95328
-rect 385588 95288 385633 95316
-rect 392391 95288 392436 95316
-rect 385588 95276 385594 95288
-rect 392430 95276 392436 95288
-rect 392488 95276 392494 95328
-rect 529050 95316 529056 95328
-rect 529011 95288 529056 95316
-rect 529050 95276 529056 95288
-rect 529108 95276 529114 95328
-rect 535950 95316 535956 95328
-rect 535911 95288 535956 95316
-rect 535950 95276 535956 95288
-rect 536008 95276 536014 95328
-rect 571830 95316 571836 95328
-rect 571791 95288 571836 95316
-rect 571830 95276 571836 95288
-rect 571888 95276 571894 95328
-rect 1600 95152 583316 95248
-rect 328582 95072 328588 95124
-rect 328640 95072 328646 95124
-rect 371914 95112 371920 95124
-rect 371875 95084 371920 95112
-rect 371914 95072 371920 95084
-rect 371972 95072 371978 95124
-rect 328600 94988 328628 95072
-rect 328582 94936 328588 94988
-rect 328640 94936 328646 94988
-rect 1600 94608 583316 94704
-rect 1600 94064 583316 94160
-rect 278810 93956 278816 93968
-rect 278736 93928 278816 93956
-rect 278736 93900 278764 93928
-rect 278810 93916 278816 93928
-rect 278868 93916 278874 93968
-rect 242010 93888 242016 93900
-rect 241971 93860 242016 93888
-rect 242010 93848 242016 93860
-rect 242068 93848 242074 93900
-rect 276510 93848 276516 93900
-rect 276568 93888 276574 93900
-rect 276568 93860 276648 93888
-rect 276568 93848 276574 93860
-rect 276620 93832 276648 93860
-rect 278718 93848 278724 93900
-rect 278776 93848 278782 93900
-rect 285250 93848 285256 93900
-rect 285308 93888 285314 93900
-rect 285342 93888 285348 93900
-rect 285308 93860 285348 93888
-rect 285308 93848 285314 93860
-rect 285342 93848 285348 93860
-rect 285400 93848 285406 93900
-rect 308894 93888 308900 93900
-rect 308855 93860 308900 93888
-rect 308894 93848 308900 93860
-rect 308952 93848 308958 93900
-rect 319934 93888 319940 93900
-rect 319895 93860 319940 93888
-rect 319934 93848 319940 93860
-rect 319992 93848 319998 93900
-rect 552418 93848 552424 93900
-rect 552476 93888 552482 93900
-rect 552513 93891 552571 93897
-rect 552513 93888 552525 93891
-rect 552476 93860 552525 93888
-rect 552476 93848 552482 93860
-rect 552513 93857 552525 93860
-rect 552559 93857 552571 93891
-rect 552513 93851 552571 93857
-rect 276602 93780 276608 93832
-rect 276660 93780 276666 93832
-rect 1600 93520 583316 93616
-rect 1600 92976 583316 93072
-rect 1600 92432 583316 92528
-rect 1600 91888 583316 91984
-rect 1600 91344 583316 91440
-rect 275498 91060 275504 91112
-rect 275556 91100 275562 91112
-rect 275682 91100 275688 91112
-rect 275556 91072 275688 91100
-rect 275556 91060 275562 91072
-rect 275682 91060 275688 91072
-rect 275740 91060 275746 91112
-rect 279822 91060 279828 91112
-rect 279880 91100 279886 91112
-rect 280006 91100 280012 91112
-rect 279880 91072 280012 91100
-rect 279880 91060 279886 91072
-rect 280006 91060 280012 91072
-rect 280064 91060 280070 91112
-rect 1600 90800 583316 90896
-rect 1600 90256 583316 90352
-rect 246521 90083 246579 90089
-rect 246521 90049 246533 90083
-rect 246567 90080 246579 90083
-rect 246610 90080 246616 90092
-rect 246567 90052 246616 90080
-rect 246567 90049 246579 90052
-rect 246521 90043 246579 90049
-rect 246610 90040 246616 90052
-rect 246668 90040 246674 90092
-rect 1600 89712 583316 89808
-rect 235570 89672 235576 89684
-rect 235531 89644 235576 89672
-rect 235570 89632 235576 89644
-rect 235628 89632 235634 89684
-rect 268690 89672 268696 89684
-rect 268651 89644 268696 89672
-rect 268690 89632 268696 89644
-rect 268748 89632 268754 89684
-rect 1600 89168 583316 89264
-rect 97018 88952 97024 89004
-rect 97076 88992 97082 89004
-rect 249002 88992 249008 89004
-rect 97076 88964 249008 88992
-rect 97076 88952 97082 88964
-rect 249002 88952 249008 88964
-rect 249060 88952 249066 89004
-rect 1600 88624 583316 88720
-rect 246518 88448 246524 88460
-rect 246479 88420 246524 88448
-rect 246518 88408 246524 88420
-rect 246576 88408 246582 88460
-rect 1600 88080 583316 88176
-rect 1600 87536 583316 87632
-rect 242470 87224 242476 87236
-rect 242431 87196 242476 87224
-rect 242470 87184 242476 87196
-rect 242528 87184 242534 87236
-rect 552418 87156 552424 87168
-rect 552379 87128 552424 87156
-rect 552418 87116 552424 87128
-rect 552476 87116 552482 87168
-rect 1600 86992 583316 87088
-rect 242838 86912 242844 86964
-rect 242896 86952 242902 86964
-rect 242930 86952 242936 86964
-rect 242896 86924 242936 86952
-rect 242896 86912 242902 86924
-rect 242930 86912 242936 86924
-rect 242988 86912 242994 86964
-rect 305122 86952 305128 86964
-rect 305083 86924 305128 86952
-rect 305122 86912 305128 86924
-rect 305180 86912 305186 86964
-rect 331066 86912 331072 86964
-rect 331124 86952 331130 86964
-rect 331250 86952 331256 86964
-rect 331124 86924 331256 86952
-rect 331124 86912 331130 86924
-rect 331250 86912 331256 86924
-rect 331308 86912 331314 86964
-rect 341094 86952 341100 86964
-rect 341055 86924 341100 86952
-rect 341094 86912 341100 86924
-rect 341152 86912 341158 86964
-rect 361334 86912 361340 86964
-rect 361392 86952 361398 86964
-rect 580662 86952 580668 86964
-rect 361392 86924 580668 86952
-rect 361392 86912 361398 86924
-rect 580662 86912 580668 86924
-rect 580720 86912 580726 86964
-rect 242470 86884 242476 86896
-rect 242431 86856 242476 86884
-rect 242470 86844 242476 86856
-rect 242528 86844 242534 86896
-rect 552418 86884 552424 86896
-rect 552379 86856 552424 86884
-rect 552418 86844 552424 86856
-rect 552476 86844 552482 86896
-rect 279822 86776 279828 86828
-rect 279880 86816 279886 86828
-rect 280006 86816 280012 86828
-rect 279880 86788 280012 86816
-rect 279880 86776 279886 86788
-rect 280006 86776 280012 86788
-rect 280064 86776 280070 86828
-rect 1600 86448 583316 86544
-rect 1600 85904 583316 86000
-rect 229869 85595 229927 85601
-rect 229869 85561 229881 85595
-rect 229915 85592 229927 85595
-rect 230050 85592 230056 85604
-rect 229915 85564 230056 85592
-rect 229915 85561 229927 85564
-rect 229869 85555 229927 85561
-rect 230050 85552 230056 85564
-rect 230108 85552 230114 85604
-rect 371917 85595 371975 85601
-rect 371917 85561 371929 85595
-rect 371963 85592 371975 85595
-rect 372006 85592 372012 85604
-rect 371963 85564 372012 85592
-rect 371963 85561 371975 85564
-rect 371917 85555 371975 85561
-rect 372006 85552 372012 85564
-rect 372064 85552 372070 85604
-rect 135658 85524 135664 85536
-rect 135619 85496 135664 85524
-rect 135658 85484 135664 85496
-rect 135716 85484 135722 85536
-rect 152218 85524 152224 85536
-rect 152179 85496 152224 85524
-rect 152218 85484 152224 85496
-rect 152276 85484 152282 85536
-rect 170158 85524 170164 85536
-rect 170119 85496 170164 85524
-rect 170158 85484 170164 85496
-rect 170216 85484 170222 85536
-rect 190858 85524 190864 85536
-rect 190819 85496 190864 85524
-rect 190858 85484 190864 85496
-rect 190916 85484 190922 85536
-rect 214318 85524 214324 85536
-rect 214279 85496 214324 85524
-rect 214318 85484 214324 85496
-rect 214376 85484 214382 85536
-rect 242470 85524 242476 85536
-rect 242431 85496 242476 85524
-rect 242470 85484 242476 85496
-rect 242528 85484 242534 85536
-rect 319934 85524 319940 85536
-rect 319895 85496 319940 85524
-rect 319934 85484 319940 85496
-rect 319992 85484 319998 85536
-rect 373110 85524 373116 85536
-rect 373071 85496 373116 85524
-rect 373110 85484 373116 85496
-rect 373168 85484 373174 85536
-rect 385530 85484 385536 85536
-rect 385588 85524 385594 85536
-rect 392430 85524 392436 85536
-rect 385588 85496 385633 85524
-rect 392391 85496 392436 85524
-rect 385588 85484 385594 85496
-rect 392430 85484 392436 85496
-rect 392488 85484 392494 85536
-rect 529050 85524 529056 85536
-rect 529011 85496 529056 85524
-rect 529050 85484 529056 85496
-rect 529108 85484 529114 85536
-rect 535950 85524 535956 85536
-rect 535911 85496 535956 85524
-rect 535950 85484 535956 85496
-rect 536008 85484 536014 85536
-rect 552329 85527 552387 85533
-rect 552329 85493 552341 85527
-rect 552375 85524 552387 85527
-rect 552418 85524 552424 85536
-rect 552375 85496 552424 85524
-rect 552375 85493 552387 85496
-rect 552329 85487 552387 85493
-rect 552418 85484 552424 85496
-rect 552476 85484 552482 85536
-rect 571830 85524 571836 85536
-rect 571791 85496 571836 85524
-rect 571830 85484 571836 85496
-rect 571888 85484 571894 85536
-rect 1600 85360 583316 85456
-rect 242473 85323 242531 85329
-rect 242473 85289 242485 85323
-rect 242519 85320 242531 85323
-rect 242562 85320 242568 85332
-rect 242519 85292 242568 85320
-rect 242519 85289 242531 85292
-rect 242473 85283 242531 85289
-rect 242562 85280 242568 85292
-rect 242620 85280 242626 85332
-rect 1600 84816 583316 84912
-rect 1600 84272 583316 84368
-rect 280006 84232 280012 84244
-rect 279932 84204 280012 84232
-rect 279932 84176 279960 84204
-rect 280006 84192 280012 84204
-rect 280064 84192 280070 84244
-rect 279914 84124 279920 84176
-rect 279972 84124 279978 84176
-rect 1600 83728 583316 83824
-rect 1600 83184 583316 83280
-rect 276970 82900 276976 82952
-rect 277028 82940 277034 82952
-rect 277028 82912 277108 82940
-rect 277028 82900 277034 82912
-rect 277080 82884 277108 82912
-rect 277062 82832 277068 82884
-rect 277120 82832 277126 82884
-rect 276602 82804 276608 82816
-rect 276563 82776 276608 82804
-rect 276602 82764 276608 82776
-rect 276660 82764 276666 82816
-rect 279822 82764 279828 82816
-rect 279880 82804 279886 82816
-rect 280098 82804 280104 82816
-rect 279880 82776 280104 82804
-rect 279880 82764 279886 82776
-rect 280098 82764 280104 82776
-rect 280156 82764 280162 82816
-rect 285250 82804 285256 82816
-rect 285211 82776 285256 82804
-rect 285250 82764 285256 82776
-rect 285308 82764 285314 82816
-rect 1600 82640 583316 82736
-rect 1600 82096 583316 82192
-rect 1600 81552 583316 81648
-rect 271361 81515 271419 81521
-rect 271361 81481 271373 81515
-rect 271407 81512 271419 81515
-rect 271450 81512 271456 81524
-rect 271407 81484 271456 81512
-rect 271407 81481 271419 81484
-rect 271361 81475 271419 81481
-rect 271450 81472 271456 81484
-rect 271508 81472 271514 81524
-rect 1600 81008 583316 81104
-rect 1600 80464 583316 80560
-rect 229961 80155 230019 80161
-rect 229961 80121 229973 80155
-rect 230007 80152 230019 80155
-rect 230050 80152 230056 80164
-rect 230007 80124 230056 80152
-rect 230007 80121 230019 80124
-rect 229961 80115 230019 80121
-rect 230050 80112 230056 80124
-rect 230108 80112 230114 80164
-rect 271358 80084 271364 80096
-rect 271319 80056 271364 80084
-rect 271358 80044 271364 80056
-rect 271416 80044 271422 80096
-rect 1600 79920 583316 80016
-rect 229958 79880 229964 79892
-rect 229919 79852 229964 79880
-rect 229958 79840 229964 79852
-rect 230016 79840 230022 79892
-rect 305122 79880 305128 79892
-rect 305083 79852 305128 79880
-rect 305122 79840 305128 79852
-rect 305180 79840 305186 79892
-rect 1600 79376 583316 79472
-rect 1600 78832 583316 78928
-rect 1600 78288 583316 78384
-rect 285250 77976 285256 77988
-rect 285211 77948 285256 77976
-rect 285250 77936 285256 77948
-rect 285308 77936 285314 77988
-rect 1600 77744 583316 77840
-rect 341097 77435 341155 77441
-rect 341097 77401 341109 77435
-rect 341143 77432 341155 77435
-rect 341186 77432 341192 77444
-rect 341143 77404 341192 77432
-rect 341143 77401 341155 77404
-rect 341097 77395 341155 77401
-rect 341186 77392 341192 77404
-rect 341244 77392 341250 77444
-rect 1600 77200 583316 77296
-rect 91498 77160 91504 77172
-rect 91459 77132 91504 77160
-rect 91498 77120 91504 77132
-rect 91556 77120 91562 77172
-rect 235481 77163 235539 77169
-rect 235481 77129 235493 77163
-rect 235527 77160 235539 77163
-rect 235570 77160 235576 77172
-rect 235527 77132 235576 77160
-rect 235527 77129 235539 77132
-rect 235481 77123 235539 77129
-rect 235570 77120 235576 77132
-rect 235628 77120 235634 77172
-rect 341097 77163 341155 77169
-rect 341097 77129 341109 77163
-rect 341143 77160 341155 77163
-rect 341186 77160 341192 77172
-rect 341143 77132 341192 77160
-rect 341143 77129 341155 77132
-rect 341097 77123 341155 77129
-rect 341186 77120 341192 77132
-rect 341244 77120 341250 77172
-rect 357930 77120 357936 77172
-rect 357988 77160 357994 77172
-rect 552326 77160 552332 77172
-rect 357988 77132 358033 77160
-rect 552287 77132 552332 77160
-rect 357988 77120 357994 77132
-rect 552326 77120 552332 77132
-rect 552384 77120 552390 77172
-rect 1600 76656 583316 76752
-rect 271358 76576 271364 76628
-rect 271416 76616 271422 76628
-rect 271453 76619 271511 76625
-rect 271453 76616 271465 76619
-rect 271416 76588 271465 76616
-rect 271416 76576 271422 76588
-rect 271453 76585 271465 76588
-rect 271499 76585 271511 76619
-rect 271453 76579 271511 76585
-rect 1600 76112 583316 76208
-rect 135658 75936 135664 75948
-rect 135619 75908 135664 75936
-rect 135658 75896 135664 75908
-rect 135716 75896 135722 75948
-rect 152218 75936 152224 75948
-rect 152179 75908 152224 75936
-rect 152218 75896 152224 75908
-rect 152276 75896 152282 75948
-rect 170158 75936 170164 75948
-rect 170119 75908 170164 75936
-rect 170158 75896 170164 75908
-rect 170216 75896 170222 75948
-rect 190858 75936 190864 75948
-rect 190819 75908 190864 75936
-rect 190858 75896 190864 75908
-rect 190916 75896 190922 75948
-rect 214318 75936 214324 75948
-rect 214279 75908 214324 75936
-rect 214318 75896 214324 75908
-rect 214376 75896 214382 75948
-rect 319937 75939 319995 75945
-rect 319937 75905 319949 75939
-rect 319983 75936 319995 75939
-rect 320118 75936 320124 75948
-rect 319983 75908 320124 75936
-rect 319983 75905 319995 75908
-rect 319937 75899 319995 75905
-rect 320118 75896 320124 75908
-rect 320176 75896 320182 75948
-rect 373110 75936 373116 75948
-rect 373071 75908 373116 75936
-rect 373110 75896 373116 75908
-rect 373168 75896 373174 75948
-rect 385530 75896 385536 75948
-rect 385588 75936 385594 75948
-rect 392430 75936 392436 75948
-rect 385588 75908 385633 75936
-rect 392391 75908 392436 75936
-rect 385588 75896 385594 75908
-rect 392430 75896 392436 75908
-rect 392488 75896 392494 75948
-rect 529050 75936 529056 75948
-rect 529011 75908 529056 75936
-rect 529050 75896 529056 75908
-rect 529108 75896 529114 75948
-rect 535950 75936 535956 75948
-rect 535911 75908 535956 75936
-rect 535950 75896 535956 75908
-rect 536008 75896 536014 75948
-rect 571830 75936 571836 75948
-rect 571791 75908 571836 75936
-rect 571830 75896 571836 75908
-rect 571888 75896 571894 75948
-rect 1600 75568 583316 75664
-rect 1600 75024 583316 75120
-rect 279914 74644 279920 74656
-rect 279875 74616 279920 74644
-rect 279914 74604 279920 74616
-rect 279972 74604 279978 74656
-rect 1600 74480 583316 74576
-rect 279914 74440 279920 74452
-rect 279875 74412 279920 74440
-rect 279914 74400 279920 74412
-rect 279972 74400 279978 74452
-rect 1600 73936 583316 74032
-rect 1600 73392 583316 73488
-rect 276602 73216 276608 73228
-rect 276563 73188 276608 73216
-rect 276602 73176 276608 73188
-rect 276660 73176 276666 73228
-rect 275593 73151 275651 73157
-rect 275593 73117 275605 73151
-rect 275639 73148 275651 73151
-rect 275682 73148 275688 73160
-rect 275639 73120 275688 73148
-rect 275639 73117 275651 73120
-rect 275593 73111 275651 73117
-rect 275682 73108 275688 73120
-rect 275740 73108 275746 73160
-rect 1600 72848 583316 72944
-rect 1600 72304 583316 72400
-rect 371914 72156 371920 72208
-rect 371972 72196 371978 72208
-rect 372098 72196 372104 72208
-rect 371972 72168 372104 72196
-rect 371972 72156 371978 72168
-rect 372098 72156 372104 72168
-rect 372156 72156 372162 72208
-rect 1600 71760 583316 71856
-rect 1600 71216 583316 71312
-rect 1600 70672 583316 70768
-rect 246702 70496 246708 70508
-rect 246663 70468 246708 70496
-rect 246702 70456 246708 70468
-rect 246760 70456 246766 70508
-rect 1600 70128 583316 70224
-rect 1600 69584 583316 69680
-rect 1600 69040 583316 69136
-rect 1600 68496 583316 68592
-rect 1600 67952 583316 68048
-rect 278718 67708 278724 67720
-rect 278644 67680 278724 67708
-rect 91498 67640 91504 67652
-rect 91459 67612 91504 67640
-rect 91498 67600 91504 67612
-rect 91556 67600 91562 67652
-rect 235478 67640 235484 67652
-rect 235439 67612 235484 67640
-rect 235478 67600 235484 67612
-rect 235536 67600 235542 67652
-rect 242010 67600 242016 67652
-rect 242068 67640 242074 67652
-rect 242102 67640 242108 67652
-rect 242068 67612 242108 67640
-rect 242068 67600 242074 67612
-rect 242102 67600 242108 67612
-rect 242160 67600 242166 67652
-rect 276970 67600 276976 67652
-rect 277028 67640 277034 67652
-rect 277065 67643 277123 67649
-rect 277065 67640 277077 67643
-rect 277028 67612 277077 67640
-rect 277028 67600 277034 67612
-rect 277065 67609 277077 67612
-rect 277111 67609 277123 67643
-rect 277065 67603 277123 67609
-rect 278644 67584 278672 67680
-rect 278718 67668 278724 67680
-rect 278776 67668 278782 67720
-rect 341094 67640 341100 67652
-rect 341055 67612 341100 67640
-rect 341094 67600 341100 67612
-rect 341152 67600 341158 67652
-rect 357930 67600 357936 67652
-rect 357988 67640 357994 67652
-rect 357988 67612 358033 67640
-rect 357988 67600 357994 67612
-rect 553890 67600 553896 67652
-rect 553948 67640 553954 67652
-rect 553982 67640 553988 67652
-rect 553948 67612 553988 67640
-rect 553948 67600 553954 67612
-rect 553982 67600 553988 67612
-rect 554040 67600 554046 67652
-rect 278626 67532 278632 67584
-rect 278684 67532 278690 67584
-rect 331250 67572 331256 67584
-rect 331211 67544 331256 67572
-rect 331250 67532 331256 67544
-rect 331308 67532 331314 67584
-rect 1600 67408 583316 67504
-rect 1600 66864 583316 66960
-rect 1600 66320 583316 66416
-rect 229866 66240 229872 66292
-rect 229924 66280 229930 66292
-rect 229958 66280 229964 66292
-rect 229924 66252 229964 66280
-rect 229924 66240 229930 66252
-rect 229958 66240 229964 66252
-rect 230016 66240 230022 66292
-rect 246702 66280 246708 66292
-rect 246663 66252 246708 66280
-rect 246702 66240 246708 66252
-rect 246760 66240 246766 66292
-rect 285618 66240 285624 66292
-rect 285676 66280 285682 66292
-rect 285802 66280 285808 66292
-rect 285676 66252 285808 66280
-rect 285676 66240 285682 66252
-rect 285802 66240 285808 66252
-rect 285860 66240 285866 66292
-rect 373202 66240 373208 66292
-rect 373260 66280 373266 66292
-rect 373294 66280 373300 66292
-rect 373260 66252 373300 66280
-rect 373260 66240 373266 66252
-rect 373294 66240 373300 66252
-rect 373352 66240 373358 66292
-rect 135658 66212 135664 66224
-rect 135619 66184 135664 66212
-rect 135658 66172 135664 66184
-rect 135716 66172 135722 66224
-rect 152218 66212 152224 66224
-rect 152179 66184 152224 66212
-rect 152218 66172 152224 66184
-rect 152276 66172 152282 66224
-rect 170158 66212 170164 66224
-rect 170119 66184 170164 66212
-rect 170158 66172 170164 66184
-rect 170216 66172 170222 66224
-rect 190858 66212 190864 66224
-rect 190819 66184 190864 66212
-rect 190858 66172 190864 66184
-rect 190916 66172 190922 66224
-rect 214318 66212 214324 66224
-rect 214279 66184 214324 66212
-rect 214318 66172 214324 66184
-rect 214376 66172 214382 66224
-rect 308897 66215 308955 66221
-rect 308897 66181 308909 66215
-rect 308943 66212 308955 66215
-rect 309078 66212 309084 66224
-rect 308943 66184 309084 66212
-rect 308943 66181 308955 66184
-rect 308897 66175 308955 66181
-rect 309078 66172 309084 66184
-rect 309136 66172 309142 66224
-rect 320118 66212 320124 66224
-rect 320079 66184 320124 66212
-rect 320118 66172 320124 66184
-rect 320176 66172 320182 66224
-rect 385530 66172 385536 66224
-rect 385588 66212 385594 66224
-rect 392430 66212 392436 66224
-rect 385588 66184 385633 66212
-rect 392391 66184 392436 66212
-rect 385588 66172 385594 66184
-rect 392430 66172 392436 66184
-rect 392488 66172 392494 66224
-rect 529050 66212 529056 66224
-rect 529011 66184 529056 66212
-rect 529050 66172 529056 66184
-rect 529108 66172 529114 66224
-rect 535950 66212 535956 66224
-rect 535911 66184 535956 66212
-rect 535950 66172 535956 66184
-rect 536008 66172 536014 66224
-rect 552510 66212 552516 66224
-rect 552471 66184 552516 66212
-rect 552510 66172 552516 66184
-rect 552568 66172 552574 66224
-rect 571830 66212 571836 66224
-rect 571791 66184 571836 66212
-rect 571830 66172 571836 66184
-rect 571888 66172 571894 66224
-rect 1600 65776 583316 65872
-rect 1600 65232 583316 65328
-rect 242562 64988 242568 65000
-rect 242488 64960 242568 64988
-rect 242488 64932 242516 64960
-rect 242562 64948 242568 64960
-rect 242620 64948 242626 65000
-rect 242470 64880 242476 64932
-rect 242528 64880 242534 64932
-rect 278626 64852 278632 64864
-rect 278587 64824 278632 64852
-rect 278626 64812 278632 64824
-rect 278684 64812 278690 64864
-rect 279730 64852 279736 64864
-rect 279691 64824 279736 64852
-rect 279730 64812 279736 64824
-rect 279788 64812 279794 64864
-rect 285250 64852 285256 64864
-rect 285211 64824 285256 64852
-rect 285250 64812 285256 64824
-rect 285308 64812 285314 64864
-rect 371917 64855 371975 64861
-rect 371917 64821 371929 64855
-rect 371963 64852 371975 64855
-rect 372006 64852 372012 64864
-rect 371963 64824 372012 64852
-rect 371963 64821 371975 64824
-rect 371917 64815 371975 64821
-rect 372006 64812 372012 64824
-rect 372064 64812 372070 64864
-rect 1600 64688 583316 64784
-rect 1600 64144 583316 64240
-rect 1600 63600 583316 63696
-rect 271450 63560 271456 63572
-rect 271411 63532 271456 63560
-rect 271450 63520 271456 63532
-rect 271508 63520 271514 63572
-rect 275590 63560 275596 63572
-rect 275551 63532 275596 63560
-rect 275590 63520 275596 63532
-rect 275648 63520 275654 63572
-rect 242470 63492 242476 63504
-rect 242431 63464 242476 63492
-rect 242470 63452 242476 63464
-rect 242528 63452 242534 63504
-rect 1600 63056 583316 63152
-rect 331250 62812 331256 62824
-rect 331211 62784 331256 62812
-rect 331250 62772 331256 62784
-rect 331308 62772 331314 62824
-rect 277062 62744 277068 62756
-rect 277023 62716 277068 62744
-rect 277062 62704 277068 62716
-rect 277120 62704 277126 62756
-rect 1600 62512 583316 62608
-rect 273014 62092 273020 62144
-rect 273072 62132 273078 62144
-rect 273106 62132 273112 62144
-rect 273072 62104 273112 62132
-rect 273072 62092 273078 62104
-rect 273106 62092 273112 62104
-rect 273164 62092 273170 62144
-rect 1600 61968 583316 62064
-rect 1600 61424 583316 61520
-rect 1600 60880 583316 60976
-rect 229866 60840 229872 60852
-rect 229792 60812 229872 60840
-rect 229792 60716 229820 60812
-rect 229866 60800 229872 60812
-rect 229924 60800 229930 60852
-rect 235478 60732 235484 60784
-rect 235536 60732 235542 60784
-rect 341186 60772 341192 60784
-rect 341112 60744 341192 60772
-rect 229774 60664 229780 60716
-rect 229832 60664 229838 60716
-rect 235496 60636 235524 60732
-rect 341112 60716 341140 60744
-rect 341186 60732 341192 60744
-rect 341244 60732 341250 60784
-rect 341094 60664 341100 60716
-rect 341152 60664 341158 60716
-rect 235570 60636 235576 60648
-rect 235496 60608 235576 60636
-rect 235570 60596 235576 60608
-rect 235628 60596 235634 60648
-rect 1600 60336 583316 60432
-rect 1600 59792 583316 59888
-rect 1600 59248 583316 59344
-rect 3638 59168 3644 59220
-rect 3696 59208 3702 59220
-rect 219194 59208 219200 59220
-rect 3696 59180 219200 59208
-rect 3696 59168 3702 59180
-rect 219194 59168 219200 59180
-rect 219252 59168 219258 59220
-rect 1600 58704 583316 58800
-rect 1600 58160 583316 58256
-rect 553890 58012 553896 58064
-rect 553948 58012 553954 58064
-rect 275590 57984 275596 57996
-rect 275551 57956 275596 57984
-rect 275590 57944 275596 57956
-rect 275648 57944 275654 57996
-rect 91498 57916 91504 57928
-rect 91459 57888 91504 57916
-rect 91498 57876 91504 57888
-rect 91556 57876 91562 57928
-rect 225174 57876 225180 57928
-rect 225232 57916 225238 57928
-rect 225358 57916 225364 57928
-rect 225232 57888 225364 57916
-rect 225232 57876 225238 57888
-rect 225358 57876 225364 57888
-rect 225416 57876 225422 57928
-rect 235389 57919 235447 57925
-rect 235389 57885 235401 57919
-rect 235435 57916 235447 57919
-rect 235570 57916 235576 57928
-rect 235435 57888 235576 57916
-rect 235435 57885 235447 57888
-rect 235389 57879 235447 57885
-rect 235570 57876 235576 57888
-rect 235628 57876 235634 57928
-rect 272830 57916 272836 57928
-rect 272791 57888 272836 57916
-rect 272830 57876 272836 57888
-rect 272888 57876 272894 57928
-rect 340910 57876 340916 57928
-rect 340968 57916 340974 57928
-rect 341186 57916 341192 57928
-rect 340968 57888 341192 57916
-rect 340968 57876 340974 57888
-rect 341186 57876 341192 57888
-rect 341244 57876 341250 57928
-rect 357930 57876 357936 57928
-rect 357988 57916 357994 57928
-rect 553908 57916 553936 58012
-rect 553982 57916 553988 57928
-rect 357988 57888 358033 57916
-rect 553908 57888 553988 57916
-rect 357988 57876 357994 57888
-rect 553982 57876 553988 57888
-rect 554040 57876 554046 57928
-rect 1600 57616 583316 57712
-rect 276418 57264 276424 57316
-rect 276476 57304 276482 57316
-rect 276513 57307 276571 57313
-rect 276513 57304 276525 57307
-rect 276476 57276 276525 57304
-rect 276476 57264 276482 57276
-rect 276513 57273 276525 57276
-rect 276559 57273 276571 57307
-rect 276513 57267 276571 57273
-rect 1600 57072 583316 57168
-rect 135658 56692 135664 56704
-rect 135619 56664 135664 56692
-rect 135658 56652 135664 56664
-rect 135716 56652 135722 56704
-rect 152218 56692 152224 56704
-rect 152179 56664 152224 56692
-rect 152218 56652 152224 56664
-rect 152276 56652 152282 56704
-rect 170158 56692 170164 56704
-rect 170119 56664 170164 56692
-rect 170158 56652 170164 56664
-rect 170216 56652 170222 56704
-rect 190858 56692 190864 56704
-rect 190819 56664 190864 56692
-rect 190858 56652 190864 56664
-rect 190916 56652 190922 56704
-rect 214318 56692 214324 56704
-rect 214279 56664 214324 56692
-rect 214318 56652 214324 56664
-rect 214376 56652 214382 56704
-rect 308894 56692 308900 56704
-rect 308855 56664 308900 56692
-rect 308894 56652 308900 56664
-rect 308952 56652 308958 56704
-rect 320118 56692 320124 56704
-rect 320079 56664 320124 56692
-rect 320118 56652 320124 56664
-rect 320176 56652 320182 56704
-rect 373110 56652 373116 56704
-rect 373168 56692 373174 56704
-rect 373294 56692 373300 56704
-rect 373168 56664 373300 56692
-rect 373168 56652 373174 56664
-rect 373294 56652 373300 56664
-rect 373352 56652 373358 56704
-rect 385530 56652 385536 56704
-rect 385588 56692 385594 56704
-rect 392430 56692 392436 56704
-rect 385588 56664 385633 56692
-rect 392391 56664 392436 56692
-rect 385588 56652 385594 56664
-rect 392430 56652 392436 56664
-rect 392488 56652 392494 56704
-rect 529050 56692 529056 56704
-rect 529011 56664 529056 56692
-rect 529050 56652 529056 56664
-rect 529108 56652 529114 56704
-rect 535950 56692 535956 56704
-rect 535911 56664 535956 56692
-rect 535950 56652 535956 56664
-rect 536008 56652 536014 56704
-rect 552510 56692 552516 56704
-rect 552471 56664 552516 56692
-rect 552510 56652 552516 56664
-rect 552568 56652 552574 56704
-rect 571830 56692 571836 56704
-rect 571791 56664 571836 56692
-rect 571830 56652 571836 56664
-rect 571888 56652 571894 56704
-rect 1600 56528 583316 56624
-rect 285250 56488 285256 56500
-rect 285211 56460 285256 56488
-rect 285250 56448 285256 56460
-rect 285308 56448 285314 56500
-rect 1600 55984 583316 56080
-rect 1600 55440 583316 55536
-rect 278629 55267 278687 55273
-rect 278629 55233 278641 55267
-rect 278675 55264 278687 55267
-rect 278718 55264 278724 55276
-rect 278675 55236 278724 55264
-rect 278675 55233 278687 55236
-rect 278629 55227 278687 55233
-rect 278718 55224 278724 55236
-rect 278776 55224 278782 55276
-rect 279730 55264 279736 55276
-rect 279691 55236 279736 55264
-rect 279730 55224 279736 55236
-rect 279788 55224 279794 55276
-rect 371914 55264 371920 55276
-rect 371875 55236 371920 55264
-rect 371914 55224 371920 55236
-rect 371972 55224 371978 55276
-rect 246886 55196 246892 55208
-rect 246847 55168 246892 55196
-rect 246886 55156 246892 55168
-rect 246944 55156 246950 55208
-rect 1600 54896 583316 54992
-rect 1600 54352 583316 54448
-rect 1600 53808 583316 53904
-rect 1600 53264 583316 53360
-rect 1600 52720 583316 52816
-rect 268598 52504 268604 52556
-rect 268656 52544 268662 52556
-rect 268690 52544 268696 52556
-rect 268656 52516 268696 52544
-rect 268656 52504 268662 52516
-rect 268690 52504 268696 52516
-rect 268748 52504 268754 52556
-rect 275590 52476 275596 52488
-rect 275551 52448 275596 52476
-rect 275590 52436 275596 52448
-rect 275648 52436 275654 52488
-rect 1600 52176 583316 52272
-rect 279730 51756 279736 51808
-rect 279788 51796 279794 51808
-rect 280006 51796 280012 51808
-rect 279788 51768 280012 51796
-rect 279788 51756 279794 51768
-rect 280006 51756 280012 51768
-rect 280064 51756 280070 51808
-rect 1600 51632 583316 51728
-rect 1600 51088 583316 51184
-rect 239250 51008 239256 51060
-rect 239308 51048 239314 51060
-rect 239434 51048 239440 51060
-rect 239308 51020 239440 51048
-rect 239308 51008 239314 51020
-rect 239434 51008 239440 51020
-rect 239492 51008 239498 51060
-rect 259214 51008 259220 51060
-rect 259272 51048 259278 51060
-rect 259398 51048 259404 51060
-rect 259272 51020 259404 51048
-rect 259272 51008 259278 51020
-rect 259398 51008 259404 51020
-rect 259456 51008 259462 51060
-rect 271358 51008 271364 51060
-rect 271416 51048 271422 51060
-rect 271542 51048 271548 51060
-rect 271416 51020 271548 51048
-rect 271416 51008 271422 51020
-rect 271542 51008 271548 51020
-rect 271600 51008 271606 51060
-rect 1600 50544 583316 50640
-rect 1600 50000 583316 50096
-rect 1600 49456 583316 49552
-rect 1600 48912 583316 49008
-rect 1600 48368 583316 48464
-rect 91498 48328 91504 48340
-rect 91459 48300 91504 48328
-rect 91498 48288 91504 48300
-rect 91556 48288 91562 48340
-rect 235386 48328 235392 48340
-rect 235347 48300 235392 48328
-rect 235386 48288 235392 48300
-rect 235444 48288 235450 48340
-rect 242746 48288 242752 48340
-rect 242804 48328 242810 48340
-rect 242838 48328 242844 48340
-rect 242804 48300 242844 48328
-rect 242804 48288 242810 48300
-rect 242838 48288 242844 48300
-rect 242896 48288 242902 48340
-rect 272830 48328 272836 48340
-rect 272791 48300 272836 48328
-rect 272830 48288 272836 48300
-rect 272888 48288 272894 48340
-rect 278721 48331 278779 48337
-rect 278721 48297 278733 48331
-rect 278767 48328 278779 48331
-rect 278810 48328 278816 48340
-rect 278767 48300 278816 48328
-rect 278767 48297 278779 48300
-rect 278721 48291 278779 48297
-rect 278810 48288 278816 48300
-rect 278868 48288 278874 48340
-rect 357930 48288 357936 48340
-rect 357988 48328 357994 48340
-rect 357988 48300 358033 48328
-rect 357988 48288 357994 48300
-rect 259309 48263 259367 48269
-rect 259309 48229 259321 48263
-rect 259355 48260 259367 48263
-rect 259398 48260 259404 48272
-rect 259355 48232 259404 48260
-rect 259355 48229 259367 48232
-rect 259309 48223 259367 48229
-rect 259398 48220 259404 48232
-rect 259456 48220 259462 48272
-rect 341002 48220 341008 48272
-rect 341060 48260 341066 48272
-rect 341094 48260 341100 48272
-rect 341060 48232 341100 48260
-rect 341060 48220 341066 48232
-rect 341094 48220 341100 48232
-rect 341152 48220 341158 48272
-rect 553798 48220 553804 48272
-rect 553856 48260 553862 48272
-rect 553890 48260 553896 48272
-rect 553856 48232 553896 48260
-rect 553856 48220 553862 48232
-rect 553890 48220 553896 48232
-rect 553948 48220 553954 48272
-rect 1600 47824 583316 47920
-rect 1600 47280 583316 47376
-rect 319842 46996 319848 47048
-rect 319900 47036 319906 47048
-rect 320026 47036 320032 47048
-rect 319900 47008 320032 47036
-rect 319900 46996 319906 47008
-rect 320026 46996 320032 47008
-rect 320084 46996 320090 47048
-rect 275590 46968 275596 46980
-rect 275551 46940 275596 46968
-rect 275590 46928 275596 46940
-rect 275648 46928 275654 46980
-rect 135658 46900 135664 46912
-rect 135619 46872 135664 46900
-rect 135658 46860 135664 46872
-rect 135716 46860 135722 46912
-rect 152218 46900 152224 46912
-rect 152179 46872 152224 46900
-rect 152218 46860 152224 46872
-rect 152276 46860 152282 46912
-rect 170158 46900 170164 46912
-rect 170119 46872 170164 46900
-rect 170158 46860 170164 46872
-rect 170216 46860 170222 46912
-rect 190858 46900 190864 46912
-rect 190819 46872 190864 46900
-rect 190858 46860 190864 46872
-rect 190916 46860 190922 46912
-rect 214318 46900 214324 46912
-rect 214279 46872 214324 46900
-rect 214318 46860 214324 46872
-rect 214376 46860 214382 46912
-rect 225358 46900 225364 46912
-rect 225319 46872 225364 46900
-rect 225358 46860 225364 46872
-rect 225416 46860 225422 46912
-rect 242010 46860 242016 46912
-rect 242068 46900 242074 46912
-rect 242102 46900 242108 46912
-rect 242068 46872 242108 46900
-rect 242068 46860 242074 46872
-rect 242102 46860 242108 46872
-rect 242160 46860 242166 46912
-rect 272830 46900 272836 46912
-rect 272791 46872 272836 46900
-rect 272830 46860 272836 46872
-rect 272888 46860 272894 46912
-rect 285066 46860 285072 46912
-rect 285124 46900 285130 46912
-rect 285250 46900 285256 46912
-rect 285124 46872 285256 46900
-rect 285124 46860 285130 46872
-rect 285250 46860 285256 46872
-rect 285308 46860 285314 46912
-rect 308986 46860 308992 46912
-rect 309044 46900 309050 46912
-rect 309170 46900 309176 46912
-rect 309044 46872 309176 46900
-rect 309044 46860 309050 46872
-rect 309170 46860 309176 46872
-rect 309228 46860 309234 46912
-rect 319937 46903 319995 46909
-rect 319937 46869 319949 46903
-rect 319983 46900 319995 46903
-rect 320026 46900 320032 46912
-rect 319983 46872 320032 46900
-rect 319983 46869 319995 46872
-rect 319937 46863 319995 46869
-rect 320026 46860 320032 46872
-rect 320084 46860 320090 46912
-rect 328217 46903 328275 46909
-rect 328217 46869 328229 46903
-rect 328263 46900 328275 46903
-rect 328398 46900 328404 46912
-rect 328263 46872 328404 46900
-rect 328263 46869 328275 46872
-rect 328217 46863 328275 46869
-rect 328398 46860 328404 46872
-rect 328456 46860 328462 46912
-rect 385530 46860 385536 46912
-rect 385588 46900 385594 46912
-rect 392430 46900 392436 46912
-rect 385588 46872 385633 46900
-rect 392391 46872 392436 46900
-rect 385588 46860 385594 46872
-rect 392430 46860 392436 46872
-rect 392488 46860 392494 46912
-rect 529050 46900 529056 46912
-rect 529011 46872 529056 46900
-rect 529050 46860 529056 46872
-rect 529108 46860 529114 46912
-rect 535950 46900 535956 46912
-rect 535911 46872 535956 46900
-rect 535950 46860 535956 46872
-rect 536008 46860 536014 46912
-rect 552510 46900 552516 46912
-rect 552471 46872 552516 46900
-rect 552510 46860 552516 46872
-rect 552568 46860 552574 46912
-rect 553798 46900 553804 46912
-rect 553759 46872 553804 46900
-rect 553798 46860 553804 46872
-rect 553856 46860 553862 46912
-rect 571830 46900 571836 46912
-rect 571791 46872 571836 46900
-rect 571830 46860 571836 46872
-rect 571888 46860 571894 46912
-rect 1600 46736 583316 46832
-rect 392433 46699 392491 46705
-rect 392433 46665 392445 46699
-rect 392479 46696 392491 46699
-rect 392522 46696 392528 46708
-rect 392479 46668 392528 46696
-rect 392479 46665 392491 46668
-rect 392433 46659 392491 46665
-rect 392522 46656 392528 46668
-rect 392580 46656 392586 46708
-rect 1600 46192 583316 46288
-rect 1600 45648 583316 45744
-rect 242473 45611 242531 45617
-rect 242473 45577 242485 45611
-rect 242519 45608 242531 45611
-rect 242562 45608 242568 45620
-rect 242519 45580 242568 45608
-rect 242519 45577 242531 45580
-rect 242473 45571 242531 45577
-rect 242562 45568 242568 45580
-rect 242620 45568 242626 45620
-rect 246886 45608 246892 45620
-rect 246847 45580 246892 45608
-rect 246886 45568 246892 45580
-rect 246944 45568 246950 45620
-rect 278718 45608 278724 45620
-rect 278679 45580 278724 45608
-rect 278718 45568 278724 45580
-rect 278776 45568 278782 45620
-rect 1600 45104 583316 45200
-rect 1600 44560 583316 44656
-rect 276513 44183 276571 44189
-rect 276513 44149 276525 44183
-rect 276559 44180 276571 44183
-rect 276602 44180 276608 44192
-rect 276559 44152 276608 44180
-rect 276559 44149 276571 44152
-rect 276513 44143 276571 44149
-rect 276602 44140 276608 44152
-rect 276660 44140 276666 44192
-rect 1600 44016 583316 44112
-rect 1600 43472 583316 43568
-rect 1600 42928 583316 43024
-rect 273106 42780 273112 42832
-rect 273164 42820 273170 42832
-rect 273290 42820 273296 42832
-rect 273164 42792 273296 42820
-rect 273164 42780 273170 42792
-rect 273290 42780 273296 42792
-rect 273348 42780 273354 42832
-rect 275590 42820 275596 42832
-rect 275551 42792 275596 42820
-rect 275590 42780 275596 42792
-rect 275648 42780 275654 42832
-rect 273106 42644 273112 42696
-rect 273164 42644 273170 42696
-rect 273014 42576 273020 42628
-rect 273072 42616 273078 42628
-rect 273124 42616 273152 42644
-rect 273072 42588 273152 42616
-rect 273072 42576 273078 42588
-rect 1600 42384 583316 42480
-rect 285618 42032 285624 42084
-rect 285676 42072 285682 42084
-rect 285713 42075 285771 42081
-rect 285713 42072 285725 42075
-rect 285676 42044 285725 42072
-rect 285676 42032 285682 42044
-rect 285713 42041 285725 42044
-rect 285759 42041 285771 42075
-rect 285713 42035 285771 42041
-rect 1600 41840 583316 41936
-rect 1600 41296 583316 41392
-rect 1600 40752 583316 40848
-rect 1600 40208 583316 40304
-rect 1600 39664 583316 39760
-rect 1600 39120 583316 39216
-rect 399238 38836 399244 38888
-rect 399296 38876 399302 38888
-rect 406138 38876 406144 38888
-rect 399296 38848 406144 38876
-rect 399296 38836 399302 38848
-rect 406138 38836 406144 38848
-rect 406196 38836 406202 38888
-rect 298590 38768 298596 38820
-rect 298648 38808 298654 38820
-rect 308158 38808 308164 38820
-rect 298648 38780 308164 38808
-rect 298648 38768 298654 38780
-rect 308158 38768 308164 38780
-rect 308216 38768 308222 38820
-rect 259306 38740 259312 38752
-rect 259267 38712 259312 38740
-rect 259306 38700 259312 38712
-rect 259364 38700 259370 38752
-rect 261054 38700 261060 38752
-rect 261112 38740 261118 38752
-rect 268138 38740 268144 38752
-rect 261112 38712 268144 38740
-rect 261112 38700 261118 38712
-rect 268138 38700 268144 38712
-rect 268196 38700 268202 38752
-rect 377342 38700 377348 38752
-rect 377400 38740 377406 38752
-rect 382034 38740 382040 38752
-rect 377400 38712 382040 38740
-rect 377400 38700 377406 38712
-rect 382034 38700 382040 38712
-rect 382092 38700 382098 38752
-rect 1600 38576 583316 38672
-rect 91498 38536 91504 38548
-rect 91459 38508 91504 38536
-rect 91498 38496 91504 38508
-rect 91556 38496 91562 38548
-rect 320302 38536 320308 38548
-rect 320263 38508 320308 38536
-rect 320302 38496 320308 38508
-rect 320360 38496 320366 38548
-rect 357930 38496 357936 38548
-rect 357988 38536 357994 38548
-rect 357988 38508 358033 38536
-rect 357988 38496 357994 38508
-rect 553798 38400 553804 38412
-rect 553759 38372 553804 38400
-rect 553798 38360 553804 38372
-rect 553856 38360 553862 38412
-rect 1600 38032 583316 38128
-rect 275498 37952 275504 38004
-rect 275556 37992 275562 38004
-rect 275593 37995 275651 38001
-rect 275593 37992 275605 37995
-rect 275556 37964 275605 37992
-rect 275556 37952 275562 37964
-rect 275593 37961 275605 37964
-rect 275639 37961 275651 37995
-rect 275593 37955 275651 37961
-rect 276234 37952 276240 38004
-rect 276292 37992 276298 38004
-rect 276602 37992 276608 38004
-rect 276292 37964 276608 37992
-rect 276292 37952 276298 37964
-rect 276602 37952 276608 37964
-rect 276660 37952 276666 38004
-rect 1600 37488 583316 37584
-rect 135658 37312 135664 37324
-rect 135619 37284 135664 37312
-rect 135658 37272 135664 37284
-rect 135716 37272 135722 37324
-rect 152218 37312 152224 37324
-rect 152179 37284 152224 37312
-rect 152218 37272 152224 37284
-rect 152276 37272 152282 37324
-rect 170158 37312 170164 37324
-rect 170119 37284 170164 37312
-rect 170158 37272 170164 37284
-rect 170216 37272 170222 37324
-rect 190858 37312 190864 37324
-rect 190819 37284 190864 37312
-rect 190858 37272 190864 37284
-rect 190916 37272 190922 37324
-rect 214318 37312 214324 37324
-rect 214279 37284 214324 37312
-rect 214318 37272 214324 37284
-rect 214376 37272 214382 37324
-rect 225361 37315 225419 37321
-rect 225361 37281 225373 37315
-rect 225407 37312 225419 37315
-rect 225450 37312 225456 37324
-rect 225407 37284 225456 37312
-rect 225407 37281 225419 37284
-rect 225361 37275 225419 37281
-rect 225450 37272 225456 37284
-rect 225508 37272 225514 37324
-rect 271450 37272 271456 37324
-rect 271508 37312 271514 37324
-rect 271542 37312 271548 37324
-rect 271508 37284 271548 37312
-rect 271508 37272 271514 37284
-rect 271542 37272 271548 37284
-rect 271600 37272 271606 37324
-rect 272830 37312 272836 37324
-rect 272791 37284 272836 37312
-rect 272830 37272 272836 37284
-rect 272888 37272 272894 37324
-rect 276970 37272 276976 37324
-rect 277028 37312 277034 37324
-rect 277062 37312 277068 37324
-rect 277028 37284 277068 37312
-rect 277028 37272 277034 37284
-rect 277062 37272 277068 37284
-rect 277120 37272 277126 37324
-rect 280006 37312 280012 37324
-rect 279840 37284 280012 37312
-rect 279840 37256 279868 37284
-rect 280006 37272 280012 37284
-rect 280064 37272 280070 37324
-rect 319934 37312 319940 37324
-rect 319895 37284 319940 37312
-rect 319934 37272 319940 37284
-rect 319992 37272 319998 37324
-rect 328214 37312 328220 37324
-rect 328175 37284 328220 37312
-rect 328214 37272 328220 37284
-rect 328272 37272 328278 37324
-rect 371914 37272 371920 37324
-rect 371972 37312 371978 37324
-rect 372006 37312 372012 37324
-rect 371972 37284 372012 37312
-rect 371972 37272 371978 37284
-rect 372006 37272 372012 37284
-rect 372064 37272 372070 37324
-rect 373018 37272 373024 37324
-rect 373076 37312 373082 37324
-rect 373110 37312 373116 37324
-rect 373076 37284 373116 37312
-rect 373076 37272 373082 37284
-rect 373110 37272 373116 37284
-rect 373168 37272 373174 37324
-rect 385530 37272 385536 37324
-rect 385588 37312 385594 37324
-rect 529050 37312 529056 37324
-rect 385588 37284 385633 37312
-rect 529011 37284 529056 37312
-rect 385588 37272 385594 37284
-rect 529050 37272 529056 37284
-rect 529108 37272 529114 37324
-rect 535950 37312 535956 37324
-rect 535911 37284 535956 37312
-rect 535950 37272 535956 37284
-rect 536008 37272 536014 37324
-rect 552513 37315 552571 37321
-rect 552513 37281 552525 37315
-rect 552559 37312 552571 37315
-rect 552602 37312 552608 37324
-rect 552559 37284 552608 37312
-rect 552559 37281 552571 37284
-rect 552513 37275 552571 37281
-rect 552602 37272 552608 37284
-rect 552660 37272 552666 37324
-rect 571830 37312 571836 37324
-rect 571791 37284 571836 37312
-rect 571830 37272 571836 37284
-rect 571888 37272 571894 37324
-rect 242010 37204 242016 37256
-rect 242068 37244 242074 37256
-rect 242102 37244 242108 37256
-rect 242068 37216 242108 37244
-rect 242068 37204 242074 37216
-rect 242102 37204 242108 37216
-rect 242160 37204 242166 37256
-rect 278718 37204 278724 37256
-rect 278776 37244 278782 37256
-rect 278902 37244 278908 37256
-rect 278776 37216 278908 37244
-rect 278776 37204 278782 37216
-rect 278902 37204 278908 37216
-rect 278960 37204 278966 37256
-rect 279822 37204 279828 37256
-rect 279880 37204 279886 37256
-rect 1600 36944 583316 37040
-rect 1600 36400 583316 36496
-rect 1600 35856 583316 35952
-rect 1600 35312 583316 35408
-rect 1600 34768 583316 34864
-rect 1600 34224 583316 34320
-rect 1600 33680 583316 33776
-rect 1600 33136 583316 33232
-rect 1600 32592 583316 32688
-rect 1600 32048 583316 32144
-rect 320302 31872 320308 31884
-rect 320263 31844 320308 31872
-rect 320302 31832 320308 31844
-rect 320360 31832 320366 31884
-rect 341005 31875 341063 31881
-rect 341005 31841 341017 31875
-rect 341051 31872 341063 31875
-rect 341094 31872 341100 31884
-rect 341051 31844 341100 31872
-rect 341051 31841 341063 31844
-rect 341005 31835 341063 31841
-rect 341094 31832 341100 31844
-rect 341152 31832 341158 31884
-rect 229958 31764 229964 31816
-rect 230016 31764 230022 31816
-rect 235478 31804 235484 31816
-rect 235439 31776 235484 31804
-rect 235478 31764 235484 31776
-rect 235536 31764 235542 31816
-rect 229976 31680 230004 31764
-rect 229958 31628 229964 31680
-rect 230016 31628 230022 31680
-rect 1600 31504 583316 31600
-rect 1600 30960 583316 31056
-rect 1600 30416 583316 30512
-rect 1600 29872 583316 29968
-rect 1600 29328 583316 29424
-rect 242654 29084 242660 29096
-rect 242580 29056 242660 29084
-rect 91498 29016 91504 29028
-rect 91459 28988 91504 29016
-rect 91498 28976 91504 28988
-rect 91556 28976 91562 29028
-rect 235478 29016 235484 29028
-rect 235439 28988 235484 29016
-rect 235478 28976 235484 28988
-rect 235536 28976 235542 29028
-rect 242580 28960 242608 29056
-rect 242654 29044 242660 29056
-rect 242712 29044 242718 29096
-rect 246886 29084 246892 29096
-rect 246720 29056 246892 29084
-rect 246720 29028 246748 29056
-rect 246886 29044 246892 29056
-rect 246944 29044 246950 29096
-rect 242746 28976 242752 29028
-rect 242804 29016 242810 29028
-rect 242838 29016 242844 29028
-rect 242804 28988 242844 29016
-rect 242804 28976 242810 28988
-rect 242838 28976 242844 28988
-rect 242896 28976 242902 29028
-rect 246518 28976 246524 29028
-rect 246576 29016 246582 29028
-rect 246610 29016 246616 29028
-rect 246576 28988 246616 29016
-rect 246576 28976 246582 28988
-rect 246610 28976 246616 28988
-rect 246668 28976 246674 29028
-rect 246702 28976 246708 29028
-rect 246760 28976 246766 29028
-rect 285066 28976 285072 29028
-rect 285124 29016 285130 29028
-rect 285250 29016 285256 29028
-rect 285124 28988 285256 29016
-rect 285124 28976 285130 28988
-rect 285250 28976 285256 28988
-rect 285308 28976 285314 29028
-rect 285713 29019 285771 29025
-rect 285713 28985 285725 29019
-rect 285759 29016 285771 29019
-rect 285802 29016 285808 29028
-rect 285759 28988 285808 29016
-rect 285759 28985 285771 28988
-rect 285713 28979 285771 28985
-rect 285802 28976 285808 28988
-rect 285860 28976 285866 29028
-rect 308986 28976 308992 29028
-rect 309044 29016 309050 29028
-rect 309170 29016 309176 29028
-rect 309044 28988 309176 29016
-rect 309044 28976 309050 28988
-rect 309170 28976 309176 28988
-rect 309228 28976 309234 29028
-rect 341002 29016 341008 29028
-rect 340963 28988 341008 29016
-rect 341002 28976 341008 28988
-rect 341060 28976 341066 29028
-rect 357930 28976 357936 29028
-rect 357988 29016 357994 29028
-rect 357988 28988 358033 29016
-rect 357988 28976 357994 28988
-rect 553798 28976 553804 29028
-rect 553856 29016 553862 29028
-rect 553890 29016 553896 29028
-rect 553856 28988 553896 29016
-rect 553856 28976 553862 28988
-rect 553890 28976 553896 28988
-rect 553948 28976 553954 29028
-rect 242562 28908 242568 28960
-rect 242620 28908 242626 28960
-rect 254801 28951 254859 28957
-rect 254801 28917 254813 28951
-rect 254847 28948 254859 28951
-rect 254890 28948 254896 28960
-rect 254847 28920 254896 28948
-rect 254847 28917 254859 28920
-rect 254801 28911 254859 28917
-rect 254890 28908 254896 28920
-rect 254948 28908 254954 28960
-rect 285158 28948 285164 28960
-rect 285119 28920 285164 28948
-rect 285158 28908 285164 28920
-rect 285216 28908 285222 28960
-rect 1600 28784 583316 28880
-rect 1600 28240 583316 28336
-rect 1600 27696 583316 27792
-rect 276234 27616 276240 27668
-rect 276292 27656 276298 27668
-rect 276510 27656 276516 27668
-rect 276292 27628 276516 27656
-rect 276292 27616 276298 27628
-rect 276510 27616 276516 27628
-rect 276568 27616 276574 27668
-rect 278810 27656 278816 27668
-rect 278771 27628 278816 27656
-rect 278810 27616 278816 27628
-rect 278868 27616 278874 27668
-rect 135293 27591 135351 27597
-rect 135293 27557 135305 27591
-rect 135339 27588 135351 27591
-rect 135658 27588 135664 27600
-rect 135339 27560 135664 27588
-rect 135339 27557 135351 27560
-rect 135293 27551 135351 27557
-rect 135658 27548 135664 27560
-rect 135716 27548 135722 27600
-rect 151853 27591 151911 27597
-rect 151853 27557 151865 27591
-rect 151899 27588 151911 27591
-rect 152218 27588 152224 27600
-rect 151899 27560 152224 27588
-rect 151899 27557 151911 27560
-rect 151853 27551 151911 27557
-rect 152218 27548 152224 27560
-rect 152276 27548 152282 27600
-rect 169701 27591 169759 27597
-rect 169701 27557 169713 27591
-rect 169747 27588 169759 27591
-rect 170158 27588 170164 27600
-rect 169747 27560 170164 27588
-rect 169747 27557 169759 27560
-rect 169701 27551 169759 27557
-rect 170158 27548 170164 27560
-rect 170216 27548 170222 27600
-rect 190214 27548 190220 27600
-rect 190272 27588 190278 27600
-rect 190858 27588 190864 27600
-rect 190272 27560 190864 27588
-rect 190272 27548 190278 27560
-rect 190858 27548 190864 27560
-rect 190916 27548 190922 27600
-rect 214318 27548 214324 27600
-rect 214376 27588 214382 27600
-rect 214502 27588 214508 27600
-rect 214376 27560 214508 27588
-rect 214376 27548 214382 27560
-rect 214502 27548 214508 27560
-rect 214560 27548 214566 27600
-rect 225085 27591 225143 27597
-rect 225085 27557 225097 27591
-rect 225131 27588 225143 27591
-rect 225358 27588 225364 27600
-rect 225131 27560 225364 27588
-rect 225131 27557 225143 27560
-rect 225085 27551 225143 27557
-rect 225358 27548 225364 27560
-rect 225416 27548 225422 27600
-rect 242562 27588 242568 27600
-rect 242523 27560 242568 27588
-rect 242562 27548 242568 27560
-rect 242620 27548 242626 27600
-rect 246518 27548 246524 27600
-rect 246576 27588 246582 27600
-rect 246610 27588 246616 27600
-rect 246576 27560 246616 27588
-rect 246576 27548 246582 27560
-rect 246610 27548 246616 27560
-rect 246668 27548 246674 27600
-rect 272830 27588 272836 27600
-rect 272791 27560 272836 27588
-rect 272830 27548 272836 27560
-rect 272888 27548 272894 27600
-rect 305122 27588 305128 27600
-rect 305083 27560 305128 27588
-rect 305122 27548 305128 27560
-rect 305180 27548 305186 27600
-rect 385530 27548 385536 27600
-rect 385588 27588 385594 27600
-rect 385990 27588 385996 27600
-rect 385588 27560 385996 27588
-rect 385588 27548 385594 27560
-rect 385990 27548 385996 27560
-rect 386048 27548 386054 27600
-rect 392430 27548 392436 27600
-rect 392488 27588 392494 27600
-rect 392614 27588 392620 27600
-rect 392488 27560 392620 27588
-rect 392488 27548 392494 27560
-rect 392614 27548 392620 27560
-rect 392672 27548 392678 27600
-rect 529050 27588 529056 27600
-rect 529011 27560 529056 27588
-rect 529050 27548 529056 27560
-rect 529108 27548 529114 27600
-rect 535950 27588 535956 27600
-rect 535911 27560 535956 27588
-rect 535950 27548 535956 27560
-rect 536008 27548 536014 27600
-rect 552510 27588 552516 27600
-rect 552471 27560 552516 27588
-rect 552510 27548 552516 27560
-rect 552568 27548 552574 27600
-rect 571830 27588 571836 27600
-rect 571791 27560 571836 27588
-rect 571830 27548 571836 27560
-rect 571888 27548 571894 27600
-rect 1600 27152 583316 27248
-rect 1600 26608 583316 26704
-rect 278810 26296 278816 26308
-rect 278771 26268 278816 26296
-rect 278810 26256 278816 26268
-rect 278868 26256 278874 26308
-rect 3914 26188 3920 26240
-rect 3972 26228 3978 26240
-rect 268417 26231 268475 26237
-rect 268417 26228 268429 26231
-rect 3972 26200 268429 26228
-rect 3972 26188 3978 26200
-rect 268417 26197 268429 26200
-rect 268463 26197 268475 26231
-rect 268417 26191 268475 26197
-rect 273017 26231 273075 26237
-rect 273017 26197 273029 26231
-rect 273063 26228 273075 26231
-rect 350018 26228 350024 26240
-rect 273063 26200 350024 26228
-rect 273063 26197 273075 26200
-rect 273017 26191 273075 26197
-rect 350018 26188 350024 26200
-rect 350076 26188 350082 26240
-rect 1600 26064 583316 26160
-rect 268417 26027 268475 26033
-rect 268417 25993 268429 26027
-rect 268463 26024 268475 26027
-rect 273017 26027 273075 26033
-rect 273017 26024 273029 26027
-rect 268463 25996 273029 26024
-rect 268463 25993 268475 25996
-rect 268417 25987 268475 25993
-rect 273017 25993 273029 25996
-rect 273063 25993 273075 26027
-rect 273017 25987 273075 25993
-rect 1600 25520 583316 25616
-rect 1600 24976 583316 25072
-rect 275593 24871 275651 24877
-rect 275593 24837 275605 24871
-rect 275639 24868 275651 24871
-rect 275682 24868 275688 24880
-rect 275639 24840 275688 24868
-rect 275639 24837 275651 24840
-rect 275593 24831 275651 24837
-rect 275682 24828 275688 24840
-rect 275740 24828 275746 24880
-rect 1600 24432 583316 24528
-rect 229958 24148 229964 24200
-rect 230016 24188 230022 24200
-rect 230142 24188 230148 24200
-rect 230016 24160 230148 24188
-rect 230016 24148 230022 24160
-rect 230142 24148 230148 24160
-rect 230200 24148 230206 24200
-rect 285713 24191 285771 24197
-rect 285713 24157 285725 24191
-rect 285759 24188 285771 24191
-rect 285802 24188 285808 24200
-rect 285759 24160 285808 24188
-rect 285759 24157 285771 24160
-rect 285713 24151 285771 24157
-rect 285802 24148 285808 24160
-rect 285860 24148 285866 24200
-rect 319934 24148 319940 24200
-rect 319992 24188 319998 24200
-rect 320118 24188 320124 24200
-rect 319992 24160 320124 24188
-rect 319992 24148 319998 24160
-rect 320118 24148 320124 24160
-rect 320176 24148 320182 24200
-rect 1600 23888 583316 23984
-rect 1600 23344 583316 23440
-rect 1600 22800 583316 22896
-rect 242562 22692 242568 22704
-rect 242523 22664 242568 22692
-rect 242562 22652 242568 22664
-rect 242620 22652 242626 22704
-rect 1600 22256 583316 22352
-rect 235478 22108 235484 22160
-rect 235536 22108 235542 22160
-rect 277062 22148 277068 22160
-rect 276988 22120 277068 22148
-rect 235496 22012 235524 22108
-rect 276988 22092 277016 22120
-rect 277062 22108 277068 22120
-rect 277120 22108 277126 22160
-rect 276970 22040 276976 22092
-rect 277028 22040 277034 22092
-rect 367590 22040 367596 22092
-rect 367648 22080 367654 22092
-rect 368326 22080 368332 22092
-rect 367648 22052 368332 22080
-rect 367648 22040 367654 22052
-rect 368326 22040 368332 22052
-rect 368384 22040 368390 22092
-rect 368970 22040 368976 22092
-rect 369028 22080 369034 22092
-rect 369522 22080 369528 22092
-rect 369028 22052 369528 22080
-rect 369028 22040 369034 22052
-rect 369522 22040 369528 22052
-rect 369580 22040 369586 22092
-rect 235570 22012 235576 22024
-rect 235496 21984 235576 22012
-rect 235570 21972 235576 21984
-rect 235628 21972 235634 22024
-rect 1600 21712 583316 21808
-rect 254798 21400 254804 21412
-rect 254759 21372 254804 21400
-rect 254798 21360 254804 21372
-rect 254856 21360 254862 21412
-rect 313034 21360 313040 21412
-rect 313092 21400 313098 21412
-rect 346890 21400 346896 21412
-rect 313092 21372 346896 21400
-rect 313092 21360 313098 21372
-rect 346890 21360 346896 21372
-rect 346948 21360 346954 21412
-rect 1600 21168 583316 21264
-rect 1600 20624 583316 20720
-rect 1600 20080 583316 20176
-rect 1600 19536 583316 19632
-rect 285066 19388 285072 19440
-rect 285124 19428 285130 19440
-rect 285124 19400 285296 19428
-rect 285124 19388 285130 19400
-rect 285158 19360 285164 19372
-rect 285119 19332 285164 19360
-rect 285158 19320 285164 19332
-rect 285216 19320 285222 19372
-rect 285268 19360 285296 19400
-rect 285342 19360 285348 19372
-rect 285268 19332 285348 19360
-rect 285342 19320 285348 19332
-rect 285400 19320 285406 19372
-rect 285710 19360 285716 19372
-rect 285671 19332 285716 19360
-rect 285710 19320 285716 19332
-rect 285768 19320 285774 19372
-rect 91498 19292 91504 19304
-rect 91459 19264 91504 19292
-rect 91498 19252 91504 19264
-rect 91556 19252 91562 19304
-rect 145318 19252 145324 19304
-rect 145376 19252 145382 19304
-rect 308250 19292 308256 19304
-rect 308211 19264 308256 19292
-rect 308250 19252 308256 19264
-rect 308308 19252 308314 19304
-rect 340726 19252 340732 19304
-rect 340784 19292 340790 19304
-rect 341002 19292 341008 19304
-rect 340784 19264 341008 19292
-rect 340784 19252 340790 19264
-rect 341002 19252 341008 19264
-rect 341060 19252 341066 19304
-rect 346890 19252 346896 19304
-rect 346948 19292 346954 19304
-rect 347074 19292 347080 19304
-rect 346948 19264 347080 19292
-rect 346948 19252 346954 19264
-rect 347074 19252 347080 19264
-rect 347132 19252 347138 19304
-rect 351030 19252 351036 19304
-rect 351088 19292 351094 19304
-rect 351306 19292 351312 19304
-rect 351088 19264 351312 19292
-rect 351088 19252 351094 19264
-rect 351306 19252 351312 19264
-rect 351364 19252 351370 19304
-rect 414510 19252 414516 19304
-rect 414568 19292 414574 19304
-rect 414878 19292 414884 19304
-rect 414568 19264 414884 19292
-rect 414568 19252 414574 19264
-rect 414878 19252 414884 19264
-rect 414936 19252 414942 19304
-rect 541470 19252 541476 19304
-rect 541528 19292 541534 19304
-rect 541528 19264 541573 19292
-rect 541528 19252 541534 19264
-rect 560790 19252 560796 19304
-rect 560848 19292 560854 19304
-rect 560848 19264 560893 19292
-rect 560848 19252 560854 19264
-rect 145226 19184 145232 19236
-rect 145284 19224 145290 19236
-rect 145336 19224 145364 19252
-rect 145284 19196 145364 19224
-rect 145284 19184 145290 19196
-rect 1600 18992 583316 19088
-rect 273014 18776 273020 18828
-rect 273072 18816 273078 18828
-rect 273198 18816 273204 18828
-rect 273072 18788 273204 18816
-rect 273072 18776 273078 18788
-rect 273198 18776 273204 18788
-rect 273256 18776 273262 18828
-rect 236398 18572 236404 18624
-rect 236456 18612 236462 18624
-rect 275774 18612 275780 18624
-rect 236456 18584 275780 18612
-rect 236456 18572 236462 18584
-rect 275774 18572 275780 18584
-rect 275832 18572 275838 18624
-rect 299602 18572 299608 18624
-rect 299660 18612 299666 18624
-rect 336126 18612 336132 18624
-rect 299660 18584 336132 18612
-rect 299660 18572 299666 18584
-rect 336126 18572 336132 18584
-rect 336184 18572 336190 18624
-rect 1600 18448 583316 18544
-rect 242746 18136 242752 18148
-rect 242672 18108 242752 18136
-rect 242672 18080 242700 18108
-rect 242746 18096 242752 18108
-rect 242804 18096 242810 18148
-rect 135290 18068 135296 18080
-rect 135251 18040 135296 18068
-rect 135290 18028 135296 18040
-rect 135348 18028 135354 18080
-rect 151850 18068 151856 18080
-rect 151811 18040 151856 18068
-rect 151850 18028 151856 18040
-rect 151908 18028 151914 18080
-rect 169698 18068 169704 18080
-rect 169659 18040 169704 18068
-rect 169698 18028 169704 18040
-rect 169756 18028 169762 18080
-rect 225082 18068 225088 18080
-rect 225043 18040 225088 18068
-rect 225082 18028 225088 18040
-rect 225140 18028 225146 18080
-rect 242654 18028 242660 18080
-rect 242712 18028 242718 18080
-rect 272830 18068 272836 18080
-rect 272791 18040 272836 18068
-rect 272830 18028 272836 18040
-rect 272888 18028 272894 18080
-rect 305122 18068 305128 18080
-rect 305083 18040 305128 18068
-rect 305122 18028 305128 18040
-rect 305180 18028 305186 18080
-rect 1600 17904 583316 18000
-rect 373110 17864 373116 17876
-rect 373071 17836 373116 17864
-rect 373110 17824 373116 17836
-rect 373168 17824 373174 17876
-rect 1600 17360 583316 17456
-rect 239250 17280 239256 17332
-rect 239308 17320 239314 17332
-rect 239526 17320 239532 17332
-rect 239308 17292 239532 17320
-rect 239308 17280 239314 17292
-rect 239526 17280 239532 17292
-rect 239584 17280 239590 17332
-rect 242470 17280 242476 17332
-rect 242528 17320 242534 17332
-rect 242654 17320 242660 17332
-rect 242528 17292 242660 17320
-rect 242528 17280 242534 17292
-rect 242654 17280 242660 17292
-rect 242712 17280 242718 17332
-rect 232166 17212 232172 17264
-rect 232224 17252 232230 17264
-rect 277154 17252 277160 17264
-rect 232224 17224 277160 17252
-rect 232224 17212 232230 17224
-rect 277154 17212 277160 17224
-rect 277212 17212 277218 17264
-rect 306134 17212 306140 17264
-rect 306192 17252 306198 17264
-rect 328950 17252 328956 17264
-rect 306192 17224 328956 17252
-rect 306192 17212 306198 17224
-rect 328950 17212 328956 17224
-rect 329008 17212 329014 17264
-rect 1600 16816 583316 16912
-rect 278626 16600 278632 16652
-rect 278684 16640 278690 16652
-rect 278810 16640 278816 16652
-rect 278684 16612 278816 16640
-rect 278684 16600 278690 16612
-rect 278810 16600 278816 16612
-rect 278868 16600 278874 16652
-rect 347902 16532 347908 16584
-rect 347960 16572 347966 16584
-rect 348178 16572 348184 16584
-rect 347960 16544 348184 16572
-rect 347960 16532 347966 16544
-rect 348178 16532 348184 16544
-rect 348236 16532 348242 16584
-rect 1600 16272 583316 16368
-rect 1600 15728 583316 15824
-rect 392338 15444 392344 15496
-rect 392396 15484 392402 15496
-rect 393534 15484 393540 15496
-rect 392396 15456 393540 15484
-rect 392396 15444 392402 15456
-rect 393534 15444 393540 15456
-rect 393592 15444 393598 15496
-rect 1600 15184 583316 15280
-rect 1600 14640 583316 14736
-rect 483510 14560 483516 14612
-rect 483568 14600 483574 14612
-rect 483970 14600 483976 14612
-rect 483568 14572 483976 14600
-rect 483568 14560 483574 14572
-rect 483970 14560 483976 14572
-rect 484028 14560 484034 14612
-rect 246058 14492 246064 14544
-rect 246116 14532 246122 14544
-rect 264734 14532 264740 14544
-rect 246116 14504 264740 14532
-rect 246116 14492 246122 14504
-rect 264734 14492 264740 14504
-rect 264792 14492 264798 14544
-rect 252958 14424 252964 14476
-rect 253016 14464 253022 14476
-rect 282214 14464 282220 14476
-rect 253016 14436 282220 14464
-rect 253016 14424 253022 14436
-rect 282214 14424 282220 14436
-rect 282272 14424 282278 14476
-rect 303374 14424 303380 14476
-rect 303432 14464 303438 14476
-rect 322050 14464 322056 14476
-rect 303432 14436 322056 14464
-rect 303432 14424 303438 14436
-rect 322050 14424 322056 14436
-rect 322108 14424 322114 14476
-rect 1600 14096 583316 14192
-rect 1600 13552 583316 13648
-rect 1600 13008 583316 13104
-rect 1600 12464 583316 12560
-rect 114958 12384 114964 12436
-rect 115016 12424 115022 12436
-rect 253326 12424 253332 12436
-rect 115016 12396 253332 12424
-rect 115016 12384 115022 12396
-rect 253326 12384 253332 12396
-rect 253384 12384 253390 12436
-rect 332906 12384 332912 12436
-rect 332964 12424 332970 12436
-rect 493170 12424 493176 12436
-rect 332964 12396 493176 12424
-rect 332964 12384 332970 12396
-rect 493170 12384 493176 12396
-rect 493228 12384 493234 12436
-rect 513870 12384 513876 12436
-rect 513928 12424 513934 12436
-rect 514882 12424 514888 12436
-rect 513928 12396 514888 12424
-rect 513928 12384 513934 12396
-rect 514882 12384 514888 12396
-rect 514940 12384 514946 12436
-rect 530430 12384 530436 12436
-rect 530488 12424 530494 12436
-rect 531534 12424 531540 12436
-rect 530488 12396 531540 12424
-rect 530488 12384 530494 12396
-rect 531534 12384 531540 12396
-rect 531592 12384 531598 12436
-rect 534570 12384 534576 12436
-rect 534628 12424 534634 12436
-rect 535030 12424 535036 12436
-rect 534628 12396 535036 12424
-rect 534628 12384 534634 12396
-rect 535030 12384 535036 12396
-rect 535088 12384 535094 12436
-rect 542850 12384 542856 12436
-rect 542908 12424 542914 12436
-rect 543402 12424 543408 12436
-rect 542908 12396 543408 12424
-rect 542908 12384 542914 12396
-rect 543402 12384 543408 12396
-rect 543460 12384 543466 12436
-rect 548370 12384 548376 12436
-rect 548428 12424 548434 12436
-rect 549382 12424 549388 12436
-rect 548428 12396 549388 12424
-rect 548428 12384 548434 12396
-rect 549382 12384 549388 12396
-rect 549440 12384 549446 12436
-rect 549750 12384 549756 12436
-rect 549808 12424 549814 12436
-rect 550578 12424 550584 12436
-rect 549808 12396 550584 12424
-rect 549808 12384 549814 12396
-rect 550578 12384 550584 12396
-rect 550636 12384 550642 12436
-rect 556650 12384 556656 12436
-rect 556708 12424 556714 12436
-rect 557662 12424 557668 12436
-rect 556708 12396 557668 12424
-rect 556708 12384 556714 12396
-rect 557662 12384 557668 12396
-rect 557720 12384 557726 12436
-rect 567690 12384 567696 12436
-rect 567748 12424 567754 12436
-rect 568334 12424 568340 12436
-rect 567748 12396 568340 12424
-rect 567748 12384 567754 12396
-rect 568334 12384 568340 12396
-rect 568392 12384 568398 12436
-rect 574590 12384 574596 12436
-rect 574648 12424 574654 12436
-rect 575510 12424 575516 12436
-rect 574648 12396 575516 12424
-rect 574648 12384 574654 12396
-rect 575510 12384 575516 12396
-rect 575568 12384 575574 12436
-rect 575970 12384 575976 12436
-rect 576028 12424 576034 12436
-rect 576706 12424 576712 12436
-rect 576028 12396 576712 12424
-rect 576028 12384 576034 12396
-rect 576706 12384 576712 12396
-rect 576764 12384 576770 12436
-rect 107966 12316 107972 12368
-rect 108024 12356 108030 12368
-rect 251946 12356 251952 12368
-rect 108024 12328 251952 12356
-rect 108024 12316 108030 12328
-rect 251946 12316 251952 12328
-rect 252004 12316 252010 12368
-rect 332814 12316 332820 12368
-rect 332872 12356 332878 12368
-rect 495930 12356 495936 12368
-rect 332872 12328 495936 12356
-rect 332872 12316 332878 12328
-rect 495930 12316 495936 12328
-rect 495988 12316 495994 12368
-rect 99502 12248 99508 12300
-rect 99560 12288 99566 12300
-rect 250474 12288 250480 12300
-rect 99560 12260 250480 12288
-rect 99560 12248 99566 12260
-rect 250474 12248 250480 12260
-rect 250532 12248 250538 12300
-rect 334194 12248 334200 12300
-rect 334252 12288 334258 12300
-rect 500070 12288 500076 12300
-rect 334252 12260 500076 12288
-rect 334252 12248 334258 12260
-rect 500070 12248 500076 12260
-rect 500128 12248 500134 12300
-rect 92602 12180 92608 12232
-rect 92660 12220 92666 12232
-rect 249278 12220 249284 12232
-rect 92660 12192 249284 12220
-rect 92660 12180 92666 12192
-rect 249278 12180 249284 12192
-rect 249336 12180 249342 12232
-rect 334102 12180 334108 12232
-rect 334160 12220 334166 12232
-rect 504118 12220 504124 12232
-rect 334160 12192 504124 12220
-rect 334160 12180 334166 12192
-rect 504118 12180 504124 12192
-rect 504176 12180 504182 12232
-rect 85978 12112 85984 12164
-rect 86036 12152 86042 12164
-rect 248174 12152 248180 12164
-rect 86036 12124 248180 12152
-rect 86036 12112 86042 12124
-rect 248174 12112 248180 12124
-rect 248232 12112 248238 12164
-rect 335482 12112 335488 12164
-rect 335540 12152 335546 12164
-rect 511294 12152 511300 12164
-rect 335540 12124 511300 12152
-rect 335540 12112 335546 12124
-rect 511294 12112 511300 12124
-rect 511352 12112 511358 12164
-rect 83126 12044 83132 12096
-rect 83184 12084 83190 12096
-rect 246702 12084 246708 12096
-rect 83184 12056 246708 12084
-rect 83184 12044 83190 12056
-rect 246702 12044 246708 12056
-rect 246760 12044 246766 12096
-rect 336862 12044 336868 12096
-rect 336920 12084 336926 12096
-rect 518378 12084 518384 12096
-rect 336920 12056 518384 12084
-rect 336920 12044 336926 12056
-rect 518378 12044 518384 12056
-rect 518436 12044 518442 12096
-rect 1600 11920 583316 12016
-rect 79078 11840 79084 11892
-rect 79136 11880 79142 11892
-rect 246242 11880 246248 11892
-rect 79136 11852 246248 11880
-rect 79136 11840 79142 11852
-rect 246242 11840 246248 11852
-rect 246300 11840 246306 11892
-rect 338150 11840 338156 11892
-rect 338208 11880 338214 11892
-rect 525554 11880 525560 11892
-rect 338208 11852 525560 11880
-rect 338208 11840 338214 11852
-rect 525554 11840 525560 11852
-rect 525612 11840 525618 11892
-rect 74938 11772 74944 11824
-rect 74996 11812 75002 11824
-rect 244862 11812 244868 11824
-rect 74996 11784 244868 11812
-rect 74996 11772 75002 11784
-rect 244862 11772 244868 11784
-rect 244920 11772 244926 11824
-rect 295554 11772 295560 11824
-rect 295612 11812 295618 11824
-rect 316162 11812 316168 11824
-rect 295612 11784 316168 11812
-rect 295612 11772 295618 11784
-rect 316162 11772 316168 11784
-rect 316220 11772 316226 11824
-rect 339622 11772 339628 11824
-rect 339680 11812 339686 11824
-rect 532730 11812 532736 11824
-rect 339680 11784 532736 11812
-rect 339680 11772 339686 11784
-rect 532730 11772 532736 11784
-rect 532788 11772 532794 11824
-rect 72178 11704 72184 11756
-rect 72236 11744 72242 11756
-rect 244954 11744 244960 11756
-rect 72236 11716 244960 11744
-rect 72236 11704 72242 11716
-rect 244954 11704 244960 11716
-rect 245012 11704 245018 11756
-rect 248818 11704 248824 11756
-rect 248876 11744 248882 11756
-rect 281294 11744 281300 11756
-rect 248876 11716 281300 11744
-rect 248876 11704 248882 11716
-rect 281294 11704 281300 11716
-rect 281352 11704 281358 11756
-rect 304754 11704 304760 11756
-rect 304812 11744 304818 11756
-rect 324810 11744 324816 11756
-rect 304812 11716 324816 11744
-rect 304812 11704 304818 11716
-rect 324810 11704 324816 11716
-rect 324868 11704 324874 11756
-rect 342474 11704 342480 11756
-rect 342532 11744 342538 11756
-rect 539814 11744 539820 11756
-rect 342532 11716 539820 11744
-rect 342532 11704 342538 11716
-rect 539814 11704 539820 11716
-rect 539872 11704 539878 11756
-rect 331342 11636 331348 11688
-rect 331400 11676 331406 11688
-rect 489858 11676 489864 11688
-rect 331400 11648 489864 11676
-rect 331400 11636 331406 11648
-rect 489858 11636 489864 11648
-rect 489916 11636 489922 11688
-rect 1600 11376 583316 11472
-rect 176693 11339 176751 11345
-rect 176693 11305 176705 11339
-rect 176739 11336 176751 11339
-rect 179177 11339 179235 11345
-rect 179177 11336 179189 11339
-rect 176739 11308 179189 11336
-rect 176739 11305 176751 11308
-rect 176693 11299 176751 11305
-rect 179177 11305 179189 11308
-rect 179223 11305 179235 11339
-rect 179177 11299 179235 11305
-rect 331437 11339 331495 11345
-rect 331437 11305 331449 11339
-rect 331483 11336 331495 11339
-rect 333737 11339 333795 11345
-rect 333737 11336 333749 11339
-rect 331483 11308 333749 11336
-rect 331483 11305 331495 11308
-rect 331437 11299 331495 11305
-rect 333737 11305 333749 11308
-rect 333783 11305 333795 11339
-rect 333737 11299 333795 11305
-rect 340913 11339 340971 11345
-rect 340913 11305 340925 11339
-rect 340959 11336 340971 11339
-rect 340959 11308 343164 11336
-rect 340959 11305 340971 11308
-rect 340913 11299 340971 11305
-rect 234745 11271 234803 11277
-rect 234745 11237 234757 11271
-rect 234791 11268 234803 11271
-rect 235294 11268 235300 11280
-rect 234791 11240 235300 11268
-rect 234791 11237 234803 11240
-rect 234745 11231 234803 11237
-rect 235294 11228 235300 11240
-rect 235352 11228 235358 11280
-rect 331529 11271 331587 11277
-rect 331529 11237 331541 11271
-rect 331575 11268 331587 11271
-rect 341281 11271 341339 11277
-rect 341281 11268 341293 11271
-rect 331575 11240 341293 11268
-rect 331575 11237 331587 11240
-rect 331529 11231 331587 11237
-rect 341281 11237 341293 11240
-rect 341327 11237 341339 11271
-rect 341281 11231 341339 11237
-rect 129037 11203 129095 11209
-rect 129037 11200 129049 11203
-rect 123532 11172 129049 11200
-rect 117626 11092 117632 11144
-rect 117684 11132 117690 11144
-rect 123532 11132 123560 11172
-rect 129037 11169 129049 11172
-rect 129083 11169 129095 11203
-rect 129037 11163 129095 11169
-rect 148265 11203 148323 11209
-rect 148265 11169 148277 11203
-rect 148311 11200 148323 11203
-rect 157741 11203 157799 11209
-rect 157741 11200 157753 11203
-rect 148311 11172 157753 11200
-rect 148311 11169 148323 11172
-rect 148265 11163 148323 11169
-rect 157741 11169 157753 11172
-rect 157787 11169 157799 11203
-rect 157741 11163 157799 11169
-rect 167582 11160 167588 11212
-rect 167640 11200 167646 11212
-rect 177061 11203 177119 11209
-rect 177061 11200 177073 11203
-rect 167640 11172 177073 11200
-rect 167640 11160 167646 11172
-rect 177061 11169 177073 11172
-rect 177107 11169 177119 11203
-rect 177061 11163 177119 11169
-rect 215974 11160 215980 11212
-rect 216032 11200 216038 11212
-rect 238333 11203 238391 11209
-rect 238333 11200 238345 11203
-rect 216032 11172 238345 11200
-rect 216032 11160 216038 11172
-rect 238333 11169 238345 11172
-rect 238379 11169 238391 11203
-rect 238333 11163 238391 11169
-rect 331253 11203 331311 11209
-rect 331253 11169 331265 11203
-rect 331299 11200 331311 11203
-rect 341005 11203 341063 11209
-rect 341005 11200 341017 11203
-rect 331299 11172 341017 11200
-rect 331299 11169 331311 11172
-rect 331253 11163 331311 11169
-rect 341005 11169 341017 11172
-rect 341051 11169 341063 11203
-rect 341462 11200 341468 11212
-rect 341005 11163 341063 11169
-rect 341112 11172 341468 11200
-rect 128945 11135 129003 11141
-rect 128945 11132 128957 11135
-rect 117684 11104 123560 11132
-rect 125188 11104 128957 11132
-rect 117684 11092 117690 11104
-rect 123333 11067 123391 11073
-rect 123333 11033 123345 11067
-rect 123379 11064 123391 11067
-rect 125188 11064 125216 11104
-rect 128945 11101 128957 11104
-rect 128991 11101 129003 11135
-rect 138237 11135 138295 11141
-rect 138237 11132 138249 11135
-rect 128945 11095 129003 11101
-rect 129144 11104 138249 11132
-rect 129144 11064 129172 11104
-rect 138237 11101 138249 11104
-rect 138283 11101 138295 11135
-rect 138237 11095 138295 11101
-rect 147897 11135 147955 11141
-rect 147897 11101 147909 11135
-rect 147943 11132 147955 11135
-rect 148357 11135 148415 11141
-rect 148357 11132 148369 11135
-rect 147943 11104 148369 11132
-rect 147943 11101 147955 11104
-rect 147897 11095 147955 11101
-rect 148357 11101 148369 11104
-rect 148403 11101 148415 11135
-rect 148357 11095 148415 11101
-rect 176877 11135 176935 11141
-rect 176877 11101 176889 11135
-rect 176923 11132 176935 11135
-rect 177242 11132 177248 11144
-rect 176923 11104 177248 11132
-rect 176923 11101 176935 11104
-rect 176877 11095 176935 11101
-rect 177242 11092 177248 11104
-rect 177300 11092 177306 11144
-rect 179177 11135 179235 11141
-rect 179177 11101 179189 11135
-rect 179223 11132 179235 11135
-rect 186997 11135 187055 11141
-rect 186997 11132 187009 11135
-rect 179223 11104 187009 11132
-rect 179223 11101 179235 11104
-rect 179177 11095 179235 11101
-rect 186997 11101 187009 11104
-rect 187043 11101 187055 11135
-rect 186997 11095 187055 11101
-rect 225545 11135 225603 11141
-rect 225545 11101 225557 11135
-rect 225591 11132 225603 11135
-rect 331897 11135 331955 11141
-rect 331897 11132 331909 11135
-rect 225591 11104 236904 11132
-rect 225591 11101 225603 11104
-rect 225545 11095 225603 11101
-rect 133821 11067 133879 11073
-rect 133821 11064 133833 11067
-rect 123379 11036 125216 11064
-rect 128960 11036 129172 11064
-rect 133560 11036 133833 11064
-rect 123379 11033 123391 11036
-rect 123333 11027 123391 11033
-rect 56906 10956 56912 11008
-rect 56964 10996 56970 11008
-rect 128960 10996 128988 11036
-rect 56964 10968 128988 10996
-rect 129037 10999 129095 11005
-rect 56964 10956 56970 10968
-rect 129037 10965 129049 10999
-rect 129083 10996 129095 10999
-rect 133560 10996 133588 11036
-rect 133821 11033 133833 11036
-rect 133867 11033 133879 11067
-rect 133821 11027 133879 11033
-rect 138513 11067 138571 11073
-rect 138513 11033 138525 11067
-rect 138559 11064 138571 11067
-rect 148081 11067 148139 11073
-rect 148081 11064 148093 11067
-rect 138559 11036 148093 11064
-rect 138559 11033 138571 11036
-rect 138513 11027 138571 11033
-rect 148081 11033 148093 11036
-rect 148127 11033 148139 11067
-rect 148081 11027 148139 11033
-rect 148173 11067 148231 11073
-rect 148173 11033 148185 11067
-rect 148219 11064 148231 11067
-rect 157649 11067 157707 11073
-rect 157649 11064 157661 11067
-rect 148219 11036 157661 11064
-rect 148219 11033 148231 11036
-rect 148173 11027 148231 11033
-rect 157649 11033 157661 11036
-rect 157695 11033 157707 11067
-rect 157649 11027 157707 11033
-rect 157833 11067 157891 11073
-rect 157833 11033 157845 11067
-rect 157879 11064 157891 11067
-rect 167490 11064 167496 11076
-rect 157879 11036 167496 11064
-rect 157879 11033 157891 11036
-rect 157833 11027 157891 11033
-rect 167490 11024 167496 11036
-rect 167548 11024 167554 11076
-rect 167585 11067 167643 11073
-rect 167585 11033 167597 11067
-rect 167631 11064 167643 11067
-rect 176785 11067 176843 11073
-rect 176785 11064 176797 11067
-rect 167631 11036 176797 11064
-rect 167631 11033 167643 11036
-rect 167585 11027 167643 11033
-rect 176785 11033 176797 11036
-rect 176831 11033 176843 11067
-rect 176785 11027 176843 11033
-rect 186905 11067 186963 11073
-rect 186905 11033 186917 11067
-rect 186951 11064 186963 11067
-rect 196197 11067 196255 11073
-rect 196197 11064 196209 11067
-rect 186951 11036 196209 11064
-rect 186951 11033 186963 11036
-rect 186905 11027 186963 11033
-rect 196197 11033 196209 11036
-rect 196243 11033 196255 11067
-rect 196197 11027 196255 11033
-rect 196565 11067 196623 11073
-rect 196565 11033 196577 11067
-rect 196611 11064 196623 11067
-rect 215790 11064 215796 11076
-rect 196611 11036 215796 11064
-rect 196611 11033 196623 11036
-rect 196565 11027 196623 11033
-rect 215790 11024 215796 11036
-rect 215848 11024 215854 11076
-rect 234926 11024 234932 11076
-rect 234984 11064 234990 11076
-rect 235481 11067 235539 11073
-rect 235481 11064 235493 11067
-rect 234984 11036 235493 11064
-rect 234984 11024 234990 11036
-rect 235481 11033 235493 11036
-rect 235527 11033 235539 11067
-rect 235481 11027 235539 11033
-rect 129083 10968 133588 10996
-rect 133637 10999 133695 11005
-rect 129083 10965 129095 10968
-rect 129037 10959 129095 10965
-rect 133637 10965 133649 10999
-rect 133683 10996 133695 10999
-rect 236766 10996 236772 11008
-rect 133683 10968 236772 10996
-rect 133683 10965 133695 10968
-rect 133637 10959 133695 10965
-rect 236766 10956 236772 10968
-rect 236824 10956 236830 11008
-rect 236876 10996 236904 11104
-rect 331544 11104 331909 11132
-rect 331342 11064 331348 11076
-rect 328140 11036 331348 11064
-rect 242286 10996 242292 11008
-rect 236876 10968 242292 10996
-rect 242286 10956 242292 10968
-rect 242344 10956 242350 11008
-rect 323154 10956 323160 11008
-rect 323212 10996 323218 11008
-rect 328140 10996 328168 11036
-rect 331342 11024 331348 11036
-rect 331400 11024 331406 11076
-rect 323212 10968 328168 10996
-rect 323212 10956 323218 10968
-rect 328398 10956 328404 11008
-rect 328456 10996 328462 11008
-rect 331544 10996 331572 11104
-rect 331897 11101 331909 11104
-rect 331943 11101 331955 11135
-rect 341112 11132 341140 11172
-rect 341462 11160 341468 11172
-rect 341520 11160 341526 11212
-rect 331897 11095 331955 11101
-rect 332004 11104 341140 11132
-rect 343136 11132 343164 11308
-rect 348086 11228 348092 11280
-rect 348144 11268 348150 11280
-rect 350941 11271 350999 11277
-rect 350941 11268 350953 11271
-rect 348144 11240 350953 11268
-rect 348144 11228 348150 11240
-rect 350941 11237 350953 11240
-rect 350987 11237 350999 11271
-rect 350941 11231 350999 11237
-rect 346522 11160 346528 11212
-rect 346580 11200 346586 11212
-rect 351309 11203 351367 11209
-rect 351309 11200 351321 11203
-rect 346580 11172 351321 11200
-rect 346580 11160 346586 11172
-rect 351309 11169 351321 11172
-rect 351355 11169 351367 11203
-rect 351309 11163 351367 11169
-rect 408990 11160 408996 11212
-rect 409048 11200 409054 11212
-rect 410186 11200 410192 11212
-rect 409048 11172 410192 11200
-rect 409048 11160 409054 11172
-rect 410186 11160 410192 11172
-rect 410244 11160 410250 11212
-rect 409085 11135 409143 11141
-rect 409085 11132 409097 11135
-rect 343136 11104 409097 11132
-rect 331713 11067 331771 11073
-rect 331713 11033 331725 11067
-rect 331759 11064 331771 11067
-rect 332004 11064 332032 11104
-rect 409085 11101 409097 11104
-rect 409131 11101 409143 11135
-rect 409085 11095 409143 11101
-rect 418469 11135 418527 11141
-rect 418469 11101 418481 11135
-rect 418515 11132 418527 11135
-rect 418745 11135 418803 11141
-rect 418745 11132 418757 11135
-rect 418515 11104 418757 11132
-rect 418515 11101 418527 11104
-rect 418469 11095 418527 11101
-rect 418745 11101 418757 11104
-rect 418791 11101 418803 11135
-rect 418745 11095 418803 11101
-rect 331759 11036 332032 11064
-rect 341097 11067 341155 11073
-rect 331759 11033 331771 11036
-rect 331713 11027 331771 11033
-rect 341097 11033 341109 11067
-rect 341143 11064 341155 11067
-rect 418561 11067 418619 11073
-rect 418561 11064 418573 11067
-rect 341143 11036 418573 11064
-rect 341143 11033 341155 11036
-rect 341097 11027 341155 11033
-rect 418561 11033 418573 11036
-rect 418607 11033 418619 11067
-rect 418561 11027 418619 11033
-rect 328456 10968 331572 10996
-rect 333737 10999 333795 11005
-rect 328456 10956 328462 10968
-rect 333737 10965 333749 10999
-rect 333783 10996 333795 10999
-rect 460142 10996 460148 11008
-rect 333783 10968 460148 10996
-rect 333783 10965 333795 10968
-rect 333737 10959 333795 10965
-rect 460142 10956 460148 10968
-rect 460200 10956 460206 11008
-rect 1600 10832 583316 10928
-rect 53318 10752 53324 10804
-rect 53376 10792 53382 10804
-rect 128853 10795 128911 10801
-rect 128853 10792 128865 10795
-rect 53376 10764 128865 10792
-rect 53376 10752 53382 10764
-rect 128853 10761 128865 10764
-rect 128899 10761 128911 10795
-rect 128853 10755 128911 10761
-rect 128945 10795 129003 10801
-rect 128945 10761 128957 10795
-rect 128991 10792 129003 10795
-rect 147802 10792 147808 10804
-rect 128991 10764 147808 10792
-rect 128991 10761 129003 10764
-rect 128945 10755 129003 10761
-rect 147802 10752 147808 10764
-rect 147860 10752 147866 10804
-rect 148081 10795 148139 10801
-rect 148081 10761 148093 10795
-rect 148127 10792 148139 10795
-rect 148265 10795 148323 10801
-rect 148265 10792 148277 10795
-rect 148127 10764 148277 10792
-rect 148127 10761 148139 10764
-rect 148081 10755 148139 10761
-rect 148265 10761 148277 10764
-rect 148311 10761 148323 10795
-rect 148265 10755 148323 10761
-rect 148446 10752 148452 10804
-rect 148504 10792 148510 10804
-rect 153046 10792 153052 10804
-rect 148504 10764 153052 10792
-rect 148504 10752 148510 10764
-rect 153046 10752 153052 10764
-rect 153104 10752 153110 10804
-rect 153141 10795 153199 10801
-rect 153141 10761 153153 10795
-rect 153187 10792 153199 10795
-rect 176693 10795 176751 10801
-rect 176693 10792 176705 10795
-rect 153187 10764 176705 10792
-rect 153187 10761 153199 10764
-rect 153141 10755 153199 10761
-rect 176693 10761 176705 10764
-rect 176739 10761 176751 10795
-rect 176693 10755 176751 10761
-rect 177061 10795 177119 10801
-rect 177061 10761 177073 10795
-rect 177107 10792 177119 10795
-rect 186905 10795 186963 10801
-rect 186905 10792 186917 10795
-rect 177107 10764 186917 10792
-rect 177107 10761 177119 10764
-rect 177061 10755 177119 10761
-rect 186905 10761 186917 10764
-rect 186951 10761 186963 10795
-rect 186905 10755 186963 10761
-rect 187086 10752 187092 10804
-rect 187144 10792 187150 10804
-rect 191686 10792 191692 10804
-rect 187144 10764 191692 10792
-rect 187144 10752 187150 10764
-rect 191686 10752 191692 10764
-rect 191744 10752 191750 10804
-rect 191781 10795 191839 10801
-rect 191781 10761 191793 10795
-rect 191827 10792 191839 10795
-rect 230053 10795 230111 10801
-rect 230053 10792 230065 10795
-rect 191827 10764 230065 10792
-rect 191827 10761 191839 10764
-rect 191781 10755 191839 10761
-rect 230053 10761 230065 10764
-rect 230099 10761 230111 10795
-rect 230053 10755 230111 10761
-rect 230145 10795 230203 10801
-rect 230145 10761 230157 10795
-rect 230191 10792 230203 10795
-rect 234650 10792 234656 10804
-rect 230191 10764 234656 10792
-rect 230191 10761 230203 10764
-rect 230145 10755 230203 10761
-rect 234650 10752 234656 10764
-rect 234708 10752 234714 10804
-rect 235018 10752 235024 10804
-rect 235076 10792 235082 10804
-rect 235389 10795 235447 10801
-rect 235389 10792 235401 10795
-rect 235076 10764 235401 10792
-rect 235076 10752 235082 10764
-rect 235389 10761 235401 10764
-rect 235435 10761 235447 10795
-rect 235389 10755 235447 10761
-rect 235481 10795 235539 10801
-rect 235481 10761 235493 10795
-rect 235527 10792 235539 10795
-rect 238146 10792 238152 10804
-rect 235527 10764 238152 10792
-rect 235527 10761 235539 10764
-rect 235481 10755 235539 10761
-rect 238146 10752 238152 10764
-rect 238204 10752 238210 10804
-rect 238514 10752 238520 10804
-rect 238572 10792 238578 10804
-rect 244126 10792 244132 10804
-rect 238572 10764 244132 10792
-rect 238572 10752 238578 10764
-rect 244126 10752 244132 10764
-rect 244184 10752 244190 10804
-rect 327202 10752 327208 10804
-rect 327260 10792 327266 10804
-rect 331529 10795 331587 10801
-rect 331529 10792 331541 10795
-rect 327260 10764 331541 10792
-rect 327260 10752 327266 10764
-rect 331529 10761 331541 10764
-rect 331575 10761 331587 10795
-rect 331529 10755 331587 10761
-rect 331621 10795 331679 10801
-rect 331621 10761 331633 10795
-rect 331667 10792 331679 10795
-rect 462810 10792 462816 10804
-rect 331667 10764 462816 10792
-rect 331667 10761 331679 10764
-rect 331621 10755 331679 10761
-rect 462810 10752 462816 10764
-rect 462868 10752 462874 10804
-rect 50098 10684 50104 10736
-rect 50156 10724 50162 10736
-rect 133545 10727 133603 10733
-rect 133545 10724 133557 10727
-rect 50156 10696 133557 10724
-rect 50156 10684 50162 10696
-rect 133545 10693 133557 10696
-rect 133591 10693 133603 10727
-rect 143297 10727 143355 10733
-rect 143297 10724 143309 10727
-rect 133545 10687 133603 10693
-rect 133652 10696 143309 10724
-rect 45958 10616 45964 10668
-rect 46016 10656 46022 10668
-rect 133652 10656 133680 10696
-rect 143297 10693 143309 10696
-rect 143343 10693 143355 10727
-rect 147897 10727 147955 10733
-rect 147897 10724 147909 10727
-rect 143297 10687 143355 10693
-rect 143404 10696 147909 10724
-rect 46016 10628 133680 10656
-rect 133729 10659 133787 10665
-rect 46016 10616 46022 10628
-rect 133729 10625 133741 10659
-rect 133775 10656 133787 10659
-rect 143404 10656 143432 10696
-rect 147897 10693 147909 10696
-rect 147943 10693 147955 10727
-rect 147897 10687 147955 10693
-rect 147989 10727 148047 10733
-rect 147989 10693 148001 10727
-rect 148035 10724 148047 10727
-rect 148173 10727 148231 10733
-rect 148173 10724 148185 10727
-rect 148035 10696 148185 10724
-rect 148035 10693 148047 10696
-rect 147989 10687 148047 10693
-rect 148173 10693 148185 10696
-rect 148219 10693 148231 10727
-rect 148173 10687 148231 10693
-rect 148357 10727 148415 10733
-rect 148357 10693 148369 10727
-rect 148403 10724 148415 10727
-rect 152865 10727 152923 10733
-rect 152865 10724 152877 10727
-rect 148403 10696 152877 10724
-rect 148403 10693 148415 10696
-rect 148357 10687 148415 10693
-rect 152865 10693 152877 10696
-rect 152911 10693 152923 10727
-rect 172369 10727 172427 10733
-rect 152865 10687 152923 10693
-rect 152972 10696 172320 10724
-rect 133775 10628 143432 10656
-rect 143481 10659 143539 10665
-rect 133775 10625 133787 10628
-rect 133729 10619 133787 10625
-rect 143481 10625 143493 10659
-rect 143527 10656 143539 10659
-rect 152972 10656 153000 10696
-rect 143527 10628 153000 10656
-rect 143527 10625 143539 10628
-rect 143481 10619 143539 10625
-rect 153046 10616 153052 10668
-rect 153104 10656 153110 10668
-rect 172185 10659 172243 10665
-rect 172185 10656 172197 10659
-rect 153104 10628 172197 10656
-rect 153104 10616 153110 10628
-rect 172185 10625 172197 10628
-rect 172231 10625 172243 10659
-rect 172292 10656 172320 10696
-rect 172369 10693 172381 10727
-rect 172415 10724 172427 10727
-rect 176877 10727 176935 10733
-rect 176877 10724 176889 10727
-rect 172415 10696 176889 10724
-rect 172415 10693 172427 10696
-rect 172369 10687 172427 10693
-rect 176877 10693 176889 10696
-rect 176923 10693 176935 10727
-rect 176877 10687 176935 10693
-rect 176969 10727 177027 10733
-rect 176969 10693 176981 10727
-rect 177015 10724 177027 10727
-rect 186810 10724 186816 10736
-rect 177015 10696 186816 10724
-rect 177015 10693 177027 10696
-rect 176969 10687 177027 10693
-rect 186810 10684 186816 10696
-rect 186868 10684 186874 10736
-rect 186997 10727 187055 10733
-rect 186997 10693 187009 10727
-rect 187043 10724 187055 10727
-rect 191505 10727 191563 10733
-rect 191505 10724 191517 10727
-rect 187043 10696 191517 10724
-rect 187043 10693 187055 10696
-rect 186997 10687 187055 10693
-rect 191505 10693 191517 10696
-rect 191551 10693 191563 10727
-rect 230329 10727 230387 10733
-rect 191505 10687 191563 10693
-rect 191612 10696 230280 10724
-rect 191612 10656 191640 10696
-rect 172292 10628 191640 10656
-rect 172185 10619 172243 10625
-rect 191686 10616 191692 10668
-rect 191744 10656 191750 10668
-rect 230145 10659 230203 10665
-rect 230145 10656 230157 10659
-rect 191744 10628 230157 10656
-rect 191744 10616 191750 10628
-rect 230145 10625 230157 10628
-rect 230191 10625 230203 10659
-rect 230252 10656 230280 10696
-rect 230329 10693 230341 10727
-rect 230375 10724 230387 10727
-rect 234834 10724 234840 10736
-rect 230375 10696 234840 10724
-rect 230375 10693 230387 10696
-rect 230329 10687 230387 10693
-rect 234834 10684 234840 10696
-rect 234892 10684 234898 10736
-rect 234929 10727 234987 10733
-rect 234929 10693 234941 10727
-rect 234975 10724 234987 10727
-rect 235113 10727 235171 10733
-rect 235113 10724 235125 10727
-rect 234975 10696 235125 10724
-rect 234975 10693 234987 10696
-rect 234929 10687 234987 10693
-rect 235113 10693 235125 10696
-rect 235159 10693 235171 10727
-rect 239250 10724 239256 10736
-rect 235113 10687 235171 10693
-rect 235220 10696 239256 10724
-rect 235220 10656 235248 10696
-rect 239250 10684 239256 10696
-rect 239308 10684 239314 10736
-rect 323062 10684 323068 10736
-rect 323120 10724 323126 10736
-rect 328398 10724 328404 10736
-rect 323120 10696 328404 10724
-rect 323120 10684 323126 10696
-rect 328398 10684 328404 10696
-rect 328456 10684 328462 10736
-rect 328490 10684 328496 10736
-rect 328548 10724 328554 10736
-rect 331897 10727 331955 10733
-rect 328548 10696 331848 10724
-rect 328548 10684 328554 10696
-rect 230252 10628 235248 10656
-rect 230145 10619 230203 10625
-rect 235386 10616 235392 10668
-rect 235444 10656 235450 10668
-rect 238238 10656 238244 10668
-rect 235444 10628 238244 10656
-rect 235444 10616 235450 10628
-rect 238238 10616 238244 10628
-rect 238296 10616 238302 10668
-rect 238333 10659 238391 10665
-rect 238333 10625 238345 10659
-rect 238379 10656 238391 10659
-rect 243758 10656 243764 10668
-rect 238379 10628 243764 10656
-rect 238379 10625 238391 10628
-rect 238333 10619 238391 10625
-rect 243758 10616 243764 10628
-rect 243816 10616 243822 10668
-rect 324442 10616 324448 10668
-rect 324500 10656 324506 10668
-rect 329870 10656 329876 10668
-rect 324500 10628 329876 10656
-rect 324500 10616 324506 10628
-rect 329870 10616 329876 10628
-rect 329928 10616 329934 10668
-rect 329962 10616 329968 10668
-rect 330020 10656 330026 10668
-rect 331713 10659 331771 10665
-rect 331713 10656 331725 10659
-rect 330020 10628 331725 10656
-rect 330020 10616 330026 10628
-rect 331713 10625 331725 10628
-rect 331759 10625 331771 10659
-rect 331820 10656 331848 10696
-rect 331897 10693 331909 10727
-rect 331943 10724 331955 10727
-rect 340913 10727 340971 10733
-rect 340913 10724 340925 10727
-rect 331943 10696 340925 10724
-rect 331943 10693 331955 10696
-rect 331897 10687 331955 10693
-rect 340913 10693 340925 10696
-rect 340959 10693 340971 10727
-rect 340913 10687 340971 10693
-rect 341281 10727 341339 10733
-rect 341281 10693 341293 10727
-rect 341327 10724 341339 10727
-rect 466950 10724 466956 10736
-rect 341327 10696 466956 10724
-rect 341327 10693 341339 10696
-rect 341281 10687 341339 10693
-rect 466950 10684 466956 10696
-rect 467008 10684 467014 10736
-rect 341097 10659 341155 10665
-rect 341097 10656 341109 10659
-rect 331820 10628 341109 10656
-rect 331713 10619 331771 10625
-rect 341097 10625 341109 10628
-rect 341143 10625 341155 10659
-rect 341097 10619 341155 10625
-rect 341189 10659 341247 10665
-rect 341189 10625 341201 10659
-rect 341235 10656 341247 10659
-rect 469710 10656 469716 10668
-rect 341235 10628 469716 10656
-rect 341235 10625 341247 10628
-rect 341189 10619 341247 10625
-rect 469710 10616 469716 10628
-rect 469768 10616 469774 10668
-rect 41818 10548 41824 10600
-rect 41876 10588 41882 10600
-rect 41876 10560 123468 10588
-rect 41876 10548 41882 10560
-rect 39058 10480 39064 10532
-rect 39116 10520 39122 10532
-rect 123333 10523 123391 10529
-rect 123333 10520 123345 10523
-rect 39116 10492 123345 10520
-rect 39116 10480 39122 10492
-rect 123333 10489 123345 10492
-rect 123379 10489 123391 10523
-rect 123440 10520 123468 10560
-rect 123514 10548 123520 10600
-rect 123572 10588 123578 10600
-rect 254982 10588 254988 10600
-rect 123572 10560 254988 10588
-rect 123572 10548 123578 10560
-rect 254982 10548 254988 10560
-rect 255040 10548 255046 10600
-rect 318830 10548 318836 10600
-rect 318888 10588 318894 10600
-rect 418653 10591 418711 10597
-rect 418653 10588 418665 10591
-rect 318888 10560 418665 10588
-rect 318888 10548 318894 10560
-rect 418653 10557 418665 10560
-rect 418699 10557 418711 10591
-rect 418653 10551 418711 10557
-rect 418745 10591 418803 10597
-rect 418745 10557 418757 10591
-rect 418791 10588 418803 10591
-rect 423345 10591 423403 10597
-rect 423345 10588 423357 10591
-rect 418791 10560 423357 10588
-rect 418791 10557 418803 10560
-rect 418745 10551 418803 10557
-rect 423345 10557 423357 10560
-rect 423391 10557 423403 10591
-rect 474402 10588 474408 10600
-rect 423345 10551 423403 10557
-rect 423452 10560 474408 10588
-rect 133729 10523 133787 10529
-rect 133729 10520 133741 10523
-rect 123440 10492 133741 10520
-rect 123333 10483 123391 10489
-rect 133729 10489 133741 10492
-rect 133775 10489 133787 10523
-rect 133729 10483 133787 10489
-rect 133913 10523 133971 10529
-rect 133913 10489 133925 10523
-rect 133959 10520 133971 10523
-rect 138329 10523 138387 10529
-rect 138329 10520 138341 10523
-rect 133959 10492 138341 10520
-rect 133959 10489 133971 10492
-rect 133913 10483 133971 10489
-rect 138329 10489 138341 10492
-rect 138375 10489 138387 10523
-rect 138329 10483 138387 10489
-rect 138418 10480 138424 10532
-rect 138476 10520 138482 10532
-rect 254614 10520 254620 10532
-rect 138476 10492 254620 10520
-rect 138476 10480 138482 10492
-rect 254614 10480 254620 10492
-rect 254672 10480 254678 10532
-rect 317542 10480 317548 10532
-rect 317600 10520 317606 10532
-rect 408990 10520 408996 10532
-rect 317600 10492 408996 10520
-rect 317600 10480 317606 10492
-rect 408990 10480 408996 10492
-rect 409048 10480 409054 10532
-rect 409085 10523 409143 10529
-rect 409085 10489 409097 10523
-rect 409131 10520 409143 10523
-rect 418469 10523 418527 10529
-rect 418469 10520 418481 10523
-rect 409131 10492 418481 10520
-rect 409131 10489 409143 10492
-rect 409085 10483 409143 10489
-rect 418469 10489 418481 10492
-rect 418515 10489 418527 10523
-rect 418469 10483 418527 10489
-rect 418561 10523 418619 10529
-rect 418561 10489 418573 10523
-rect 418607 10520 418619 10523
-rect 423452 10520 423480 10560
-rect 474402 10548 474408 10560
-rect 474460 10548 474466 10600
-rect 418607 10492 423480 10520
-rect 423529 10523 423587 10529
-rect 418607 10489 418619 10492
-rect 418561 10483 418619 10489
-rect 423529 10489 423541 10523
-rect 423575 10520 423587 10523
-rect 477990 10520 477996 10532
-rect 423575 10492 477996 10520
-rect 423575 10489 423587 10492
-rect 423529 10483 423587 10489
-rect 477990 10480 477996 10492
-rect 478048 10480 478054 10532
-rect 34918 10412 34924 10464
-rect 34976 10452 34982 10464
-rect 133637 10455 133695 10461
-rect 133637 10452 133649 10455
-rect 34976 10424 133649 10452
-rect 34976 10412 34982 10424
-rect 133637 10421 133649 10424
-rect 133683 10421 133695 10455
-rect 133637 10415 133695 10421
-rect 133821 10455 133879 10461
-rect 133821 10421 133833 10455
-rect 133867 10452 133879 10455
-rect 253142 10452 253148 10464
-rect 133867 10424 253148 10452
-rect 133867 10421 133879 10424
-rect 133821 10415 133879 10421
-rect 253142 10412 253148 10424
-rect 253200 10412 253206 10464
-rect 255718 10412 255724 10464
-rect 255776 10452 255782 10464
-rect 282490 10452 282496 10464
-rect 255776 10424 282496 10452
-rect 255776 10412 255782 10424
-rect 282490 10412 282496 10424
-rect 282548 10412 282554 10464
-rect 318646 10412 318652 10464
-rect 318704 10452 318710 10464
-rect 418653 10455 418711 10461
-rect 418653 10452 418665 10455
-rect 318704 10424 418665 10452
-rect 318704 10412 318710 10424
-rect 418653 10421 418665 10424
-rect 418699 10421 418711 10455
-rect 418653 10415 418711 10421
-rect 418742 10412 418748 10464
-rect 418800 10452 418806 10464
-rect 481578 10452 481584 10464
-rect 418800 10424 481584 10452
-rect 418800 10412 418806 10424
-rect 481578 10412 481584 10424
-rect 481636 10412 481642 10464
-rect 1600 10288 583316 10384
-rect 60494 10208 60500 10260
-rect 60552 10248 60558 10260
-rect 133545 10251 133603 10257
-rect 60552 10220 133496 10248
-rect 60552 10208 60558 10220
-rect 65278 10140 65284 10192
-rect 65336 10180 65342 10192
-rect 128850 10180 128856 10192
-rect 65336 10152 128856 10180
-rect 65336 10140 65342 10152
-rect 128850 10140 128856 10152
-rect 128908 10140 128914 10192
-rect 128945 10183 129003 10189
-rect 128945 10149 128957 10183
-rect 128991 10180 129003 10183
-rect 133361 10183 133419 10189
-rect 133361 10180 133373 10183
-rect 128991 10152 133373 10180
-rect 128991 10149 129003 10152
-rect 128945 10143 129003 10149
-rect 133361 10149 133373 10152
-rect 133407 10149 133419 10183
-rect 133468 10180 133496 10220
-rect 133545 10217 133557 10251
-rect 133591 10248 133603 10251
-rect 143205 10251 143263 10257
-rect 143205 10248 143217 10251
-rect 133591 10220 143217 10248
-rect 133591 10217 133603 10220
-rect 133545 10211 133603 10217
-rect 143205 10217 143217 10220
-rect 143251 10217 143263 10251
-rect 143205 10211 143263 10217
-rect 143294 10208 143300 10260
-rect 143352 10248 143358 10260
-rect 157649 10251 157707 10257
-rect 143352 10220 157600 10248
-rect 143352 10208 143358 10220
-rect 138145 10183 138203 10189
-rect 138145 10180 138157 10183
-rect 133468 10152 138157 10180
-rect 133361 10143 133419 10149
-rect 138145 10149 138157 10152
-rect 138191 10149 138203 10183
-rect 138145 10143 138203 10149
-rect 138421 10183 138479 10189
-rect 138421 10149 138433 10183
-rect 138467 10180 138479 10183
-rect 138513 10183 138571 10189
-rect 138513 10180 138525 10183
-rect 138467 10152 138525 10180
-rect 138467 10149 138479 10152
-rect 138421 10143 138479 10149
-rect 138513 10149 138525 10152
-rect 138559 10149 138571 10183
-rect 138513 10143 138571 10149
-rect 138602 10140 138608 10192
-rect 138660 10180 138666 10192
-rect 147989 10183 148047 10189
-rect 147989 10180 148001 10183
-rect 138660 10152 148001 10180
-rect 138660 10140 138666 10152
-rect 147989 10149 148001 10152
-rect 148035 10149 148047 10183
-rect 147989 10143 148047 10149
-rect 148081 10183 148139 10189
-rect 148081 10149 148093 10183
-rect 148127 10180 148139 10183
-rect 157462 10180 157468 10192
-rect 148127 10152 157468 10180
-rect 148127 10149 148139 10152
-rect 148081 10143 148139 10149
-rect 157462 10140 157468 10152
-rect 157520 10140 157526 10192
-rect 157572 10180 157600 10220
-rect 157649 10217 157661 10251
-rect 157695 10248 157707 10251
-rect 167493 10251 167551 10257
-rect 167493 10248 167505 10251
-rect 157695 10220 167505 10248
-rect 157695 10217 157707 10220
-rect 157649 10211 157707 10217
-rect 167493 10217 167505 10220
-rect 167539 10217 167551 10251
-rect 167493 10211 167551 10217
-rect 167692 10220 196148 10248
-rect 157741 10183 157799 10189
-rect 157572 10152 157692 10180
-rect 68038 10072 68044 10124
-rect 68096 10112 68102 10124
-rect 138234 10112 138240 10124
-rect 68096 10084 138240 10112
-rect 68096 10072 68102 10084
-rect 138234 10072 138240 10084
-rect 138292 10072 138298 10124
-rect 138329 10115 138387 10121
-rect 138329 10081 138341 10115
-rect 138375 10112 138387 10115
-rect 143294 10112 143300 10124
-rect 138375 10084 143300 10112
-rect 138375 10081 138387 10084
-rect 138329 10075 138387 10081
-rect 143294 10072 143300 10084
-rect 143352 10072 143358 10124
-rect 143389 10115 143447 10121
-rect 143389 10081 143401 10115
-rect 143435 10112 143447 10115
-rect 147805 10115 147863 10121
-rect 147805 10112 147817 10115
-rect 143435 10084 147817 10112
-rect 143435 10081 143447 10084
-rect 143389 10075 143447 10081
-rect 147805 10081 147817 10084
-rect 147851 10081 147863 10115
-rect 147805 10075 147863 10081
-rect 147897 10115 147955 10121
-rect 147897 10081 147909 10115
-rect 147943 10112 147955 10115
-rect 157554 10112 157560 10124
-rect 147943 10084 157560 10112
-rect 147943 10081 147955 10084
-rect 147897 10075 147955 10081
-rect 157554 10072 157560 10084
-rect 157612 10072 157618 10124
-rect 157664 10112 157692 10152
-rect 157741 10149 157753 10183
-rect 157787 10180 157799 10183
-rect 167582 10180 167588 10192
-rect 157787 10152 167588 10180
-rect 157787 10149 157799 10152
-rect 157741 10143 157799 10149
-rect 167582 10140 167588 10152
-rect 167640 10140 167646 10192
-rect 167692 10112 167720 10220
-rect 167858 10140 167864 10192
-rect 167916 10180 167922 10192
-rect 195918 10180 195924 10192
-rect 167916 10152 195924 10180
-rect 167916 10140 167922 10152
-rect 195918 10140 195924 10152
-rect 195976 10140 195982 10192
-rect 157664 10084 167720 10112
-rect 167766 10072 167772 10124
-rect 167824 10112 167830 10124
-rect 196010 10112 196016 10124
-rect 167824 10084 196016 10112
-rect 167824 10072 167830 10084
-rect 196010 10072 196016 10084
-rect 196068 10072 196074 10124
-rect 196120 10112 196148 10220
-rect 196378 10208 196384 10260
-rect 196436 10248 196442 10260
-rect 196436 10220 225588 10248
-rect 196436 10208 196442 10220
-rect 196197 10183 196255 10189
-rect 196197 10149 196209 10183
-rect 196243 10180 196255 10183
-rect 225450 10180 225456 10192
-rect 196243 10152 225456 10180
-rect 196243 10149 196255 10152
-rect 196197 10143 196255 10149
-rect 225450 10140 225456 10152
-rect 225508 10140 225514 10192
-rect 225560 10180 225588 10220
-rect 225634 10208 225640 10260
-rect 225692 10248 225698 10260
-rect 232353 10251 232411 10257
-rect 232353 10248 232365 10251
-rect 225692 10220 232365 10248
-rect 225692 10208 225698 10220
-rect 232353 10217 232365 10220
-rect 232399 10217 232411 10251
-rect 232353 10211 232411 10217
-rect 232442 10208 232448 10260
-rect 232500 10248 232506 10260
-rect 235297 10251 235355 10257
-rect 232500 10220 232545 10248
-rect 232500 10208 232506 10220
-rect 235297 10217 235309 10251
-rect 235343 10248 235355 10251
-rect 239618 10248 239624 10260
-rect 235343 10220 239624 10248
-rect 235343 10217 235355 10220
-rect 235297 10211 235355 10217
-rect 239618 10208 239624 10220
-rect 239676 10208 239682 10260
-rect 327110 10208 327116 10260
-rect 327168 10248 327174 10260
-rect 331253 10251 331311 10257
-rect 331253 10248 331265 10251
-rect 327168 10220 331265 10248
-rect 327168 10208 327174 10220
-rect 331253 10217 331265 10220
-rect 331299 10217 331311 10251
-rect 455910 10248 455916 10260
-rect 331253 10211 331311 10217
-rect 331544 10220 455916 10248
-rect 234929 10183 234987 10189
-rect 234929 10180 234941 10183
-rect 225560 10152 234941 10180
-rect 234929 10149 234941 10152
-rect 234975 10149 234987 10183
-rect 234929 10143 234987 10149
-rect 235389 10183 235447 10189
-rect 235389 10149 235401 10183
-rect 235435 10180 235447 10183
-rect 240906 10180 240912 10192
-rect 235435 10152 240912 10180
-rect 235435 10149 235447 10152
-rect 235389 10143 235447 10149
-rect 240906 10140 240912 10152
-rect 240964 10140 240970 10192
-rect 244865 10183 244923 10189
-rect 244865 10149 244877 10183
-rect 244911 10180 244923 10183
-rect 253510 10180 253516 10192
-rect 244911 10152 253516 10180
-rect 244911 10149 244923 10152
-rect 244865 10143 244923 10149
-rect 253510 10140 253516 10152
-rect 253568 10140 253574 10192
-rect 325822 10140 325828 10192
-rect 325880 10180 325886 10192
-rect 331544 10189 331572 10220
-rect 455910 10208 455916 10220
-rect 455968 10208 455974 10260
-rect 331437 10183 331495 10189
-rect 331437 10180 331449 10183
-rect 325880 10152 331449 10180
-rect 325880 10140 325886 10152
-rect 331437 10149 331449 10152
-rect 331483 10149 331495 10183
-rect 331437 10143 331495 10149
-rect 331529 10183 331587 10189
-rect 331529 10149 331541 10183
-rect 331575 10149 331587 10183
-rect 331529 10143 331587 10149
-rect 331618 10140 331624 10192
-rect 331676 10180 331682 10192
-rect 451862 10180 451868 10192
-rect 331676 10152 451868 10180
-rect 331676 10140 331682 10152
-rect 451862 10140 451868 10152
-rect 451920 10140 451926 10192
-rect 225545 10115 225603 10121
-rect 225545 10112 225557 10115
-rect 196120 10084 225557 10112
-rect 225545 10081 225557 10084
-rect 225591 10081 225603 10115
-rect 225545 10075 225603 10081
-rect 225634 10072 225640 10124
-rect 225692 10112 225698 10124
-rect 235018 10112 235024 10124
-rect 225692 10084 235024 10112
-rect 225692 10072 225698 10084
-rect 235018 10072 235024 10084
-rect 235076 10072 235082 10124
-rect 241918 10072 241924 10124
-rect 241976 10112 241982 10124
-rect 242378 10112 242384 10124
-rect 241976 10084 242384 10112
-rect 241976 10072 241982 10084
-rect 242378 10072 242384 10084
-rect 242436 10072 242442 10124
-rect 244770 10072 244776 10124
-rect 244828 10112 244834 10124
-rect 254525 10115 254583 10121
-rect 254525 10112 254537 10115
-rect 244828 10084 254537 10112
-rect 244828 10072 244834 10084
-rect 254525 10081 254537 10084
-rect 254571 10081 254583 10115
-rect 254525 10075 254583 10081
-rect 325914 10072 325920 10124
-rect 325972 10112 325978 10124
-rect 325972 10084 331296 10112
-rect 325972 10072 325978 10084
-rect 102538 10004 102544 10056
-rect 102596 10044 102602 10056
-rect 250382 10044 250388 10056
-rect 102596 10016 250388 10044
-rect 102596 10004 102602 10016
-rect 250382 10004 250388 10016
-rect 250440 10004 250446 10056
-rect 324534 10004 324540 10056
-rect 324592 10044 324598 10056
-rect 331161 10047 331219 10053
-rect 331161 10044 331173 10047
-rect 324592 10016 331173 10044
-rect 324592 10004 324598 10016
-rect 331161 10013 331173 10016
-rect 331207 10013 331219 10047
-rect 331268 10044 331296 10084
-rect 331342 10072 331348 10124
-rect 331400 10112 331406 10124
-rect 449010 10112 449016 10124
-rect 331400 10084 449016 10112
-rect 331400 10072 331406 10084
-rect 449010 10072 449016 10084
-rect 449068 10072 449074 10124
-rect 331529 10047 331587 10053
-rect 331529 10044 331541 10047
-rect 331268 10016 331541 10044
-rect 331161 10007 331219 10013
-rect 331529 10013 331541 10016
-rect 331575 10013 331587 10047
-rect 331529 10007 331587 10013
-rect 331618 10004 331624 10056
-rect 331676 10044 331682 10056
-rect 444870 10044 444876 10056
-rect 331676 10016 444876 10044
-rect 331676 10004 331682 10016
-rect 444870 10004 444876 10016
-rect 444928 10004 444934 10056
-rect 106678 9936 106684 9988
-rect 106736 9976 106742 9988
-rect 252314 9976 252320 9988
-rect 106736 9948 252320 9976
-rect 106736 9936 106742 9948
-rect 252314 9936 252320 9948
-rect 252372 9936 252378 9988
-rect 321774 9936 321780 9988
-rect 321832 9976 321838 9988
-rect 442110 9976 442116 9988
-rect 321832 9948 442116 9976
-rect 321832 9936 321838 9948
-rect 442110 9936 442116 9948
-rect 442168 9936 442174 9988
-rect 109438 9868 109444 9920
-rect 109496 9908 109502 9920
-rect 251854 9908 251860 9920
-rect 109496 9880 251860 9908
-rect 109496 9868 109502 9880
-rect 251854 9868 251860 9880
-rect 251912 9868 251918 9920
-rect 254617 9911 254675 9917
-rect 254617 9877 254629 9911
-rect 254663 9908 254675 9911
-rect 259125 9911 259183 9917
-rect 259125 9908 259137 9911
-rect 254663 9880 259137 9908
-rect 254663 9877 254675 9880
-rect 254617 9871 254675 9877
-rect 259125 9877 259137 9880
-rect 259171 9877 259183 9911
-rect 259125 9871 259183 9877
-rect 320210 9868 320216 9920
-rect 320268 9908 320274 9920
-rect 437970 9908 437976 9920
-rect 320268 9880 437976 9908
-rect 320268 9868 320274 9880
-rect 437970 9868 437976 9880
-rect 438028 9868 438034 9920
-rect 1600 9744 583316 9840
-rect 91498 9704 91504 9716
-rect 91459 9676 91504 9704
-rect 91498 9664 91504 9676
-rect 91556 9664 91562 9716
-rect 113578 9664 113584 9716
-rect 113636 9704 113642 9716
-rect 244865 9707 244923 9713
-rect 244865 9704 244877 9707
-rect 113636 9676 244877 9704
-rect 113636 9664 113642 9676
-rect 244865 9673 244877 9676
-rect 244911 9673 244923 9707
-rect 244865 9667 244923 9673
-rect 244957 9707 245015 9713
-rect 244957 9673 244969 9707
-rect 245003 9704 245015 9707
-rect 254341 9707 254399 9713
-rect 254341 9704 254353 9707
-rect 245003 9676 254353 9704
-rect 245003 9673 245015 9676
-rect 244957 9667 245015 9673
-rect 254341 9673 254353 9676
-rect 254387 9673 254399 9707
-rect 254709 9707 254767 9713
-rect 254709 9704 254721 9707
-rect 254341 9667 254399 9673
-rect 254448 9676 254721 9704
-rect 124618 9596 124624 9648
-rect 124676 9636 124682 9648
-rect 138234 9636 138240 9648
-rect 124676 9608 138240 9636
-rect 124676 9596 124682 9608
-rect 138234 9596 138240 9608
-rect 138292 9596 138298 9648
-rect 138329 9639 138387 9645
-rect 138329 9605 138341 9639
-rect 138375 9636 138387 9639
-rect 138697 9639 138755 9645
-rect 138375 9608 138648 9636
-rect 138375 9605 138387 9608
-rect 138329 9599 138387 9605
-rect 128850 9528 128856 9580
-rect 128908 9568 128914 9580
-rect 138513 9571 138571 9577
-rect 138513 9568 138525 9571
-rect 128908 9540 138525 9568
-rect 128908 9528 128914 9540
-rect 138513 9537 138525 9540
-rect 138559 9537 138571 9571
-rect 138620 9568 138648 9608
-rect 138697 9605 138709 9639
-rect 138743 9636 138755 9639
-rect 147897 9639 147955 9645
-rect 147897 9636 147909 9639
-rect 138743 9608 147909 9636
-rect 138743 9605 138755 9608
-rect 138697 9599 138755 9605
-rect 147897 9605 147909 9608
-rect 147943 9605 147955 9639
-rect 147897 9599 147955 9605
-rect 147989 9639 148047 9645
-rect 147989 9605 148001 9639
-rect 148035 9636 148047 9639
-rect 148265 9639 148323 9645
-rect 148265 9636 148277 9639
-rect 148035 9608 148277 9636
-rect 148035 9605 148047 9608
-rect 147989 9599 148047 9605
-rect 148265 9605 148277 9608
-rect 148311 9605 148323 9639
-rect 148265 9599 148323 9605
-rect 162525 9639 162583 9645
-rect 162525 9605 162537 9639
-rect 162571 9636 162583 9639
-rect 169514 9636 169520 9648
-rect 162571 9608 169520 9636
-rect 162571 9605 162583 9608
-rect 162525 9599 162583 9605
-rect 169514 9596 169520 9608
-rect 169572 9596 169578 9648
-rect 170158 9596 170164 9648
-rect 170216 9636 170222 9648
-rect 254448 9636 254476 9676
-rect 254709 9673 254721 9676
-rect 254755 9673 254767 9707
-rect 254709 9667 254767 9673
-rect 254801 9707 254859 9713
-rect 254801 9673 254813 9707
-rect 254847 9704 254859 9707
-rect 254847 9676 257604 9704
-rect 254847 9673 254859 9676
-rect 254801 9667 254859 9673
-rect 170216 9608 254476 9636
-rect 254525 9639 254583 9645
-rect 170216 9596 170222 9608
-rect 254525 9605 254537 9639
-rect 254571 9636 254583 9639
-rect 257466 9636 257472 9648
-rect 254571 9608 257472 9636
-rect 254571 9605 254583 9608
-rect 254525 9599 254583 9605
-rect 257466 9596 257472 9608
-rect 257524 9596 257530 9648
-rect 257576 9636 257604 9676
-rect 285066 9664 285072 9716
-rect 285124 9704 285130 9716
-rect 285250 9704 285256 9716
-rect 285124 9676 285256 9704
-rect 285124 9664 285130 9676
-rect 285250 9664 285256 9676
-rect 285308 9664 285314 9716
-rect 305030 9664 305036 9716
-rect 305088 9704 305094 9716
-rect 305122 9704 305128 9716
-rect 305088 9676 305128 9704
-rect 305088 9664 305094 9676
-rect 305122 9664 305128 9676
-rect 305180 9664 305186 9716
-rect 308250 9704 308256 9716
-rect 308211 9676 308256 9704
-rect 308250 9664 308256 9676
-rect 308308 9664 308314 9716
-rect 320118 9664 320124 9716
-rect 320176 9704 320182 9716
-rect 428313 9707 428371 9713
-rect 428313 9704 428325 9707
-rect 320176 9676 428325 9704
-rect 320176 9664 320182 9676
-rect 428313 9673 428325 9676
-rect 428359 9673 428371 9707
-rect 435118 9704 435124 9716
-rect 428313 9667 428371 9673
-rect 431272 9676 435124 9704
-rect 262802 9636 262808 9648
-rect 257576 9608 262808 9636
-rect 262802 9596 262808 9608
-rect 262860 9596 262866 9648
-rect 309170 9596 309176 9648
-rect 309228 9636 309234 9648
-rect 385162 9636 385168 9648
-rect 309228 9608 385168 9636
-rect 309228 9596 309234 9608
-rect 385162 9596 385168 9608
-rect 385220 9596 385226 9648
-rect 418653 9639 418711 9645
-rect 418653 9605 418665 9639
-rect 418699 9636 418711 9639
-rect 431070 9636 431076 9648
-rect 418699 9608 431076 9636
-rect 418699 9605 418711 9608
-rect 418653 9599 418711 9605
-rect 431070 9596 431076 9608
-rect 431128 9596 431134 9648
-rect 148081 9571 148139 9577
-rect 148081 9568 148093 9571
-rect 138620 9540 148093 9568
-rect 138513 9531 138571 9537
-rect 148081 9537 148093 9540
-rect 148127 9537 148139 9571
-rect 148081 9531 148139 9537
-rect 150930 9528 150936 9580
-rect 150988 9568 150994 9580
-rect 261606 9568 261612 9580
-rect 150988 9540 261612 9568
-rect 150988 9528 150994 9540
-rect 261606 9528 261612 9540
-rect 261664 9528 261670 9580
-rect 310642 9528 310648 9580
-rect 310700 9568 310706 9580
-rect 388750 9568 388756 9580
-rect 310700 9540 388756 9568
-rect 310700 9528 310706 9540
-rect 388750 9528 388756 9540
-rect 388808 9528 388814 9580
-rect 418745 9571 418803 9577
-rect 418745 9537 418757 9571
-rect 418791 9568 418803 9571
-rect 426930 9568 426936 9580
-rect 418791 9540 426936 9568
-rect 418791 9537 418803 9540
-rect 418745 9531 418803 9537
-rect 426930 9528 426936 9540
-rect 426988 9528 426994 9580
-rect 147342 9460 147348 9512
-rect 147400 9500 147406 9512
-rect 244773 9503 244831 9509
-rect 244773 9500 244785 9503
-rect 147400 9472 244785 9500
-rect 147400 9460 147406 9472
-rect 244773 9469 244785 9472
-rect 244819 9469 244831 9503
-rect 244773 9463 244831 9469
-rect 244865 9503 244923 9509
-rect 244865 9469 244877 9503
-rect 244911 9500 244923 9503
-rect 259030 9500 259036 9512
-rect 244911 9472 259036 9500
-rect 244911 9469 244923 9472
-rect 244865 9463 244923 9469
-rect 259030 9460 259036 9472
-rect 259088 9460 259094 9512
-rect 259125 9503 259183 9509
-rect 259125 9469 259137 9503
-rect 259171 9500 259183 9503
-rect 262894 9500 262900 9512
-rect 259171 9472 262900 9500
-rect 259171 9469 259183 9472
-rect 259125 9463 259183 9469
-rect 262894 9460 262900 9472
-rect 262952 9460 262958 9512
-rect 312022 9460 312028 9512
-rect 312080 9500 312086 9512
-rect 392338 9500 392344 9512
-rect 312080 9472 392344 9500
-rect 312080 9460 312086 9472
-rect 392338 9460 392344 9472
-rect 392396 9460 392402 9512
-rect 428313 9503 428371 9509
-rect 428313 9469 428325 9503
-rect 428359 9500 428371 9503
-rect 431272 9500 431300 9676
-rect 435118 9664 435124 9676
-rect 435176 9664 435182 9716
-rect 529053 9707 529111 9713
-rect 529053 9673 529065 9707
-rect 529099 9704 529111 9707
-rect 529142 9704 529148 9716
-rect 529099 9676 529148 9704
-rect 529099 9673 529111 9676
-rect 529053 9667 529111 9673
-rect 529142 9664 529148 9676
-rect 529200 9664 529206 9716
-rect 535953 9707 536011 9713
-rect 535953 9673 535965 9707
-rect 535999 9704 536011 9707
-rect 536226 9704 536232 9716
-rect 535999 9676 536232 9704
-rect 535999 9673 536011 9676
-rect 535953 9667 536011 9673
-rect 536226 9664 536232 9676
-rect 536284 9664 536290 9716
-rect 541473 9707 541531 9713
-rect 541473 9673 541485 9707
-rect 541519 9704 541531 9707
-rect 542206 9704 542212 9716
-rect 541519 9676 542212 9704
-rect 541519 9673 541531 9676
-rect 541473 9667 541531 9673
-rect 542206 9664 542212 9676
-rect 542264 9664 542270 9716
-rect 545518 9664 545524 9716
-rect 545576 9704 545582 9716
-rect 545794 9704 545800 9716
-rect 545576 9676 545800 9704
-rect 545576 9664 545582 9676
-rect 545794 9664 545800 9676
-rect 545852 9664 545858 9716
-rect 552513 9707 552571 9713
-rect 552513 9673 552525 9707
-rect 552559 9704 552571 9707
-rect 552878 9704 552884 9716
-rect 552559 9676 552884 9704
-rect 552559 9673 552571 9676
-rect 552513 9667 552571 9673
-rect 552878 9664 552884 9676
-rect 552936 9664 552942 9716
-rect 560793 9707 560851 9713
-rect 560793 9673 560805 9707
-rect 560839 9704 560851 9707
-rect 561250 9704 561256 9716
-rect 560839 9676 561256 9704
-rect 560839 9673 560851 9676
-rect 560793 9667 560851 9673
-rect 561250 9664 561256 9676
-rect 561308 9664 561314 9716
-rect 571833 9707 571891 9713
-rect 571833 9673 571845 9707
-rect 571879 9704 571891 9707
-rect 571922 9704 571928 9716
-rect 571879 9676 571928 9704
-rect 571879 9673 571891 9676
-rect 571833 9667 571891 9673
-rect 571922 9664 571928 9676
-rect 571980 9664 571986 9716
-rect 428359 9472 431300 9500
-rect 428359 9469 428371 9472
-rect 428313 9463 428371 9469
-rect 259214 9432 259220 9444
-rect 148004 9404 259220 9432
-rect 143754 9324 143760 9376
-rect 143812 9364 143818 9376
-rect 148004 9364 148032 9404
-rect 259214 9392 259220 9404
-rect 259272 9392 259278 9444
-rect 349374 9392 349380 9444
-rect 349432 9432 349438 9444
-rect 350757 9435 350815 9441
-rect 350757 9432 350769 9435
-rect 349432 9404 350769 9432
-rect 349432 9392 349438 9404
-rect 350757 9401 350769 9404
-rect 350803 9401 350815 9435
-rect 350757 9395 350815 9401
-rect 350846 9392 350852 9444
-rect 350904 9432 350910 9444
-rect 351033 9435 351091 9441
-rect 351033 9432 351045 9435
-rect 350904 9404 351045 9432
-rect 350904 9392 350910 9404
-rect 351033 9401 351045 9404
-rect 351079 9401 351091 9435
-rect 351033 9395 351091 9401
-rect 351122 9392 351128 9444
-rect 351180 9432 351186 9444
-rect 560054 9432 560060 9444
-rect 351180 9404 560060 9432
-rect 351180 9392 351186 9404
-rect 560054 9392 560060 9404
-rect 560112 9392 560118 9444
-rect 143812 9336 148032 9364
-rect 148081 9367 148139 9373
-rect 143812 9324 143818 9336
-rect 148081 9333 148093 9367
-rect 148127 9364 148139 9367
-rect 244773 9367 244831 9373
-rect 244773 9364 244785 9367
-rect 148127 9336 244785 9364
-rect 148127 9333 148139 9336
-rect 148081 9327 148139 9333
-rect 244773 9333 244785 9336
-rect 244819 9333 244831 9367
-rect 244773 9327 244831 9333
-rect 244862 9324 244868 9376
-rect 244920 9364 244926 9376
-rect 279638 9364 279644 9376
-rect 244920 9336 279644 9364
-rect 244920 9324 244926 9336
-rect 279638 9324 279644 9336
-rect 279696 9324 279702 9376
-rect 341278 9324 341284 9376
-rect 341336 9364 341342 9376
-rect 346525 9367 346583 9373
-rect 346525 9364 346537 9367
-rect 341336 9336 346537 9364
-rect 341336 9324 341342 9336
-rect 346525 9333 346537 9336
-rect 346571 9333 346583 9367
-rect 346525 9327 346583 9333
-rect 346614 9324 346620 9376
-rect 346672 9364 346678 9376
-rect 349282 9364 349288 9376
-rect 346672 9336 349288 9364
-rect 346672 9324 346678 9336
-rect 349282 9324 349288 9336
-rect 349340 9324 349346 9376
-rect 349466 9324 349472 9376
-rect 349524 9364 349530 9376
-rect 350665 9367 350723 9373
-rect 350665 9364 350677 9367
-rect 349524 9336 350677 9364
-rect 349524 9324 349530 9336
-rect 350665 9333 350677 9336
-rect 350711 9333 350723 9367
-rect 350665 9327 350723 9333
-rect 350941 9367 350999 9373
-rect 350941 9333 350953 9367
-rect 350987 9364 350999 9367
-rect 355909 9367 355967 9373
-rect 355909 9364 355921 9367
-rect 350987 9336 355921 9364
-rect 350987 9333 350999 9336
-rect 350941 9327 350999 9333
-rect 355909 9333 355921 9336
-rect 355955 9333 355967 9367
-rect 355909 9327 355967 9333
-rect 356001 9367 356059 9373
-rect 356001 9333 356013 9367
-rect 356047 9364 356059 9367
-rect 563642 9364 563648 9376
-rect 356047 9336 563648 9364
-rect 356047 9333 356059 9336
-rect 356001 9327 356059 9333
-rect 563642 9324 563648 9336
-rect 563700 9324 563706 9376
-rect 1600 9200 583316 9296
-rect 18453 9163 18511 9169
-rect 18453 9129 18465 9163
-rect 18499 9160 18511 9163
-rect 28021 9163 28079 9169
-rect 28021 9160 28033 9163
-rect 18499 9132 28033 9160
-rect 18499 9129 18511 9132
-rect 18453 9123 18511 9129
-rect 28021 9129 28033 9132
-rect 28067 9129 28079 9163
-rect 28021 9123 28079 9129
-rect 36393 9163 36451 9169
-rect 36393 9129 36405 9163
-rect 36439 9160 36451 9163
-rect 42649 9163 42707 9169
-rect 42649 9160 42661 9163
-rect 36439 9132 42661 9160
-rect 36439 9129 36451 9132
-rect 36393 9123 36451 9129
-rect 42649 9129 42661 9132
-rect 42695 9129 42707 9163
-rect 42649 9123 42707 9129
-rect 54241 9163 54299 9169
-rect 54241 9129 54253 9163
-rect 54287 9160 54299 9163
-rect 65373 9163 65431 9169
-rect 65373 9160 65385 9163
-rect 54287 9132 65385 9160
-rect 54287 9129 54299 9132
-rect 54241 9123 54299 9129
-rect 65373 9129 65385 9132
-rect 65419 9129 65431 9163
-rect 65373 9123 65431 9129
-rect 75033 9163 75091 9169
-rect 75033 9129 75045 9163
-rect 75079 9160 75091 9163
-rect 81197 9163 81255 9169
-rect 81197 9160 81209 9163
-rect 75079 9132 81209 9160
-rect 75079 9129 75091 9132
-rect 75033 9123 75091 9129
-rect 81197 9129 81209 9132
-rect 81243 9129 81255 9163
-rect 81197 9123 81255 9129
-rect 92881 9163 92939 9169
-rect 92881 9129 92893 9163
-rect 92927 9160 92939 9163
-rect 104013 9163 104071 9169
-rect 104013 9160 104025 9163
-rect 92927 9132 104025 9160
-rect 92927 9129 92939 9132
-rect 92881 9123 92939 9129
-rect 104013 9129 104025 9132
-rect 104059 9129 104071 9163
-rect 104013 9123 104071 9129
-rect 113673 9163 113731 9169
-rect 113673 9129 113685 9163
-rect 113719 9160 113731 9163
-rect 116249 9163 116307 9169
-rect 116249 9160 116261 9163
-rect 113719 9132 116261 9160
-rect 113719 9129 113731 9132
-rect 113673 9123 113731 9129
-rect 116249 9129 116261 9132
-rect 116295 9129 116307 9163
-rect 116249 9123 116307 9129
-rect 136578 9120 136584 9172
-rect 136636 9160 136642 9172
-rect 244770 9160 244776 9172
-rect 136636 9132 244776 9160
-rect 136636 9120 136642 9132
-rect 244770 9120 244776 9132
-rect 244828 9120 244834 9172
-rect 244862 9120 244868 9172
-rect 244920 9160 244926 9172
-rect 254246 9160 254252 9172
-rect 244920 9132 254252 9160
-rect 244920 9120 244926 9132
-rect 254246 9120 254252 9132
-rect 254304 9120 254310 9172
-rect 254341 9163 254399 9169
-rect 254341 9129 254353 9163
-rect 254387 9160 254399 9163
-rect 254430 9160 254436 9172
-rect 254387 9132 254436 9160
-rect 254387 9129 254399 9132
-rect 254341 9123 254399 9129
-rect 254430 9120 254436 9132
-rect 254488 9120 254494 9172
-rect 254522 9120 254528 9172
-rect 254580 9160 254586 9172
-rect 278074 9160 278080 9172
-rect 254580 9132 278080 9160
-rect 254580 9120 254586 9132
-rect 278074 9120 278080 9132
-rect 278132 9120 278138 9172
-rect 341281 9163 341339 9169
-rect 341281 9129 341293 9163
-rect 341327 9160 341339 9163
-rect 350665 9163 350723 9169
-rect 350665 9160 350677 9163
-rect 341327 9132 350677 9160
-rect 341327 9129 341339 9132
-rect 341281 9123 341339 9129
-rect 350665 9129 350677 9132
-rect 350711 9129 350723 9163
-rect 350665 9123 350723 9129
-rect 350849 9163 350907 9169
-rect 350849 9129 350861 9163
-rect 350895 9160 350907 9163
-rect 351033 9163 351091 9169
-rect 351033 9160 351045 9163
-rect 350895 9132 351045 9160
-rect 350895 9129 350907 9132
-rect 350849 9123 350907 9129
-rect 351033 9129 351045 9132
-rect 351079 9129 351091 9163
-rect 351033 9123 351091 9129
-rect 351125 9163 351183 9169
-rect 351125 9129 351137 9163
-rect 351171 9160 351183 9163
-rect 567230 9160 567236 9172
-rect 351171 9132 567236 9160
-rect 351171 9129 351183 9132
-rect 351125 9123 351183 9129
-rect 567230 9120 567236 9132
-rect 567288 9120 567294 9172
-rect 27190 9052 27196 9104
-rect 27248 9092 27254 9104
-rect 234745 9095 234803 9101
-rect 234745 9092 234757 9095
-rect 27248 9064 234757 9092
-rect 27248 9052 27254 9064
-rect 234745 9061 234757 9064
-rect 234791 9061 234803 9095
-rect 278166 9092 278172 9104
-rect 234745 9055 234803 9061
-rect 234852 9064 278172 9092
-rect 31517 9027 31575 9033
-rect 31517 8993 31529 9027
-rect 31563 9024 31575 9027
-rect 36393 9027 36451 9033
-rect 36393 9024 36405 9027
-rect 31563 8996 36405 9024
-rect 31563 8993 31575 8996
-rect 31517 8987 31575 8993
-rect 36393 8993 36405 8996
-rect 36439 8993 36451 9027
-rect 36393 8987 36451 8993
-rect 65373 9027 65431 9033
-rect 65373 8993 65385 9027
-rect 65419 9024 65431 9027
-rect 75033 9027 75091 9033
-rect 75033 9024 75045 9027
-rect 65419 8996 75045 9024
-rect 65419 8993 65431 8996
-rect 65373 8987 65431 8993
-rect 75033 8993 75045 8996
-rect 75079 8993 75091 9027
-rect 75033 8987 75091 8993
-rect 85981 9027 86039 9033
-rect 85981 8993 85993 9027
-rect 86027 8993 86039 9027
-rect 85981 8987 86039 8993
-rect 104013 9027 104071 9033
-rect 104013 8993 104025 9027
-rect 104059 9024 104071 9027
-rect 113673 9027 113731 9033
-rect 113673 9024 113685 9027
-rect 104059 8996 113685 9024
-rect 104059 8993 104071 8996
-rect 104013 8987 104071 8993
-rect 113673 8993 113685 8996
-rect 113719 8993 113731 9027
-rect 113673 8987 113731 8993
-rect 116249 9027 116307 9033
-rect 116249 8993 116261 9027
-rect 116295 9024 116307 9027
-rect 140077 9027 140135 9033
-rect 140077 9024 140089 9027
-rect 116295 8996 140089 9024
-rect 116295 8993 116307 8996
-rect 116249 8987 116307 8993
-rect 140077 8993 140089 8996
-rect 140123 8993 140135 9027
-rect 140077 8987 140135 8993
-rect 9342 8916 9348 8968
-rect 9400 8956 9406 8968
-rect 18453 8959 18511 8965
-rect 18453 8956 18465 8959
-rect 9400 8928 18465 8956
-rect 9400 8916 9406 8928
-rect 18453 8925 18465 8928
-rect 18499 8925 18511 8959
-rect 18453 8919 18511 8925
-rect 28021 8959 28079 8965
-rect 28021 8925 28033 8959
-rect 28067 8956 28079 8959
-rect 37773 8959 37831 8965
-rect 37773 8956 37785 8959
-rect 28067 8928 37785 8956
-rect 28067 8925 28079 8928
-rect 28021 8919 28079 8925
-rect 37773 8925 37785 8928
-rect 37819 8925 37831 8959
-rect 37773 8919 37831 8925
-rect 47341 8959 47399 8965
-rect 47341 8925 47353 8959
-rect 47387 8956 47399 8959
-rect 57093 8959 57151 8965
-rect 57093 8956 57105 8959
-rect 47387 8928 57105 8956
-rect 47387 8925 47399 8928
-rect 47341 8919 47399 8925
-rect 57093 8925 57105 8928
-rect 57139 8925 57151 8959
-rect 57093 8919 57151 8925
-rect 66661 8959 66719 8965
-rect 66661 8925 66673 8959
-rect 66707 8956 66719 8959
-rect 68133 8959 68191 8965
-rect 68133 8956 68145 8959
-rect 66707 8928 68145 8956
-rect 66707 8925 66719 8928
-rect 66661 8919 66719 8925
-rect 68133 8925 68145 8928
-rect 68179 8925 68191 8959
-rect 85996 8956 86024 8987
-rect 140166 8984 140172 9036
-rect 140224 9024 140230 9036
-rect 148081 9027 148139 9033
-rect 148081 9024 148093 9027
-rect 140224 8996 148093 9024
-rect 140224 8984 140230 8996
-rect 148081 8993 148093 8996
-rect 148127 8993 148139 9027
-rect 148081 8987 148139 8993
-rect 162617 9027 162675 9033
-rect 162617 8993 162629 9027
-rect 162663 9024 162675 9027
-rect 172277 9027 172335 9033
-rect 172277 9024 172289 9027
-rect 162663 8996 172289 9024
-rect 162663 8993 162675 8996
-rect 162617 8987 162675 8993
-rect 172277 8993 172289 8996
-rect 172323 8993 172335 9027
-rect 172277 8987 172335 8993
-rect 181937 9027 181995 9033
-rect 181937 8993 181949 9027
-rect 181983 9024 181995 9027
-rect 191597 9027 191655 9033
-rect 191597 9024 191609 9027
-rect 181983 8996 191609 9024
-rect 181983 8993 181995 8996
-rect 181937 8987 181995 8993
-rect 191597 8993 191609 8996
-rect 191643 8993 191655 9027
-rect 191597 8987 191655 8993
-rect 191778 8984 191784 9036
-rect 191836 9024 191842 9036
-rect 196565 9027 196623 9033
-rect 196565 9024 196577 9027
-rect 191836 8996 196577 9024
-rect 191836 8984 191842 8996
-rect 196565 8993 196577 8996
-rect 196611 8993 196623 9027
-rect 196565 8987 196623 8993
-rect 201257 9027 201315 9033
-rect 201257 8993 201269 9027
-rect 201303 9024 201315 9027
-rect 210917 9027 210975 9033
-rect 210917 9024 210929 9027
-rect 201303 8996 210929 9024
-rect 201303 8993 201315 8996
-rect 201257 8987 201315 8993
-rect 210917 8993 210929 8996
-rect 210963 8993 210975 9027
-rect 210917 8987 210975 8993
-rect 215885 9027 215943 9033
-rect 215885 8993 215897 9027
-rect 215931 9024 215943 9027
-rect 233822 9024 233828 9036
-rect 215931 8996 233828 9024
-rect 215931 8993 215943 8996
-rect 215885 8987 215943 8993
-rect 233822 8984 233828 8996
-rect 233880 8984 233886 9036
-rect 115053 8959 115111 8965
-rect 115053 8956 115065 8959
-rect 85996 8928 115065 8956
-rect 68133 8919 68191 8925
-rect 115053 8925 115065 8928
-rect 115099 8925 115111 8959
-rect 115053 8919 115111 8925
-rect 124621 8959 124679 8965
-rect 124621 8925 124633 8959
-rect 124667 8956 124679 8959
-rect 134373 8959 134431 8965
-rect 134373 8956 134385 8959
-rect 124667 8928 134385 8956
-rect 124667 8925 124679 8928
-rect 124621 8919 124679 8925
-rect 134373 8925 134385 8928
-rect 134419 8925 134431 8959
-rect 134373 8919 134431 8925
-rect 138513 8959 138571 8965
-rect 138513 8925 138525 8959
-rect 138559 8956 138571 8959
-rect 231338 8956 231344 8968
-rect 138559 8928 231344 8956
-rect 138559 8925 138571 8928
-rect 138513 8919 138571 8925
-rect 231338 8916 231344 8928
-rect 231396 8916 231402 8968
-rect 232994 8916 233000 8968
-rect 233052 8956 233058 8968
-rect 234852 8956 234880 9064
-rect 278166 9052 278172 9064
-rect 278224 9052 278230 9104
-rect 341189 9095 341247 9101
-rect 341189 9061 341201 9095
-rect 341235 9092 341247 9095
-rect 350757 9095 350815 9101
-rect 350757 9092 350769 9095
-rect 341235 9064 350769 9092
-rect 341235 9061 341247 9064
-rect 341189 9055 341247 9061
-rect 350757 9061 350769 9064
-rect 350803 9061 350815 9095
-rect 350757 9055 350815 9061
-rect 350941 9095 350999 9101
-rect 350941 9061 350953 9095
-rect 350987 9092 350999 9095
-rect 355909 9095 355967 9101
-rect 350987 9064 355860 9092
-rect 350987 9061 350999 9064
-rect 350941 9055 350999 9061
-rect 234926 8984 234932 9036
-rect 234984 9024 234990 9036
-rect 276786 9024 276792 9036
-rect 234984 8996 276792 9024
-rect 234984 8984 234990 8996
-rect 276786 8984 276792 8996
-rect 276844 8984 276850 9036
-rect 303742 8984 303748 9036
-rect 303800 9024 303806 9036
-rect 351214 9024 351220 9036
-rect 303800 8996 351220 9024
-rect 303800 8984 303806 8996
-rect 351214 8984 351220 8996
-rect 351272 8984 351278 9036
-rect 351309 9027 351367 9033
-rect 351309 8993 351321 9027
-rect 351355 9024 351367 9027
-rect 355725 9027 355783 9033
-rect 355725 9024 355737 9027
-rect 351355 8996 355737 9024
-rect 351355 8993 351367 8996
-rect 351309 8987 351367 8993
-rect 355725 8993 355737 8996
-rect 355771 8993 355783 9027
-rect 355832 9024 355860 9064
-rect 355909 9061 355921 9095
-rect 355955 9092 355967 9095
-rect 570726 9092 570732 9104
-rect 355955 9064 570732 9092
-rect 355955 9061 355967 9064
-rect 355909 9055 355967 9061
-rect 570726 9052 570732 9064
-rect 570784 9052 570790 9104
-rect 574314 9024 574320 9036
-rect 355832 8996 574320 9024
-rect 355725 8987 355783 8993
-rect 574314 8984 574320 8996
-rect 574372 8984 574378 9036
-rect 233052 8928 234880 8956
-rect 233052 8916 233058 8928
-rect 235018 8916 235024 8968
-rect 235076 8956 235082 8968
-rect 276694 8956 276700 8968
-rect 235076 8928 276700 8956
-rect 235076 8916 235082 8928
-rect 276694 8916 276700 8928
-rect 276752 8916 276758 8968
-rect 303926 8916 303932 8968
-rect 303984 8956 303990 8968
-rect 351030 8956 351036 8968
-rect 303984 8928 351036 8956
-rect 303984 8916 303990 8928
-rect 351030 8916 351036 8928
-rect 351088 8916 351094 8968
-rect 351125 8959 351183 8965
-rect 351125 8925 351137 8959
-rect 351171 8956 351183 8959
-rect 577902 8956 577908 8968
-rect 351171 8928 577908 8956
-rect 351171 8925 351183 8928
-rect 351125 8919 351183 8925
-rect 577902 8916 577908 8928
-rect 577960 8916 577966 8968
-rect 22406 8848 22412 8900
-rect 22464 8888 22470 8900
-rect 31517 8891 31575 8897
-rect 31517 8888 31529 8891
-rect 22464 8860 31529 8888
-rect 22464 8848 22470 8860
-rect 31517 8857 31529 8860
-rect 31563 8857 31575 8891
-rect 31517 8851 31575 8857
-rect 42649 8891 42707 8897
-rect 42649 8857 42661 8891
-rect 42695 8888 42707 8891
-rect 54241 8891 54299 8897
-rect 54241 8888 54253 8891
-rect 42695 8860 54253 8888
-rect 42695 8857 42707 8860
-rect 42649 8851 42707 8857
-rect 54241 8857 54253 8860
-rect 54287 8857 54299 8891
-rect 54241 8851 54299 8857
-rect 81197 8891 81255 8897
-rect 81197 8857 81209 8891
-rect 81243 8888 81255 8891
-rect 85889 8891 85947 8897
-rect 85889 8888 85901 8891
-rect 81243 8860 85901 8888
-rect 81243 8857 81255 8860
-rect 81197 8851 81255 8857
-rect 85889 8857 85901 8860
-rect 85935 8857 85947 8891
-rect 85889 8851 85947 8857
-rect 85981 8891 86039 8897
-rect 85981 8857 85993 8891
-rect 86027 8888 86039 8891
-rect 92881 8891 92939 8897
-rect 92881 8888 92893 8891
-rect 86027 8860 92893 8888
-rect 86027 8857 86039 8860
-rect 85981 8851 86039 8857
-rect 92881 8857 92893 8860
-rect 92927 8857 92939 8891
-rect 92881 8851 92939 8857
-rect 140261 8891 140319 8897
-rect 140261 8857 140273 8891
-rect 140307 8888 140319 8891
-rect 148265 8891 148323 8897
-rect 140307 8860 148216 8888
-rect 140307 8857 140319 8860
-rect 140261 8851 140319 8857
-rect 57093 8823 57151 8829
-rect 57093 8789 57105 8823
-rect 57139 8820 57151 8823
-rect 66661 8823 66719 8829
-rect 66661 8820 66673 8823
-rect 57139 8792 66673 8820
-rect 57139 8789 57151 8792
-rect 57093 8783 57151 8789
-rect 66661 8789 66673 8792
-rect 66707 8789 66719 8823
-rect 66661 8783 66719 8789
-rect 68133 8823 68191 8829
-rect 68133 8789 68145 8823
-rect 68179 8820 68191 8823
-rect 85705 8823 85763 8829
-rect 85705 8820 85717 8823
-rect 68179 8792 85717 8820
-rect 68179 8789 68191 8792
-rect 68133 8783 68191 8789
-rect 85705 8789 85717 8792
-rect 85751 8789 85763 8823
-rect 85705 8783 85763 8789
-rect 134373 8823 134431 8829
-rect 134373 8789 134385 8823
-rect 134419 8820 134431 8823
-rect 138421 8823 138479 8829
-rect 138421 8820 138433 8823
-rect 134419 8792 138433 8820
-rect 134419 8789 134431 8792
-rect 134373 8783 134431 8789
-rect 138421 8789 138433 8792
-rect 138467 8789 138479 8823
-rect 148188 8820 148216 8860
-rect 148265 8857 148277 8891
-rect 148311 8888 148323 8891
-rect 157833 8891 157891 8897
-rect 157833 8888 157845 8891
-rect 148311 8860 157845 8888
-rect 148311 8857 148323 8860
-rect 148265 8851 148323 8857
-rect 157833 8857 157845 8860
-rect 157879 8857 157891 8891
-rect 157833 8851 157891 8857
-rect 161602 8848 161608 8900
-rect 161660 8888 161666 8900
-rect 162893 8891 162951 8897
-rect 161660 8860 162844 8888
-rect 161660 8848 161666 8860
-rect 162617 8823 162675 8829
-rect 162617 8820 162629 8823
-rect 148188 8792 162629 8820
-rect 138421 8783 138479 8789
-rect 162617 8789 162629 8792
-rect 162663 8789 162675 8823
-rect 162816 8820 162844 8860
-rect 162893 8857 162905 8891
-rect 162939 8888 162951 8891
-rect 254709 8891 254767 8897
-rect 162939 8860 254568 8888
-rect 162939 8857 162951 8860
-rect 162893 8851 162951 8857
-rect 254433 8823 254491 8829
-rect 254433 8820 254445 8823
-rect 162816 8792 254445 8820
-rect 162617 8783 162675 8789
-rect 254433 8789 254445 8792
-rect 254479 8789 254491 8823
-rect 254540 8820 254568 8860
-rect 254709 8857 254721 8891
-rect 254755 8888 254767 8891
-rect 261882 8888 261888 8900
-rect 254755 8860 261888 8888
-rect 254755 8857 254767 8860
-rect 254709 8851 254767 8857
-rect 261882 8848 261888 8860
-rect 261940 8848 261946 8900
-rect 309262 8848 309268 8900
-rect 309320 8888 309326 8900
-rect 381666 8888 381672 8900
-rect 309320 8860 381672 8888
-rect 309320 8848 309326 8860
-rect 381666 8848 381672 8860
-rect 381724 8848 381730 8900
-rect 254801 8823 254859 8829
-rect 254801 8820 254813 8823
-rect 254540 8792 254813 8820
-rect 254433 8783 254491 8789
-rect 254801 8789 254813 8792
-rect 254847 8789 254859 8823
-rect 254801 8783 254859 8789
-rect 254890 8780 254896 8832
-rect 254948 8820 254954 8832
-rect 260410 8820 260416 8832
-rect 254948 8792 260416 8820
-rect 254948 8780 254954 8792
-rect 260410 8780 260416 8792
-rect 260468 8780 260474 8832
-rect 308066 8780 308072 8832
-rect 308124 8820 308130 8832
-rect 378078 8820 378084 8832
-rect 308124 8792 378084 8820
-rect 308124 8780 308130 8792
-rect 378078 8780 378084 8792
-rect 378136 8780 378142 8832
-rect 1600 8656 583316 8752
-rect 37773 8619 37831 8625
-rect 37773 8585 37785 8619
-rect 37819 8616 37831 8619
-rect 47341 8619 47399 8625
-rect 47341 8616 47353 8619
-rect 37819 8588 47353 8616
-rect 37819 8585 37831 8588
-rect 37773 8579 37831 8585
-rect 47341 8585 47353 8588
-rect 47387 8585 47399 8619
-rect 47341 8579 47399 8585
-rect 115053 8619 115111 8625
-rect 115053 8585 115065 8619
-rect 115099 8616 115111 8619
-rect 124621 8619 124679 8625
-rect 124621 8616 124633 8619
-rect 115099 8588 124633 8616
-rect 115099 8585 115111 8588
-rect 115053 8579 115111 8585
-rect 124621 8585 124633 8588
-rect 124667 8585 124679 8619
-rect 124621 8579 124679 8585
-rect 158014 8576 158020 8628
-rect 158072 8616 158078 8628
-rect 162893 8619 162951 8625
-rect 162893 8616 162905 8619
-rect 158072 8588 162905 8616
-rect 158072 8576 158078 8588
-rect 162893 8585 162905 8588
-rect 162939 8585 162951 8619
-rect 162893 8579 162951 8585
-rect 172277 8619 172335 8625
-rect 172277 8585 172289 8619
-rect 172323 8616 172335 8619
-rect 181937 8619 181995 8625
-rect 181937 8616 181949 8619
-rect 172323 8588 181949 8616
-rect 172323 8585 172335 8588
-rect 172277 8579 172335 8585
-rect 181937 8585 181949 8588
-rect 181983 8585 181995 8619
-rect 181937 8579 181995 8585
-rect 191597 8619 191655 8625
-rect 191597 8585 191609 8619
-rect 191643 8616 191655 8619
-rect 201257 8619 201315 8625
-rect 201257 8616 201269 8619
-rect 191643 8588 201269 8616
-rect 191643 8585 191655 8588
-rect 191597 8579 191655 8585
-rect 201257 8585 201269 8588
-rect 201303 8585 201315 8619
-rect 201257 8579 201315 8585
-rect 207970 8576 207976 8628
-rect 208028 8616 208034 8628
-rect 272738 8616 272744 8628
-rect 208028 8588 272744 8616
-rect 208028 8576 208034 8588
-rect 272738 8576 272744 8588
-rect 272796 8576 272802 8628
-rect 307974 8576 307980 8628
-rect 308032 8616 308038 8628
-rect 374582 8616 374588 8628
-rect 308032 8588 374588 8616
-rect 308032 8576 308038 8588
-rect 374582 8576 374588 8588
-rect 374640 8576 374646 8628
-rect 154426 8508 154432 8560
-rect 154484 8548 154490 8560
-rect 162525 8551 162583 8557
-rect 162525 8548 162537 8551
-rect 154484 8520 162537 8548
-rect 154484 8508 154490 8520
-rect 162525 8517 162537 8520
-rect 162571 8517 162583 8551
-rect 162525 8511 162583 8517
-rect 211558 8508 211564 8560
-rect 211616 8548 211622 8560
-rect 273934 8548 273940 8560
-rect 211616 8520 273940 8548
-rect 211616 8508 211622 8520
-rect 273934 8508 273940 8520
-rect 273992 8508 273998 8560
-rect 306410 8508 306416 8560
-rect 306468 8548 306474 8560
-rect 370810 8548 370816 8560
-rect 306468 8520 370816 8548
-rect 306468 8508 306474 8520
-rect 370810 8508 370816 8520
-rect 370868 8508 370874 8560
-rect 274394 8480 274400 8492
-rect 218660 8452 274400 8480
-rect 184234 8372 184240 8424
-rect 184292 8412 184298 8424
-rect 185338 8412 185344 8424
-rect 184292 8384 185344 8412
-rect 184292 8372 184298 8384
-rect 185338 8372 185344 8384
-rect 185396 8372 185402 8424
-rect 186629 8415 186687 8421
-rect 186629 8381 186641 8415
-rect 186675 8412 186687 8415
-rect 196381 8415 196439 8421
-rect 196381 8412 196393 8415
-rect 186675 8384 196393 8412
-rect 186675 8381 186687 8384
-rect 186629 8375 186687 8381
-rect 196381 8381 196393 8384
-rect 196427 8381 196439 8415
-rect 196381 8375 196439 8381
-rect 215146 8372 215152 8424
-rect 215204 8412 215210 8424
-rect 218660 8412 218688 8452
-rect 274394 8440 274400 8452
-rect 274452 8440 274458 8492
-rect 306686 8440 306692 8492
-rect 306744 8480 306750 8492
-rect 355725 8483 355783 8489
-rect 306744 8452 355676 8480
-rect 306744 8440 306750 8452
-rect 215204 8384 218688 8412
-rect 215204 8372 215210 8384
-rect 222506 8372 222512 8424
-rect 222564 8412 222570 8424
-rect 225361 8415 225419 8421
-rect 222564 8384 225312 8412
-rect 222564 8372 222570 8384
-rect 177153 8347 177211 8353
-rect 177153 8313 177165 8347
-rect 177199 8344 177211 8347
-rect 195001 8347 195059 8353
-rect 195001 8344 195013 8347
-rect 177199 8316 195013 8344
-rect 177199 8313 177211 8316
-rect 177153 8307 177211 8313
-rect 195001 8313 195013 8316
-rect 195047 8313 195059 8347
-rect 195001 8307 195059 8313
-rect 210917 8347 210975 8353
-rect 210917 8313 210929 8347
-rect 210963 8344 210975 8347
-rect 215885 8347 215943 8353
-rect 215885 8344 215897 8347
-rect 210963 8316 215897 8344
-rect 210963 8313 210975 8316
-rect 210917 8307 210975 8313
-rect 215885 8313 215897 8316
-rect 215931 8313 215943 8347
-rect 215885 8307 215943 8313
-rect 218550 8304 218556 8356
-rect 218608 8344 218614 8356
-rect 225177 8347 225235 8353
-rect 225177 8344 225189 8347
-rect 218608 8316 225189 8344
-rect 218608 8304 218614 8316
-rect 225177 8313 225189 8316
-rect 225223 8313 225235 8347
-rect 225284 8344 225312 8384
-rect 225361 8381 225373 8415
-rect 225407 8412 225419 8415
-rect 275314 8412 275320 8424
-rect 225407 8384 275320 8412
-rect 225407 8381 225419 8384
-rect 225361 8375 225419 8381
-rect 275314 8372 275320 8384
-rect 275372 8372 275378 8424
-rect 305030 8372 305036 8424
-rect 305088 8412 305094 8424
-rect 355541 8415 355599 8421
-rect 355541 8412 355553 8415
-rect 305088 8384 355553 8412
-rect 305088 8372 305094 8384
-rect 355541 8381 355553 8384
-rect 355587 8381 355599 8415
-rect 355541 8375 355599 8381
-rect 275406 8344 275412 8356
-rect 225284 8316 275412 8344
-rect 225177 8307 225235 8313
-rect 275406 8304 275412 8316
-rect 275464 8304 275470 8356
-rect 305306 8304 305312 8356
-rect 305364 8344 305370 8356
-rect 351030 8344 351036 8356
-rect 305364 8316 351036 8344
-rect 305364 8304 305370 8316
-rect 351030 8304 351036 8316
-rect 351088 8304 351094 8356
-rect 351122 8304 351128 8356
-rect 351180 8344 351186 8356
-rect 353054 8344 353060 8356
-rect 351180 8316 353060 8344
-rect 351180 8304 351186 8316
-rect 353054 8304 353060 8316
-rect 353112 8304 353118 8356
-rect 355648 8344 355676 8452
-rect 355725 8449 355737 8483
-rect 355771 8480 355783 8483
-rect 363818 8480 363824 8492
-rect 355771 8452 363824 8480
-rect 355771 8449 355783 8452
-rect 355725 8443 355783 8449
-rect 363818 8440 363824 8452
-rect 363876 8440 363882 8492
-rect 363910 8440 363916 8492
-rect 363968 8480 363974 8492
-rect 367406 8480 367412 8492
-rect 363968 8452 367412 8480
-rect 363968 8440 363974 8452
-rect 367406 8440 367412 8452
-rect 367464 8440 367470 8492
-rect 356182 8372 356188 8424
-rect 356240 8412 356246 8424
-rect 370350 8412 370356 8424
-rect 356240 8384 370356 8412
-rect 356240 8372 356246 8384
-rect 370350 8372 370356 8384
-rect 370408 8372 370414 8424
-rect 373110 8412 373116 8424
-rect 373071 8384 373116 8412
-rect 373110 8372 373116 8384
-rect 373168 8372 373174 8424
-rect 374490 8372 374496 8424
-rect 374548 8412 374554 8424
-rect 375686 8412 375692 8424
-rect 374548 8384 375692 8412
-rect 374548 8372 374554 8384
-rect 375686 8372 375692 8384
-rect 375744 8372 375750 8424
-rect 399422 8372 399428 8424
-rect 399480 8412 399486 8424
-rect 409174 8412 409180 8424
-rect 399480 8384 409180 8412
-rect 399480 8372 399486 8384
-rect 409174 8372 409180 8384
-rect 409232 8372 409238 8424
-rect 438246 8372 438252 8424
-rect 438304 8412 438310 8424
-rect 457477 8415 457535 8421
-rect 457477 8412 457489 8415
-rect 438304 8384 457489 8412
-rect 438304 8372 438310 8384
-rect 457477 8381 457489 8384
-rect 457523 8381 457535 8415
-rect 457477 8375 457535 8381
-rect 361150 8344 361156 8356
-rect 355648 8316 361156 8344
-rect 361150 8304 361156 8316
-rect 361208 8304 361214 8356
-rect 361242 8304 361248 8356
-rect 361300 8344 361306 8356
-rect 457569 8347 457627 8353
-rect 457569 8344 457581 8347
-rect 361300 8316 457581 8344
-rect 361300 8304 361306 8316
-rect 457569 8313 457581 8316
-rect 457615 8313 457627 8347
-rect 457569 8307 457627 8313
-rect 129494 8236 129500 8288
-rect 129552 8276 129558 8288
-rect 256086 8276 256092 8288
-rect 129552 8248 256092 8276
-rect 129552 8236 129558 8248
-rect 256086 8236 256092 8248
-rect 256144 8236 256150 8288
-rect 272830 8276 272836 8288
-rect 272791 8248 272836 8276
-rect 272830 8236 272836 8248
-rect 272888 8236 272894 8288
-rect 310274 8236 310280 8288
-rect 310332 8276 310338 8288
-rect 312666 8276 312672 8288
-rect 310332 8248 312672 8276
-rect 310332 8236 310338 8248
-rect 312666 8236 312672 8248
-rect 312724 8236 312730 8288
-rect 337046 8236 337052 8288
-rect 337104 8276 337110 8288
-rect 341189 8279 341247 8285
-rect 341189 8276 341201 8279
-rect 337104 8248 341201 8276
-rect 337104 8236 337110 8248
-rect 341189 8245 341201 8248
-rect 341235 8245 341247 8279
-rect 341189 8239 341247 8245
-rect 341278 8236 341284 8288
-rect 341336 8276 341342 8288
-rect 506510 8276 506516 8288
-rect 341336 8248 506516 8276
-rect 341336 8236 341342 8248
-rect 506510 8236 506516 8248
-rect 506568 8236 506574 8288
-rect 1600 8112 583316 8208
-rect 69970 8032 69976 8084
-rect 70028 8072 70034 8084
-rect 244034 8072 244040 8084
-rect 70028 8044 244040 8072
-rect 70028 8032 70034 8044
-rect 244034 8032 244040 8044
-rect 244092 8032 244098 8084
-rect 249646 8032 249652 8084
-rect 249704 8072 249710 8084
-rect 280742 8072 280748 8084
-rect 249704 8044 280748 8072
-rect 249704 8032 249710 8044
-rect 280742 8032 280748 8044
-rect 280800 8032 280806 8084
-rect 336954 8032 336960 8084
-rect 337012 8072 337018 8084
-rect 341281 8075 341339 8081
-rect 341281 8072 341293 8075
-rect 337012 8044 341293 8072
-rect 337012 8032 337018 8044
-rect 341281 8041 341293 8044
-rect 341327 8041 341339 8075
-rect 341281 8035 341339 8041
-rect 341370 8032 341376 8084
-rect 341428 8072 341434 8084
-rect 510098 8072 510104 8084
-rect 341428 8044 510104 8072
-rect 341428 8032 341434 8044
-rect 510098 8032 510104 8044
-rect 510156 8032 510162 8084
-rect 66474 7964 66480 8016
-rect 66532 8004 66538 8016
-rect 243574 8004 243580 8016
-rect 66532 7976 243580 8004
-rect 66532 7964 66538 7976
-rect 243574 7964 243580 7976
-rect 243632 7964 243638 8016
-rect 245966 7964 245972 8016
-rect 246024 8004 246030 8016
-rect 280834 8004 280840 8016
-rect 246024 7976 280840 8004
-rect 246024 7964 246030 7976
-rect 280834 7964 280840 7976
-rect 280892 7964 280898 8016
-rect 332998 7964 333004 8016
-rect 333056 8004 333062 8016
-rect 338150 8004 338156 8016
-rect 333056 7976 338156 8004
-rect 333056 7964 333062 7976
-rect 338150 7964 338156 7976
-rect 338208 7964 338214 8016
-rect 338334 7964 338340 8016
-rect 338392 8004 338398 8016
-rect 350846 8004 350852 8016
-rect 338392 7976 350852 8004
-rect 338392 7964 338398 7976
-rect 350846 7964 350852 7976
-rect 350904 7964 350910 8016
-rect 350941 8007 350999 8013
-rect 350941 7973 350953 8007
-rect 350987 8004 350999 8007
-rect 513686 8004 513692 8016
-rect 350987 7976 513692 8004
-rect 350987 7973 350999 7976
-rect 350941 7967 350999 7973
-rect 513686 7964 513692 7976
-rect 513744 7964 513750 8016
-rect 62886 7896 62892 7948
-rect 62944 7936 62950 7948
-rect 242378 7936 242384 7948
-rect 62944 7908 242384 7936
-rect 62944 7896 62950 7908
-rect 242378 7896 242384 7908
-rect 242436 7896 242442 7948
-rect 242470 7896 242476 7948
-rect 242528 7936 242534 7948
-rect 279822 7936 279828 7948
-rect 242528 7908 279828 7936
-rect 242528 7896 242534 7908
-rect 279822 7896 279828 7908
-rect 279880 7896 279886 7948
-rect 334286 7896 334292 7948
-rect 334344 7936 334350 7948
-rect 339625 7939 339683 7945
-rect 339625 7936 339637 7939
-rect 334344 7908 339637 7936
-rect 334344 7896 334350 7908
-rect 339625 7905 339637 7908
-rect 339671 7905 339683 7939
-rect 339625 7899 339683 7905
-rect 339714 7896 339720 7948
-rect 339772 7936 339778 7948
-rect 350662 7936 350668 7948
-rect 339772 7908 350668 7936
-rect 339772 7896 339778 7908
-rect 350662 7896 350668 7908
-rect 350720 7896 350726 7948
-rect 350757 7939 350815 7945
-rect 350757 7905 350769 7939
-rect 350803 7936 350815 7939
-rect 517274 7936 517280 7948
-rect 350803 7908 517280 7936
-rect 350803 7905 350815 7908
-rect 350757 7899 350815 7905
-rect 517274 7896 517280 7908
-rect 517332 7896 517338 7948
-rect 17714 7828 17720 7880
-rect 17772 7868 17778 7880
-rect 196286 7868 196292 7880
-rect 17772 7840 196292 7868
-rect 17772 7828 17778 7840
-rect 196286 7828 196292 7840
-rect 196344 7828 196350 7880
-rect 196381 7871 196439 7877
-rect 196381 7837 196393 7871
-rect 196427 7868 196439 7871
-rect 215790 7868 215796 7880
-rect 196427 7840 215796 7868
-rect 196427 7837 196439 7840
-rect 196381 7831 196439 7837
-rect 215790 7828 215796 7840
-rect 215848 7828 215854 7880
-rect 215882 7828 215888 7880
-rect 215940 7868 215946 7880
-rect 225266 7868 225272 7880
-rect 215940 7840 225272 7868
-rect 215940 7828 215946 7840
-rect 225266 7828 225272 7840
-rect 225324 7828 225330 7880
-rect 225358 7828 225364 7880
-rect 225416 7868 225422 7880
-rect 225450 7868 225456 7880
-rect 225416 7840 225456 7868
-rect 225416 7828 225422 7840
-rect 225450 7828 225456 7840
-rect 225508 7828 225514 7880
-rect 225542 7828 225548 7880
-rect 225600 7868 225606 7880
-rect 231246 7868 231252 7880
-rect 225600 7840 231252 7868
-rect 225600 7828 225606 7840
-rect 231246 7828 231252 7840
-rect 231304 7828 231310 7880
-rect 231338 7828 231344 7880
-rect 231396 7868 231402 7880
-rect 232534 7868 232540 7880
-rect 231396 7840 232540 7868
-rect 231396 7828 231402 7840
-rect 232534 7828 232540 7840
-rect 232592 7828 232598 7880
-rect 232905 7871 232963 7877
-rect 232905 7837 232917 7871
-rect 232951 7868 232963 7871
-rect 237870 7868 237876 7880
-rect 232951 7840 237876 7868
-rect 232951 7837 232963 7840
-rect 232905 7831 232963 7837
-rect 237870 7828 237876 7840
-rect 237928 7828 237934 7880
-rect 238882 7828 238888 7880
-rect 238940 7868 238946 7880
-rect 279546 7868 279552 7880
-rect 238940 7840 279552 7868
-rect 238940 7828 238946 7840
-rect 279546 7828 279552 7840
-rect 279604 7828 279610 7880
-rect 330054 7828 330060 7880
-rect 330112 7868 330118 7880
-rect 336497 7871 336555 7877
-rect 336497 7868 336509 7871
-rect 330112 7840 336509 7868
-rect 330112 7828 330118 7840
-rect 336497 7837 336509 7840
-rect 336543 7837 336555 7871
-rect 336497 7831 336555 7837
-rect 338242 7828 338248 7880
-rect 338300 7868 338306 7880
-rect 520862 7868 520868 7880
-rect 338300 7840 520868 7868
-rect 338300 7828 338306 7840
-rect 520862 7828 520868 7840
-rect 520920 7828 520926 7880
-rect 12930 7760 12936 7812
-rect 12988 7800 12994 7812
-rect 186629 7803 186687 7809
-rect 186629 7800 186641 7803
-rect 12988 7772 186641 7800
-rect 12988 7760 12994 7772
-rect 186629 7769 186641 7772
-rect 186675 7769 186687 7803
-rect 186629 7763 186687 7769
-rect 186721 7803 186779 7809
-rect 186721 7769 186733 7803
-rect 186767 7800 186779 7803
-rect 267034 7800 267040 7812
-rect 186767 7772 267040 7800
-rect 186767 7769 186779 7772
-rect 186721 7763 186779 7769
-rect 267034 7760 267040 7772
-rect 267092 7760 267098 7812
-rect 327294 7760 327300 7812
-rect 327352 7800 327358 7812
-rect 457385 7803 457443 7809
-rect 457385 7800 457397 7803
-rect 327352 7772 457397 7800
-rect 327352 7760 327358 7772
-rect 457385 7769 457397 7772
-rect 457431 7769 457443 7803
-rect 457385 7763 457443 7769
-rect 457569 7803 457627 7809
-rect 457569 7769 457581 7803
-rect 457615 7800 457627 7803
-rect 524358 7800 524364 7812
-rect 457615 7772 524364 7800
-rect 457615 7769 457627 7772
-rect 457569 7763 457627 7769
-rect 524358 7760 524364 7772
-rect 524416 7760 524422 7812
-rect 4558 7692 4564 7744
-rect 4616 7732 4622 7744
-rect 193618 7732 193624 7744
-rect 4616 7704 193624 7732
-rect 4616 7692 4622 7704
-rect 193618 7692 193624 7704
-rect 193676 7692 193682 7744
-rect 193710 7692 193716 7744
-rect 193768 7732 193774 7744
-rect 194906 7732 194912 7744
-rect 193768 7704 194912 7732
-rect 193768 7692 193774 7704
-rect 194906 7692 194912 7704
-rect 194964 7692 194970 7744
-rect 195001 7735 195059 7741
-rect 195001 7701 195013 7735
-rect 195047 7732 195059 7735
-rect 265654 7732 265660 7744
-rect 195047 7704 265660 7732
-rect 195047 7701 195059 7704
-rect 195001 7695 195059 7701
-rect 265654 7692 265660 7704
-rect 265712 7692 265718 7744
-rect 328674 7692 328680 7744
-rect 328732 7732 328738 7744
-rect 457293 7735 457351 7741
-rect 457293 7732 457305 7735
-rect 328732 7704 457305 7732
-rect 328732 7692 328738 7704
-rect 457293 7701 457305 7704
-rect 457339 7701 457351 7735
-rect 457293 7695 457351 7701
-rect 457477 7735 457535 7741
-rect 457477 7701 457489 7735
-rect 457523 7732 457535 7735
-rect 527946 7732 527952 7744
-rect 457523 7704 527952 7732
-rect 457523 7701 457535 7704
-rect 457477 7695 457535 7701
-rect 527946 7692 527952 7704
-rect 528004 7692 528010 7744
-rect 1600 7568 583316 7664
-rect 146146 7488 146152 7540
-rect 146204 7528 146210 7540
-rect 260318 7528 260324 7540
-rect 146204 7500 260324 7528
-rect 146204 7488 146210 7500
-rect 260318 7488 260324 7500
-rect 260376 7488 260382 7540
-rect 331710 7488 331716 7540
-rect 331768 7528 331774 7540
-rect 332906 7528 332912 7540
-rect 331768 7500 332912 7528
-rect 331768 7488 331774 7500
-rect 332906 7488 332912 7500
-rect 332964 7488 332970 7540
-rect 335666 7488 335672 7540
-rect 335724 7528 335730 7540
-rect 340818 7528 340824 7540
-rect 335724 7500 340824 7528
-rect 335724 7488 335730 7500
-rect 340818 7488 340824 7500
-rect 340876 7488 340882 7540
-rect 340913 7531 340971 7537
-rect 340913 7497 340925 7531
-rect 340959 7528 340971 7531
-rect 341281 7531 341339 7537
-rect 340959 7500 341140 7528
-rect 340959 7497 340971 7500
-rect 340913 7491 340971 7497
-rect 149734 7420 149740 7472
-rect 149792 7460 149798 7472
-rect 260042 7460 260048 7472
-rect 149792 7432 260048 7460
-rect 149792 7420 149798 7432
-rect 260042 7420 260048 7432
-rect 260100 7420 260106 7472
-rect 335574 7420 335580 7472
-rect 335632 7460 335638 7472
-rect 340726 7460 340732 7472
-rect 335632 7432 340732 7460
-rect 335632 7420 335638 7432
-rect 340726 7420 340732 7432
-rect 340784 7420 340790 7472
-rect 341112 7460 341140 7500
-rect 341281 7497 341293 7531
-rect 341327 7528 341339 7531
-rect 473853 7531 473911 7537
-rect 473853 7528 473865 7531
-rect 341327 7500 473865 7528
-rect 341327 7497 341339 7500
-rect 341281 7491 341339 7497
-rect 473853 7497 473865 7500
-rect 473899 7497 473911 7531
-rect 473853 7491 473911 7497
-rect 474037 7531 474095 7537
-rect 474037 7497 474049 7531
-rect 474083 7528 474095 7531
-rect 502922 7528 502928 7540
-rect 474083 7500 502928 7528
-rect 474083 7497 474095 7500
-rect 474037 7491 474095 7497
-rect 502922 7488 502928 7500
-rect 502980 7488 502986 7540
-rect 520770 7488 520776 7540
-rect 520828 7528 520834 7540
-rect 521966 7528 521972 7540
-rect 520828 7500 521972 7528
-rect 520828 7488 520834 7500
-rect 521966 7488 521972 7500
-rect 522024 7488 522030 7540
-rect 537330 7488 537336 7540
-rect 537388 7528 537394 7540
-rect 538618 7528 538624 7540
-rect 537388 7500 538624 7528
-rect 537388 7488 537394 7500
-rect 538618 7488 538624 7500
-rect 538676 7488 538682 7540
-rect 555270 7488 555276 7540
-rect 555328 7528 555334 7540
-rect 556466 7528 556472 7540
-rect 555328 7500 556472 7528
-rect 555328 7488 555334 7500
-rect 556466 7488 556472 7500
-rect 556524 7488 556530 7540
-rect 563550 7488 563556 7540
-rect 563608 7528 563614 7540
-rect 564838 7528 564844 7540
-rect 563608 7500 564844 7528
-rect 563608 7488 563614 7500
-rect 564838 7488 564844 7500
-rect 564896 7488 564902 7540
-rect 581490 7488 581496 7540
-rect 581548 7528 581554 7540
-rect 582686 7528 582692 7540
-rect 581548 7500 582692 7528
-rect 581548 7488 581554 7500
-rect 582686 7488 582692 7500
-rect 582744 7488 582750 7540
-rect 357933 7463 357991 7469
-rect 357933 7460 357945 7463
-rect 341112 7432 357945 7460
-rect 357933 7429 357945 7432
-rect 357979 7429 357991 7463
-rect 357933 7423 357991 7429
-rect 358117 7463 358175 7469
-rect 358117 7429 358129 7463
-rect 358163 7460 358175 7463
-rect 499426 7460 499432 7472
-rect 358163 7432 499432 7460
-rect 358163 7429 358175 7432
-rect 358117 7423 358175 7429
-rect 499426 7420 499432 7432
-rect 499484 7420 499490 7472
-rect 153230 7352 153236 7404
-rect 153288 7392 153294 7404
-rect 261790 7392 261796 7404
-rect 153288 7364 261796 7392
-rect 153288 7352 153294 7364
-rect 261790 7352 261796 7364
-rect 261848 7352 261854 7404
-rect 334378 7352 334384 7404
-rect 334436 7392 334442 7404
-rect 341189 7395 341247 7401
-rect 341189 7392 341201 7395
-rect 334436 7364 341201 7392
-rect 334436 7352 334442 7364
-rect 341189 7361 341201 7364
-rect 341235 7361 341247 7395
-rect 341189 7355 341247 7361
-rect 343394 7352 343400 7404
-rect 343452 7392 343458 7404
-rect 346893 7395 346951 7401
-rect 346893 7392 346905 7395
-rect 343452 7364 346905 7392
-rect 343452 7352 343458 7364
-rect 346893 7361 346905 7364
-rect 346939 7392 346951 7395
-rect 346982 7392 346988 7404
-rect 346939 7364 346988 7392
-rect 346939 7361 346951 7364
-rect 346893 7355 346951 7361
-rect 346982 7352 346988 7364
-rect 347040 7352 347046 7404
-rect 356458 7352 356464 7404
-rect 356516 7392 356522 7404
-rect 424173 7395 424231 7401
-rect 424173 7392 424185 7395
-rect 356516 7364 424185 7392
-rect 356516 7352 356522 7364
-rect 424173 7361 424185 7364
-rect 424219 7361 424231 7395
-rect 424173 7355 424231 7361
-rect 433741 7395 433799 7401
-rect 433741 7361 433753 7395
-rect 433787 7392 433799 7395
-rect 462813 7395 462871 7401
-rect 462813 7392 462825 7395
-rect 433787 7364 462825 7392
-rect 433787 7361 433799 7364
-rect 433741 7355 433799 7361
-rect 462813 7361 462825 7364
-rect 462859 7361 462871 7395
-rect 462813 7355 462871 7361
-rect 472381 7395 472439 7401
-rect 472381 7361 472393 7395
-rect 472427 7392 472439 7395
-rect 473945 7395 474003 7401
-rect 473945 7392 473957 7395
-rect 472427 7364 473957 7392
-rect 472427 7361 472439 7364
-rect 472381 7355 472439 7361
-rect 473945 7361 473957 7364
-rect 473991 7361 474003 7395
-rect 473945 7355 474003 7361
-rect 474129 7395 474187 7401
-rect 474129 7361 474141 7395
-rect 474175 7392 474187 7395
-rect 495838 7392 495844 7404
-rect 474175 7364 495844 7392
-rect 474175 7361 474187 7364
-rect 474129 7355 474187 7361
-rect 495838 7352 495844 7364
-rect 495896 7352 495902 7404
-rect 156818 7284 156824 7336
-rect 156876 7324 156882 7336
-rect 261698 7324 261704 7336
-rect 156876 7296 261704 7324
-rect 156876 7284 156882 7296
-rect 261698 7284 261704 7296
-rect 261756 7284 261762 7336
-rect 331434 7284 331440 7336
-rect 331492 7324 331498 7336
-rect 492250 7324 492256 7336
-rect 331492 7296 492256 7324
-rect 331492 7284 331498 7296
-rect 492250 7284 492256 7296
-rect 492308 7284 492314 7336
-rect 160406 7216 160412 7268
-rect 160464 7256 160470 7268
-rect 263262 7256 263268 7268
-rect 160464 7228 263268 7256
-rect 160464 7216 160470 7228
-rect 263262 7216 263268 7228
-rect 263320 7216 263326 7268
-rect 317634 7216 317640 7268
-rect 317692 7256 317698 7268
-rect 323801 7259 323859 7265
-rect 323801 7256 323813 7259
-rect 317692 7228 323813 7256
-rect 317692 7216 317698 7228
-rect 323801 7225 323813 7228
-rect 323847 7225 323859 7259
-rect 323801 7219 323859 7225
-rect 331158 7216 331164 7268
-rect 331216 7256 331222 7268
-rect 473945 7259 474003 7265
-rect 473945 7256 473957 7259
-rect 331216 7228 473957 7256
-rect 331216 7216 331222 7228
-rect 473945 7225 473957 7228
-rect 473991 7225 474003 7259
-rect 473945 7219 474003 7225
-rect 474129 7259 474187 7265
-rect 474129 7225 474141 7259
-rect 474175 7256 474187 7259
-rect 488662 7256 488668 7268
-rect 474175 7228 488668 7256
-rect 474175 7225 474187 7228
-rect 474129 7219 474187 7225
-rect 488662 7216 488668 7228
-rect 488720 7216 488726 7268
-rect 165190 7148 165196 7200
-rect 165248 7188 165254 7200
-rect 264274 7188 264280 7200
-rect 165248 7160 264280 7188
-rect 165248 7148 165254 7160
-rect 264274 7148 264280 7160
-rect 264332 7148 264338 7200
-rect 320302 7148 320308 7200
-rect 320360 7188 320366 7200
-rect 322145 7191 322203 7197
-rect 322145 7188 322157 7191
-rect 320360 7160 322157 7188
-rect 320360 7148 320366 7160
-rect 322145 7157 322157 7160
-rect 322191 7157 322203 7191
-rect 322145 7151 322203 7157
-rect 330146 7148 330152 7200
-rect 330204 7188 330210 7200
-rect 473850 7188 473856 7200
-rect 330204 7160 473856 7188
-rect 330204 7148 330210 7160
-rect 473850 7148 473856 7160
-rect 473908 7148 473914 7200
-rect 474218 7148 474224 7200
-rect 474276 7188 474282 7200
-rect 485074 7188 485080 7200
-rect 474276 7160 485080 7188
-rect 474276 7148 474282 7160
-rect 485074 7148 485080 7160
-rect 485132 7148 485138 7200
-rect 486270 7148 486276 7200
-rect 486328 7188 486334 7200
-rect 487466 7188 487472 7200
-rect 486328 7160 487472 7188
-rect 486328 7148 486334 7160
-rect 487466 7148 487472 7160
-rect 487524 7148 487530 7200
-rect 569070 7148 569076 7200
-rect 569128 7188 569134 7200
-rect 578638 7188 578644 7200
-rect 569128 7160 578644 7188
-rect 569128 7148 569134 7160
-rect 578638 7148 578644 7160
-rect 578696 7148 578702 7200
-rect 1600 7024 583316 7120
-rect 168686 6944 168692 6996
-rect 168744 6984 168750 6996
-rect 264182 6984 264188 6996
-rect 168744 6956 264188 6984
-rect 168744 6944 168750 6956
-rect 264182 6944 264188 6956
-rect 264240 6944 264246 6996
-rect 322145 6987 322203 6993
-rect 322145 6953 322157 6987
-rect 322191 6984 322203 6987
-rect 331710 6984 331716 6996
-rect 322191 6956 331716 6984
-rect 322191 6953 322203 6956
-rect 322145 6947 322203 6953
-rect 331710 6944 331716 6956
-rect 331768 6944 331774 6996
-rect 336497 6987 336555 6993
-rect 336497 6953 336509 6987
-rect 336543 6984 336555 6987
-rect 480382 6984 480388 6996
-rect 336543 6956 480388 6984
-rect 336543 6953 336555 6956
-rect 336497 6947 336555 6953
-rect 480382 6944 480388 6956
-rect 480440 6944 480446 6996
-rect 480842 6944 480848 6996
-rect 480900 6984 480906 6996
-rect 483510 6984 483516 6996
-rect 480900 6956 483516 6984
-rect 480900 6944 480906 6956
-rect 483510 6944 483516 6956
-rect 483568 6944 483574 6996
-rect 558030 6944 558036 6996
-rect 558088 6984 558094 6996
-rect 567598 6984 567604 6996
-rect 558088 6956 567604 6984
-rect 558088 6944 558094 6956
-rect 567598 6944 567604 6956
-rect 567656 6944 567662 6996
-rect 172274 6876 172280 6928
-rect 172332 6916 172338 6928
-rect 265746 6916 265752 6928
-rect 172332 6888 265752 6916
-rect 172332 6876 172338 6888
-rect 265746 6876 265752 6888
-rect 265804 6876 265810 6928
-rect 278442 6876 278448 6928
-rect 278500 6916 278506 6928
-rect 278718 6916 278724 6928
-rect 278500 6888 278724 6916
-rect 278500 6876 278506 6888
-rect 278718 6876 278724 6888
-rect 278776 6876 278782 6928
-rect 321777 6919 321835 6925
-rect 321777 6885 321789 6919
-rect 321823 6916 321835 6919
-rect 322234 6916 322240 6928
-rect 321823 6888 322240 6916
-rect 321823 6885 321835 6888
-rect 321777 6879 321835 6885
-rect 322234 6876 322240 6888
-rect 322292 6876 322298 6928
-rect 328582 6876 328588 6928
-rect 328640 6916 328646 6928
-rect 476794 6916 476800 6928
-rect 328640 6888 476800 6916
-rect 328640 6876 328646 6888
-rect 476794 6876 476800 6888
-rect 476852 6876 476858 6928
-rect 178254 6808 178260 6860
-rect 178312 6848 178318 6860
-rect 266942 6848 266948 6860
-rect 178312 6820 266948 6848
-rect 178312 6808 178318 6820
-rect 266942 6808 266948 6820
-rect 267000 6808 267006 6860
-rect 313494 6808 313500 6860
-rect 313552 6848 313558 6860
-rect 405402 6848 405408 6860
-rect 313552 6820 405408 6848
-rect 313552 6808 313558 6820
-rect 405402 6808 405408 6820
-rect 405460 6808 405466 6860
-rect 424173 6851 424231 6857
-rect 424173 6817 424185 6851
-rect 424219 6848 424231 6851
-rect 433741 6851 433799 6857
-rect 433741 6848 433753 6851
-rect 424219 6820 433753 6848
-rect 424219 6817 424231 6820
-rect 424173 6811 424231 6817
-rect 433741 6817 433753 6820
-rect 433787 6817 433799 6851
-rect 433741 6811 433799 6817
-rect 457385 6851 457443 6857
-rect 457385 6817 457397 6851
-rect 457431 6848 457443 6851
-rect 469618 6848 469624 6860
-rect 457431 6820 469624 6848
-rect 457431 6817 457443 6820
-rect 457385 6811 457443 6817
-rect 469618 6808 469624 6820
-rect 469676 6808 469682 6860
-rect 174666 6740 174672 6792
-rect 174724 6780 174730 6792
-rect 266022 6780 266028 6792
-rect 174724 6752 266028 6780
-rect 174724 6740 174730 6752
-rect 266022 6740 266028 6752
-rect 266080 6740 266086 6792
-rect 314966 6740 314972 6792
-rect 315024 6780 315030 6792
-rect 408990 6780 408996 6792
-rect 315024 6752 408996 6780
-rect 315024 6740 315030 6752
-rect 408990 6740 408996 6752
-rect 409048 6740 409054 6792
-rect 424078 6740 424084 6792
-rect 424136 6780 424142 6792
-rect 428954 6780 428960 6792
-rect 424136 6752 428960 6780
-rect 424136 6740 424142 6752
-rect 428954 6740 428960 6752
-rect 429012 6740 429018 6792
-rect 457293 6783 457351 6789
-rect 457293 6749 457305 6783
-rect 457339 6780 457351 6783
-rect 473206 6780 473212 6792
-rect 457339 6752 473212 6780
-rect 457339 6749 457351 6752
-rect 457293 6743 457351 6749
-rect 473206 6740 473212 6752
-rect 473264 6740 473270 6792
-rect 171078 6672 171084 6724
-rect 171136 6712 171142 6724
-rect 265562 6712 265568 6724
-rect 171136 6684 265568 6712
-rect 171136 6672 171142 6684
-rect 265562 6672 265568 6684
-rect 265620 6672 265626 6724
-rect 316346 6672 316352 6724
-rect 316404 6712 316410 6724
-rect 412578 6712 412584 6724
-rect 316404 6684 412584 6712
-rect 316404 6672 316410 6684
-rect 412578 6672 412584 6684
-rect 412636 6672 412642 6724
-rect 453242 6672 453248 6724
-rect 453300 6712 453306 6724
-rect 462718 6712 462724 6724
-rect 453300 6684 462724 6712
-rect 453300 6672 453306 6684
-rect 462718 6672 462724 6684
-rect 462776 6672 462782 6724
-rect 462813 6715 462871 6721
-rect 462813 6681 462825 6715
-rect 462859 6712 462871 6715
-rect 472381 6715 472439 6721
-rect 472381 6712 472393 6715
-rect 462859 6684 472393 6712
-rect 462859 6681 462871 6684
-rect 462813 6675 462871 6681
-rect 472381 6681 472393 6684
-rect 472427 6681 472439 6715
-rect 472381 6675 472439 6681
-rect 167582 6604 167588 6656
-rect 167640 6644 167646 6656
-rect 264550 6644 264556 6656
-rect 167640 6616 264556 6644
-rect 167640 6604 167646 6616
-rect 264550 6604 264556 6616
-rect 264608 6604 264614 6656
-rect 316254 6604 316260 6656
-rect 316312 6644 316318 6656
-rect 416166 6644 416172 6656
-rect 316312 6616 416172 6644
-rect 316312 6604 316318 6616
-rect 416166 6604 416172 6616
-rect 416224 6604 416230 6656
-rect 1600 6480 583316 6576
-rect 163994 6400 164000 6452
-rect 164052 6440 164058 6452
-rect 263170 6440 263176 6452
-rect 164052 6412 263176 6440
-rect 164052 6400 164058 6412
-rect 263170 6400 263176 6412
-rect 263228 6400 263234 6452
-rect 313586 6400 313592 6452
-rect 313644 6440 313650 6452
-rect 313644 6412 317312 6440
-rect 313644 6400 313650 6412
-rect 131886 6332 131892 6384
-rect 131944 6372 131950 6384
-rect 257374 6372 257380 6384
-rect 131944 6344 257380 6372
-rect 131944 6332 131950 6344
-rect 257374 6332 257380 6344
-rect 257432 6332 257438 6384
-rect 259033 6375 259091 6381
-rect 259033 6341 259045 6375
-rect 259079 6372 259091 6375
-rect 270070 6372 270076 6384
-rect 259079 6344 270076 6372
-rect 259079 6341 259091 6344
-rect 259033 6335 259091 6341
-rect 270070 6332 270076 6344
-rect 270128 6332 270134 6384
-rect 310734 6332 310740 6384
-rect 310792 6372 310798 6384
-rect 317177 6375 317235 6381
-rect 317177 6372 317189 6375
-rect 310792 6344 317189 6372
-rect 310792 6332 310798 6344
-rect 317177 6341 317189 6344
-rect 317223 6341 317235 6375
-rect 317284 6372 317312 6412
-rect 317726 6400 317732 6452
-rect 317784 6440 317790 6452
-rect 321869 6443 321927 6449
-rect 321869 6440 321881 6443
-rect 317784 6412 321881 6440
-rect 317784 6400 317790 6412
-rect 321869 6409 321881 6412
-rect 321915 6409 321927 6443
-rect 321869 6403 321927 6409
-rect 323801 6443 323859 6449
-rect 323801 6409 323813 6443
-rect 323847 6440 323859 6443
-rect 419662 6440 419668 6452
-rect 323847 6412 419668 6440
-rect 323847 6409 323859 6412
-rect 323801 6403 323859 6409
-rect 419662 6400 419668 6412
-rect 419720 6400 419726 6452
-rect 318830 6372 318836 6384
-rect 317284 6344 318836 6372
-rect 317177 6335 317235 6341
-rect 318830 6332 318836 6344
-rect 318888 6332 318894 6384
-rect 322053 6375 322111 6381
-rect 322053 6341 322065 6375
-rect 322099 6372 322111 6375
-rect 357933 6375 357991 6381
-rect 357933 6372 357945 6375
-rect 322099 6344 357945 6372
-rect 322099 6341 322111 6344
-rect 322053 6335 322111 6341
-rect 357933 6341 357945 6344
-rect 357979 6341 357991 6375
-rect 357933 6335 357991 6341
-rect 358117 6375 358175 6381
-rect 358117 6341 358129 6375
-rect 358163 6372 358175 6375
-rect 423250 6372 423256 6384
-rect 358163 6344 423256 6372
-rect 358163 6341 358175 6344
-rect 358117 6335 358175 6341
-rect 423250 6332 423256 6344
-rect 423308 6332 423314 6384
-rect 59298 6264 59304 6316
-rect 59356 6304 59362 6316
-rect 215790 6304 215796 6316
-rect 59356 6276 215796 6304
-rect 59356 6264 59362 6276
-rect 215790 6264 215796 6276
-rect 215848 6264 215854 6316
-rect 215885 6307 215943 6313
-rect 215885 6273 215897 6307
-rect 215931 6304 215943 6307
-rect 235110 6304 235116 6316
-rect 215931 6276 235116 6304
-rect 215931 6273 215943 6276
-rect 215885 6267 215943 6273
-rect 235110 6264 235116 6276
-rect 235168 6264 235174 6316
-rect 235205 6307 235263 6313
-rect 235205 6273 235217 6307
-rect 235251 6304 235263 6307
-rect 245049 6307 245107 6313
-rect 245049 6304 245061 6307
-rect 235251 6276 245061 6304
-rect 235251 6273 235263 6276
-rect 235205 6267 235263 6273
-rect 245049 6273 245061 6276
-rect 245095 6273 245107 6307
-rect 245049 6267 245107 6273
-rect 254157 6307 254215 6313
-rect 254157 6273 254169 6307
-rect 254203 6304 254215 6307
-rect 263998 6304 264004 6316
-rect 254203 6276 264004 6304
-rect 254203 6273 254215 6276
-rect 254157 6267 254215 6273
-rect 263998 6264 264004 6276
-rect 264056 6264 264062 6316
-rect 310826 6264 310832 6316
-rect 310884 6304 310890 6316
-rect 314601 6307 314659 6313
-rect 314601 6304 314613 6307
-rect 310884 6276 314613 6304
-rect 310884 6264 310890 6276
-rect 314601 6273 314613 6276
-rect 314647 6273 314659 6307
-rect 314601 6267 314659 6273
-rect 319014 6264 319020 6316
-rect 319072 6304 319078 6316
-rect 319072 6276 321912 6304
-rect 319072 6264 319078 6276
-rect 55710 6196 55716 6248
-rect 55768 6236 55774 6248
-rect 225174 6236 225180 6248
-rect 55768 6208 225180 6236
-rect 55768 6196 55774 6208
-rect 225174 6196 225180 6208
-rect 225232 6196 225238 6248
-rect 225266 6196 225272 6248
-rect 225324 6236 225330 6248
-rect 272646 6236 272652 6248
-rect 225324 6208 272652 6236
-rect 225324 6196 225330 6208
-rect 272646 6196 272652 6208
-rect 272704 6196 272710 6248
-rect 302454 6196 302460 6248
-rect 302512 6236 302518 6248
-rect 321777 6239 321835 6245
-rect 321777 6236 321789 6239
-rect 302512 6208 321789 6236
-rect 302512 6196 302518 6208
-rect 321777 6205 321789 6208
-rect 321823 6205 321835 6239
-rect 321884 6236 321912 6276
-rect 328674 6264 328680 6316
-rect 328732 6304 328738 6316
-rect 328861 6307 328919 6313
-rect 328732 6276 328777 6304
-rect 328732 6264 328738 6276
-rect 328861 6273 328873 6307
-rect 328907 6304 328919 6307
-rect 337230 6304 337236 6316
-rect 328907 6276 337236 6304
-rect 328907 6273 328919 6276
-rect 328861 6267 328919 6273
-rect 337230 6264 337236 6276
-rect 337288 6264 337294 6316
-rect 338794 6264 338800 6316
-rect 338852 6304 338858 6316
-rect 346893 6307 346951 6313
-rect 346893 6304 346905 6307
-rect 338852 6276 346905 6304
-rect 338852 6264 338858 6276
-rect 346893 6273 346905 6276
-rect 346939 6304 346951 6307
-rect 346982 6304 346988 6316
-rect 346939 6276 346988 6304
-rect 346939 6273 346951 6276
-rect 346893 6267 346951 6273
-rect 346982 6264 346988 6276
-rect 347040 6264 347046 6316
-rect 356458 6264 356464 6316
-rect 356516 6304 356522 6316
-rect 424173 6307 424231 6313
-rect 424173 6304 424185 6307
-rect 356516 6276 424185 6304
-rect 356516 6264 356522 6276
-rect 424173 6273 424185 6276
-rect 424219 6273 424231 6307
-rect 424173 6267 424231 6273
-rect 430426 6236 430432 6248
-rect 321884 6208 430432 6236
-rect 321777 6199 321835 6205
-rect 430426 6196 430432 6208
-rect 430484 6196 430490 6248
-rect 52122 6128 52128 6180
-rect 52180 6168 52186 6180
-rect 215793 6171 215851 6177
-rect 215793 6168 215805 6171
-rect 52180 6140 215805 6168
-rect 52180 6128 52186 6140
-rect 215793 6137 215805 6140
-rect 215839 6137 215851 6171
-rect 215793 6131 215851 6137
-rect 215882 6128 215888 6180
-rect 215940 6168 215946 6180
-rect 272833 6171 272891 6177
-rect 272833 6168 272845 6171
-rect 215940 6140 272845 6168
-rect 215940 6128 215946 6140
-rect 272833 6137 272845 6140
-rect 272879 6137 272891 6171
-rect 272833 6131 272891 6137
-rect 307790 6128 307796 6180
-rect 307848 6168 307854 6180
-rect 370350 6168 370356 6180
-rect 307848 6140 370356 6168
-rect 307848 6128 307854 6140
-rect 370350 6128 370356 6140
-rect 370408 6128 370414 6180
-rect 370442 6128 370448 6180
-rect 370500 6168 370506 6180
-rect 434014 6168 434020 6180
-rect 370500 6140 434020 6168
-rect 370500 6128 370506 6140
-rect 434014 6128 434020 6140
-rect 434072 6128 434078 6180
-rect 181842 6060 181848 6112
-rect 181900 6100 181906 6112
-rect 267310 6100 267316 6112
-rect 181900 6072 267316 6100
-rect 181900 6060 181906 6072
-rect 267310 6060 267316 6072
-rect 267368 6060 267374 6112
-rect 309354 6060 309360 6112
-rect 309412 6100 309418 6112
-rect 312301 6103 312359 6109
-rect 312301 6100 312313 6103
-rect 309412 6072 312313 6100
-rect 309412 6060 309418 6072
-rect 312301 6069 312313 6072
-rect 312347 6069 312359 6103
-rect 312301 6063 312359 6069
-rect 321958 6060 321964 6112
-rect 322016 6100 322022 6112
-rect 401814 6100 401820 6112
-rect 322016 6072 401820 6100
-rect 322016 6060 322022 6072
-rect 401814 6060 401820 6072
-rect 401872 6060 401878 6112
-rect 424173 6103 424231 6109
-rect 424173 6069 424185 6103
-rect 424219 6100 424231 6103
-rect 426838 6100 426844 6112
-rect 424219 6072 426844 6100
-rect 424219 6069 424231 6072
-rect 424173 6063 424231 6069
-rect 426838 6060 426844 6072
-rect 426896 6060 426902 6112
-rect 1600 5936 583316 6032
-rect 175862 5856 175868 5908
-rect 175920 5896 175926 5908
-rect 177153 5899 177211 5905
-rect 177153 5896 177165 5899
-rect 175920 5868 177165 5896
-rect 175920 5856 175926 5868
-rect 177153 5865 177165 5868
-rect 177199 5865 177211 5899
-rect 177153 5859 177211 5865
-rect 185338 5856 185344 5908
-rect 185396 5896 185402 5908
-rect 268414 5896 268420 5908
-rect 185396 5868 268420 5896
-rect 185396 5856 185402 5868
-rect 268414 5856 268420 5868
-rect 268472 5856 268478 5908
-rect 312114 5856 312120 5908
-rect 312172 5856 312178 5908
-rect 312206 5856 312212 5908
-rect 312264 5896 312270 5908
-rect 398318 5896 398324 5908
-rect 312264 5868 398324 5896
-rect 312264 5856 312270 5868
-rect 398318 5856 398324 5868
-rect 398376 5856 398382 5908
-rect 179450 5788 179456 5840
-rect 179508 5828 179514 5840
-rect 186721 5831 186779 5837
-rect 186721 5828 186733 5831
-rect 179508 5800 186733 5828
-rect 179508 5788 179514 5800
-rect 186721 5797 186733 5800
-rect 186767 5797 186779 5831
-rect 186721 5791 186779 5797
-rect 188926 5788 188932 5840
-rect 188984 5828 188990 5840
-rect 268322 5828 268328 5840
-rect 188984 5800 268328 5828
-rect 188984 5788 188990 5800
-rect 268322 5788 268328 5800
-rect 268380 5788 268386 5840
-rect 312132 5828 312160 5856
-rect 394730 5828 394736 5840
-rect 312132 5800 394736 5828
-rect 394730 5788 394736 5800
-rect 394788 5788 394794 5840
-rect 192514 5720 192520 5772
-rect 192572 5760 192578 5772
-rect 269886 5760 269892 5772
-rect 192572 5732 269892 5760
-rect 192572 5720 192578 5732
-rect 269886 5720 269892 5732
-rect 269944 5720 269950 5772
-rect 312209 5763 312267 5769
-rect 312209 5729 312221 5763
-rect 312255 5760 312267 5763
-rect 312669 5763 312727 5769
-rect 312669 5760 312681 5763
-rect 312255 5732 312681 5760
-rect 312255 5729 312267 5732
-rect 312209 5723 312267 5729
-rect 312669 5729 312681 5732
-rect 312715 5729 312727 5763
-rect 312669 5723 312727 5729
-rect 317177 5763 317235 5769
-rect 317177 5729 317189 5763
-rect 317223 5760 317235 5763
-rect 391142 5760 391148 5772
-rect 317223 5732 391148 5760
-rect 317223 5729 317235 5732
-rect 317177 5723 317235 5729
-rect 391142 5720 391148 5732
-rect 391200 5720 391206 5772
-rect 196105 5695 196163 5701
-rect 196105 5661 196117 5695
-rect 196151 5692 196163 5695
-rect 269794 5692 269800 5704
-rect 196151 5664 269800 5692
-rect 196151 5661 196163 5664
-rect 196105 5655 196163 5661
-rect 269794 5652 269800 5664
-rect 269852 5652 269858 5704
-rect 312117 5695 312175 5701
-rect 312117 5661 312129 5695
-rect 312163 5692 312175 5695
-rect 312485 5695 312543 5701
-rect 312485 5692 312497 5695
-rect 312163 5664 312497 5692
-rect 312163 5661 312175 5664
-rect 312117 5655 312175 5661
-rect 312485 5661 312497 5664
-rect 312531 5661 312543 5695
-rect 312485 5655 312543 5661
-rect 314601 5695 314659 5701
-rect 314601 5661 314613 5695
-rect 314647 5692 314659 5695
-rect 387554 5692 387560 5704
-rect 314647 5664 387560 5692
-rect 314647 5661 314659 5664
-rect 314601 5655 314659 5661
-rect 387554 5652 387560 5664
-rect 387612 5652 387618 5704
-rect 199690 5584 199696 5636
-rect 199748 5624 199754 5636
-rect 271266 5624 271272 5636
-rect 199748 5596 271272 5624
-rect 199748 5584 199754 5596
-rect 271266 5584 271272 5596
-rect 271324 5584 271330 5636
-rect 302549 5627 302607 5633
-rect 302549 5593 302561 5627
-rect 302595 5624 302607 5627
-rect 312022 5624 312028 5636
-rect 302595 5596 312028 5624
-rect 302595 5593 302607 5596
-rect 302549 5587 302607 5593
-rect 312022 5584 312028 5596
-rect 312080 5584 312086 5636
-rect 370721 5627 370779 5633
-rect 370721 5624 370733 5627
-rect 312224 5596 370733 5624
-rect 203186 5516 203192 5568
-rect 203244 5556 203250 5568
-rect 271174 5556 271180 5568
-rect 203244 5528 271180 5556
-rect 203244 5516 203250 5528
-rect 271174 5516 271180 5528
-rect 271232 5516 271238 5568
-rect 309446 5516 309452 5568
-rect 309504 5556 309510 5568
-rect 312224 5556 312252 5596
-rect 370721 5593 370733 5596
-rect 370767 5593 370779 5627
-rect 370721 5587 370779 5593
-rect 370994 5584 371000 5636
-rect 371052 5624 371058 5636
-rect 515161 5627 515219 5633
-rect 515161 5624 515173 5627
-rect 371052 5596 515173 5624
-rect 371052 5584 371058 5596
-rect 515161 5593 515173 5596
-rect 515207 5593 515219 5627
-rect 515161 5587 515219 5593
-rect 309504 5528 312252 5556
-rect 312301 5559 312359 5565
-rect 309504 5516 309510 5528
-rect 312301 5525 312313 5559
-rect 312347 5556 312359 5559
-rect 370813 5559 370871 5565
-rect 370813 5556 370825 5559
-rect 312347 5528 370825 5556
-rect 312347 5525 312359 5528
-rect 312301 5519 312359 5525
-rect 370813 5525 370825 5528
-rect 370859 5525 370871 5559
-rect 370813 5519 370871 5525
-rect 370902 5516 370908 5568
-rect 370960 5556 370966 5568
-rect 505869 5559 505927 5565
-rect 505869 5556 505881 5559
-rect 370960 5528 505881 5556
-rect 370960 5516 370966 5528
-rect 505869 5525 505881 5528
-rect 505915 5525 505927 5559
-rect 505869 5519 505927 5525
-rect 1600 5392 583316 5488
-rect 191318 5312 191324 5364
-rect 191376 5352 191382 5364
-rect 264185 5355 264243 5361
-rect 264185 5352 264197 5355
-rect 191376 5324 264197 5352
-rect 191376 5312 191382 5324
-rect 264185 5321 264197 5324
-rect 264231 5321 264243 5355
-rect 264185 5315 264243 5321
-rect 264277 5355 264335 5361
-rect 264277 5321 264289 5355
-rect 264323 5352 264335 5355
-rect 283594 5352 283600 5364
-rect 264323 5324 283600 5352
-rect 264323 5321 264335 5324
-rect 264277 5315 264335 5321
-rect 283594 5312 283600 5324
-rect 283652 5312 283658 5364
-rect 301074 5312 301080 5364
-rect 301132 5352 301138 5364
-rect 312209 5355 312267 5361
-rect 312209 5352 312221 5355
-rect 301132 5324 312221 5352
-rect 301132 5312 301138 5324
-rect 312209 5321 312221 5324
-rect 312255 5321 312267 5355
-rect 312209 5315 312267 5321
-rect 312301 5355 312359 5361
-rect 312301 5321 312313 5355
-rect 312347 5352 312359 5355
-rect 317269 5355 317327 5361
-rect 312347 5324 317220 5352
-rect 312347 5321 312359 5324
-rect 312301 5315 312359 5321
-rect 134278 5244 134284 5296
-rect 134336 5284 134342 5296
-rect 244770 5284 244776 5296
-rect 134336 5256 244776 5284
-rect 134336 5244 134342 5256
-rect 244770 5244 244776 5256
-rect 244828 5244 244834 5296
-rect 245049 5287 245107 5293
-rect 245049 5253 245061 5287
-rect 245095 5284 245107 5287
-rect 254157 5287 254215 5293
-rect 254157 5284 254169 5287
-rect 245095 5256 254169 5284
-rect 245095 5253 245107 5256
-rect 245049 5247 245107 5253
-rect 254157 5253 254169 5256
-rect 254203 5253 254215 5287
-rect 259217 5287 259275 5293
-rect 259217 5284 259229 5287
-rect 254157 5247 254215 5253
-rect 254264 5256 259229 5284
-rect 130690 5176 130696 5228
-rect 130748 5216 130754 5228
-rect 244862 5216 244868 5228
-rect 130748 5188 244868 5216
-rect 130748 5176 130754 5188
-rect 244862 5176 244868 5188
-rect 244920 5176 244926 5228
-rect 244954 5176 244960 5228
-rect 245012 5216 245018 5228
-rect 254264 5216 254292 5256
-rect 259217 5253 259229 5256
-rect 259263 5253 259275 5287
-rect 263909 5287 263967 5293
-rect 263909 5284 263921 5287
-rect 259217 5247 259275 5253
-rect 259324 5256 263921 5284
-rect 245012 5188 254292 5216
-rect 254341 5219 254399 5225
-rect 245012 5176 245018 5188
-rect 254341 5185 254353 5219
-rect 254387 5216 254399 5219
-rect 259324 5216 259352 5256
-rect 263909 5253 263921 5256
-rect 263955 5253 263967 5287
-rect 263909 5247 263967 5253
-rect 263998 5244 264004 5296
-rect 264056 5284 264062 5296
-rect 278258 5284 278264 5296
-rect 264056 5256 278264 5284
-rect 264056 5244 264062 5256
-rect 278258 5244 278264 5256
-rect 278316 5244 278322 5296
-rect 299786 5244 299792 5296
-rect 299844 5284 299850 5296
-rect 302549 5287 302607 5293
-rect 302549 5284 302561 5287
-rect 299844 5256 302561 5284
-rect 299844 5244 299850 5256
-rect 302549 5253 302561 5256
-rect 302595 5253 302607 5287
-rect 302549 5247 302607 5253
-rect 302641 5287 302699 5293
-rect 302641 5253 302653 5287
-rect 302687 5284 302699 5287
-rect 312574 5284 312580 5296
-rect 302687 5256 312580 5284
-rect 302687 5253 302699 5256
-rect 302641 5247 302699 5253
-rect 312574 5244 312580 5256
-rect 312632 5244 312638 5296
-rect 312669 5287 312727 5293
-rect 312669 5253 312681 5287
-rect 312715 5284 312727 5287
-rect 317085 5287 317143 5293
-rect 317085 5284 317097 5287
-rect 312715 5256 317097 5284
-rect 312715 5253 312727 5256
-rect 312669 5247 312727 5253
-rect 317085 5253 317097 5256
-rect 317131 5253 317143 5287
-rect 317192 5284 317220 5324
-rect 317269 5321 317281 5355
-rect 317315 5352 317327 5355
-rect 341186 5352 341192 5364
-rect 317315 5324 341192 5352
-rect 317315 5321 317327 5324
-rect 317269 5315 317327 5321
-rect 341186 5312 341192 5324
-rect 341244 5312 341250 5364
-rect 341373 5355 341431 5361
-rect 341373 5321 341385 5355
-rect 341419 5352 341431 5355
-rect 346341 5355 346399 5361
-rect 346341 5352 346353 5355
-rect 341419 5324 346353 5352
-rect 341419 5321 341431 5324
-rect 341373 5315 341431 5321
-rect 346341 5321 346353 5324
-rect 346387 5321 346399 5355
-rect 346341 5315 346399 5321
-rect 346433 5355 346491 5361
-rect 346433 5321 346445 5355
-rect 346479 5352 346491 5355
-rect 548186 5352 548192 5364
-rect 346479 5324 548192 5352
-rect 346479 5321 346491 5324
-rect 346433 5315 346491 5321
-rect 548186 5312 548192 5324
-rect 548244 5312 548250 5364
-rect 332449 5287 332507 5293
-rect 332449 5284 332461 5287
-rect 317192 5256 332461 5284
-rect 317085 5247 317143 5253
-rect 332449 5253 332461 5256
-rect 332495 5253 332507 5287
-rect 332449 5247 332507 5253
-rect 338058 5244 338064 5296
-rect 338116 5284 338122 5296
-rect 341281 5287 341339 5293
-rect 341281 5284 341293 5287
-rect 338116 5256 341293 5284
-rect 338116 5244 338122 5256
-rect 341281 5253 341293 5256
-rect 341327 5253 341339 5287
-rect 341281 5247 341339 5253
-rect 343578 5244 343584 5296
-rect 343636 5284 343642 5296
-rect 345329 5287 345387 5293
-rect 345329 5284 345341 5287
-rect 343636 5256 345341 5284
-rect 343636 5244 343642 5256
-rect 345329 5253 345341 5256
-rect 345375 5253 345387 5287
-rect 345329 5247 345387 5253
-rect 345418 5244 345424 5296
-rect 345476 5284 345482 5296
-rect 346065 5287 346123 5293
-rect 346065 5284 346077 5287
-rect 345476 5256 346077 5284
-rect 345476 5244 345482 5256
-rect 346065 5253 346077 5256
-rect 346111 5253 346123 5287
-rect 551682 5284 551688 5296
-rect 346065 5247 346123 5253
-rect 346172 5256 551688 5284
-rect 254387 5188 259352 5216
-rect 259401 5219 259459 5225
-rect 254387 5185 254399 5188
-rect 254341 5179 254399 5185
-rect 259401 5185 259413 5219
-rect 259447 5216 259459 5219
-rect 278718 5216 278724 5228
-rect 259447 5188 278724 5216
-rect 259447 5185 259459 5188
-rect 259401 5179 259459 5185
-rect 278718 5176 278724 5188
-rect 278776 5176 278782 5228
-rect 296750 5176 296756 5228
-rect 296808 5216 296814 5228
-rect 312393 5219 312451 5225
-rect 312393 5216 312405 5219
-rect 296808 5188 312405 5216
-rect 296808 5176 296814 5188
-rect 312393 5185 312405 5188
-rect 312439 5185 312451 5219
-rect 312393 5179 312451 5185
-rect 312485 5219 312543 5225
-rect 312485 5185 312497 5219
-rect 312531 5216 312543 5219
-rect 345970 5216 345976 5228
-rect 312531 5188 345976 5216
-rect 312531 5185 312543 5188
-rect 312485 5179 312543 5185
-rect 345970 5176 345976 5188
-rect 346028 5176 346034 5228
-rect 8146 5108 8152 5160
-rect 8204 5148 8210 5160
-rect 226922 5148 226928 5160
-rect 8204 5120 226928 5148
-rect 8204 5108 8210 5120
-rect 226922 5108 226928 5120
-rect 226980 5108 226986 5160
-rect 227014 5108 227020 5160
-rect 227072 5148 227078 5160
-rect 276510 5148 276516 5160
-rect 227072 5120 276516 5148
-rect 227072 5108 227078 5120
-rect 276510 5108 276516 5120
-rect 276568 5108 276574 5160
-rect 296842 5108 296848 5160
-rect 296900 5148 296906 5160
-rect 301169 5151 301227 5157
-rect 301169 5148 301181 5151
-rect 296900 5120 301181 5148
-rect 296900 5108 296906 5120
-rect 301169 5117 301181 5120
-rect 301215 5117 301227 5151
-rect 301169 5111 301227 5117
-rect 301258 5108 301264 5160
-rect 301316 5148 301322 5160
-rect 302730 5148 302736 5160
-rect 301316 5120 302736 5148
-rect 301316 5108 301322 5120
-rect 302730 5108 302736 5120
-rect 302788 5108 302794 5160
-rect 302825 5151 302883 5157
-rect 302825 5117 302837 5151
-rect 302871 5148 302883 5151
-rect 307425 5151 307483 5157
-rect 307425 5148 307437 5151
-rect 302871 5120 307437 5148
-rect 302871 5117 302883 5120
-rect 302825 5111 302883 5117
-rect 307425 5117 307437 5120
-rect 307471 5117 307483 5151
-rect 307425 5111 307483 5117
-rect 307514 5108 307520 5160
-rect 307572 5148 307578 5160
-rect 317269 5151 317327 5157
-rect 317269 5148 317281 5151
-rect 307572 5120 317281 5148
-rect 307572 5108 307578 5120
-rect 317269 5117 317281 5120
-rect 317315 5117 317327 5151
-rect 317269 5111 317327 5117
-rect 317361 5151 317419 5157
-rect 317361 5117 317373 5151
-rect 317407 5148 317419 5151
-rect 332357 5151 332415 5157
-rect 332357 5148 332369 5151
-rect 317407 5120 332369 5148
-rect 317407 5117 317419 5120
-rect 317361 5111 317419 5117
-rect 332357 5117 332369 5120
-rect 332403 5117 332415 5151
-rect 332357 5111 332415 5117
-rect 332449 5151 332507 5157
-rect 332449 5117 332461 5151
-rect 332495 5148 332507 5151
-rect 342382 5148 342388 5160
-rect 332495 5120 342388 5148
-rect 332495 5117 332507 5120
-rect 332449 5111 332507 5117
-rect 342382 5108 342388 5120
-rect 342440 5108 342446 5160
-rect 343946 5108 343952 5160
-rect 344004 5148 344010 5160
-rect 346172 5148 346200 5256
-rect 551682 5244 551688 5256
-rect 551740 5244 551746 5296
-rect 555270 5216 555276 5228
-rect 346356 5188 555276 5216
-rect 344004 5120 346200 5148
-rect 346249 5151 346307 5157
-rect 344004 5108 344010 5120
-rect 346249 5117 346261 5151
-rect 346295 5148 346307 5151
-rect 346356 5148 346384 5188
-rect 555270 5176 555276 5188
-rect 555328 5176 555334 5228
-rect 346295 5120 346384 5148
-rect 346295 5117 346307 5120
-rect 346249 5111 346307 5117
-rect 346798 5108 346804 5160
-rect 346856 5148 346862 5160
-rect 350846 5148 350852 5160
-rect 346856 5120 350852 5148
-rect 346856 5108 346862 5120
-rect 350846 5108 350852 5120
-rect 350904 5108 350910 5160
-rect 350938 5108 350944 5160
-rect 350996 5148 351002 5160
-rect 558858 5148 558864 5160
-rect 350996 5120 558864 5148
-rect 350996 5108 351002 5120
-rect 558858 5108 558864 5120
-rect 558916 5108 558922 5160
-rect 2166 5040 2172 5092
-rect 2224 5080 2230 5092
-rect 220669 5083 220727 5089
-rect 2224 5052 220620 5080
-rect 2224 5040 2230 5052
-rect 3362 4972 3368 5024
-rect 3420 5012 3426 5024
-rect 220485 5015 220543 5021
-rect 220485 5012 220497 5015
-rect 3420 4984 220497 5012
-rect 3420 4972 3426 4984
-rect 220485 4981 220497 4984
-rect 220531 4981 220543 5015
-rect 220592 5012 220620 5052
-rect 220669 5049 220681 5083
-rect 220715 5080 220727 5083
-rect 227569 5083 227627 5089
-rect 227569 5080 227581 5083
-rect 220715 5052 227581 5080
-rect 220715 5049 220727 5052
-rect 220669 5043 220727 5049
-rect 227569 5049 227581 5052
-rect 227615 5049 227627 5083
-rect 229317 5083 229375 5089
-rect 229317 5080 229329 5083
-rect 227569 5043 227627 5049
-rect 227676 5052 229329 5080
-rect 227676 5012 227704 5052
-rect 229317 5049 229329 5052
-rect 229363 5049 229375 5083
-rect 229317 5043 229375 5049
-rect 229409 5083 229467 5089
-rect 229409 5049 229421 5083
-rect 229455 5080 229467 5083
-rect 275682 5080 275688 5092
-rect 229455 5052 275688 5080
-rect 229455 5049 229467 5052
-rect 229409 5043 229467 5049
-rect 275682 5040 275688 5052
-rect 275740 5040 275746 5092
-rect 295646 5040 295652 5092
-rect 295704 5080 295710 5092
-rect 302549 5083 302607 5089
-rect 302549 5080 302561 5083
-rect 295704 5052 302561 5080
-rect 295704 5040 295710 5052
-rect 302549 5049 302561 5052
-rect 302595 5049 302607 5083
-rect 302549 5043 302607 5049
-rect 302638 5040 302644 5092
-rect 302696 5080 302702 5092
-rect 312117 5083 312175 5089
-rect 312117 5080 312129 5083
-rect 302696 5052 312129 5080
-rect 302696 5040 302702 5052
-rect 312117 5049 312129 5052
-rect 312163 5049 312175 5083
-rect 312117 5043 312175 5049
-rect 312206 5040 312212 5092
-rect 312264 5080 312270 5092
-rect 331710 5080 331716 5092
-rect 312264 5052 331716 5080
-rect 312264 5040 312270 5052
-rect 331710 5040 331716 5052
-rect 331768 5040 331774 5092
-rect 342566 5040 342572 5092
-rect 342624 5080 342630 5092
-rect 345326 5080 345332 5092
-rect 342624 5052 345332 5080
-rect 342624 5040 342630 5052
-rect 345326 5040 345332 5052
-rect 345384 5040 345390 5092
-rect 345421 5083 345479 5089
-rect 345421 5049 345433 5083
-rect 345467 5080 345479 5083
-rect 505777 5083 505835 5089
-rect 505777 5080 505789 5083
-rect 345467 5052 505789 5080
-rect 345467 5049 345479 5052
-rect 345421 5043 345479 5049
-rect 505777 5049 505789 5052
-rect 505823 5049 505835 5083
-rect 505777 5043 505835 5049
-rect 505869 5083 505927 5089
-rect 505869 5049 505881 5083
-rect 505915 5080 505927 5083
-rect 562446 5080 562452 5092
-rect 505915 5052 562452 5080
-rect 505915 5049 505927 5052
-rect 505869 5043 505927 5049
-rect 562446 5040 562452 5052
-rect 562504 5040 562510 5092
-rect 220592 4984 227704 5012
-rect 227753 5015 227811 5021
-rect 220485 4975 220543 4981
-rect 227753 4981 227765 5015
-rect 227799 5012 227811 5015
-rect 229682 5012 229688 5024
-rect 227799 4984 229688 5012
-rect 227799 4981 227811 4984
-rect 227753 4975 227811 4981
-rect 229682 4972 229688 4984
-rect 229740 4972 229746 5024
-rect 229777 5015 229835 5021
-rect 229777 4981 229789 5015
-rect 229823 5012 229835 5015
-rect 230142 5012 230148 5024
-rect 229823 4984 230148 5012
-rect 229823 4981 229835 4984
-rect 229777 4975 229835 4981
-rect 230142 4972 230148 4984
-rect 230200 4972 230206 5024
-rect 230602 4972 230608 5024
-rect 230660 5012 230666 5024
-rect 276970 5012 276976 5024
-rect 230660 4984 276976 5012
-rect 230660 4972 230666 4984
-rect 276970 4972 276976 4984
-rect 277028 4972 277034 5024
-rect 300982 4972 300988 5024
-rect 301040 5012 301046 5024
-rect 307514 5012 307520 5024
-rect 301040 4984 307520 5012
-rect 301040 4972 301046 4984
-rect 307514 4972 307520 4984
-rect 307572 4972 307578 5024
-rect 307609 5015 307667 5021
-rect 307609 4981 307621 5015
-rect 307655 5012 307667 5015
-rect 335206 5012 335212 5024
-rect 307655 4984 335212 5012
-rect 307655 4981 307667 4984
-rect 307609 4975 307667 4981
-rect 335206 4972 335212 4984
-rect 335264 4972 335270 5024
-rect 335758 4972 335764 5024
-rect 335816 5012 335822 5024
-rect 505593 5015 505651 5021
-rect 505593 5012 505605 5015
-rect 335816 4984 505605 5012
-rect 335816 4972 335822 4984
-rect 505593 4981 505605 4984
-rect 505639 4981 505651 5015
-rect 505593 4975 505651 4981
-rect 505685 5015 505743 5021
-rect 505685 4981 505697 5015
-rect 505731 5012 505743 5015
-rect 515069 5015 515127 5021
-rect 515069 5012 515081 5015
-rect 505731 4984 515081 5012
-rect 505731 4981 505743 4984
-rect 505685 4975 505743 4981
-rect 515069 4981 515081 4984
-rect 515115 4981 515127 5015
-rect 515069 4975 515127 4981
-rect 515161 5015 515219 5021
-rect 515161 4981 515173 5015
-rect 515207 5012 515219 5015
-rect 566034 5012 566040 5024
-rect 515207 4984 566040 5012
-rect 515207 4981 515219 4984
-rect 515161 4975 515219 4981
-rect 566034 4972 566040 4984
-rect 566092 4972 566098 5024
-rect 1600 4848 583316 4944
-rect 1062 4768 1068 4820
-rect 1120 4808 1126 4820
-rect 220669 4811 220727 4817
-rect 220669 4808 220681 4811
-rect 1120 4780 220681 4808
-rect 1120 4768 1126 4780
-rect 220669 4777 220681 4780
-rect 220715 4777 220727 4811
-rect 220669 4771 220727 4777
-rect 220761 4811 220819 4817
-rect 220761 4777 220773 4811
-rect 220807 4808 220819 4811
-rect 225266 4808 225272 4820
-rect 220807 4780 225272 4808
-rect 220807 4777 220819 4780
-rect 220761 4771 220819 4777
-rect 225266 4768 225272 4780
-rect 225324 4768 225330 4820
-rect 225358 4768 225364 4820
-rect 225416 4808 225422 4820
-rect 275222 4808 275228 4820
-rect 225416 4780 275228 4808
-rect 225416 4768 225422 4780
-rect 275222 4768 275228 4780
-rect 275280 4768 275286 4820
-rect 296934 4768 296940 4820
-rect 296992 4808 296998 4820
-rect 301077 4811 301135 4817
-rect 301077 4808 301089 4811
-rect 296992 4780 301089 4808
-rect 296992 4768 296998 4780
-rect 301077 4777 301089 4780
-rect 301123 4777 301135 4811
-rect 301077 4771 301135 4777
-rect 301166 4768 301172 4820
-rect 301224 4808 301230 4820
-rect 302733 4811 302791 4817
-rect 302733 4808 302745 4811
-rect 301224 4780 302745 4808
-rect 301224 4768 301230 4780
-rect 302733 4777 302745 4780
-rect 302779 4777 302791 4811
-rect 302733 4771 302791 4777
-rect 302825 4811 302883 4817
-rect 302825 4777 302837 4811
-rect 302871 4808 302883 4811
-rect 321958 4808 321964 4820
-rect 302871 4780 321964 4808
-rect 302871 4777 302883 4780
-rect 302825 4771 302883 4777
-rect 321958 4768 321964 4780
-rect 322016 4768 322022 4820
-rect 322142 4768 322148 4820
-rect 322200 4808 322206 4820
-rect 337598 4808 337604 4820
-rect 322200 4780 337604 4808
-rect 322200 4768 322206 4780
-rect 337598 4768 337604 4780
-rect 337656 4768 337662 4820
-rect 339806 4768 339812 4820
-rect 339864 4808 339870 4820
-rect 346249 4811 346307 4817
-rect 346249 4808 346261 4811
-rect 339864 4780 346261 4808
-rect 339864 4768 339870 4780
-rect 346249 4777 346261 4780
-rect 346295 4777 346307 4811
-rect 346249 4771 346307 4777
-rect 346341 4811 346399 4817
-rect 346341 4777 346353 4811
-rect 346387 4808 346399 4811
-rect 515250 4808 515256 4820
-rect 346387 4780 515256 4808
-rect 346387 4777 346399 4780
-rect 346341 4771 346399 4777
-rect 515250 4768 515256 4780
-rect 515308 4768 515314 4820
-rect 515342 4768 515348 4820
-rect 515400 4808 515406 4820
-rect 526750 4808 526756 4820
-rect 515400 4780 526756 4808
-rect 515400 4768 515406 4780
-rect 526750 4768 526756 4780
-rect 526808 4768 526814 4820
-rect 529513 4811 529571 4817
-rect 529513 4777 529525 4811
-rect 529559 4808 529571 4811
-rect 534573 4811 534631 4817
-rect 534573 4808 534585 4811
-rect 529559 4780 534585 4808
-rect 529559 4777 529571 4780
-rect 529513 4771 529571 4777
-rect 534573 4777 534585 4780
-rect 534619 4777 534631 4811
-rect 534573 4771 534631 4777
-rect 194998 4700 195004 4752
-rect 195056 4740 195062 4752
-rect 259033 4743 259091 4749
-rect 259033 4740 259045 4743
-rect 195056 4712 259045 4740
-rect 195056 4700 195062 4712
-rect 259033 4709 259045 4712
-rect 259079 4709 259091 4743
-rect 259033 4703 259091 4709
-rect 259122 4700 259128 4752
-rect 259180 4740 259186 4752
-rect 263909 4743 263967 4749
-rect 263909 4740 263921 4743
-rect 259180 4712 263921 4740
-rect 259180 4700 259186 4712
-rect 263909 4709 263921 4712
-rect 263955 4709 263967 4743
-rect 263909 4703 263967 4709
-rect 264001 4743 264059 4749
-rect 264001 4709 264013 4743
-rect 264047 4740 264059 4743
-rect 279362 4740 279368 4752
-rect 264047 4712 279368 4740
-rect 264047 4709 264059 4712
-rect 264001 4703 264059 4709
-rect 279362 4700 279368 4712
-rect 279420 4700 279426 4752
-rect 297026 4700 297032 4752
-rect 297084 4740 297090 4752
-rect 312393 4743 312451 4749
-rect 312393 4740 312405 4743
-rect 297084 4712 312405 4740
-rect 297084 4700 297090 4712
-rect 312393 4709 312405 4712
-rect 312439 4709 312451 4743
-rect 312393 4703 312451 4709
-rect 312485 4743 312543 4749
-rect 312485 4709 312497 4743
-rect 312531 4740 312543 4743
-rect 338794 4740 338800 4752
-rect 312531 4712 338800 4740
-rect 312531 4709 312543 4712
-rect 312485 4703 312543 4709
-rect 338794 4700 338800 4712
-rect 338852 4700 338858 4752
-rect 340910 4700 340916 4752
-rect 340968 4740 340974 4752
-rect 340968 4712 345556 4740
-rect 340968 4700 340974 4712
-rect 198494 4632 198500 4684
-rect 198552 4672 198558 4684
-rect 264553 4675 264611 4681
-rect 198552 4644 264412 4672
-rect 198552 4632 198558 4644
-rect 201990 4564 201996 4616
-rect 202048 4604 202054 4616
-rect 264274 4604 264280 4616
-rect 202048 4576 264280 4604
-rect 202048 4564 202054 4576
-rect 264274 4564 264280 4576
-rect 264332 4564 264338 4616
-rect 264384 4604 264412 4644
-rect 264553 4641 264565 4675
-rect 264599 4672 264611 4675
-rect 283502 4672 283508 4684
-rect 264599 4644 283508 4672
-rect 264599 4641 264611 4644
-rect 264553 4635 264611 4641
-rect 283502 4632 283508 4644
-rect 283560 4632 283566 4684
-rect 299878 4632 299884 4684
-rect 299936 4672 299942 4684
-rect 307609 4675 307667 4681
-rect 307609 4672 307621 4675
-rect 299936 4644 307621 4672
-rect 299936 4632 299942 4644
-rect 307609 4641 307621 4644
-rect 307655 4641 307667 4675
-rect 307609 4635 307667 4641
-rect 307701 4675 307759 4681
-rect 307701 4641 307713 4675
-rect 307747 4672 307759 4675
-rect 312209 4675 312267 4681
-rect 312209 4672 312221 4675
-rect 307747 4644 312221 4672
-rect 307747 4641 307759 4644
-rect 307701 4635 307759 4641
-rect 312209 4641 312221 4644
-rect 312255 4641 312267 4675
-rect 312209 4635 312267 4641
-rect 312298 4632 312304 4684
-rect 312356 4672 312362 4684
-rect 334102 4672 334108 4684
-rect 312356 4644 334108 4672
-rect 312356 4632 312362 4644
-rect 334102 4632 334108 4644
-rect 334160 4632 334166 4684
-rect 337138 4632 337144 4684
-rect 337196 4672 337202 4684
-rect 345421 4675 345479 4681
-rect 345421 4672 345433 4675
-rect 337196 4644 345433 4672
-rect 337196 4632 337202 4644
-rect 345421 4641 345433 4644
-rect 345467 4641 345479 4675
-rect 345421 4635 345479 4641
-rect 267497 4607 267555 4613
-rect 267497 4604 267509 4607
-rect 264384 4576 267509 4604
-rect 267497 4573 267509 4576
-rect 267543 4573 267555 4607
-rect 267497 4567 267555 4573
-rect 268877 4607 268935 4613
-rect 268877 4573 268889 4607
-rect 268923 4604 268935 4607
-rect 274118 4604 274124 4616
-rect 268923 4576 274124 4604
-rect 268923 4573 268935 4576
-rect 268877 4567 268935 4573
-rect 274118 4564 274124 4576
-rect 274176 4564 274182 4616
-rect 299694 4564 299700 4616
-rect 299752 4604 299758 4616
-rect 302733 4607 302791 4613
-rect 302733 4604 302745 4607
-rect 299752 4576 302745 4604
-rect 299752 4564 299758 4576
-rect 302733 4573 302745 4576
-rect 302779 4573 302791 4607
-rect 330514 4604 330520 4616
-rect 302733 4567 302791 4573
-rect 302932 4576 330520 4604
-rect 205578 4496 205584 4548
-rect 205636 4536 205642 4548
-rect 264366 4536 264372 4548
-rect 205636 4508 264372 4536
-rect 205636 4496 205642 4508
-rect 264366 4496 264372 4508
-rect 264424 4496 264430 4548
-rect 264461 4539 264519 4545
-rect 264461 4505 264473 4539
-rect 264507 4536 264519 4539
-rect 269702 4536 269708 4548
-rect 264507 4508 269708 4536
-rect 264507 4505 264519 4508
-rect 264461 4499 264519 4505
-rect 269702 4496 269708 4508
-rect 269760 4496 269766 4548
-rect 269794 4496 269800 4548
-rect 269852 4536 269858 4548
-rect 272462 4536 272468 4548
-rect 269852 4508 272468 4536
-rect 269852 4496 269858 4508
-rect 272462 4496 272468 4508
-rect 272520 4496 272526 4548
-rect 298406 4496 298412 4548
-rect 298464 4536 298470 4548
-rect 302546 4536 302552 4548
-rect 298464 4508 302552 4536
-rect 298464 4496 298470 4508
-rect 302546 4496 302552 4508
-rect 302604 4496 302610 4548
-rect 302641 4539 302699 4545
-rect 302641 4505 302653 4539
-rect 302687 4536 302699 4539
-rect 302822 4536 302828 4548
-rect 302687 4508 302828 4536
-rect 302687 4505 302699 4508
-rect 302641 4499 302699 4505
-rect 302822 4496 302828 4508
-rect 302880 4496 302886 4548
-rect 209166 4428 209172 4480
-rect 209224 4468 209230 4480
-rect 264182 4468 264188 4480
-rect 209224 4440 264188 4468
-rect 209224 4428 209230 4440
-rect 264182 4428 264188 4440
-rect 264240 4428 264246 4480
-rect 264274 4428 264280 4480
-rect 264332 4468 264338 4480
-rect 267405 4471 267463 4477
-rect 267405 4468 267417 4471
-rect 264332 4440 267417 4468
-rect 264332 4428 264338 4440
-rect 267405 4437 267417 4440
-rect 267451 4437 267463 4471
-rect 267405 4431 267463 4437
-rect 267497 4471 267555 4477
-rect 267497 4437 267509 4471
-rect 267543 4468 267555 4471
-rect 270990 4468 270996 4480
-rect 267543 4440 270996 4468
-rect 267543 4437 267555 4440
-rect 267497 4431 267555 4437
-rect 270990 4428 270996 4440
-rect 271048 4428 271054 4480
-rect 298498 4428 298504 4480
-rect 298556 4468 298562 4480
-rect 302932 4468 302960 4576
-rect 330514 4564 330520 4576
-rect 330572 4564 330578 4616
-rect 332357 4607 332415 4613
-rect 332357 4573 332369 4607
-rect 332403 4604 332415 4607
-rect 344774 4604 344780 4616
-rect 332403 4576 344780 4604
-rect 332403 4573 332415 4576
-rect 332357 4567 332415 4573
-rect 344774 4564 344780 4576
-rect 344832 4564 344838 4616
-rect 345528 4604 345556 4712
-rect 347902 4700 347908 4752
-rect 347960 4740 347966 4752
-rect 350570 4740 350576 4752
-rect 347960 4712 350576 4740
-rect 347960 4700 347966 4712
-rect 350570 4700 350576 4712
-rect 350628 4700 350634 4752
-rect 350938 4700 350944 4752
-rect 350996 4740 351002 4752
-rect 544598 4740 544604 4752
-rect 350996 4712 544604 4740
-rect 350996 4700 351002 4712
-rect 544598 4700 544604 4712
-rect 544656 4700 544662 4752
-rect 559413 4743 559471 4749
-rect 559413 4709 559425 4743
-rect 559459 4709 559471 4743
-rect 559413 4703 559471 4709
-rect 559505 4743 559563 4749
-rect 559505 4709 559517 4743
-rect 559551 4740 559563 4743
-rect 569530 4740 569536 4752
-rect 559551 4712 569536 4740
-rect 559551 4709 559563 4712
-rect 559505 4703 559563 4709
-rect 346706 4632 346712 4684
-rect 346764 4672 346770 4684
-rect 350662 4672 350668 4684
-rect 346764 4644 350668 4672
-rect 346764 4632 346770 4644
-rect 350662 4632 350668 4644
-rect 350720 4632 350726 4684
-rect 350846 4632 350852 4684
-rect 350904 4672 350910 4684
-rect 534573 4675 534631 4681
-rect 350904 4644 529740 4672
-rect 350904 4632 350910 4644
-rect 529605 4607 529663 4613
-rect 529605 4604 529617 4607
-rect 345528 4576 529617 4604
-rect 529605 4573 529617 4576
-rect 529651 4573 529663 4607
-rect 529712 4604 529740 4644
-rect 534573 4641 534585 4675
-rect 534619 4672 534631 4675
-rect 538713 4675 538771 4681
-rect 538713 4672 538725 4675
-rect 534619 4644 538725 4672
-rect 534619 4641 534631 4644
-rect 534573 4635 534631 4641
-rect 538713 4641 538725 4644
-rect 538759 4641 538771 4675
-rect 538713 4635 538771 4641
-rect 549845 4675 549903 4681
-rect 549845 4641 549857 4675
-rect 549891 4672 549903 4675
-rect 559428 4672 559456 4703
-rect 569530 4700 569536 4712
-rect 569588 4700 569594 4752
-rect 549891 4644 559456 4672
-rect 549891 4641 549903 4644
-rect 549845 4635 549903 4641
-rect 541010 4604 541016 4616
-rect 529712 4576 541016 4604
-rect 529605 4567 529663 4573
-rect 541010 4564 541016 4576
-rect 541068 4564 541074 4616
-rect 548281 4607 548339 4613
-rect 548281 4573 548293 4607
-rect 548327 4604 548339 4607
-rect 549753 4607 549811 4613
-rect 549753 4604 549765 4607
-rect 548327 4576 549765 4604
-rect 548327 4573 548339 4576
-rect 548281 4567 548339 4573
-rect 549753 4573 549765 4576
-rect 549799 4573 549811 4607
-rect 549753 4567 549811 4573
-rect 303098 4496 303104 4548
-rect 303156 4536 303162 4548
-rect 328122 4536 328128 4548
-rect 303156 4508 328128 4536
-rect 303156 4496 303162 4508
-rect 328122 4496 328128 4508
-rect 328180 4496 328186 4548
-rect 341373 4539 341431 4545
-rect 341373 4505 341385 4539
-rect 341419 4536 341431 4539
-rect 345605 4539 345663 4545
-rect 345605 4536 345617 4539
-rect 341419 4508 345617 4536
-rect 341419 4505 341431 4508
-rect 341373 4499 341431 4505
-rect 345605 4505 345617 4508
-rect 345651 4505 345663 4539
-rect 345605 4499 345663 4505
-rect 346525 4539 346583 4545
-rect 346525 4505 346537 4539
-rect 346571 4536 346583 4539
-rect 533926 4536 533932 4548
-rect 346571 4508 533932 4536
-rect 346571 4505 346583 4508
-rect 346525 4499 346583 4505
-rect 533926 4496 533932 4508
-rect 533984 4496 533990 4548
-rect 298556 4440 302960 4468
-rect 298556 4428 298562 4440
-rect 303006 4428 303012 4480
-rect 303064 4468 303070 4480
-rect 326926 4468 326932 4480
-rect 303064 4440 326932 4468
-rect 303064 4428 303070 4440
-rect 326926 4428 326932 4440
-rect 326984 4428 326990 4480
-rect 346157 4471 346215 4477
-rect 346157 4437 346169 4471
-rect 346203 4468 346215 4471
-rect 530338 4468 530344 4480
-rect 346203 4440 530344 4468
-rect 346203 4437 346215 4440
-rect 346157 4431 346215 4437
-rect 530338 4428 530344 4440
-rect 530396 4428 530402 4480
-rect 538713 4471 538771 4477
-rect 538713 4437 538725 4471
-rect 538759 4468 538771 4471
-rect 548281 4471 548339 4477
-rect 548281 4468 548293 4471
-rect 538759 4440 548293 4468
-rect 538759 4437 538771 4440
-rect 538713 4431 538771 4437
-rect 548281 4437 548293 4440
-rect 548327 4437 548339 4471
-rect 548281 4431 548339 4437
-rect 1600 4304 583316 4400
-rect 128850 4224 128856 4276
-rect 128908 4264 128914 4276
-rect 138789 4267 138847 4273
-rect 128908 4236 134416 4264
-rect 128908 4224 128914 4236
-rect 123333 4199 123391 4205
-rect 123333 4165 123345 4199
-rect 123379 4196 123391 4199
-rect 128945 4199 129003 4205
-rect 128945 4196 128957 4199
-rect 123379 4168 128957 4196
-rect 123379 4165 123391 4168
-rect 123333 4159 123391 4165
-rect 128945 4165 128957 4168
-rect 128991 4165 129003 4199
-rect 128945 4159 129003 4165
-rect 132993 4199 133051 4205
-rect 132993 4165 133005 4199
-rect 133039 4196 133051 4199
-rect 134281 4199 134339 4205
-rect 134281 4196 134293 4199
-rect 133039 4168 134293 4196
-rect 133039 4165 133051 4168
-rect 132993 4159 133051 4165
-rect 134281 4165 134293 4168
-rect 134327 4165 134339 4199
-rect 134388 4196 134416 4236
-rect 138789 4233 138801 4267
-rect 138835 4264 138847 4267
-rect 157741 4267 157799 4273
-rect 157741 4264 157753 4267
-rect 138835 4236 157753 4264
-rect 138835 4233 138847 4236
-rect 138789 4227 138847 4233
-rect 157741 4233 157753 4236
-rect 157787 4233 157799 4267
-rect 157741 4227 157799 4233
-rect 157833 4267 157891 4273
-rect 157833 4233 157845 4267
-rect 157879 4264 157891 4267
-rect 177429 4267 177487 4273
-rect 157879 4236 177380 4264
-rect 157879 4233 157891 4236
-rect 157833 4227 157891 4233
-rect 147897 4199 147955 4205
-rect 147897 4196 147909 4199
-rect 134388 4168 147909 4196
-rect 134281 4159 134339 4165
-rect 147897 4165 147909 4168
-rect 147943 4165 147955 4199
-rect 147897 4159 147955 4165
-rect 147989 4199 148047 4205
-rect 147989 4165 148001 4199
-rect 148035 4196 148047 4199
-rect 152221 4199 152279 4205
-rect 152221 4196 152233 4199
-rect 148035 4168 152233 4196
-rect 148035 4165 148047 4168
-rect 147989 4159 148047 4165
-rect 152221 4165 152233 4168
-rect 152267 4165 152279 4199
-rect 152221 4159 152279 4165
-rect 159121 4199 159179 4205
-rect 159121 4165 159133 4199
-rect 159167 4196 159179 4199
-rect 167585 4199 167643 4205
-rect 167585 4196 167597 4199
-rect 159167 4168 167597 4196
-rect 159167 4165 159179 4168
-rect 159121 4159 159179 4165
-rect 167585 4165 167597 4168
-rect 167631 4165 167643 4199
-rect 167585 4159 167643 4165
-rect 167677 4199 167735 4205
-rect 167677 4165 167689 4199
-rect 167723 4196 167735 4199
-rect 171449 4199 171507 4205
-rect 171449 4196 171461 4199
-rect 167723 4168 171461 4196
-rect 167723 4165 167735 4168
-rect 167677 4159 167735 4165
-rect 171449 4165 171461 4168
-rect 171495 4165 171507 4199
-rect 171449 4159 171507 4165
-rect 171725 4199 171783 4205
-rect 171725 4165 171737 4199
-rect 171771 4196 171783 4199
-rect 176877 4199 176935 4205
-rect 176877 4196 176889 4199
-rect 171771 4168 176889 4196
-rect 171771 4165 171783 4168
-rect 171725 4159 171783 4165
-rect 176877 4165 176889 4168
-rect 176923 4165 176935 4199
-rect 176877 4159 176935 4165
-rect 176969 4199 177027 4205
-rect 176969 4165 176981 4199
-rect 177015 4196 177027 4199
-rect 177245 4199 177303 4205
-rect 177245 4196 177257 4199
-rect 177015 4168 177257 4196
-rect 177015 4165 177027 4168
-rect 176969 4159 177027 4165
-rect 177245 4165 177257 4168
-rect 177291 4165 177303 4199
-rect 177352 4196 177380 4236
-rect 177429 4233 177441 4267
-rect 177475 4264 177487 4267
-rect 190953 4267 191011 4273
-rect 190953 4264 190965 4267
-rect 177475 4236 190965 4264
-rect 177475 4233 177487 4236
-rect 177429 4227 177487 4233
-rect 190953 4233 190965 4236
-rect 190999 4233 191011 4267
-rect 190953 4227 191011 4233
-rect 206133 4267 206191 4273
-rect 206133 4233 206145 4267
-rect 206179 4264 206191 4267
-rect 215885 4267 215943 4273
-rect 215885 4264 215897 4267
-rect 206179 4236 215897 4264
-rect 206179 4233 206191 4236
-rect 206133 4227 206191 4233
-rect 215885 4233 215897 4236
-rect 215931 4233 215943 4267
-rect 215885 4227 215943 4233
-rect 217449 4267 217507 4273
-rect 217449 4233 217461 4267
-rect 217495 4264 217507 4267
-rect 218553 4267 218611 4273
-rect 218553 4264 218565 4267
-rect 217495 4236 218565 4264
-rect 217495 4233 217507 4236
-rect 217449 4227 217507 4233
-rect 218553 4233 218565 4236
-rect 218599 4233 218611 4267
-rect 218553 4227 218611 4233
-rect 218642 4224 218648 4276
-rect 218700 4264 218706 4276
-rect 220669 4267 220727 4273
-rect 218700 4236 220620 4264
-rect 218700 4224 218706 4236
-rect 186721 4199 186779 4205
-rect 186721 4196 186733 4199
-rect 177352 4168 186733 4196
-rect 177245 4159 177303 4165
-rect 186721 4165 186733 4168
-rect 186767 4165 186779 4199
-rect 186721 4159 186779 4165
-rect 186810 4156 186816 4208
-rect 186868 4196 186874 4208
-rect 190769 4199 190827 4205
-rect 190769 4196 190781 4199
-rect 186868 4168 190781 4196
-rect 186868 4156 186874 4168
-rect 190769 4165 190781 4168
-rect 190815 4165 190827 4199
-rect 190769 4159 190827 4165
-rect 191045 4199 191103 4205
-rect 191045 4165 191057 4199
-rect 191091 4196 191103 4199
-rect 196378 4196 196384 4208
-rect 191091 4168 196384 4196
-rect 191091 4165 191103 4168
-rect 191045 4159 191103 4165
-rect 196378 4156 196384 4168
-rect 196436 4156 196442 4208
-rect 196470 4156 196476 4208
-rect 196528 4196 196534 4208
-rect 200245 4199 200303 4205
-rect 200245 4196 200257 4199
-rect 196528 4168 200257 4196
-rect 196528 4156 196534 4168
-rect 200245 4165 200257 4168
-rect 200291 4165 200303 4199
-rect 200245 4159 200303 4165
-rect 200705 4199 200763 4205
-rect 200705 4165 200717 4199
-rect 200751 4196 200763 4199
-rect 206038 4196 206044 4208
-rect 200751 4168 206044 4196
-rect 200751 4165 200763 4168
-rect 200705 4159 200763 4165
-rect 206038 4156 206044 4168
-rect 206096 4156 206102 4208
-rect 212754 4156 212760 4208
-rect 212812 4196 212818 4208
-rect 220485 4199 220543 4205
-rect 220485 4196 220497 4199
-rect 212812 4168 220497 4196
-rect 212812 4156 212818 4168
-rect 220485 4165 220497 4168
-rect 220531 4165 220543 4199
-rect 220592 4196 220620 4236
-rect 220669 4233 220681 4267
-rect 220715 4264 220727 4267
-rect 274210 4264 274216 4276
-rect 220715 4236 274216 4264
-rect 220715 4233 220727 4236
-rect 220669 4227 220727 4233
-rect 274210 4224 274216 4236
-rect 274268 4224 274274 4276
-rect 288838 4224 288844 4276
-rect 288896 4224 288902 4276
-rect 298222 4224 298228 4276
-rect 298280 4264 298286 4276
-rect 302549 4267 302607 4273
-rect 302549 4264 302561 4267
-rect 298280 4236 302561 4264
-rect 298280 4224 298286 4236
-rect 302549 4233 302561 4236
-rect 302595 4233 302607 4267
-rect 302549 4227 302607 4233
-rect 302638 4224 302644 4276
-rect 302696 4264 302702 4276
-rect 324534 4264 324540 4276
-rect 302696 4236 324540 4264
-rect 302696 4224 302702 4236
-rect 324534 4224 324540 4236
-rect 324592 4224 324598 4276
-rect 331529 4267 331587 4273
-rect 331529 4233 331541 4267
-rect 331575 4264 331587 4267
-rect 336678 4264 336684 4276
-rect 331575 4236 336684 4264
-rect 331575 4233 331587 4236
-rect 331529 4227 331587 4233
-rect 336678 4224 336684 4236
-rect 336736 4224 336742 4276
-rect 339438 4224 339444 4276
-rect 339496 4264 339502 4276
-rect 346157 4267 346215 4273
-rect 346157 4264 346169 4267
-rect 339496 4236 346169 4264
-rect 339496 4224 339502 4236
-rect 346157 4233 346169 4236
-rect 346203 4233 346215 4267
-rect 346157 4227 346215 4233
-rect 346249 4267 346307 4273
-rect 346249 4233 346261 4267
-rect 346295 4264 346307 4267
-rect 515342 4264 515348 4276
-rect 346295 4236 515348 4264
-rect 346295 4233 346307 4236
-rect 346249 4227 346307 4233
-rect 515342 4224 515348 4236
-rect 515400 4224 515406 4276
-rect 529513 4267 529571 4273
-rect 529513 4264 529525 4267
-rect 515452 4236 529525 4264
-rect 268877 4199 268935 4205
-rect 268877 4196 268889 4199
-rect 220592 4168 268889 4196
-rect 220485 4159 220543 4165
-rect 268877 4165 268889 4168
-rect 268923 4165 268935 4199
-rect 271358 4196 271364 4208
-rect 268877 4159 268935 4165
-rect 268984 4168 271364 4196
-rect 58102 4088 58108 4140
-rect 58160 4128 58166 4140
-rect 235110 4128 235116 4140
-rect 58160 4100 235116 4128
-rect 58160 4088 58166 4100
-rect 235110 4088 235116 4100
-rect 235168 4088 235174 4140
-rect 235294 4088 235300 4140
-rect 235352 4128 235358 4140
-rect 236398 4128 236404 4140
-rect 235352 4100 236404 4128
-rect 235352 4088 235358 4100
-rect 236398 4088 236404 4100
-rect 236456 4088 236462 4140
-rect 236490 4088 236496 4140
-rect 236548 4128 236554 4140
-rect 237778 4128 237784 4140
-rect 236548 4100 237784 4128
-rect 236548 4088 236554 4100
-rect 237778 4088 237784 4100
-rect 237836 4088 237842 4140
-rect 238054 4088 238060 4140
-rect 238112 4128 238118 4140
-rect 242746 4128 242752 4140
-rect 238112 4100 242752 4128
-rect 238112 4088 238118 4100
-rect 242746 4088 242752 4100
-rect 242804 4088 242810 4140
-rect 244862 4088 244868 4140
-rect 244920 4128 244926 4140
-rect 246058 4128 246064 4140
-rect 244920 4100 246064 4128
-rect 244920 4088 244926 4100
-rect 246058 4088 246064 4100
-rect 246116 4088 246122 4140
-rect 251946 4088 251952 4140
-rect 252004 4128 252010 4140
-rect 252958 4128 252964 4140
-rect 252004 4100 252964 4128
-rect 252004 4088 252010 4100
-rect 252958 4088 252964 4100
-rect 253016 4088 253022 4140
-rect 260318 4088 260324 4140
-rect 260376 4128 260382 4140
-rect 261238 4128 261244 4140
-rect 260376 4100 261244 4128
-rect 260376 4088 260382 4100
-rect 261238 4088 261244 4100
-rect 261296 4088 261302 4140
-rect 263909 4131 263967 4137
-rect 263909 4097 263921 4131
-rect 263955 4128 263967 4131
-rect 264277 4131 264335 4137
-rect 264277 4128 264289 4131
-rect 263955 4100 264289 4128
-rect 263955 4097 263967 4100
-rect 263909 4091 263967 4097
-rect 264277 4097 264289 4100
-rect 264323 4097 264335 4131
-rect 264277 4091 264335 4097
-rect 267405 4131 267463 4137
-rect 267405 4097 267417 4131
-rect 267451 4128 267463 4131
-rect 268984 4128 269012 4168
-rect 271358 4156 271364 4168
-rect 271416 4156 271422 4208
-rect 288856 4196 288884 4224
-rect 288764 4168 288884 4196
-rect 267451 4100 269012 4128
-rect 267451 4097 267463 4100
-rect 267405 4091 267463 4097
-rect 269794 4088 269800 4140
-rect 269852 4128 269858 4140
-rect 269852 4100 284100 4128
-rect 269852 4088 269858 4100
-rect 51018 4020 51024 4072
-rect 51076 4060 51082 4072
-rect 228118 4060 228124 4072
-rect 51076 4032 228124 4060
-rect 51076 4020 51082 4032
-rect 228118 4020 228124 4032
-rect 228176 4020 228182 4072
-rect 228210 4020 228216 4072
-rect 228268 4060 228274 4072
-rect 229498 4060 229504 4072
-rect 228268 4032 229504 4060
-rect 228268 4020 228274 4032
-rect 229498 4020 229504 4032
-rect 229556 4020 229562 4072
-rect 229685 4063 229743 4069
-rect 229685 4029 229697 4063
-rect 229731 4060 229743 4063
-rect 230142 4060 230148 4072
-rect 229731 4032 230148 4060
-rect 229731 4029 229743 4032
-rect 229685 4023 229743 4029
-rect 230142 4020 230148 4032
-rect 230200 4020 230206 4072
-rect 230252 4032 235616 4060
-rect 47430 3952 47436 4004
-rect 47488 3992 47494 4004
-rect 132993 3995 133051 4001
-rect 132993 3992 133005 3995
-rect 47488 3964 133005 3992
-rect 47488 3952 47494 3964
-rect 132993 3961 133005 3964
-rect 133039 3961 133051 3995
-rect 132993 3955 133051 3961
-rect 133082 3952 133088 4004
-rect 133140 3992 133146 4004
-rect 134186 3992 134192 4004
-rect 133140 3964 134192 3992
-rect 133140 3952 133146 3964
-rect 134186 3952 134192 3964
-rect 134244 3952 134250 4004
-rect 134281 3995 134339 4001
-rect 134281 3961 134293 3995
-rect 134327 3992 134339 3995
-rect 137682 3992 137688 4004
-rect 134327 3964 137688 3992
-rect 134327 3961 134339 3964
-rect 134281 3955 134339 3961
-rect 137682 3952 137688 3964
-rect 137740 3952 137746 4004
-rect 137774 3952 137780 4004
-rect 137832 3992 137838 4004
-rect 138050 3992 138056 4004
-rect 137832 3964 138056 3992
-rect 137832 3952 137838 3964
-rect 138050 3952 138056 3964
-rect 138108 3952 138114 4004
-rect 138421 3995 138479 4001
-rect 138421 3961 138433 3995
-rect 138467 3992 138479 3995
-rect 215790 3992 215796 4004
-rect 138467 3964 215796 3992
-rect 138467 3961 138479 3964
-rect 138421 3955 138479 3961
-rect 215790 3952 215796 3964
-rect 215848 3952 215854 4004
-rect 215882 3952 215888 4004
-rect 215940 3992 215946 4004
-rect 217449 3995 217507 4001
-rect 217449 3992 217461 3995
-rect 215940 3964 217461 3992
-rect 215940 3952 215946 3964
-rect 217449 3961 217461 3964
-rect 217495 3961 217507 3995
-rect 217449 3955 217507 3961
-rect 217538 3952 217544 4004
-rect 217596 3992 217602 4004
-rect 218458 3992 218464 4004
-rect 217596 3964 218464 3992
-rect 217596 3952 217602 3964
-rect 218458 3952 218464 3964
-rect 218516 3952 218522 4004
-rect 218553 3995 218611 4001
-rect 218553 3961 218565 3995
-rect 218599 3992 218611 3995
-rect 219657 3995 219715 4001
-rect 219657 3992 219669 3995
-rect 218599 3964 219669 3992
-rect 218599 3961 218611 3964
-rect 218553 3955 218611 3961
-rect 219657 3961 219669 3964
-rect 219703 3961 219715 3995
-rect 219657 3955 219715 3961
-rect 219746 3952 219752 4004
-rect 219804 3992 219810 4004
-rect 219841 3995 219899 4001
-rect 219841 3992 219853 3995
-rect 219804 3964 219853 3992
-rect 219804 3952 219810 3964
-rect 219841 3961 219853 3964
-rect 219887 3961 219899 3995
-rect 219841 3955 219899 3961
-rect 219933 3995 219991 4001
-rect 219933 3961 219945 3995
-rect 219979 3992 219991 3995
-rect 230252 3992 230280 4032
-rect 219979 3964 230280 3992
-rect 230329 3995 230387 4001
-rect 219979 3961 219991 3964
-rect 219933 3955 219991 3961
-rect 230329 3961 230341 3995
-rect 230375 3992 230387 3995
-rect 232905 3995 232963 4001
-rect 232905 3992 232917 3995
-rect 230375 3964 232917 3992
-rect 230375 3961 230387 3964
-rect 230329 3955 230387 3961
-rect 232905 3961 232917 3964
-rect 232951 3961 232963 3995
-rect 235588 3992 235616 4032
-rect 235662 4020 235668 4072
-rect 235720 4060 235726 4072
-rect 237962 4060 237968 4072
-rect 235720 4032 237968 4060
-rect 235720 4020 235726 4032
-rect 237962 4020 237968 4032
-rect 238020 4020 238026 4072
-rect 241274 4020 241280 4072
-rect 241332 4060 241338 4072
-rect 254341 4063 254399 4069
-rect 254341 4060 254353 4063
-rect 241332 4032 254353 4060
-rect 241332 4020 241338 4032
-rect 254341 4029 254353 4032
-rect 254387 4029 254399 4063
-rect 254341 4023 254399 4029
-rect 268598 4020 268604 4072
-rect 268656 4060 268662 4072
-rect 283965 4063 284023 4069
-rect 283965 4060 283977 4063
-rect 268656 4032 283977 4060
-rect 268656 4020 268662 4032
-rect 283965 4029 283977 4032
-rect 284011 4029 284023 4063
-rect 284072 4060 284100 4100
-rect 284146 4088 284152 4140
-rect 284204 4128 284210 4140
-rect 285434 4128 285440 4140
-rect 284204 4100 285440 4128
-rect 284204 4088 284210 4100
-rect 285434 4088 285440 4100
-rect 285492 4088 285498 4140
-rect 287642 4088 287648 4140
-rect 287700 4128 287706 4140
-rect 288764 4128 288792 4168
-rect 298314 4156 298320 4208
-rect 298372 4196 298378 4208
-rect 302454 4196 302460 4208
-rect 298372 4168 302460 4196
-rect 298372 4156 298378 4168
-rect 302454 4156 302460 4168
-rect 302512 4156 302518 4208
-rect 323338 4196 323344 4208
-rect 302564 4168 323344 4196
-rect 287700 4100 288792 4128
-rect 287700 4088 287706 4100
-rect 288838 4088 288844 4140
-rect 288896 4128 288902 4140
-rect 289390 4128 289396 4140
-rect 288896 4100 289396 4128
-rect 288896 4088 288902 4100
-rect 289390 4088 289396 4100
-rect 289448 4088 289454 4140
-rect 289574 4088 289580 4140
-rect 289632 4128 289638 4140
-rect 290034 4128 290040 4140
-rect 289632 4100 290040 4128
-rect 289632 4088 289638 4100
-rect 290034 4088 290040 4100
-rect 290092 4088 290098 4140
-rect 291506 4088 291512 4140
-rect 291564 4128 291570 4140
-rect 292426 4128 292432 4140
-rect 291564 4100 292432 4128
-rect 291564 4088 291570 4100
-rect 292426 4088 292432 4100
-rect 292484 4088 292490 4140
-rect 293898 4088 293904 4140
-rect 293956 4128 293962 4140
-rect 297210 4128 297216 4140
-rect 293956 4100 297216 4128
-rect 293956 4088 293962 4100
-rect 297210 4088 297216 4100
-rect 297268 4088 297274 4140
-rect 301077 4131 301135 4137
-rect 301077 4097 301089 4131
-rect 301123 4128 301135 4131
-rect 302564 4128 302592 4168
-rect 323338 4156 323344 4168
-rect 323396 4156 323402 4208
-rect 324169 4199 324227 4205
-rect 324169 4165 324181 4199
-rect 324215 4196 324227 4199
-rect 331621 4199 331679 4205
-rect 331621 4196 331633 4199
-rect 324215 4168 331633 4196
-rect 324215 4165 324227 4168
-rect 324169 4159 324227 4165
-rect 331621 4165 331633 4168
-rect 331667 4165 331679 4199
-rect 331621 4159 331679 4165
-rect 338426 4156 338432 4208
-rect 338484 4196 338490 4208
-rect 515253 4199 515311 4205
-rect 515253 4196 515265 4199
-rect 338484 4168 515265 4196
-rect 338484 4156 338490 4168
-rect 515253 4165 515265 4168
-rect 515299 4165 515311 4199
-rect 515452 4196 515480 4236
-rect 529513 4233 529525 4236
-rect 529559 4233 529571 4267
-rect 529513 4227 529571 4233
-rect 529605 4267 529663 4273
-rect 529605 4233 529617 4267
-rect 529651 4264 529663 4267
-rect 537422 4264 537428 4276
-rect 529651 4236 537428 4264
-rect 529651 4233 529663 4236
-rect 529605 4227 529663 4233
-rect 537422 4224 537428 4236
-rect 537480 4224 537486 4276
-rect 523162 4196 523168 4208
-rect 515253 4159 515311 4165
-rect 515360 4168 515480 4196
-rect 516188 4168 523168 4196
-rect 301123 4100 302592 4128
-rect 302641 4131 302699 4137
-rect 301123 4097 301135 4100
-rect 301077 4091 301135 4097
-rect 302641 4097 302653 4131
-rect 302687 4128 302699 4131
-rect 305490 4128 305496 4140
-rect 302687 4100 305496 4128
-rect 302687 4097 302699 4100
-rect 302641 4091 302699 4097
-rect 305490 4088 305496 4100
-rect 305548 4088 305554 4140
-rect 312393 4131 312451 4137
-rect 312393 4097 312405 4131
-rect 312439 4128 312451 4131
-rect 319750 4128 319756 4140
-rect 312439 4100 319756 4128
-rect 312439 4097 312451 4100
-rect 312393 4091 312451 4097
-rect 319750 4088 319756 4100
-rect 319808 4088 319814 4140
-rect 320578 4088 320584 4140
-rect 320636 4128 320642 4140
-rect 322881 4131 322939 4137
-rect 320636 4100 322832 4128
-rect 320636 4088 320642 4100
-rect 284974 4060 284980 4072
-rect 284072 4032 284980 4060
-rect 283965 4023 284023 4029
-rect 284974 4020 284980 4032
-rect 285032 4020 285038 4072
-rect 294266 4020 294272 4072
-rect 294324 4060 294330 4072
-rect 299697 4063 299755 4069
-rect 299697 4060 299709 4063
-rect 294324 4032 299709 4060
-rect 294324 4020 294330 4032
-rect 299697 4029 299709 4032
-rect 299743 4029 299755 4063
-rect 299697 4023 299755 4029
-rect 301169 4063 301227 4069
-rect 301169 4029 301181 4063
-rect 301215 4060 301227 4063
-rect 302546 4060 302552 4072
-rect 301215 4032 302552 4060
-rect 301215 4029 301227 4032
-rect 301169 4023 301227 4029
-rect 302546 4020 302552 4032
-rect 302604 4020 302610 4072
-rect 302730 4020 302736 4072
-rect 302788 4060 302794 4072
-rect 312485 4063 312543 4069
-rect 312485 4060 312497 4063
-rect 302788 4032 312497 4060
-rect 302788 4020 302794 4032
-rect 312485 4029 312497 4032
-rect 312531 4029 312543 4063
-rect 312485 4023 312543 4029
-rect 312577 4063 312635 4069
-rect 312577 4029 312589 4063
-rect 312623 4060 312635 4063
-rect 320946 4060 320952 4072
-rect 312623 4032 320952 4060
-rect 312623 4029 312635 4032
-rect 312577 4023 312635 4029
-rect 320946 4020 320952 4032
-rect 321004 4020 321010 4072
-rect 322804 4060 322832 4100
-rect 322881 4097 322893 4131
-rect 322927 4128 322939 4131
-rect 418745 4131 418803 4137
-rect 418745 4128 418757 4131
-rect 322927 4100 418757 4128
-rect 322927 4097 322939 4100
-rect 322881 4091 322939 4097
-rect 418745 4097 418757 4100
-rect 418791 4097 418803 4131
-rect 418745 4091 418803 4097
-rect 418834 4088 418840 4140
-rect 418892 4128 418898 4140
-rect 505685 4131 505743 4137
-rect 505685 4128 505697 4131
-rect 418892 4100 505697 4128
-rect 418892 4088 418898 4100
-rect 505685 4097 505697 4100
-rect 505731 4097 505743 4131
-rect 505685 4091 505743 4097
-rect 515069 4131 515127 4137
-rect 515069 4097 515081 4131
-rect 515115 4128 515127 4131
-rect 515360 4128 515388 4168
-rect 515115 4100 515388 4128
-rect 515437 4131 515495 4137
-rect 515115 4097 515127 4100
-rect 515069 4091 515127 4097
-rect 515437 4097 515449 4131
-rect 515483 4128 515495 4131
-rect 516188 4128 516216 4168
-rect 523162 4156 523168 4168
-rect 523220 4156 523226 4208
-rect 515483 4100 516216 4128
-rect 515483 4097 515495 4100
-rect 515437 4091 515495 4097
-rect 577994 4088 578000 4140
-rect 578052 4128 578058 4140
-rect 579098 4128 579104 4140
-rect 578052 4100 579104 4128
-rect 578052 4088 578058 4100
-rect 579098 4088 579104 4100
-rect 579156 4088 579162 4140
-rect 579374 4088 579380 4140
-rect 579432 4128 579438 4140
-rect 580294 4128 580300 4140
-rect 579432 4100 580300 4128
-rect 579432 4088 579438 4100
-rect 580294 4088 580300 4100
-rect 580352 4088 580358 4140
-rect 436314 4060 436320 4072
-rect 322804 4032 436320 4060
-rect 436314 4020 436320 4032
-rect 436372 4020 436378 4072
-rect 505593 4063 505651 4069
-rect 505593 4029 505605 4063
-rect 505639 4060 505651 4063
-rect 512490 4060 512496 4072
-rect 505639 4032 512496 4060
-rect 505639 4029 505651 4032
-rect 505593 4023 505651 4029
-rect 512490 4020 512496 4032
-rect 512548 4020 512554 4072
-rect 239342 3992 239348 4004
-rect 235588 3964 239348 3992
-rect 232905 3955 232963 3961
-rect 239342 3952 239348 3964
-rect 239400 3952 239406 4004
-rect 267494 3952 267500 4004
-rect 267552 3992 267558 4004
-rect 284882 3992 284888 4004
-rect 267552 3964 284888 3992
-rect 267552 3952 267558 3964
-rect 284882 3952 284888 3964
-rect 284940 3952 284946 4004
-rect 286446 3952 286452 4004
-rect 286504 3992 286510 4004
-rect 289114 3992 289120 4004
-rect 286504 3964 289120 3992
-rect 286504 3952 286510 3964
-rect 289114 3952 289120 3964
-rect 289172 3952 289178 4004
-rect 295094 3952 295100 4004
-rect 295152 3992 295158 4004
-rect 302457 3995 302515 4001
-rect 302457 3992 302469 3995
-rect 295152 3964 302469 3992
-rect 295152 3952 295158 3964
-rect 302457 3961 302469 3964
-rect 302503 3961 302515 3995
-rect 304294 3992 304300 4004
-rect 302457 3955 302515 3961
-rect 302564 3964 304300 3992
-rect 46234 3884 46240 3936
-rect 46292 3924 46298 3936
-rect 234929 3927 234987 3933
-rect 234929 3924 234941 3927
-rect 46292 3896 234941 3924
-rect 46292 3884 46298 3896
-rect 234929 3893 234941 3896
-rect 234975 3893 234987 3927
-rect 234929 3887 234987 3893
-rect 266298 3884 266304 3936
-rect 266356 3924 266362 3936
-rect 284054 3924 284060 3936
-rect 266356 3896 284060 3924
-rect 266356 3884 266362 3896
-rect 284054 3884 284060 3896
-rect 284112 3884 284118 3936
-rect 284149 3927 284207 3933
-rect 284149 3893 284161 3927
-rect 284195 3924 284207 3927
-rect 285250 3924 285256 3936
-rect 284195 3896 285256 3924
-rect 284195 3893 284207 3896
-rect 284149 3887 284207 3893
-rect 285250 3884 285256 3896
-rect 285308 3884 285314 3936
-rect 292794 3884 292800 3936
-rect 292852 3924 292858 3936
-rect 298406 3924 298412 3936
-rect 292852 3896 298412 3924
-rect 292852 3884 292858 3896
-rect 298406 3884 298412 3896
-rect 298464 3884 298470 3936
-rect 298501 3927 298559 3933
-rect 298501 3893 298513 3927
-rect 298547 3924 298559 3927
-rect 302564 3924 302592 3964
-rect 304294 3952 304300 3964
-rect 304352 3952 304358 4004
-rect 321866 3952 321872 4004
-rect 321924 3992 321930 4004
-rect 439902 3992 439908 4004
-rect 321924 3964 439908 3992
-rect 321924 3952 321930 3964
-rect 439902 3952 439908 3964
-rect 439960 3952 439966 4004
-rect 505777 3995 505835 4001
-rect 505777 3961 505789 3995
-rect 505823 3992 505835 3995
-rect 516078 3992 516084 4004
-rect 505823 3964 516084 3992
-rect 505823 3961 505835 3964
-rect 505777 3955 505835 3961
-rect 516078 3952 516084 3964
-rect 516136 3952 516142 4004
-rect 298547 3896 302592 3924
-rect 298547 3893 298559 3896
-rect 298501 3887 298559 3893
-rect 320486 3884 320492 3936
-rect 320544 3924 320550 3936
-rect 322881 3927 322939 3933
-rect 322881 3924 322893 3927
-rect 320544 3896 322893 3924
-rect 320544 3884 320550 3896
-rect 322881 3893 322893 3896
-rect 322927 3893 322939 3927
-rect 322881 3887 322939 3893
-rect 322970 3884 322976 3936
-rect 323028 3924 323034 3936
-rect 324169 3927 324227 3933
-rect 324169 3924 324181 3927
-rect 323028 3896 324181 3924
-rect 323028 3884 323034 3896
-rect 324169 3893 324181 3896
-rect 324215 3893 324227 3927
-rect 324169 3887 324227 3893
-rect 324258 3884 324264 3936
-rect 324316 3924 324322 3936
-rect 325917 3927 325975 3933
-rect 325917 3924 325929 3927
-rect 324316 3896 325929 3924
-rect 324316 3884 324322 3896
-rect 325917 3893 325929 3896
-rect 325963 3893 325975 3927
-rect 325917 3887 325975 3893
-rect 326009 3927 326067 3933
-rect 326009 3893 326021 3927
-rect 326055 3924 326067 3927
-rect 443490 3924 443496 3936
-rect 326055 3896 443496 3924
-rect 326055 3893 326067 3896
-rect 326009 3887 326067 3893
-rect 443490 3884 443496 3896
-rect 443548 3884 443554 3936
-rect 1600 3760 583316 3856
-rect 42646 3680 42652 3732
-rect 42704 3720 42710 3732
-rect 230329 3723 230387 3729
-rect 230329 3720 230341 3723
-rect 42704 3692 230341 3720
-rect 42704 3680 42710 3692
-rect 230329 3689 230341 3692
-rect 230375 3689 230387 3723
-rect 230329 3683 230387 3689
-rect 230418 3680 230424 3732
-rect 230476 3720 230482 3732
-rect 235202 3720 235208 3732
-rect 230476 3692 235208 3720
-rect 230476 3680 230482 3692
-rect 235202 3680 235208 3692
-rect 235260 3680 235266 3732
-rect 235297 3723 235355 3729
-rect 235297 3689 235309 3723
-rect 235343 3720 235355 3723
-rect 239710 3720 239716 3732
-rect 235343 3692 239716 3720
-rect 235343 3689 235355 3692
-rect 235297 3683 235355 3689
-rect 239710 3680 239716 3692
-rect 239768 3680 239774 3732
-rect 249557 3723 249615 3729
-rect 249557 3689 249569 3723
-rect 249603 3720 249615 3723
-rect 254798 3720 254804 3732
-rect 249603 3692 254804 3720
-rect 249603 3689 249615 3692
-rect 249557 3683 249615 3689
-rect 254798 3680 254804 3692
-rect 254856 3680 254862 3732
-rect 263906 3680 263912 3732
-rect 263964 3720 263970 3732
-rect 283686 3720 283692 3732
-rect 263964 3692 283692 3720
-rect 263964 3680 263970 3692
-rect 283686 3680 283692 3692
-rect 283744 3680 283750 3732
-rect 292886 3680 292892 3732
-rect 292944 3720 292950 3732
-rect 299602 3720 299608 3732
-rect 292944 3692 299608 3720
-rect 292944 3680 292950 3692
-rect 299602 3680 299608 3692
-rect 299660 3680 299666 3732
-rect 299697 3723 299755 3729
-rect 299697 3689 299709 3723
-rect 299743 3720 299755 3723
-rect 311470 3720 311476 3732
-rect 299743 3692 311476 3720
-rect 299743 3689 299755 3692
-rect 299697 3683 299755 3689
-rect 311470 3680 311476 3692
-rect 311528 3680 311534 3732
-rect 324626 3680 324632 3732
-rect 324684 3720 324690 3732
-rect 331529 3723 331587 3729
-rect 331529 3720 331541 3723
-rect 324684 3692 331541 3720
-rect 324684 3680 324690 3692
-rect 331529 3689 331541 3692
-rect 331575 3689 331587 3723
-rect 331529 3683 331587 3689
-rect 331621 3723 331679 3729
-rect 331621 3689 331633 3723
-rect 331667 3720 331679 3723
-rect 447078 3720 447084 3732
-rect 331667 3692 447084 3720
-rect 331667 3689 331679 3692
-rect 331621 3683 331679 3689
-rect 447078 3680 447084 3692
-rect 447136 3680 447142 3732
-rect 38966 3612 38972 3664
-rect 39024 3652 39030 3664
-rect 44765 3655 44823 3661
-rect 39024 3624 44716 3652
-rect 39024 3612 39030 3624
-rect 29582 3544 29588 3596
-rect 29640 3584 29646 3596
-rect 30778 3584 30784 3596
-rect 29640 3556 30784 3584
-rect 29640 3544 29646 3556
-rect 30778 3544 30784 3556
-rect 30836 3544 30842 3596
-rect 36666 3544 36672 3596
-rect 36724 3584 36730 3596
-rect 37678 3584 37684 3596
-rect 36724 3556 37684 3584
-rect 36724 3544 36730 3556
-rect 37678 3544 37684 3556
-rect 37736 3544 37742 3596
-rect 37862 3544 37868 3596
-rect 37920 3584 37926 3596
-rect 39058 3584 39064 3596
-rect 37920 3556 39064 3584
-rect 37920 3544 37926 3556
-rect 39058 3544 39064 3556
-rect 39116 3544 39122 3596
-rect 43842 3544 43848 3596
-rect 43900 3584 43906 3596
-rect 44578 3584 44584 3596
-rect 43900 3556 44584 3584
-rect 43900 3544 43906 3556
-rect 44578 3544 44584 3556
-rect 44636 3544 44642 3596
-rect 44688 3584 44716 3624
-rect 44765 3621 44777 3655
-rect 44811 3652 44823 3655
-rect 138881 3655 138939 3661
-rect 138881 3652 138893 3655
-rect 44811 3624 138893 3652
-rect 44811 3621 44823 3624
-rect 44765 3615 44823 3621
-rect 138881 3621 138893 3624
-rect 138927 3621 138939 3655
-rect 138881 3615 138939 3621
-rect 138970 3612 138976 3664
-rect 139028 3652 139034 3664
-rect 139798 3652 139804 3664
-rect 139028 3624 139804 3652
-rect 139028 3612 139034 3624
-rect 139798 3612 139804 3624
-rect 139856 3612 139862 3664
-rect 141362 3612 141368 3664
-rect 141420 3652 141426 3664
-rect 142558 3652 142564 3664
-rect 141420 3624 142564 3652
-rect 141420 3612 141426 3624
-rect 142558 3612 142564 3624
-rect 142616 3612 142622 3664
-rect 142745 3655 142803 3661
-rect 142745 3621 142757 3655
-rect 142791 3652 142803 3655
-rect 148449 3655 148507 3661
-rect 148449 3652 148461 3655
-rect 142791 3624 148461 3652
-rect 142791 3621 142803 3624
-rect 142745 3615 142803 3621
-rect 148449 3621 148461 3624
-rect 148495 3621 148507 3655
-rect 148449 3615 148507 3621
-rect 148538 3612 148544 3664
-rect 148596 3652 148602 3664
-rect 149458 3652 149464 3664
-rect 148596 3624 149464 3652
-rect 148596 3612 148602 3624
-rect 149458 3612 149464 3624
-rect 149516 3612 149522 3664
-rect 149553 3655 149611 3661
-rect 149553 3621 149565 3655
-rect 149599 3652 149611 3655
-rect 152129 3655 152187 3661
-rect 152129 3652 152141 3655
-rect 149599 3624 152141 3652
-rect 149599 3621 149611 3624
-rect 149553 3615 149611 3621
-rect 152129 3621 152141 3624
-rect 152175 3621 152187 3655
-rect 152129 3615 152187 3621
-rect 152221 3655 152279 3661
-rect 152221 3621 152233 3655
-rect 152267 3652 152279 3655
-rect 159121 3655 159179 3661
-rect 159121 3652 159133 3655
-rect 152267 3624 159133 3652
-rect 152267 3621 152279 3624
-rect 152221 3615 152279 3621
-rect 159121 3621 159133 3624
-rect 159167 3621 159179 3655
-rect 159121 3615 159179 3621
-rect 159210 3612 159216 3664
-rect 159268 3652 159274 3664
-rect 160498 3652 160504 3664
-rect 159268 3624 160504 3652
-rect 159268 3612 159274 3624
-rect 160498 3612 160504 3624
-rect 160556 3612 160562 3664
-rect 162065 3655 162123 3661
-rect 162065 3621 162077 3655
-rect 162111 3652 162123 3655
-rect 162709 3655 162767 3661
-rect 162709 3652 162721 3655
-rect 162111 3624 162721 3652
-rect 162111 3621 162123 3624
-rect 162065 3615 162123 3621
-rect 162709 3621 162721 3624
-rect 162755 3621 162767 3655
-rect 162709 3615 162767 3621
-rect 162798 3612 162804 3664
-rect 162856 3652 162862 3664
-rect 163258 3652 163264 3664
-rect 162856 3624 163264 3652
-rect 162856 3612 162862 3624
-rect 163258 3612 163264 3624
-rect 163316 3612 163322 3664
-rect 163353 3655 163411 3661
-rect 163353 3621 163365 3655
-rect 163399 3652 163411 3655
-rect 166297 3655 166355 3661
-rect 166297 3652 166309 3655
-rect 163399 3624 166309 3652
-rect 163399 3621 163411 3624
-rect 163353 3615 163411 3621
-rect 166297 3621 166309 3624
-rect 166343 3621 166355 3655
-rect 166297 3615 166355 3621
-rect 166386 3612 166392 3664
-rect 166444 3652 166450 3664
-rect 167214 3652 167220 3664
-rect 166444 3624 167220 3652
-rect 166444 3612 166450 3624
-rect 167214 3612 167220 3624
-rect 167272 3612 167278 3664
-rect 167401 3655 167459 3661
-rect 167401 3621 167413 3655
-rect 167447 3652 167459 3655
-rect 167493 3655 167551 3661
-rect 167493 3652 167505 3655
-rect 167447 3624 167505 3652
-rect 167447 3621 167459 3624
-rect 167401 3615 167459 3621
-rect 167493 3621 167505 3624
-rect 167539 3621 167551 3655
-rect 167493 3615 167551 3621
-rect 167585 3655 167643 3661
-rect 167585 3621 167597 3655
-rect 167631 3652 167643 3655
-rect 176969 3655 177027 3661
-rect 176969 3652 176981 3655
-rect 167631 3624 176981 3652
-rect 167631 3621 167643 3624
-rect 167585 3615 167643 3621
-rect 176969 3621 176981 3624
-rect 177015 3621 177027 3655
-rect 176969 3615 177027 3621
-rect 177061 3655 177119 3661
-rect 177061 3621 177073 3655
-rect 177107 3652 177119 3655
-rect 181109 3655 181167 3661
-rect 181109 3652 181121 3655
-rect 177107 3624 181121 3652
-rect 177107 3621 177119 3624
-rect 177061 3615 177119 3621
-rect 181109 3621 181121 3624
-rect 181155 3621 181167 3655
-rect 210270 3652 210276 3664
-rect 181109 3615 181167 3621
-rect 181308 3624 210276 3652
-rect 181201 3587 181259 3593
-rect 181201 3584 181213 3587
-rect 44688 3556 181213 3584
-rect 181201 3553 181213 3556
-rect 181247 3553 181259 3587
-rect 181201 3547 181259 3553
-rect 10538 3476 10544 3528
-rect 10596 3516 10602 3528
-rect 11458 3516 11464 3528
-rect 10596 3488 11464 3516
-rect 10596 3476 10602 3488
-rect 11458 3476 11464 3488
-rect 11516 3476 11522 3528
-rect 11734 3476 11740 3528
-rect 11792 3516 11798 3528
-rect 12838 3516 12844 3528
-rect 11792 3488 12844 3516
-rect 11792 3476 11798 3488
-rect 12838 3476 12844 3488
-rect 12896 3476 12902 3528
-rect 18818 3476 18824 3528
-rect 18876 3516 18882 3528
-rect 19738 3516 19744 3528
-rect 18876 3488 19744 3516
-rect 18876 3476 18882 3488
-rect 19738 3476 19744 3488
-rect 19796 3476 19802 3528
-rect 20014 3476 20020 3528
-rect 20072 3516 20078 3528
-rect 21118 3516 21124 3528
-rect 20072 3488 21124 3516
-rect 20072 3476 20078 3488
-rect 21118 3476 21124 3488
-rect 21176 3476 21182 3528
-rect 34366 3476 34372 3528
-rect 34424 3516 34430 3528
-rect 34918 3516 34924 3528
-rect 34424 3488 34924 3516
-rect 34424 3476 34430 3488
-rect 34918 3476 34924 3488
-rect 34976 3476 34982 3528
-rect 35470 3476 35476 3528
-rect 35528 3516 35534 3528
-rect 36393 3519 36451 3525
-rect 36393 3516 36405 3519
-rect 35528 3488 36405 3516
-rect 35528 3476 35534 3488
-rect 36393 3485 36405 3488
-rect 36439 3485 36451 3519
-rect 36393 3479 36451 3485
-rect 45961 3519 46019 3525
-rect 45961 3485 45973 3519
-rect 46007 3516 46019 3519
-rect 56265 3519 56323 3525
-rect 56265 3516 56277 3519
-rect 46007 3488 56277 3516
-rect 46007 3485 46019 3488
-rect 45961 3479 46019 3485
-rect 56265 3485 56277 3488
-rect 56311 3485 56323 3519
-rect 56265 3479 56323 3485
-rect 65189 3519 65247 3525
-rect 65189 3485 65201 3519
-rect 65235 3485 65247 3519
-rect 65189 3479 65247 3485
-rect 65281 3519 65339 3525
-rect 65281 3485 65293 3519
-rect 65327 3516 65339 3519
-rect 75033 3519 75091 3525
-rect 75033 3516 75045 3519
-rect 65327 3488 75045 3516
-rect 65327 3485 65339 3488
-rect 65281 3479 65339 3485
-rect 75033 3485 75045 3488
-rect 75079 3485 75091 3519
-rect 75033 3479 75091 3485
-rect 45869 3451 45927 3457
-rect 45869 3417 45881 3451
-rect 45915 3448 45927 3451
-rect 56357 3451 56415 3457
-rect 56357 3448 56369 3451
-rect 45915 3420 56369 3448
-rect 45915 3417 45927 3420
-rect 45869 3411 45927 3417
-rect 56357 3417 56369 3420
-rect 56403 3417 56415 3451
-rect 65204 3448 65232 3479
-rect 81930 3476 81936 3528
-rect 81988 3516 81994 3528
-rect 83034 3516 83040 3528
-rect 81988 3488 83040 3516
-rect 81988 3476 81994 3488
-rect 83034 3476 83040 3488
-rect 83092 3476 83098 3528
-rect 84601 3519 84659 3525
-rect 84601 3485 84613 3519
-rect 84647 3516 84659 3519
-rect 93709 3519 93767 3525
-rect 93709 3516 93721 3519
-rect 84647 3488 93721 3516
-rect 84647 3485 84659 3488
-rect 84601 3479 84659 3485
-rect 93709 3485 93721 3488
-rect 93755 3485 93767 3519
-rect 93709 3479 93767 3485
-rect 93798 3476 93804 3528
-rect 93856 3516 93862 3528
-rect 94258 3516 94264 3528
-rect 93856 3488 94264 3516
-rect 93856 3476 93862 3488
-rect 94258 3476 94264 3488
-rect 94316 3476 94322 3528
-rect 94994 3476 95000 3528
-rect 95052 3516 95058 3528
-rect 95638 3516 95644 3528
-rect 95052 3488 95644 3516
-rect 95052 3476 95058 3488
-rect 95638 3476 95644 3488
-rect 95696 3476 95702 3528
-rect 96190 3476 96196 3528
-rect 96248 3516 96254 3528
-rect 97018 3516 97024 3528
-rect 96248 3488 97024 3516
-rect 96248 3476 96254 3488
-rect 97018 3476 97024 3488
-rect 97076 3476 97082 3528
-rect 98582 3476 98588 3528
-rect 98640 3516 98646 3528
-rect 99778 3516 99784 3528
-rect 98640 3488 99784 3516
-rect 98640 3476 98646 3488
-rect 99778 3476 99784 3488
-rect 99836 3476 99842 3528
-rect 103921 3519 103979 3525
-rect 103921 3485 103933 3519
-rect 103967 3516 103979 3519
-rect 103967 3488 113992 3516
-rect 103967 3485 103979 3488
-rect 103921 3479 103979 3485
-rect 75125 3451 75183 3457
-rect 75125 3448 75137 3451
-rect 65204 3420 75137 3448
-rect 56357 3411 56415 3417
-rect 75125 3417 75137 3420
-rect 75171 3417 75183 3451
-rect 75125 3411 75183 3417
-rect 84509 3451 84567 3457
-rect 84509 3417 84521 3451
-rect 84555 3448 84567 3451
-rect 99686 3448 99692 3460
-rect 84555 3420 99692 3448
-rect 84555 3417 84567 3420
-rect 84509 3411 84567 3417
-rect 99686 3408 99692 3420
-rect 99744 3408 99750 3460
-rect 103826 3408 103832 3460
-rect 103884 3448 103890 3460
-rect 113854 3448 113860 3460
-rect 103884 3420 113860 3448
-rect 103884 3408 103890 3420
-rect 113854 3408 113860 3420
-rect 113912 3408 113918 3460
-rect 113964 3448 113992 3488
-rect 114038 3476 114044 3528
-rect 114096 3516 114102 3528
-rect 114958 3516 114964 3528
-rect 114096 3488 114964 3516
-rect 114096 3476 114102 3488
-rect 114958 3476 114964 3488
-rect 115016 3476 115022 3528
-rect 115234 3476 115240 3528
-rect 115292 3516 115298 3528
-rect 116338 3516 116344 3528
-rect 115292 3488 116344 3516
-rect 115292 3476 115298 3488
-rect 116338 3476 116344 3488
-rect 116396 3476 116402 3528
-rect 116430 3476 116436 3528
-rect 116488 3516 116494 3528
-rect 117442 3516 117448 3528
-rect 116488 3488 117448 3516
-rect 116488 3476 116494 3488
-rect 117442 3476 117448 3488
-rect 117500 3476 117506 3528
-rect 119926 3476 119932 3528
-rect 119984 3516 119990 3528
-rect 120478 3516 120484 3528
-rect 119984 3488 120484 3516
-rect 119984 3476 119990 3488
-rect 120478 3476 120484 3488
-rect 120536 3476 120542 3528
-rect 121122 3476 121128 3528
-rect 121180 3516 121186 3528
-rect 121858 3516 121864 3528
-rect 121180 3488 121864 3516
-rect 121180 3476 121186 3488
-rect 121858 3476 121864 3488
-rect 121916 3476 121922 3528
-rect 123514 3476 123520 3528
-rect 123572 3516 123578 3528
-rect 124618 3516 124624 3528
-rect 123572 3488 124624 3516
-rect 123572 3476 123578 3488
-rect 124618 3476 124624 3488
-rect 124676 3476 124682 3528
-rect 124710 3476 124716 3528
-rect 124768 3516 124774 3528
-rect 125814 3516 125820 3528
-rect 124768 3488 125820 3516
-rect 124768 3476 124774 3488
-rect 125814 3476 125820 3488
-rect 125872 3476 125878 3528
-rect 126090 3476 126096 3528
-rect 126148 3516 126154 3528
-rect 128209 3519 128267 3525
-rect 128209 3516 128221 3519
-rect 126148 3488 128221 3516
-rect 126148 3476 126154 3488
-rect 128209 3485 128221 3488
-rect 128255 3485 128267 3519
-rect 128209 3479 128267 3485
-rect 128298 3476 128304 3528
-rect 128356 3516 128362 3528
-rect 128758 3516 128764 3528
-rect 128356 3488 128764 3516
-rect 128356 3476 128362 3488
-rect 128758 3476 128764 3488
-rect 128816 3476 128822 3528
-rect 128945 3519 129003 3525
-rect 128945 3485 128957 3519
-rect 128991 3516 129003 3519
-rect 138329 3519 138387 3525
-rect 138329 3516 138341 3519
-rect 128991 3488 138341 3516
-rect 128991 3485 129003 3488
-rect 128945 3479 129003 3485
-rect 138329 3485 138341 3488
-rect 138375 3485 138387 3519
-rect 138329 3479 138387 3485
-rect 138418 3476 138424 3528
-rect 138476 3516 138482 3528
-rect 138789 3519 138847 3525
-rect 138789 3516 138801 3519
-rect 138476 3488 138801 3516
-rect 138476 3476 138482 3488
-rect 138789 3485 138801 3488
-rect 138835 3485 138847 3519
-rect 138789 3479 138847 3485
-rect 138881 3519 138939 3525
-rect 138881 3485 138893 3519
-rect 138927 3516 138939 3519
-rect 147989 3519 148047 3525
-rect 147989 3516 148001 3519
-rect 138927 3488 148001 3516
-rect 138927 3485 138939 3488
-rect 138881 3479 138939 3485
-rect 147989 3485 148001 3488
-rect 148035 3485 148047 3519
-rect 147989 3479 148047 3485
-rect 148081 3519 148139 3525
-rect 148081 3485 148093 3519
-rect 148127 3516 148139 3519
-rect 157646 3516 157652 3528
-rect 148127 3488 157652 3516
-rect 148127 3485 148139 3488
-rect 148081 3479 148139 3485
-rect 157646 3476 157652 3488
-rect 157704 3476 157710 3528
-rect 157741 3519 157799 3525
-rect 157741 3485 157753 3519
-rect 157787 3516 157799 3519
-rect 157833 3519 157891 3525
-rect 157833 3516 157845 3519
-rect 157787 3488 157845 3516
-rect 157787 3485 157799 3488
-rect 157741 3479 157799 3485
-rect 157833 3485 157845 3488
-rect 157879 3485 157891 3519
-rect 161605 3519 161663 3525
-rect 161605 3516 161617 3519
-rect 157833 3479 157891 3485
-rect 157940 3488 161617 3516
-rect 128850 3448 128856 3460
-rect 113964 3420 128856 3448
-rect 128850 3408 128856 3420
-rect 128908 3408 128914 3460
-rect 129037 3451 129095 3457
-rect 129037 3417 129049 3451
-rect 129083 3448 129095 3451
-rect 132809 3451 132867 3457
-rect 132809 3448 132821 3451
-rect 129083 3420 132821 3448
-rect 129083 3417 129095 3420
-rect 129037 3411 129095 3417
-rect 132809 3417 132821 3420
-rect 132855 3417 132867 3451
-rect 132809 3411 132867 3417
-rect 133361 3451 133419 3457
-rect 133361 3417 133373 3451
-rect 133407 3448 133419 3451
-rect 142377 3451 142435 3457
-rect 142377 3448 142389 3451
-rect 133407 3420 142389 3448
-rect 133407 3417 133419 3420
-rect 133361 3411 133419 3417
-rect 142377 3417 142389 3420
-rect 142423 3417 142435 3451
-rect 142377 3411 142435 3417
-rect 142561 3451 142619 3457
-rect 142561 3417 142573 3451
-rect 142607 3448 142619 3451
-rect 152313 3451 152371 3457
-rect 152313 3448 152325 3451
-rect 142607 3420 152325 3448
-rect 142607 3417 142619 3420
-rect 142561 3411 142619 3417
-rect 152313 3417 152325 3420
-rect 152359 3417 152371 3451
-rect 152313 3411 152371 3417
-rect 152497 3451 152555 3457
-rect 152497 3417 152509 3451
-rect 152543 3448 152555 3451
-rect 157940 3448 157968 3488
-rect 161605 3485 161617 3488
-rect 161651 3485 161663 3519
-rect 161605 3479 161663 3485
-rect 161881 3519 161939 3525
-rect 161881 3485 161893 3519
-rect 161927 3516 161939 3519
-rect 177153 3519 177211 3525
-rect 177153 3516 177165 3519
-rect 161927 3488 177165 3516
-rect 161927 3485 161939 3488
-rect 161881 3479 161939 3485
-rect 177153 3485 177165 3488
-rect 177199 3485 177211 3519
-rect 177153 3479 177211 3485
-rect 177245 3519 177303 3525
-rect 177245 3485 177257 3519
-rect 177291 3516 177303 3519
-rect 181308 3516 181336 3624
-rect 210270 3612 210276 3624
-rect 210328 3612 210334 3664
-rect 210365 3655 210423 3661
-rect 210365 3621 210377 3655
-rect 210411 3652 210423 3655
-rect 215790 3652 215796 3664
-rect 210411 3624 215796 3652
-rect 210411 3621 210423 3624
-rect 210365 3615 210423 3621
-rect 215790 3612 215796 3624
-rect 215848 3612 215854 3664
-rect 215885 3655 215943 3661
-rect 215885 3621 215897 3655
-rect 215931 3652 215943 3655
-rect 219933 3655 219991 3661
-rect 219933 3652 219945 3655
-rect 215931 3624 219945 3652
-rect 215931 3621 215943 3624
-rect 215885 3615 215943 3621
-rect 219933 3621 219945 3624
-rect 219979 3621 219991 3655
-rect 219933 3615 219991 3621
-rect 220022 3612 220028 3664
-rect 220080 3652 220086 3664
-rect 224530 3652 224536 3664
-rect 220080 3624 224536 3652
-rect 220080 3612 220086 3624
-rect 224530 3612 224536 3624
-rect 224588 3612 224594 3664
-rect 225174 3612 225180 3664
-rect 225232 3652 225238 3664
-rect 225450 3652 225456 3664
-rect 225232 3624 225456 3652
-rect 225232 3612 225238 3624
-rect 225450 3612 225456 3624
-rect 225508 3612 225514 3664
-rect 234834 3652 234840 3664
-rect 225560 3624 234840 3652
-rect 181477 3587 181535 3593
-rect 181477 3553 181489 3587
-rect 181523 3584 181535 3587
-rect 225560 3584 225588 3624
-rect 234834 3612 234840 3624
-rect 234892 3612 234898 3664
-rect 234926 3612 234932 3664
-rect 234984 3652 234990 3664
-rect 234984 3624 241228 3652
-rect 234984 3612 234990 3624
-rect 181523 3556 225588 3584
-rect 181523 3553 181535 3556
-rect 181477 3547 181535 3553
-rect 225634 3544 225640 3596
-rect 225692 3584 225698 3596
-rect 234742 3584 234748 3596
-rect 225692 3556 234748 3584
-rect 225692 3544 225698 3556
-rect 234742 3544 234748 3556
-rect 234800 3544 234806 3596
-rect 235021 3587 235079 3593
-rect 235021 3553 235033 3587
-rect 235067 3584 235079 3587
-rect 235297 3587 235355 3593
-rect 235297 3584 235309 3587
-rect 235067 3556 235309 3584
-rect 235067 3553 235079 3556
-rect 235021 3547 235079 3553
-rect 235297 3553 235309 3556
-rect 235343 3553 235355 3587
-rect 235297 3547 235355 3553
-rect 235386 3544 235392 3596
-rect 235444 3584 235450 3596
-rect 238422 3584 238428 3596
-rect 235444 3556 238428 3584
-rect 235444 3544 235450 3556
-rect 238422 3544 238428 3556
-rect 238480 3544 238486 3596
-rect 177291 3488 181336 3516
-rect 181385 3519 181443 3525
-rect 177291 3485 177303 3488
-rect 177245 3479 177303 3485
-rect 181385 3485 181397 3519
-rect 181431 3516 181443 3519
-rect 186721 3519 186779 3525
-rect 181431 3488 186672 3516
-rect 181431 3485 181443 3488
-rect 181385 3479 181443 3485
-rect 152543 3420 157968 3448
-rect 152543 3417 152555 3420
-rect 152497 3411 152555 3417
-rect 158106 3408 158112 3460
-rect 158164 3448 158170 3460
-rect 186534 3448 186540 3460
-rect 158164 3420 186540 3448
-rect 158164 3408 158170 3420
-rect 186534 3408 186540 3420
-rect 186592 3408 186598 3460
-rect 186644 3448 186672 3488
-rect 186721 3485 186733 3519
-rect 186767 3516 186779 3519
-rect 206133 3519 206191 3525
-rect 206133 3516 206145 3519
-rect 186767 3488 206145 3516
-rect 186767 3485 186779 3488
-rect 186721 3479 186779 3485
-rect 206133 3485 206145 3488
-rect 206179 3485 206191 3519
-rect 206133 3479 206191 3485
-rect 206222 3476 206228 3528
-rect 206280 3516 206286 3528
-rect 236674 3516 236680 3528
-rect 206280 3488 236680 3516
-rect 206280 3476 206286 3488
-rect 236674 3476 236680 3488
-rect 236732 3476 236738 3528
-rect 236766 3476 236772 3528
-rect 236824 3516 236830 3528
-rect 241090 3516 241096 3528
-rect 236824 3488 241096 3516
-rect 236824 3476 236830 3488
-rect 241090 3476 241096 3488
-rect 241148 3476 241154 3528
-rect 241200 3516 241228 3624
-rect 243666 3612 243672 3664
-rect 243724 3652 243730 3664
-rect 243724 3624 247208 3652
-rect 243724 3612 243730 3624
-rect 247180 3584 247208 3624
-rect 247254 3612 247260 3664
-rect 247312 3652 247318 3664
-rect 278721 3655 278779 3661
-rect 247312 3624 278672 3652
-rect 247312 3612 247318 3624
-rect 268877 3587 268935 3593
-rect 268877 3584 268889 3587
-rect 247180 3556 268889 3584
-rect 268877 3553 268889 3556
-rect 268923 3553 268935 3587
-rect 268877 3547 268935 3553
-rect 273382 3544 273388 3596
-rect 273440 3584 273446 3596
-rect 278442 3584 278448 3596
-rect 273440 3556 278448 3584
-rect 273440 3544 273446 3556
-rect 278442 3544 278448 3556
-rect 278500 3544 278506 3596
-rect 278644 3584 278672 3624
-rect 278721 3621 278733 3655
-rect 278767 3652 278779 3655
-rect 285158 3652 285164 3664
-rect 278767 3624 285164 3652
-rect 278767 3621 278779 3624
-rect 278721 3615 278779 3621
-rect 285158 3612 285164 3624
-rect 285216 3612 285222 3664
-rect 285250 3612 285256 3664
-rect 285308 3652 285314 3664
-rect 289666 3652 289672 3664
-rect 285308 3624 289672 3652
-rect 285308 3612 285314 3624
-rect 289666 3612 289672 3624
-rect 289724 3612 289730 3664
-rect 295738 3612 295744 3664
-rect 295796 3652 295802 3664
-rect 313862 3652 313868 3664
-rect 295796 3624 313868 3652
-rect 295796 3612 295802 3624
-rect 313862 3612 313868 3624
-rect 313920 3612 313926 3664
-rect 323246 3612 323252 3664
-rect 323304 3652 323310 3664
-rect 450666 3652 450672 3664
-rect 323304 3624 450672 3652
-rect 323304 3612 323310 3624
-rect 450666 3612 450672 3624
-rect 450724 3612 450730 3664
-rect 281018 3584 281024 3596
-rect 278644 3556 281024 3584
-rect 281018 3544 281024 3556
-rect 281076 3544 281082 3596
-rect 282950 3544 282956 3596
-rect 283008 3584 283014 3596
-rect 287918 3584 287924 3596
-rect 283008 3556 287924 3584
-rect 283008 3544 283014 3556
-rect 287918 3544 287924 3556
-rect 287976 3544 287982 3596
-rect 297118 3544 297124 3596
-rect 297176 3584 297182 3596
-rect 300985 3587 301043 3593
-rect 297176 3556 300936 3584
-rect 297176 3544 297182 3556
-rect 249557 3519 249615 3525
-rect 249557 3516 249569 3519
-rect 241200 3488 249569 3516
-rect 249557 3485 249569 3488
-rect 249603 3485 249615 3519
-rect 249557 3479 249615 3485
-rect 265102 3476 265108 3528
-rect 265160 3516 265166 3528
-rect 278537 3519 278595 3525
-rect 278537 3516 278549 3519
-rect 265160 3488 278549 3516
-rect 265160 3476 265166 3488
-rect 278537 3485 278549 3488
-rect 278583 3485 278595 3519
-rect 278537 3479 278595 3485
-rect 278629 3519 278687 3525
-rect 278629 3485 278641 3519
-rect 278675 3516 278687 3519
-rect 282306 3516 282312 3528
-rect 278675 3488 282312 3516
-rect 278675 3485 278687 3488
-rect 278629 3479 278687 3485
-rect 282306 3476 282312 3488
-rect 282364 3476 282370 3528
-rect 292702 3476 292708 3528
-rect 292760 3516 292766 3528
-rect 300798 3516 300804 3528
-rect 292760 3488 300804 3516
-rect 292760 3476 292766 3488
-rect 300798 3476 300804 3488
-rect 300856 3476 300862 3528
-rect 300908 3516 300936 3556
-rect 300985 3553 300997 3587
-rect 301031 3584 301043 3587
-rect 307882 3584 307888 3596
-rect 301031 3556 307888 3584
-rect 301031 3553 301043 3556
-rect 300985 3547 301043 3553
-rect 307882 3544 307888 3556
-rect 307940 3544 307946 3596
-rect 309538 3544 309544 3596
-rect 309596 3584 309602 3596
-rect 370445 3587 370503 3593
-rect 370445 3584 370457 3587
-rect 309596 3556 370457 3584
-rect 309596 3544 309602 3556
-rect 370445 3553 370457 3556
-rect 370491 3553 370503 3587
-rect 370445 3547 370503 3553
-rect 370626 3544 370632 3596
-rect 370684 3584 370690 3596
-rect 383969 3587 384027 3593
-rect 383969 3584 383981 3587
-rect 370684 3556 383981 3584
-rect 370684 3544 370690 3556
-rect 383969 3553 383981 3556
-rect 384015 3553 384027 3587
-rect 383969 3547 384027 3553
-rect 384061 3587 384119 3593
-rect 384061 3553 384073 3587
-rect 384107 3584 384119 3587
-rect 454162 3584 454168 3596
-rect 384107 3556 454168 3584
-rect 384107 3553 384119 3556
-rect 384061 3547 384119 3553
-rect 454162 3544 454168 3556
-rect 454220 3544 454226 3596
-rect 318554 3516 318560 3528
-rect 300908 3488 318560 3516
-rect 318554 3476 318560 3488
-rect 318612 3476 318618 3528
-rect 321774 3476 321780 3528
-rect 321832 3516 321838 3528
-rect 326009 3519 326067 3525
-rect 326009 3516 326021 3519
-rect 321832 3488 326021 3516
-rect 321832 3476 321838 3488
-rect 326009 3485 326021 3488
-rect 326055 3485 326067 3519
-rect 326009 3479 326067 3485
-rect 326098 3476 326104 3528
-rect 326156 3516 326162 3528
-rect 326193 3519 326251 3525
-rect 326193 3516 326205 3519
-rect 326156 3488 326205 3516
-rect 326156 3476 326162 3488
-rect 326193 3485 326205 3488
-rect 326239 3485 326251 3519
-rect 326193 3479 326251 3485
-rect 326285 3519 326343 3525
-rect 326285 3485 326297 3519
-rect 326331 3516 326343 3519
-rect 341373 3519 341431 3525
-rect 341373 3516 341385 3519
-rect 326331 3488 341385 3516
-rect 326331 3485 326343 3488
-rect 326285 3479 326343 3485
-rect 341373 3485 341385 3488
-rect 341419 3485 341431 3519
-rect 341373 3479 341431 3485
-rect 341462 3476 341468 3528
-rect 341520 3516 341526 3528
-rect 350757 3519 350815 3525
-rect 350757 3516 350769 3519
-rect 341520 3488 350769 3516
-rect 341520 3476 341526 3488
-rect 350757 3485 350769 3488
-rect 350803 3485 350815 3519
-rect 350757 3479 350815 3485
-rect 351122 3476 351128 3528
-rect 351180 3516 351186 3528
-rect 360506 3516 360512 3528
-rect 351180 3488 360512 3516
-rect 351180 3476 351186 3488
-rect 360506 3476 360512 3488
-rect 360564 3476 360570 3528
-rect 360598 3476 360604 3528
-rect 360656 3516 360662 3528
-rect 360693 3519 360751 3525
-rect 360693 3516 360705 3519
-rect 360656 3488 360705 3516
-rect 360656 3476 360662 3488
-rect 360693 3485 360705 3488
-rect 360739 3485 360751 3519
-rect 360693 3479 360751 3485
-rect 360782 3476 360788 3528
-rect 360840 3516 360846 3528
-rect 370166 3516 370172 3528
-rect 360840 3488 370172 3516
-rect 360840 3476 360846 3488
-rect 370166 3476 370172 3488
-rect 370224 3476 370230 3528
-rect 370258 3476 370264 3528
-rect 370316 3516 370322 3528
-rect 370353 3519 370411 3525
-rect 370353 3516 370365 3519
-rect 370316 3488 370365 3516
-rect 370316 3476 370322 3488
-rect 370353 3485 370365 3488
-rect 370399 3485 370411 3519
-rect 370353 3479 370411 3485
-rect 370534 3476 370540 3528
-rect 370592 3516 370598 3528
-rect 457750 3516 457756 3528
-rect 370592 3488 457756 3516
-rect 370592 3476 370598 3488
-rect 457750 3476 457756 3488
-rect 457808 3476 457814 3528
-rect 186810 3448 186816 3460
-rect 186644 3420 186816 3448
-rect 186810 3408 186816 3420
-rect 186868 3408 186874 3460
-rect 187089 3451 187147 3457
-rect 187089 3417 187101 3451
-rect 187135 3448 187147 3451
-rect 196286 3448 196292 3460
-rect 187135 3420 196292 3448
-rect 187135 3417 187147 3420
-rect 187089 3411 187147 3417
-rect 196286 3408 196292 3420
-rect 196344 3408 196350 3460
-rect 196378 3408 196384 3460
-rect 196436 3448 196442 3460
-rect 196470 3448 196476 3460
-rect 196436 3420 196476 3448
-rect 196436 3408 196442 3420
-rect 196470 3408 196476 3420
-rect 196528 3408 196534 3460
-rect 206038 3408 206044 3460
-rect 206096 3448 206102 3460
-rect 209905 3451 209963 3457
-rect 209905 3448 209917 3451
-rect 206096 3420 209917 3448
-rect 206096 3408 206102 3420
-rect 209905 3417 209917 3420
-rect 209951 3417 209963 3451
-rect 209905 3411 209963 3417
-rect 210181 3451 210239 3457
-rect 210181 3417 210193 3451
-rect 210227 3448 210239 3451
-rect 225361 3451 225419 3457
-rect 225361 3448 225373 3451
-rect 210227 3420 225373 3448
-rect 210227 3417 210239 3420
-rect 210181 3411 210239 3417
-rect 225361 3417 225373 3420
-rect 225407 3417 225419 3451
-rect 225361 3411 225419 3417
-rect 225453 3451 225511 3457
-rect 225453 3417 225465 3451
-rect 225499 3448 225511 3451
-rect 254706 3448 254712 3460
-rect 225499 3420 254712 3448
-rect 225499 3417 225511 3420
-rect 225453 3411 225511 3417
-rect 254706 3408 254712 3420
-rect 254764 3408 254770 3460
-rect 261514 3408 261520 3460
-rect 261572 3448 261578 3460
-rect 262618 3448 262624 3460
-rect 261572 3420 262624 3448
-rect 261572 3408 261578 3420
-rect 262618 3408 262624 3420
-rect 262676 3408 262682 3460
-rect 275685 3451 275743 3457
-rect 275685 3448 275697 3451
-rect 262728 3420 275697 3448
-rect 33170 3340 33176 3392
-rect 33228 3380 33234 3392
-rect 40165 3383 40223 3389
-rect 40165 3380 40177 3383
-rect 33228 3352 40177 3380
-rect 33228 3340 33234 3352
-rect 40165 3349 40177 3352
-rect 40211 3349 40223 3383
-rect 40165 3343 40223 3349
-rect 40254 3340 40260 3392
-rect 40312 3380 40318 3392
-rect 44765 3383 44823 3389
-rect 44765 3380 44777 3383
-rect 40312 3352 44777 3380
-rect 40312 3340 40318 3352
-rect 44765 3349 44777 3352
-rect 44811 3349 44823 3383
-rect 44765 3343 44823 3349
-rect 45038 3340 45044 3392
-rect 45096 3380 45102 3392
-rect 45958 3380 45964 3392
-rect 45096 3352 45964 3380
-rect 45096 3340 45102 3352
-rect 45958 3340 45964 3352
-rect 46016 3340 46022 3392
-rect 61690 3340 61696 3392
-rect 61748 3380 61754 3392
-rect 62518 3380 62524 3392
-rect 61748 3352 62524 3380
-rect 61748 3340 61754 3352
-rect 62518 3340 62524 3352
-rect 62576 3340 62582 3392
-rect 64082 3340 64088 3392
-rect 64140 3380 64146 3392
-rect 65186 3380 65192 3392
-rect 64140 3352 65192 3380
-rect 64140 3340 64146 3352
-rect 65186 3340 65192 3352
-rect 65244 3340 65250 3392
-rect 65278 3340 65284 3392
-rect 65336 3380 65342 3392
-rect 235110 3380 235116 3392
-rect 65336 3352 235116 3380
-rect 65336 3340 65342 3352
-rect 235110 3340 235116 3352
-rect 235168 3340 235174 3392
-rect 235202 3340 235208 3392
-rect 235260 3380 235266 3392
-rect 237042 3380 237048 3392
-rect 235260 3352 237048 3380
-rect 235260 3340 235266 3352
-rect 237042 3340 237048 3352
-rect 237100 3340 237106 3392
-rect 237134 3340 237140 3392
-rect 237192 3380 237198 3392
-rect 243850 3380 243856 3392
-rect 237192 3352 243856 3380
-rect 237192 3340 237198 3352
-rect 243850 3340 243856 3352
-rect 243908 3340 243914 3392
-rect 257926 3340 257932 3392
-rect 257984 3380 257990 3392
-rect 262728 3380 262756 3420
-rect 275685 3417 275697 3420
-rect 275731 3417 275743 3451
-rect 275685 3411 275743 3417
-rect 275774 3408 275780 3460
-rect 275832 3448 275838 3460
-rect 275832 3420 278672 3448
-rect 275832 3408 275838 3420
-rect 257984 3352 262756 3380
-rect 257984 3340 257990 3352
-rect 262894 3340 262900 3392
-rect 262952 3380 262958 3392
-rect 264553 3383 264611 3389
-rect 264553 3380 264565 3383
-rect 262952 3352 264565 3380
-rect 262952 3340 262958 3352
-rect 264553 3349 264565 3352
-rect 264599 3349 264611 3383
-rect 264553 3343 264611 3349
-rect 272186 3340 272192 3392
-rect 272244 3380 272250 3392
-rect 278537 3383 278595 3389
-rect 278537 3380 278549 3383
-rect 272244 3352 278549 3380
-rect 272244 3340 272250 3352
-rect 278537 3349 278549 3352
-rect 278583 3349 278595 3383
-rect 278644 3380 278672 3420
-rect 280098 3408 280104 3460
-rect 280156 3448 280162 3460
-rect 288102 3448 288108 3460
-rect 280156 3420 288108 3448
-rect 280156 3408 280162 3420
-rect 288102 3408 288108 3420
-rect 288160 3408 288166 3460
-rect 291414 3408 291420 3460
-rect 291472 3448 291478 3460
-rect 293622 3448 293628 3460
-rect 291472 3420 293628 3448
-rect 291472 3408 291478 3420
-rect 293622 3408 293628 3420
-rect 293680 3408 293686 3460
-rect 294358 3408 294364 3460
-rect 294416 3448 294422 3460
-rect 300985 3451 301043 3457
-rect 300985 3448 300997 3451
-rect 294416 3420 300997 3448
-rect 294416 3408 294422 3420
-rect 300985 3417 300997 3420
-rect 301031 3417 301043 3451
-rect 300985 3411 301043 3417
-rect 301077 3451 301135 3457
-rect 301077 3417 301089 3451
-rect 301123 3448 301135 3451
-rect 310274 3448 310280 3460
-rect 301123 3420 310280 3448
-rect 301123 3417 301135 3420
-rect 301077 3411 301135 3417
-rect 310274 3408 310280 3420
-rect 310332 3408 310338 3460
-rect 310918 3408 310924 3460
-rect 310976 3448 310982 3460
-rect 389946 3448 389952 3460
-rect 310976 3420 389952 3448
-rect 310976 3408 310982 3420
-rect 389946 3408 389952 3420
-rect 390004 3408 390010 3460
-rect 390041 3451 390099 3457
-rect 390041 3417 390053 3451
-rect 390087 3448 390099 3451
-rect 461338 3448 461344 3460
-rect 390087 3420 461344 3448
-rect 390087 3417 390099 3420
-rect 390041 3411 390099 3417
-rect 461338 3408 461344 3420
-rect 461396 3408 461402 3460
-rect 286722 3380 286728 3392
-rect 278644 3352 286728 3380
-rect 278537 3343 278595 3349
-rect 286722 3340 286728 3352
-rect 286780 3340 286786 3392
-rect 293714 3340 293720 3392
-rect 293772 3380 293778 3392
-rect 298501 3383 298559 3389
-rect 298501 3380 298513 3383
-rect 293772 3352 298513 3380
-rect 293772 3340 293778 3352
-rect 298501 3349 298513 3352
-rect 298547 3349 298559 3383
-rect 298501 3343 298559 3349
-rect 298593 3383 298651 3389
-rect 298593 3349 298605 3383
-rect 298639 3380 298651 3383
-rect 303098 3380 303104 3392
-rect 298639 3352 303104 3380
-rect 298639 3349 298651 3352
-rect 298593 3343 298651 3349
-rect 303098 3340 303104 3352
-rect 303156 3340 303162 3392
-rect 319106 3340 319112 3392
-rect 319164 3380 319170 3392
-rect 429230 3380 429236 3392
-rect 319164 3352 429236 3380
-rect 319164 3340 319170 3352
-rect 429230 3340 429236 3352
-rect 429288 3340 429294 3392
-rect 433830 3340 433836 3392
-rect 433888 3380 433894 3392
-rect 451678 3380 451684 3392
-rect 433888 3352 451684 3380
-rect 433888 3340 433894 3352
-rect 451678 3340 451684 3352
-rect 451736 3340 451742 3392
-rect 466582 3340 466588 3392
-rect 466640 3380 466646 3392
-rect 480658 3380 480664 3392
-rect 466640 3352 480664 3380
-rect 466640 3340 466646 3352
-rect 480658 3340 480664 3352
-rect 480716 3340 480722 3392
-rect 1600 3216 583316 3312
-rect 28386 3136 28392 3188
-rect 28444 3176 28450 3188
-rect 29398 3176 29404 3188
-rect 28444 3148 29404 3176
-rect 28444 3136 28450 3148
-rect 29398 3136 29404 3148
-rect 29456 3136 29462 3188
-rect 36393 3179 36451 3185
-rect 36393 3145 36405 3179
-rect 36439 3176 36451 3179
-rect 45961 3179 46019 3185
-rect 45961 3176 45973 3179
-rect 36439 3148 45973 3176
-rect 36439 3145 36451 3148
-rect 36393 3139 36451 3145
-rect 45961 3145 45973 3148
-rect 46007 3145 46019 3179
-rect 45961 3139 46019 3145
-rect 56265 3179 56323 3185
-rect 56265 3145 56277 3179
-rect 56311 3176 56323 3179
-rect 65281 3179 65339 3185
-rect 65281 3176 65293 3179
-rect 56311 3148 65293 3176
-rect 56311 3145 56323 3148
-rect 56265 3139 56323 3145
-rect 65281 3145 65293 3148
-rect 65327 3145 65339 3179
-rect 65281 3139 65339 3145
-rect 68774 3136 68780 3188
-rect 68832 3176 68838 3188
-rect 69418 3176 69424 3188
-rect 68832 3148 69424 3176
-rect 68832 3136 68838 3148
-rect 69418 3136 69424 3148
-rect 69476 3136 69482 3188
-rect 71166 3136 71172 3188
-rect 71224 3176 71230 3188
-rect 72178 3176 72184 3188
-rect 71224 3148 72184 3176
-rect 71224 3136 71230 3148
-rect 72178 3136 72184 3148
-rect 72236 3136 72242 3188
-rect 72362 3136 72368 3188
-rect 72420 3176 72426 3188
-rect 72420 3148 187040 3176
-rect 72420 3136 72426 3148
-rect 21210 3068 21216 3120
-rect 21268 3108 21274 3120
-rect 22498 3108 22504 3120
-rect 21268 3080 22504 3108
-rect 21268 3068 21274 3080
-rect 22498 3068 22504 3080
-rect 22556 3068 22562 3120
-rect 40165 3111 40223 3117
-rect 40165 3077 40177 3111
-rect 40211 3108 40223 3111
-rect 45869 3111 45927 3117
-rect 45869 3108 45881 3111
-rect 40211 3080 45881 3108
-rect 40211 3077 40223 3080
-rect 40165 3071 40223 3077
-rect 45869 3077 45881 3080
-rect 45915 3077 45927 3111
-rect 45869 3071 45927 3077
-rect 56357 3111 56415 3117
-rect 56357 3077 56369 3111
-rect 56403 3108 56415 3111
-rect 65189 3111 65247 3117
-rect 65189 3108 65201 3111
-rect 56403 3080 65201 3108
-rect 56403 3077 56415 3080
-rect 56357 3071 56415 3077
-rect 65189 3077 65201 3080
-rect 65235 3077 65247 3111
-rect 65189 3071 65247 3077
-rect 77146 3068 77152 3120
-rect 77204 3108 77210 3120
-rect 77698 3108 77704 3120
-rect 77204 3080 77704 3108
-rect 77204 3068 77210 3080
-rect 77698 3068 77704 3080
-rect 77756 3068 77762 3120
-rect 78342 3068 78348 3120
-rect 78400 3108 78406 3120
-rect 79078 3108 79084 3120
-rect 78400 3080 79084 3108
-rect 78400 3068 78406 3080
-rect 79078 3068 79084 3080
-rect 79136 3068 79142 3120
-rect 80734 3068 80740 3120
-rect 80792 3108 80798 3120
-rect 81838 3108 81844 3120
-rect 80792 3080 81844 3108
-rect 80792 3068 80798 3080
-rect 81838 3068 81844 3080
-rect 81896 3068 81902 3120
-rect 186905 3111 186963 3117
-rect 186905 3108 186917 3111
-rect 85352 3080 186917 3108
-rect 79538 3000 79544 3052
-rect 79596 3040 79602 3052
-rect 85352 3040 85380 3080
-rect 186905 3077 186917 3080
-rect 186951 3077 186963 3111
-rect 187012 3108 187040 3148
-rect 187178 3136 187184 3188
-rect 187236 3176 187242 3188
-rect 196194 3176 196200 3188
-rect 187236 3148 196200 3176
-rect 187236 3136 187242 3148
-rect 196194 3136 196200 3148
-rect 196252 3136 196258 3188
-rect 196304 3148 196608 3176
-rect 196304 3108 196332 3148
-rect 187012 3080 196332 3108
-rect 196580 3108 196608 3148
-rect 196746 3136 196752 3188
-rect 196804 3176 196810 3188
-rect 205854 3176 205860 3188
-rect 196804 3148 205860 3176
-rect 196804 3136 196810 3148
-rect 205854 3136 205860 3148
-rect 205912 3136 205918 3188
-rect 245138 3176 245144 3188
-rect 205964 3148 245144 3176
-rect 205964 3108 205992 3148
-rect 245138 3136 245144 3148
-rect 245196 3136 245202 3188
-rect 253142 3136 253148 3188
-rect 253200 3176 253206 3188
-rect 254154 3176 254160 3188
-rect 253200 3148 254160 3176
-rect 253200 3136 253206 3148
-rect 254154 3136 254160 3148
-rect 254212 3136 254218 3188
-rect 270990 3136 270996 3188
-rect 271048 3176 271054 3188
-rect 271048 3148 278120 3176
-rect 271048 3136 271054 3148
-rect 196580 3080 205992 3108
-rect 206041 3111 206099 3117
-rect 186905 3071 186963 3077
-rect 206041 3077 206053 3111
-rect 206087 3108 206099 3111
-rect 246610 3108 246616 3120
-rect 206087 3080 246616 3108
-rect 206087 3077 206099 3080
-rect 206041 3071 206099 3077
-rect 246610 3068 246616 3080
-rect 246668 3068 246674 3120
-rect 278092 3108 278120 3148
-rect 278166 3136 278172 3188
-rect 278224 3176 278230 3188
-rect 286814 3176 286820 3188
-rect 278224 3148 286820 3176
-rect 278224 3136 278230 3148
-rect 286814 3136 286820 3148
-rect 286872 3136 286878 3188
-rect 294082 3136 294088 3188
-rect 294140 3176 294146 3188
-rect 301077 3179 301135 3185
-rect 301077 3176 301089 3179
-rect 294140 3148 301089 3176
-rect 294140 3136 294146 3148
-rect 301077 3145 301089 3148
-rect 301123 3145 301135 3179
-rect 301077 3139 301135 3145
-rect 319198 3136 319204 3188
-rect 319256 3176 319262 3188
-rect 425642 3176 425648 3188
-rect 319256 3148 425648 3176
-rect 319256 3136 319262 3148
-rect 425642 3136 425648 3148
-rect 425700 3136 425706 3188
-rect 285526 3108 285532 3120
-rect 278092 3080 285532 3108
-rect 285526 3068 285532 3080
-rect 285584 3068 285590 3120
-rect 292334 3068 292340 3120
-rect 292392 3108 292398 3120
-rect 296014 3108 296020 3120
-rect 292392 3080 296020 3108
-rect 292392 3068 292398 3080
-rect 296014 3068 296020 3080
-rect 296072 3068 296078 3120
-rect 317818 3068 317824 3120
-rect 317876 3108 317882 3120
-rect 422054 3108 422060 3120
-rect 317876 3080 422060 3108
-rect 317876 3068 317882 3080
-rect 422054 3068 422060 3080
-rect 422112 3068 422118 3120
-rect 432818 3108 432824 3120
-rect 422256 3080 432824 3108
-rect 79596 3012 85380 3040
-rect 79596 3000 79602 3012
-rect 85426 3000 85432 3052
-rect 85484 3040 85490 3052
-rect 85978 3040 85984 3052
-rect 85484 3012 85984 3040
-rect 85484 3000 85490 3012
-rect 85978 3000 85984 3012
-rect 86036 3000 86042 3052
-rect 86622 3000 86628 3052
-rect 86680 3040 86686 3052
-rect 87358 3040 87364 3052
-rect 86680 3012 87364 3040
-rect 86680 3000 86686 3012
-rect 87358 3000 87364 3012
-rect 87416 3000 87422 3052
-rect 87818 3000 87824 3052
-rect 87876 3040 87882 3052
-rect 88738 3040 88744 3052
-rect 87876 3012 88744 3040
-rect 87876 3000 87882 3012
-rect 88738 3000 88744 3012
-rect 88796 3000 88802 3052
-rect 89014 3000 89020 3052
-rect 89072 3040 89078 3052
-rect 90118 3040 90124 3052
-rect 89072 3012 90124 3040
-rect 89072 3000 89078 3012
-rect 90118 3000 90124 3012
-rect 90176 3000 90182 3052
-rect 99502 3000 99508 3052
-rect 99560 3040 99566 3052
-rect 99778 3040 99784 3052
-rect 99560 3012 99784 3040
-rect 99560 3000 99566 3012
-rect 99778 3000 99784 3012
-rect 99836 3000 99842 3052
-rect 102078 3000 102084 3052
-rect 102136 3040 102142 3052
-rect 102538 3040 102544 3052
-rect 102136 3012 102544 3040
-rect 102136 3000 102142 3012
-rect 102538 3000 102544 3012
-rect 102596 3000 102602 3052
-rect 186810 3040 186816 3052
-rect 102648 3012 186816 3040
-rect 54514 2932 54520 2984
-rect 54572 2972 54578 2984
-rect 55618 2972 55624 2984
-rect 54572 2944 55624 2972
-rect 54572 2932 54578 2944
-rect 55618 2932 55624 2944
-rect 55676 2932 55682 2984
-rect 75033 2975 75091 2981
-rect 75033 2941 75045 2975
-rect 75079 2972 75091 2975
-rect 84601 2975 84659 2981
-rect 84601 2972 84613 2975
-rect 75079 2944 84613 2972
-rect 75079 2941 75091 2944
-rect 75033 2935 75091 2941
-rect 84601 2941 84613 2944
-rect 84647 2941 84659 2975
-rect 84601 2935 84659 2941
-rect 90210 2932 90216 2984
-rect 90268 2972 90274 2984
-rect 102648 2972 102676 3012
-rect 186810 3000 186816 3012
-rect 186868 3000 186874 3052
-rect 186997 3043 187055 3049
-rect 186997 3009 187009 3043
-rect 187043 3040 187055 3043
-rect 196197 3043 196255 3049
-rect 196197 3040 196209 3043
-rect 187043 3012 196209 3040
-rect 187043 3009 187055 3012
-rect 186997 3003 187055 3009
-rect 196197 3009 196209 3012
-rect 196243 3009 196255 3043
-rect 196197 3003 196255 3009
-rect 196565 3043 196623 3049
-rect 196565 3009 196577 3043
-rect 196611 3040 196623 3043
-rect 205949 3043 206007 3049
-rect 205949 3040 205961 3043
-rect 196611 3012 205961 3040
-rect 196611 3009 196623 3012
-rect 196565 3003 196623 3009
-rect 205949 3009 205961 3012
-rect 205995 3009 206007 3043
-rect 205949 3003 206007 3009
-rect 207694 3000 207700 3052
-rect 207752 3040 207758 3052
-rect 247898 3040 247904 3052
-rect 207752 3012 247904 3040
-rect 207752 3000 207758 3012
-rect 247898 3000 247904 3012
-rect 247956 3000 247962 3052
-rect 250842 3000 250848 3052
-rect 250900 3040 250906 3052
-rect 251578 3040 251584 3052
-rect 250900 3012 251584 3040
-rect 250900 3000 250906 3012
-rect 251578 3000 251584 3012
-rect 251636 3000 251642 3052
-rect 275685 3043 275743 3049
-rect 275685 3009 275697 3043
-rect 275731 3040 275743 3043
-rect 278445 3043 278503 3049
-rect 278445 3040 278457 3043
-rect 275731 3012 278457 3040
-rect 275731 3009 275743 3012
-rect 275685 3003 275743 3009
-rect 278445 3009 278457 3012
-rect 278491 3009 278503 3043
-rect 278445 3003 278503 3009
-rect 278537 3043 278595 3049
-rect 278537 3009 278549 3043
-rect 278583 3040 278595 3043
-rect 286354 3040 286360 3052
-rect 278583 3012 286360 3040
-rect 278583 3009 278595 3012
-rect 278537 3003 278595 3009
-rect 286354 3000 286360 3012
-rect 286412 3000 286418 3052
-rect 294174 3000 294180 3052
-rect 294232 3040 294238 3052
-rect 294232 3012 302040 3040
-rect 294232 3000 294238 3012
-rect 103829 2975 103887 2981
-rect 103829 2972 103841 2975
-rect 90268 2944 102676 2972
-rect 102740 2944 103841 2972
-rect 90268 2932 90274 2944
-rect 75125 2907 75183 2913
-rect 75125 2873 75137 2907
-rect 75171 2904 75183 2907
-rect 84509 2907 84567 2913
-rect 84509 2904 84521 2907
-rect 75171 2876 84521 2904
-rect 75171 2873 75183 2876
-rect 75125 2867 75183 2873
-rect 84509 2873 84521 2876
-rect 84555 2873 84567 2907
-rect 84509 2867 84567 2873
-rect 93709 2907 93767 2913
-rect 93709 2873 93721 2907
-rect 93755 2904 93767 2907
-rect 102740 2904 102768 2944
-rect 103829 2941 103841 2944
-rect 103875 2941 103887 2975
-rect 103829 2935 103887 2941
-rect 105666 2932 105672 2984
-rect 105724 2972 105730 2984
-rect 106678 2972 106684 2984
-rect 105724 2944 106684 2972
-rect 105724 2932 105730 2944
-rect 106678 2932 106684 2944
-rect 106736 2932 106742 2984
-rect 106862 2932 106868 2984
-rect 106920 2972 106926 2984
-rect 107966 2972 107972 2984
-rect 106920 2944 107972 2972
-rect 106920 2932 106926 2944
-rect 107966 2932 107972 2944
-rect 108024 2932 108030 2984
-rect 108720 2944 187040 2972
-rect 93755 2876 102768 2904
-rect 93755 2873 93767 2876
-rect 93709 2867 93767 2873
-rect 103274 2864 103280 2916
-rect 103332 2904 103338 2916
-rect 103918 2904 103924 2916
-rect 103332 2876 103924 2904
-rect 103332 2864 103338 2876
-rect 103918 2864 103924 2876
-rect 103976 2864 103982 2916
-rect 104470 2864 104476 2916
-rect 104528 2904 104534 2916
-rect 108613 2907 108671 2913
-rect 108613 2904 108625 2907
-rect 104528 2876 108625 2904
-rect 104528 2864 104534 2876
-rect 108613 2873 108625 2876
-rect 108659 2873 108671 2907
-rect 108613 2867 108671 2873
-rect 97386 2796 97392 2848
-rect 97444 2836 97450 2848
-rect 108720 2836 108748 2944
-rect 111646 2864 111652 2916
-rect 111704 2904 111710 2916
-rect 113489 2907 113547 2913
-rect 113489 2904 113501 2907
-rect 111704 2876 113501 2904
-rect 111704 2864 111710 2876
-rect 113489 2873 113501 2876
-rect 113535 2873 113547 2907
-rect 186813 2907 186871 2913
-rect 186813 2904 186825 2907
-rect 113489 2867 113547 2873
-rect 113596 2876 186825 2904
-rect 97444 2808 108748 2836
-rect 108797 2839 108855 2845
-rect 97444 2796 97450 2808
-rect 108797 2805 108809 2839
-rect 108843 2836 108855 2839
-rect 112753 2839 112811 2845
-rect 112753 2836 112765 2839
-rect 108843 2808 112765 2836
-rect 108843 2805 108855 2808
-rect 108797 2799 108855 2805
-rect 112753 2805 112765 2808
-rect 112799 2805 112811 2839
-rect 112753 2799 112811 2805
-rect 112842 2796 112848 2848
-rect 112900 2836 112906 2848
-rect 113302 2836 113308 2848
-rect 112900 2808 113308 2836
-rect 112900 2796 112906 2808
-rect 113302 2796 113308 2808
-rect 113360 2796 113366 2848
-rect 113397 2839 113455 2845
-rect 113397 2805 113409 2839
-rect 113443 2836 113455 2839
-rect 113596 2836 113624 2876
-rect 186813 2873 186825 2876
-rect 186859 2873 186871 2907
-rect 186813 2867 186871 2873
-rect 186905 2907 186963 2913
-rect 186905 2873 186917 2907
-rect 186951 2873 186963 2907
-rect 187012 2904 187040 2944
-rect 187086 2932 187092 2984
-rect 187144 2972 187150 2984
-rect 195918 2972 195924 2984
-rect 187144 2944 195924 2972
-rect 187144 2932 187150 2944
-rect 195918 2932 195924 2944
-rect 195976 2932 195982 2984
-rect 198497 2975 198555 2981
-rect 198497 2941 198509 2975
-rect 198543 2972 198555 2975
-rect 205857 2975 205915 2981
-rect 205857 2972 205869 2975
-rect 198543 2944 205869 2972
-rect 198543 2941 198555 2944
-rect 198497 2935 198555 2941
-rect 205857 2941 205869 2944
-rect 205903 2941 205915 2975
-rect 205857 2935 205915 2941
-rect 206133 2975 206191 2981
-rect 206133 2941 206145 2975
-rect 206179 2972 206191 2975
-rect 250658 2972 250664 2984
-rect 206179 2944 250664 2972
-rect 206179 2941 206191 2944
-rect 206133 2935 206191 2941
-rect 250658 2932 250664 2944
-rect 250716 2932 250722 2984
-rect 274578 2932 274584 2984
-rect 274636 2972 274642 2984
-rect 286630 2972 286636 2984
-rect 274636 2944 286636 2972
-rect 274636 2932 274642 2944
-rect 286630 2932 286636 2944
-rect 286688 2932 286694 2984
-rect 291598 2932 291604 2984
-rect 291656 2972 291662 2984
-rect 294818 2972 294824 2984
-rect 291656 2944 294824 2972
-rect 291656 2932 291662 2944
-rect 294818 2932 294824 2944
-rect 294876 2932 294882 2984
-rect 196197 2907 196255 2913
-rect 196197 2904 196209 2907
-rect 187012 2876 196209 2904
-rect 186905 2867 186963 2873
-rect 196197 2873 196209 2876
-rect 196243 2873 196255 2907
-rect 196197 2867 196255 2873
-rect 196473 2907 196531 2913
-rect 196473 2873 196485 2907
-rect 196519 2904 196531 2907
-rect 252130 2904 252136 2916
-rect 196519 2876 252136 2904
-rect 196519 2873 196531 2876
-rect 196473 2867 196531 2873
-rect 113443 2808 113624 2836
-rect 113673 2839 113731 2845
-rect 113443 2805 113455 2808
-rect 113397 2799 113455 2805
-rect 113673 2805 113685 2839
-rect 113719 2836 113731 2839
-rect 186920 2836 186948 2867
-rect 252130 2864 252136 2876
-rect 252188 2864 252194 2916
-rect 276970 2864 276976 2916
-rect 277028 2904 277034 2916
-rect 279914 2904 279920 2916
-rect 277028 2876 279920 2904
-rect 277028 2864 277034 2876
-rect 279914 2864 279920 2876
-rect 279972 2864 279978 2916
-rect 280558 2864 280564 2916
-rect 280616 2904 280622 2916
-rect 283962 2904 283968 2916
-rect 280616 2876 283968 2904
-rect 280616 2864 280622 2876
-rect 283962 2864 283968 2876
-rect 284020 2864 284026 2916
-rect 292978 2864 292984 2916
-rect 293036 2904 293042 2916
-rect 301902 2904 301908 2916
-rect 293036 2876 301908 2904
-rect 293036 2864 293042 2876
-rect 301902 2864 301908 2876
-rect 301960 2864 301966 2916
-rect 302012 2904 302040 3012
-rect 316438 3000 316444 3052
-rect 316496 3040 316502 3052
-rect 418466 3040 418472 3052
-rect 316496 3012 418472 3040
-rect 316496 3000 316502 3012
-rect 418466 3000 418472 3012
-rect 418524 3000 418530 3052
-rect 418745 3043 418803 3049
-rect 418745 3009 418757 3043
-rect 418791 3040 418803 3043
-rect 422256 3040 422284 3080
-rect 432818 3068 432824 3080
-rect 432876 3068 432882 3120
-rect 418791 3012 422284 3040
-rect 418791 3009 418803 3012
-rect 418745 3003 418803 3009
-rect 315058 2932 315064 2984
-rect 315116 2972 315122 2984
-rect 355081 2975 355139 2981
-rect 355081 2972 355093 2975
-rect 315116 2944 355093 2972
-rect 315116 2932 315122 2944
-rect 355081 2941 355093 2944
-rect 355127 2941 355139 2975
-rect 355262 2972 355268 2984
-rect 355223 2944 355268 2972
-rect 355081 2935 355139 2941
-rect 355262 2932 355268 2944
-rect 355320 2932 355326 2984
-rect 355357 2975 355415 2981
-rect 355357 2941 355369 2975
-rect 355403 2972 355415 2975
-rect 355403 2944 404160 2972
-rect 355403 2941 355415 2944
-rect 355357 2935 355415 2941
-rect 306686 2904 306692 2916
-rect 302012 2876 306692 2904
-rect 306686 2864 306692 2876
-rect 306744 2864 306750 2916
-rect 313678 2864 313684 2916
-rect 313736 2904 313742 2916
-rect 404132 2904 404160 2944
-rect 406874 2932 406880 2984
-rect 406932 2972 406938 2984
-rect 411658 2972 411664 2984
-rect 406932 2944 411664 2972
-rect 406932 2932 406938 2944
-rect 411658 2932 411664 2944
-rect 411716 2932 411722 2984
-rect 414602 2932 414608 2984
-rect 414660 2972 414666 2984
-rect 424078 2972 424084 2984
-rect 414660 2944 424084 2972
-rect 414660 2932 414666 2944
-rect 424078 2932 424084 2944
-rect 424136 2932 424142 2984
-rect 411382 2904 411388 2916
-rect 313736 2876 401768 2904
-rect 404132 2876 411388 2904
-rect 313736 2864 313742 2876
-rect 113719 2808 186948 2836
-rect 186997 2839 187055 2845
-rect 113719 2805 113731 2808
-rect 113673 2799 113731 2805
-rect 186997 2805 187009 2839
-rect 187043 2836 187055 2839
-rect 196289 2839 196347 2845
-rect 196289 2836 196301 2839
-rect 187043 2808 196301 2836
-rect 187043 2805 187055 2808
-rect 186997 2799 187055 2805
-rect 196289 2805 196301 2808
-rect 196335 2805 196347 2839
-rect 196289 2799 196347 2805
-rect 196378 2796 196384 2848
-rect 196436 2836 196442 2848
-rect 253418 2836 253424 2848
-rect 196436 2808 253424 2836
-rect 196436 2796 196442 2808
-rect 253418 2796 253424 2808
-rect 253476 2796 253482 2848
-rect 268877 2839 268935 2845
-rect 268877 2805 268889 2839
-rect 268923 2836 268935 2839
-rect 279270 2836 279276 2848
-rect 268923 2808 279276 2836
-rect 268923 2805 268935 2808
-rect 268877 2799 268935 2805
-rect 279270 2796 279276 2808
-rect 279328 2796 279334 2848
-rect 281754 2796 281760 2848
-rect 281812 2836 281818 2848
-rect 288194 2836 288200 2848
-rect 281812 2808 288200 2836
-rect 281812 2796 281818 2808
-rect 288194 2796 288200 2808
-rect 288252 2796 288258 2848
-rect 293806 2796 293812 2848
-rect 293864 2836 293870 2848
-rect 298593 2839 298651 2845
-rect 298593 2836 298605 2839
-rect 293864 2808 298605 2836
-rect 293864 2796 293870 2808
-rect 298593 2805 298605 2808
-rect 298639 2805 298651 2839
-rect 298593 2799 298651 2805
-rect 308250 2796 308256 2848
-rect 308308 2836 308314 2848
-rect 309081 2839 309139 2845
-rect 309081 2836 309093 2839
-rect 308308 2808 309093 2836
-rect 308308 2796 308314 2808
-rect 309081 2805 309093 2808
-rect 309127 2805 309139 2839
-rect 309081 2799 309139 2805
-rect 311930 2796 311936 2848
-rect 311988 2836 311994 2848
-rect 364646 2836 364652 2848
-rect 311988 2808 364652 2836
-rect 311988 2796 311994 2808
-rect 364646 2796 364652 2808
-rect 364704 2796 364710 2848
-rect 364738 2796 364744 2848
-rect 364796 2836 364802 2848
-rect 364796 2808 364841 2836
-rect 364796 2796 364802 2808
-rect 364922 2796 364928 2848
-rect 364980 2836 364986 2848
-rect 364980 2808 365025 2836
-rect 364980 2796 364986 2808
-rect 365106 2796 365112 2848
-rect 365164 2836 365170 2848
-rect 397122 2836 397128 2848
-rect 365164 2808 397128 2836
-rect 365164 2796 365170 2808
-rect 397122 2796 397128 2808
-rect 397180 2796 397186 2848
-rect 401740 2836 401768 2876
-rect 411382 2864 411388 2876
-rect 411440 2864 411446 2916
-rect 404206 2836 404212 2848
-rect 401740 2808 404212 2836
-rect 404206 2796 404212 2808
-rect 404264 2796 404270 2848
-rect 420030 2796 420036 2848
-rect 420088 2836 420094 2848
-rect 420861 2839 420919 2845
-rect 420861 2836 420873 2839
-rect 420088 2808 420873 2836
-rect 420088 2796 420094 2808
-rect 420861 2805 420873 2808
-rect 420907 2805 420919 2839
-rect 420861 2799 420919 2805
-rect 1600 2672 583316 2768
-rect 113489 2635 113547 2641
-rect 113489 2601 113501 2635
-rect 113535 2632 113547 2635
-rect 113673 2635 113731 2641
-rect 113673 2632 113685 2635
-rect 113535 2604 113685 2632
-rect 113535 2601 113547 2604
-rect 113489 2595 113547 2601
-rect 113673 2601 113685 2604
-rect 113719 2601 113731 2635
-rect 113673 2595 113731 2601
-rect 122318 2592 122324 2644
-rect 122376 2632 122382 2644
-rect 123333 2635 123391 2641
-rect 123333 2632 123345 2635
-rect 122376 2604 123345 2632
-rect 122376 2592 122382 2604
-rect 123333 2601 123345 2604
-rect 123379 2601 123391 2635
-rect 123333 2595 123391 2601
-rect 132809 2635 132867 2641
-rect 132809 2601 132821 2635
-rect 132855 2632 132867 2635
-rect 133361 2635 133419 2641
-rect 133361 2632 133373 2635
-rect 132855 2604 133373 2632
-rect 132855 2601 132867 2604
-rect 132809 2595 132867 2601
-rect 133361 2601 133373 2604
-rect 133407 2601 133419 2635
-rect 133361 2595 133419 2601
-rect 142377 2635 142435 2641
-rect 142377 2601 142389 2635
-rect 142423 2632 142435 2635
-rect 142745 2635 142803 2641
-rect 142745 2632 142757 2635
-rect 142423 2604 142757 2632
-rect 142423 2601 142435 2604
-rect 142377 2595 142435 2601
-rect 142745 2601 142757 2604
-rect 142791 2601 142803 2635
-rect 142745 2595 142803 2601
-rect 152129 2635 152187 2641
-rect 152129 2601 152141 2635
-rect 152175 2632 152187 2635
-rect 152497 2635 152555 2641
-rect 152497 2632 152509 2635
-rect 152175 2604 152509 2632
-rect 152175 2601 152187 2604
-rect 152129 2595 152187 2601
-rect 152497 2601 152509 2604
-rect 152543 2601 152555 2635
-rect 152497 2595 152555 2601
-rect 161605 2635 161663 2641
-rect 161605 2601 161617 2635
-rect 161651 2632 161663 2635
-rect 162065 2635 162123 2641
-rect 162065 2632 162077 2635
-rect 161651 2604 162077 2632
-rect 161651 2601 161663 2604
-rect 161605 2595 161663 2601
-rect 162065 2601 162077 2604
-rect 162111 2601 162123 2635
-rect 162065 2595 162123 2601
-rect 171449 2635 171507 2641
-rect 171449 2601 171461 2635
-rect 171495 2632 171507 2635
-rect 171725 2635 171783 2641
-rect 171725 2632 171737 2635
-rect 171495 2604 171737 2632
-rect 171495 2601 171507 2604
-rect 171449 2595 171507 2601
-rect 171725 2601 171737 2604
-rect 171771 2601 171783 2635
-rect 171725 2595 171783 2601
-rect 181109 2635 181167 2641
-rect 181109 2601 181121 2635
-rect 181155 2632 181167 2635
-rect 181385 2635 181443 2641
-rect 181385 2632 181397 2635
-rect 181155 2604 181397 2632
-rect 181155 2601 181167 2604
-rect 181109 2595 181167 2601
-rect 181385 2601 181397 2604
-rect 181431 2601 181443 2635
-rect 181385 2595 181443 2601
-rect 190769 2635 190827 2641
-rect 190769 2601 190781 2635
-rect 190815 2632 190827 2635
-rect 191045 2635 191103 2641
-rect 191045 2632 191057 2635
-rect 190815 2604 191057 2632
-rect 190815 2601 190827 2604
-rect 190769 2595 190827 2601
-rect 191045 2601 191057 2604
-rect 191091 2601 191103 2635
-rect 196102 2632 196108 2644
-rect 196063 2604 196108 2632
-rect 191045 2595 191103 2601
-rect 196102 2592 196108 2604
-rect 196160 2592 196166 2644
-rect 196197 2635 196255 2641
-rect 196197 2601 196209 2635
-rect 196243 2632 196255 2635
-rect 198497 2635 198555 2641
-rect 198497 2632 198509 2635
-rect 196243 2604 198509 2632
-rect 196243 2601 196255 2604
-rect 196197 2595 196255 2601
-rect 198497 2601 198509 2604
-rect 198543 2601 198555 2635
-rect 198497 2595 198555 2601
-rect 200245 2635 200303 2641
-rect 200245 2601 200257 2635
-rect 200291 2632 200303 2635
-rect 200705 2635 200763 2641
-rect 200705 2632 200717 2635
-rect 200291 2604 200717 2632
-rect 200291 2601 200303 2604
-rect 200245 2595 200303 2601
-rect 200705 2601 200717 2604
-rect 200751 2601 200763 2635
-rect 200705 2595 200763 2601
-rect 209905 2635 209963 2641
-rect 209905 2601 209917 2635
-rect 209951 2632 209963 2635
-rect 210365 2635 210423 2641
-rect 210365 2632 210377 2635
-rect 209951 2604 210377 2632
-rect 209951 2601 209963 2604
-rect 209905 2595 209963 2601
-rect 210365 2601 210377 2604
-rect 210411 2601 210423 2635
-rect 210365 2595 210423 2601
-rect 219749 2635 219807 2641
-rect 219749 2601 219761 2635
-rect 219795 2632 219807 2635
-rect 220022 2632 220028 2644
-rect 219795 2604 220028 2632
-rect 219795 2601 219807 2604
-rect 219749 2595 219807 2601
-rect 220022 2592 220028 2604
-rect 220080 2592 220086 2644
-rect 223426 2592 223432 2644
-rect 223484 2632 223490 2644
-rect 229409 2635 229467 2641
-rect 229409 2632 229421 2635
-rect 223484 2604 229421 2632
-rect 223484 2592 223490 2604
-rect 229409 2601 229421 2604
-rect 229455 2601 229467 2635
-rect 229409 2595 229467 2601
-rect 326193 2635 326251 2641
-rect 326193 2601 326205 2635
-rect 326239 2632 326251 2635
-rect 346338 2632 346344 2644
-rect 326239 2604 346344 2632
-rect 326239 2601 326251 2604
-rect 326193 2595 326251 2601
-rect 346338 2592 346344 2604
-rect 346396 2592 346402 2644
-rect 355265 2635 355323 2641
-rect 355265 2632 355277 2635
-rect 347368 2604 355277 2632
-rect 118730 2524 118736 2576
-rect 118788 2564 118794 2576
-rect 132901 2567 132959 2573
-rect 132901 2564 132913 2567
-rect 118788 2536 132913 2564
-rect 118788 2524 118794 2536
-rect 132901 2533 132913 2536
-rect 132947 2533 132959 2567
-rect 132901 2527 132959 2533
-rect 133269 2567 133327 2573
-rect 133269 2533 133281 2567
-rect 133315 2564 133327 2567
-rect 142561 2567 142619 2573
-rect 142561 2564 142573 2567
-rect 133315 2536 142573 2564
-rect 133315 2533 133327 2536
-rect 133269 2527 133327 2533
-rect 142561 2533 142573 2536
-rect 142607 2533 142619 2567
-rect 142561 2527 142619 2533
-rect 152313 2567 152371 2573
-rect 152313 2533 152325 2567
-rect 152359 2564 152371 2567
-rect 161881 2567 161939 2573
-rect 161881 2564 161893 2567
-rect 152359 2536 161893 2564
-rect 152359 2533 152371 2536
-rect 152313 2527 152371 2533
-rect 161881 2533 161893 2536
-rect 161927 2533 161939 2567
-rect 161881 2527 161939 2533
-rect 190953 2567 191011 2573
-rect 190953 2533 190965 2567
-rect 190999 2564 191011 2567
-rect 200521 2567 200579 2573
-rect 200521 2564 200533 2567
-rect 190999 2536 200533 2564
-rect 190999 2533 191011 2536
-rect 190953 2527 191011 2533
-rect 200521 2533 200533 2536
-rect 200567 2533 200579 2567
-rect 200521 2527 200579 2533
-rect 200613 2567 200671 2573
-rect 200613 2533 200625 2567
-rect 200659 2564 200671 2567
-rect 210181 2567 210239 2573
-rect 210181 2564 210193 2567
-rect 200659 2536 210193 2564
-rect 200659 2533 200671 2536
-rect 200613 2527 200671 2533
-rect 210181 2533 210193 2536
-rect 210227 2533 210239 2567
-rect 210181 2527 210239 2533
-rect 219933 2567 219991 2573
-rect 219933 2533 219945 2567
-rect 219979 2564 219991 2567
-rect 229685 2567 229743 2573
-rect 229685 2564 229697 2567
-rect 219979 2536 229697 2564
-rect 219979 2533 219991 2536
-rect 219933 2527 219991 2533
-rect 229685 2533 229697 2536
-rect 229731 2533 229743 2567
-rect 229685 2527 229743 2533
-rect 345605 2567 345663 2573
-rect 345605 2533 345617 2567
-rect 345651 2564 345663 2567
-rect 347368 2564 347396 2604
-rect 355265 2601 355277 2604
-rect 355311 2601 355323 2635
-rect 355265 2595 355323 2601
-rect 358022 2592 358028 2644
-rect 358080 2632 358086 2644
-rect 359034 2632 359040 2644
-rect 358080 2604 359040 2632
-rect 358080 2592 358086 2604
-rect 359034 2592 359040 2604
-rect 359092 2592 359098 2644
-rect 360690 2592 360696 2644
-rect 360748 2632 360754 2644
-rect 361426 2632 361432 2644
-rect 360748 2604 361432 2632
-rect 360748 2592 360754 2604
-rect 361426 2592 361432 2604
-rect 361484 2592 361490 2644
-rect 362070 2592 362076 2644
-rect 362128 2632 362134 2644
-rect 362622 2632 362628 2644
-rect 362128 2604 362628 2632
-rect 362128 2592 362134 2604
-rect 362622 2592 362628 2604
-rect 362680 2592 362686 2644
-rect 364649 2635 364707 2641
-rect 364649 2601 364661 2635
-rect 364695 2632 364707 2635
-rect 364925 2635 364983 2641
-rect 364925 2632 364937 2635
-rect 364695 2604 364937 2632
-rect 364695 2601 364707 2604
-rect 364649 2595 364707 2601
-rect 364925 2601 364937 2604
-rect 364971 2601 364983 2635
-rect 364925 2595 364983 2601
-rect 374309 2635 374367 2641
-rect 374309 2601 374321 2635
-rect 374355 2632 374367 2635
-rect 374585 2635 374643 2641
-rect 374355 2604 374536 2632
-rect 374355 2601 374367 2604
-rect 374309 2595 374367 2601
-rect 345651 2536 347396 2564
-rect 350757 2567 350815 2573
-rect 345651 2533 345663 2536
-rect 345605 2527 345663 2533
-rect 350757 2533 350769 2567
-rect 350803 2564 350815 2567
-rect 364741 2567 364799 2573
-rect 364741 2564 364753 2567
-rect 350803 2536 364753 2564
-rect 350803 2533 350815 2536
-rect 350757 2527 350815 2533
-rect 364741 2533 364753 2536
-rect 364787 2533 364799 2567
-rect 374508 2564 374536 2604
-rect 374585 2601 374597 2635
-rect 374631 2632 374643 2635
-rect 382862 2632 382868 2644
-rect 374631 2604 382868 2632
-rect 374631 2601 374643 2604
-rect 374585 2595 374643 2601
-rect 382862 2592 382868 2604
-rect 382920 2592 382926 2644
-rect 383969 2635 384027 2641
-rect 383969 2601 383981 2635
-rect 384015 2632 384027 2635
-rect 390041 2635 390099 2641
-rect 390041 2632 390053 2635
-rect 384015 2604 390053 2632
-rect 384015 2601 384027 2604
-rect 383969 2595 384027 2601
-rect 390041 2601 390053 2604
-rect 390087 2601 390099 2635
-rect 390041 2595 390099 2601
-rect 384061 2567 384119 2573
-rect 384061 2564 384073 2567
-rect 374508 2536 384073 2564
-rect 364741 2527 364799 2533
-rect 384061 2533 384073 2536
-rect 384107 2533 384119 2567
-rect 384061 2527 384119 2533
-rect 374677 2499 374735 2505
-rect 374677 2465 374689 2499
-rect 374723 2496 374735 2499
-rect 380470 2496 380476 2508
-rect 374723 2468 380476 2496
-rect 374723 2465 374735 2468
-rect 374677 2459 374735 2465
-rect 380470 2456 380476 2468
-rect 380528 2456 380534 2508
-rect 349650 2388 349656 2440
-rect 349708 2428 349714 2440
-rect 350754 2428 350760 2440
-rect 349708 2400 350760 2428
-rect 349708 2388 349714 2400
-rect 350754 2388 350760 2400
-rect 350812 2388 350818 2440
-rect 374769 2431 374827 2437
-rect 374769 2397 374781 2431
-rect 374815 2428 374827 2431
-rect 384058 2428 384064 2440
-rect 374815 2400 384064 2428
-rect 374815 2397 374827 2400
-rect 374769 2391 374827 2397
-rect 384058 2388 384064 2400
-rect 384116 2388 384122 2440
-rect 1600 2128 583316 2224
-rect 356550 1368 356556 1420
-rect 356608 1408 356614 1420
-rect 357838 1408 357844 1420
-rect 356608 1380 357844 1408
-rect 356608 1368 356614 1380
-rect 357838 1368 357844 1380
-rect 357896 1368 357902 1420
-rect 353790 1096 353796 1148
-rect 353848 1136 353854 1148
-rect 354250 1136 354256 1148
-rect 353848 1108 354256 1136
-rect 353848 1096 353854 1108
-rect 354250 1096 354256 1108
-rect 354308 1096 354314 1148
-rect 5754 552 5760 604
-rect 5812 592 5818 604
-rect 5938 592 5944 604
-rect 5812 564 5944 592
-rect 5812 552 5818 564
-rect 5938 552 5944 564
-rect 5996 552 6002 604
-rect 23602 552 23608 604
-rect 23660 592 23666 604
-rect 23878 592 23884 604
-rect 23660 564 23884 592
-rect 23660 552 23666 564
-rect 23878 552 23884 564
-rect 23936 552 23942 604
-rect 74754 552 74760 604
-rect 74812 592 74818 604
-rect 74938 592 74944 604
-rect 74812 564 74944 592
-rect 74812 552 74818 564
-rect 74938 552 74944 564
-rect 74996 552 75002 604
-rect 152034 552 152040 604
-rect 152092 592 152098 604
-rect 152126 592 152132 604
-rect 152092 564 152132 592
-rect 152092 552 152098 564
-rect 152126 552 152132 564
-rect 152184 552 152190 604
-rect 155622 552 155628 604
-rect 155680 592 155686 604
-rect 156358 592 156364 604
-rect 155680 564 156364 592
-rect 155680 552 155686 564
-rect 156358 552 156364 564
-rect 156416 552 156422 604
-rect 169882 552 169888 604
-rect 169940 592 169946 604
-rect 169974 592 169980 604
-rect 169940 564 169980 592
-rect 169940 552 169946 564
-rect 169974 552 169980 564
-rect 170032 552 170038 604
-rect 173470 552 173476 604
-rect 173528 592 173534 604
-rect 174298 592 174304 604
-rect 173528 564 174304 592
-rect 173528 552 173534 564
-rect 174298 552 174304 564
-rect 174356 552 174362 604
-rect 180646 552 180652 604
-rect 180704 592 180710 604
-rect 181198 592 181204 604
-rect 180704 564 181204 592
-rect 180704 552 180710 564
-rect 181198 552 181204 564
-rect 181256 552 181262 604
-rect 183038 552 183044 604
-rect 183096 592 183102 604
-rect 183958 592 183964 604
-rect 183096 564 183964 592
-rect 183096 552 183102 564
-rect 183958 552 183964 564
-rect 184016 552 184022 604
-rect 190122 552 190128 604
-rect 190180 592 190186 604
-rect 190398 592 190404 604
-rect 190180 564 190404 592
-rect 190180 552 190186 564
-rect 190398 552 190404 564
-rect 190456 552 190462 604
-rect 197298 552 197304 604
-rect 197356 592 197362 604
-rect 197758 592 197764 604
-rect 197356 564 197764 592
-rect 197356 552 197362 564
-rect 197758 552 197764 564
-rect 197816 552 197822 604
-rect 200886 552 200892 604
-rect 200944 592 200950 604
-rect 201898 592 201904 604
-rect 200944 564 201904 592
-rect 200944 552 200950 564
-rect 201898 552 201904 564
-rect 201956 552 201962 604
-rect 221034 552 221040 604
-rect 221092 592 221098 604
-rect 221218 592 221224 604
-rect 221092 564 221224 592
-rect 221092 552 221098 564
-rect 221218 552 221224 564
-rect 221276 552 221282 604
-rect 231798 552 231804 604
-rect 231856 592 231862 604
-rect 231982 592 231988 604
-rect 231856 564 231988 592
-rect 231856 552 231862 564
-rect 231982 552 231988 564
-rect 232040 552 232046 604
-rect 234190 552 234196 604
-rect 234248 592 234254 604
-rect 235205 595 235263 601
-rect 235205 592 235217 595
-rect 234248 564 235217 592
-rect 234248 552 234254 564
-rect 235205 561 235217 564
-rect 235251 561 235263 595
-rect 235205 555 235263 561
-rect 248450 552 248456 604
-rect 248508 592 248514 604
-rect 248818 592 248824 604
-rect 248508 564 248824 592
-rect 248508 552 248514 564
-rect 248818 552 248824 564
-rect 248876 552 248882 604
-rect 256730 552 256736 604
-rect 256788 592 256794 604
-rect 257098 592 257104 604
-rect 256788 564 257104 592
-rect 256788 552 256794 564
-rect 257098 552 257104 564
-rect 257156 552 257162 604
-rect 290586 552 290592 604
-rect 290644 592 290650 604
-rect 291230 592 291236 604
-rect 290644 564 291236 592
-rect 290644 552 290650 564
-rect 291230 552 291236 564
-rect 291288 552 291294 604
-rect 309078 592 309084 604
-rect 309039 564 309084 592
-rect 309078 552 309084 564
-rect 309136 552 309142 604
-rect 324810 552 324816 604
-rect 324868 592 324874 604
-rect 325730 592 325736 604
-rect 324868 564 325736 592
-rect 324868 552 324874 564
-rect 325730 552 325736 564
-rect 325788 552 325794 604
-rect 378630 552 378636 604
-rect 378688 592 378694 604
-rect 379274 592 379280 604
-rect 378688 564 379280 592
-rect 378688 552 378694 564
-rect 379274 552 379280 564
-rect 379332 552 379338 604
-rect 395190 552 395196 604
-rect 395248 592 395254 604
-rect 395926 592 395932 604
-rect 395248 564 395932 592
-rect 395248 552 395254 564
-rect 395926 552 395932 564
-rect 395984 552 395990 604
-rect 402090 552 402096 604
-rect 402148 592 402154 604
-rect 403010 592 403016 604
-rect 402148 564 403016 592
-rect 402148 552 402154 564
-rect 403010 552 403016 564
-rect 403068 552 403074 604
-rect 406230 552 406236 604
-rect 406288 592 406294 604
-rect 406598 592 406604 604
-rect 406288 564 406604 592
-rect 406288 552 406294 564
-rect 406598 552 406604 564
-rect 406656 552 406662 604
-rect 420858 592 420864 604
-rect 420819 564 420864 592
-rect 420858 552 420864 564
-rect 420916 552 420922 604
-rect 424170 552 424176 604
-rect 424228 592 424234 604
-rect 424446 592 424452 604
-rect 424228 564 424452 592
-rect 424228 552 424234 564
-rect 424446 552 424452 564
-rect 424504 552 424510 604
-rect 426930 552 426936 604
-rect 426988 592 426994 604
-rect 428034 592 428040 604
-rect 426988 564 428040 592
-rect 426988 552 426994 564
-rect 428034 552 428040 564
-rect 428092 552 428098 604
-rect 431070 552 431076 604
-rect 431128 592 431134 604
-rect 431622 592 431628 604
-rect 431128 564 431628 592
-rect 431128 552 431134 564
-rect 431622 552 431628 564
-rect 431680 552 431686 604
-rect 442110 552 442116 604
-rect 442168 592 442174 604
-rect 442294 592 442300 604
-rect 442168 564 442300 592
-rect 442168 552 442174 564
-rect 442294 552 442300 564
-rect 442352 552 442358 604
-rect 443582 552 443588 604
-rect 443640 592 443646 604
-rect 444686 592 444692 604
-rect 443640 564 444692 592
-rect 443640 552 443646 564
-rect 444686 552 444692 564
-rect 444744 552 444750 604
-rect 444870 552 444876 604
-rect 444928 592 444934 604
-rect 445882 592 445888 604
-rect 444928 564 445888 592
-rect 444928 552 444934 564
-rect 445882 552 445888 564
-rect 445940 552 445946 604
-rect 447630 552 447636 604
-rect 447688 592 447694 604
-rect 448274 592 448280 604
-rect 447688 564 448280 592
-rect 447688 552 447694 564
-rect 448274 552 448280 564
-rect 448332 552 448338 604
-rect 449010 552 449016 604
-rect 449068 592 449074 604
-rect 449470 592 449476 604
-rect 449068 564 449476 592
-rect 449068 552 449074 564
-rect 449470 552 449476 564
-rect 449528 552 449534 604
-rect 451862 552 451868 604
-rect 451920 592 451926 604
-rect 452966 592 452972 604
-rect 451920 564 452972 592
-rect 451920 552 451926 564
-rect 452966 552 452972 564
-rect 453024 552 453030 604
-rect 454530 552 454536 604
-rect 454588 592 454594 604
-rect 455358 592 455364 604
-rect 454588 564 455364 592
-rect 454588 552 454594 564
-rect 455358 552 455364 564
-rect 455416 552 455422 604
-rect 461430 552 461436 604
-rect 461488 592 461494 604
-rect 462534 592 462540 604
-rect 461488 564 462540 592
-rect 461488 552 461494 564
-rect 462534 552 462540 564
-rect 462592 552 462598 604
-rect 462810 552 462816 604
-rect 462868 592 462874 604
-rect 463730 592 463736 604
-rect 462868 564 463736 592
-rect 462868 552 462874 564
-rect 463730 552 463736 564
-rect 463788 552 463794 604
-rect 465570 552 465576 604
-rect 465628 592 465634 604
-rect 466122 592 466128 604
-rect 465628 564 466128 592
-rect 465628 552 465634 564
-rect 466122 552 466128 564
-rect 466180 552 466186 604
-rect 466950 552 466956 604
-rect 467008 592 467014 604
-rect 467318 592 467324 604
-rect 467008 564 467324 592
-rect 467008 552 467014 564
-rect 467318 552 467324 564
-rect 467376 552 467382 604
-rect 469710 552 469716 604
-rect 469768 592 469774 604
-rect 470814 592 470820 604
-rect 469768 564 470820 592
-rect 469768 552 469774 564
-rect 470814 552 470820 564
-rect 470872 552 470878 604
-rect 490410 552 490416 604
-rect 490468 592 490474 604
-rect 491054 592 491060 604
-rect 490468 564 491060 592
-rect 490468 552 490474 564
-rect 491054 552 491060 564
-rect 491112 552 491118 604
-rect 495930 552 495936 604
-rect 495988 592 495994 604
-rect 497034 592 497040 604
-rect 495988 564 497040 592
-rect 495988 552 495994 564
-rect 497034 552 497040 564
-rect 497092 552 497098 604
-rect 497310 552 497316 604
-rect 497368 592 497374 604
-rect 498230 592 498236 604
-rect 497368 564 498236 592
-rect 497368 552 497374 564
-rect 498230 552 498236 564
-rect 498288 552 498294 604
-rect 500070 552 500076 604
-rect 500128 592 500134 604
-rect 500622 592 500628 604
-rect 500128 564 500628 592
-rect 500128 552 500134 564
-rect 500622 552 500628 564
-rect 500680 552 500686 604
-rect 501450 552 501456 604
-rect 501508 592 501514 604
-rect 501726 592 501732 604
-rect 501508 564 501732 592
-rect 501508 552 501514 564
-rect 501726 552 501732 564
-rect 501784 552 501790 604
-rect 504210 552 504216 604
-rect 504268 592 504274 604
-rect 505314 592 505320 604
-rect 504268 564 505320 592
-rect 504268 552 504274 564
-rect 505314 552 505320 564
-rect 505372 552 505378 604
-rect 506970 552 506976 604
-rect 507028 592 507034 604
-rect 507706 592 507712 604
-rect 507028 564 507712 592
-rect 507028 552 507034 564
-rect 507706 552 507712 564
-rect 507764 552 507770 604
-rect 508350 552 508356 604
-rect 508408 592 508414 604
-rect 508902 592 508908 604
-rect 508408 564 508908 592
-rect 508408 552 508414 564
-rect 508902 552 508908 564
-rect 508960 552 508966 604
-rect 529142 552 529148 604
-rect 529200 592 529206 604
-rect 529234 592 529240 604
-rect 529200 564 529240 592
-rect 529200 552 529206 564
-rect 529234 552 529240 564
-rect 529292 552 529298 604
-<< via1 >>
-rect 119380 700952 119432 701004
-rect 249192 700884 249244 700936
-rect 250204 700884 250256 700936
-rect 284704 700884 284756 700936
-rect 299976 700952 300028 701004
-rect 184240 700816 184292 700868
-rect 185344 700816 185396 700868
-rect 286084 700816 286136 700868
-rect 288844 700816 288896 700868
-rect 465484 700884 465536 700936
-rect 487104 700816 487156 700868
-rect 97760 700748 97812 700800
-rect 301356 700748 301408 700800
-rect 76140 700544 76192 700596
-rect 301448 700544 301500 700596
-rect 54520 700476 54572 700528
-rect 302736 700476 302788 700528
-rect 280564 700408 280616 700460
-rect 530344 700408 530396 700460
-rect 280472 700340 280524 700392
-rect 551964 700340 552016 700392
-rect 32900 700272 32952 700324
-rect 305496 700272 305548 700324
-rect 141000 700204 141052 700256
-rect 298596 700204 298648 700256
-rect 162620 700000 162672 700052
-rect 270812 699932 270864 699984
-rect 287464 699932 287516 699984
-rect 422244 699932 422296 699984
-rect 283324 699864 283376 699916
-rect 205952 699796 206004 699848
-rect 294456 699864 294508 699916
-rect 400624 699864 400676 699916
-rect 290224 699796 290276 699848
-rect 292524 699796 292576 699848
-rect 227572 699728 227624 699780
-rect 291788 699728 291840 699780
-rect 295928 699728 295980 699780
-rect 335672 699796 335724 699848
-rect 297216 699728 297268 699780
-rect 291696 699660 291748 699712
-rect 292432 699660 292484 699712
-rect 292524 699660 292576 699712
-rect 357292 699660 357344 699712
-rect 276424 695512 276476 695564
-rect 580116 695512 580168 695564
-rect 378728 695487 378780 695496
-rect 378728 695453 378737 695487
-rect 378737 695453 378771 695487
-rect 378771 695453 378780 695487
-rect 378728 695444 378780 695453
-rect 508448 695487 508500 695496
-rect 508448 695453 508457 695487
-rect 508457 695453 508491 695487
-rect 508491 695453 508500 695487
-rect 508448 695444 508500 695453
-rect 3736 694220 3788 694272
-rect 305588 694220 305640 694272
-rect 314052 688576 314104 688628
-rect 314236 688576 314288 688628
-rect 443772 688576 443824 688628
-rect 443956 688576 444008 688628
-rect 573492 688576 573544 688628
-rect 573676 688576 573728 688628
-rect 378820 685856 378872 685908
-rect 508540 685856 508592 685908
-rect 277804 680348 277856 680400
-rect 580116 680348 580168 680400
-rect 3920 677560 3972 677612
-rect 308256 677560 308308 677612
-rect 314144 676107 314196 676116
-rect 314144 676073 314153 676107
-rect 314153 676073 314187 676107
-rect 314187 676073 314196 676107
-rect 314144 676064 314196 676073
-rect 378636 676107 378688 676116
-rect 378636 676073 378645 676107
-rect 378645 676073 378679 676107
-rect 378679 676073 378688 676107
-rect 378636 676064 378688 676073
-rect 443864 676107 443916 676116
-rect 443864 676073 443873 676107
-rect 443873 676073 443907 676107
-rect 443907 676073 443916 676107
-rect 443864 676064 443916 676073
-rect 508356 676107 508408 676116
-rect 508356 676073 508365 676107
-rect 508365 676073 508399 676107
-rect 508399 676073 508408 676107
-rect 508356 676064 508408 676073
-rect 573584 676107 573636 676116
-rect 573584 676073 573593 676107
-rect 573593 676073 573627 676107
-rect 573627 676073 573636 676107
-rect 573584 676064 573636 676073
-rect 314236 666544 314288 666596
-rect 378728 666544 378780 666596
-rect 443956 666544 444008 666596
-rect 508448 666544 508500 666596
-rect 573676 666544 573728 666596
-rect 275044 663756 275096 663808
-rect 580116 663756 580168 663808
-rect 3920 661036 3972 661088
-rect 306876 661036 306928 661088
-rect 378728 659676 378780 659728
-rect 378820 659676 378872 659728
-rect 508448 659676 508500 659728
-rect 508540 659676 508592 659728
-rect 378636 654100 378688 654152
-rect 378820 654100 378872 654152
-rect 508356 654100 508408 654152
-rect 508540 654100 508592 654152
-rect 273664 648592 273716 648644
-rect 580116 648592 580168 648644
-rect 313960 647232 314012 647284
-rect 314052 647232 314104 647284
-rect 443680 647232 443732 647284
-rect 443772 647232 443824 647284
-rect 573400 647232 573452 647284
-rect 573492 647232 573544 647284
-rect 3552 644444 3604 644496
-rect 309636 644444 309688 644496
-rect 314144 637483 314196 637492
-rect 314144 637449 314153 637483
-rect 314153 637449 314187 637483
-rect 314187 637449 314196 637483
-rect 314144 637440 314196 637449
-rect 443864 637483 443916 637492
-rect 443864 637449 443873 637483
-rect 443873 637449 443907 637483
-rect 443907 637449 443916 637483
-rect 443864 637440 443916 637449
-rect 573584 637483 573636 637492
-rect 573584 637449 573593 637483
-rect 573593 637449 573627 637483
-rect 573627 637449 573636 637483
-rect 573584 637440 573636 637449
-rect 274952 633428 275004 633480
-rect 580116 633428 580168 633480
-rect 3920 627920 3972 627972
-rect 311016 627920 311068 627972
-rect 314236 627920 314288 627972
-rect 443956 627920 444008 627972
-rect 573676 627920 573728 627972
-rect 314052 618264 314104 618316
-rect 314236 618264 314288 618316
-rect 443772 618264 443824 618316
-rect 443956 618264 444008 618316
-rect 573492 618264 573544 618316
-rect 573676 618264 573728 618316
-rect 573492 618128 573544 618180
-rect 573768 618128 573820 618180
-rect 272284 616972 272336 617024
-rect 580116 616972 580168 617024
-rect 378636 615476 378688 615528
-rect 378820 615476 378872 615528
-rect 508356 615476 508408 615528
-rect 508540 615476 508592 615528
-rect 3828 611328 3880 611380
-rect 309728 611328 309780 611380
-rect 313776 608608 313828 608660
-rect 313960 608608 314012 608660
-rect 443680 608583 443732 608592
-rect 443680 608549 443689 608583
-rect 443689 608549 443723 608583
-rect 443723 608549 443732 608583
-rect 443680 608540 443732 608549
-rect 573400 608583 573452 608592
-rect 573400 608549 573409 608583
-rect 573409 608549 573443 608583
-rect 573443 608549 573452 608583
-rect 573400 608540 573452 608549
-rect 270904 601740 270956 601792
-rect 580116 601740 580168 601792
-rect 443864 601536 443916 601588
-rect 573584 601536 573636 601588
-rect 313868 598859 313920 598868
-rect 313868 598825 313877 598859
-rect 313877 598825 313911 598859
-rect 313911 598825 313920 598859
-rect 313868 598816 313920 598825
-rect 443864 598859 443916 598868
-rect 443864 598825 443873 598859
-rect 443873 598825 443907 598859
-rect 443907 598825 443916 598859
-rect 443864 598816 443916 598825
-rect 573584 598859 573636 598868
-rect 573584 598825 573593 598859
-rect 573593 598825 573627 598859
-rect 573627 598825 573636 598859
-rect 573584 598816 573636 598825
-rect 3920 594804 3972 594856
-rect 312396 594804 312448 594856
-rect 314052 589296 314104 589348
-rect 443956 589296 444008 589348
-rect 573676 589296 573728 589348
-rect 378728 589228 378780 589280
-rect 508448 589228 508500 589280
-rect 270812 586508 270864 586560
-rect 580116 586508 580168 586560
-rect 314052 582360 314104 582412
-rect 443956 582428 444008 582480
-rect 573676 582428 573728 582480
-rect 314144 582292 314196 582344
-rect 443864 582292 443916 582344
-rect 573584 582292 573636 582344
-rect 378636 579683 378688 579692
-rect 378636 579649 378645 579683
-rect 378645 579649 378679 579683
-rect 378679 579649 378688 579683
-rect 378636 579640 378688 579649
-rect 508356 579683 508408 579692
-rect 508356 579649 508365 579683
-rect 508365 579649 508399 579683
-rect 508399 579649 508408 579683
-rect 508356 579640 508408 579649
-rect 314144 579572 314196 579624
-rect 3736 576852 3788 576904
-rect 313868 576852 313920 576904
-rect 313960 570027 314012 570036
-rect 313960 569993 313969 570027
-rect 313969 569993 314003 570027
-rect 314003 569993 314012 570027
-rect 313960 569984 314012 569993
-rect 269524 569916 269576 569968
-rect 580116 569916 580168 569968
-rect 378728 569891 378780 569900
-rect 378728 569857 378737 569891
-rect 378737 569857 378771 569891
-rect 378771 569857 378780 569891
-rect 378728 569848 378780 569857
-rect 508448 569891 508500 569900
-rect 508448 569857 508457 569891
-rect 508457 569857 508491 569891
-rect 508491 569857 508500 569891
-rect 508448 569848 508500 569857
-rect 378912 562912 378964 562964
-rect 508632 562912 508684 562964
-rect 3920 560396 3972 560448
-rect 313960 560396 314012 560448
-rect 266764 554752 266816 554804
-rect 580116 554752 580168 554804
-rect 443496 553435 443548 553444
-rect 443496 553401 443505 553435
-rect 443505 553401 443539 553435
-rect 443539 553401 443548 553435
-rect 443496 553392 443548 553401
-rect 573216 553435 573268 553444
-rect 573216 553401 573225 553435
-rect 573225 553401 573259 553435
-rect 573259 553401 573268 553435
-rect 573216 553392 573268 553401
-rect 313776 552576 313828 552628
-rect 314052 552576 314104 552628
-rect 378728 550604 378780 550656
-rect 379004 550604 379056 550656
-rect 443496 550647 443548 550656
-rect 443496 550613 443505 550647
-rect 443505 550613 443539 550647
-rect 443539 550613 443548 550647
-rect 443496 550604 443548 550613
-rect 508448 550604 508500 550656
-rect 508724 550604 508776 550656
-rect 573216 550647 573268 550656
-rect 573216 550613 573225 550647
-rect 573225 550613 573259 550647
-rect 573259 550613 573268 550647
-rect 573216 550604 573268 550613
-rect 3644 543736 3696 543788
-rect 315156 543736 315208 543788
-rect 379004 543804 379056 543856
-rect 443496 543736 443548 543788
-rect 378912 543668 378964 543720
-rect 508724 543804 508776 543856
-rect 573216 543736 573268 543788
-rect 508632 543668 508684 543720
-rect 443588 543600 443640 543652
-rect 573308 543600 573360 543652
-rect 268144 539724 268196 539776
-rect 580116 539724 580168 539776
-rect 313776 538228 313828 538280
-rect 314052 538228 314104 538280
-rect 378912 534080 378964 534132
-rect 443496 534123 443548 534132
-rect 443496 534089 443505 534123
-rect 443505 534089 443539 534123
-rect 443539 534089 443548 534123
-rect 443496 534080 443548 534089
-rect 508632 534080 508684 534132
-rect 573216 534123 573268 534132
-rect 573216 534089 573225 534123
-rect 573225 534089 573259 534123
-rect 573259 534089 573268 534123
-rect 573216 534080 573268 534089
-rect 379004 533944 379056 533996
-rect 508724 533944 508776 533996
-rect 443496 531335 443548 531344
-rect 443496 531301 443505 531335
-rect 443505 531301 443539 531335
-rect 443539 531301 443548 531335
-rect 443496 531292 443548 531301
-rect 573216 531335 573268 531344
-rect 573216 531301 573225 531335
-rect 573225 531301 573259 531335
-rect 573259 531301 573268 531335
-rect 573216 531292 573268 531301
-rect 443588 531267 443640 531276
-rect 443588 531233 443597 531267
-rect 443597 531233 443631 531267
-rect 443631 531233 443640 531267
-rect 443588 531224 443640 531233
-rect 573308 531267 573360 531276
-rect 573308 531233 573317 531267
-rect 573317 531233 573351 531267
-rect 573351 531233 573360 531267
-rect 573308 531224 573360 531233
-rect 313776 528504 313828 528556
-rect 314052 528504 314104 528556
-rect 3644 527212 3696 527264
-rect 317916 527212 317968 527264
-rect 443588 524331 443640 524340
-rect 443588 524297 443597 524331
-rect 443597 524297 443631 524331
-rect 443631 524297 443640 524331
-rect 443588 524288 443640 524297
-rect 573308 524331 573360 524340
-rect 573308 524297 573317 524331
-rect 573317 524297 573351 524331
-rect 573351 524297 573360 524331
-rect 573308 524288 573360 524297
-rect 266672 522996 266724 523048
-rect 580116 522996 580168 523048
-rect 379004 514496 379056 514548
-rect 379188 514496 379240 514548
-rect 508724 514496 508776 514548
-rect 508908 514496 508960 514548
-rect 313776 513952 313828 514004
-rect 314052 513952 314104 514004
-rect 4012 510620 4064 510672
-rect 316536 510620 316588 510672
-rect 264004 507832 264056 507884
-rect 580116 507832 580168 507884
-rect 378820 502324 378872 502376
-rect 379004 502324 379056 502376
-rect 443496 502324 443548 502376
-rect 443772 502324 443824 502376
-rect 508540 502324 508592 502376
-rect 508724 502324 508776 502376
-rect 573216 502324 573268 502376
-rect 573492 502324 573544 502376
-rect 313776 499536 313828 499588
-rect 314052 499536 314104 499588
-rect 3736 494028 3788 494080
-rect 318008 494028 318060 494080
-rect 265384 492668 265436 492720
-rect 580116 492668 580168 492720
-rect 313776 489812 313828 489864
-rect 314052 489812 314104 489864
-rect 443772 485868 443824 485920
-rect 573492 485868 573544 485920
-rect 443496 485707 443548 485716
-rect 443496 485673 443505 485707
-rect 443505 485673 443539 485707
-rect 443539 485673 443548 485707
-rect 443496 485664 443548 485673
-rect 573216 485707 573268 485716
-rect 573216 485673 573225 485707
-rect 573225 485673 573259 485707
-rect 573259 485673 573268 485707
-rect 573216 485664 573268 485673
-rect 313776 480224 313828 480276
-rect 314052 480224 314104 480276
-rect 378636 480224 378688 480276
-rect 378820 480224 378872 480276
-rect 508356 480224 508408 480276
-rect 508540 480224 508592 480276
-rect 3920 477504 3972 477556
-rect 320676 477504 320728 477556
-rect 262624 476076 262676 476128
-rect 580116 476076 580168 476128
-rect 313776 475328 313828 475380
-rect 314052 475328 314104 475380
-rect 443588 471971 443640 471980
-rect 443588 471937 443597 471971
-rect 443597 471937 443631 471971
-rect 443631 471937 443640 471971
-rect 443588 471928 443640 471937
-rect 573308 471971 573360 471980
-rect 573308 471937 573317 471971
-rect 573317 471937 573351 471971
-rect 573351 471937 573360 471971
-rect 573308 471928 573360 471937
-rect 314052 463700 314104 463752
-rect 359960 463632 360012 463684
-rect 257104 463564 257156 463616
-rect 355912 463564 355964 463616
-rect 258208 463360 258260 463412
-rect 358672 463360 358724 463412
-rect 253976 463292 254028 463344
-rect 354532 463292 354584 463344
-rect 185344 463224 185396 463276
-rect 283600 463224 283652 463276
-rect 250204 463156 250256 463208
-rect 293076 463224 293128 463276
-rect 293168 463224 293220 463276
-rect 378820 463224 378872 463276
-rect 261336 463088 261388 463140
-rect 278172 463088 278224 463140
-rect 282312 463088 282364 463140
-rect 282404 463088 282456 463140
-rect 283324 463088 283376 463140
-rect 283416 463088 283468 463140
-rect 264556 463020 264608 463072
-rect 265384 463020 265436 463072
-rect 265568 463020 265620 463072
-rect 266672 463020 266724 463072
-rect 268696 463020 268748 463072
-rect 269524 463020 269576 463072
-rect 269800 463020 269852 463072
-rect 270904 463020 270956 463072
-rect 272928 463020 272980 463072
-rect 273664 463020 273716 463072
-rect 273940 463020 273992 463072
-rect 274952 463020 275004 463072
-rect 279276 463020 279328 463072
-rect 280564 463020 280616 463072
-rect 281392 463020 281444 463072
-rect 283784 463020 283836 463072
-rect 284704 463020 284756 463072
-rect 286636 463020 286688 463072
-rect 287464 463020 287516 463072
-rect 288200 463088 288252 463140
-rect 291696 463088 291748 463140
-rect 292524 463088 292576 463140
-rect 301356 463088 301408 463140
-rect 302092 463088 302144 463140
-rect 508540 463088 508592 463140
-rect 255080 462816 255132 462868
-rect 357200 462816 357252 462868
-rect 4380 462748 4432 462800
-rect 330796 462748 330848 462800
-rect 4288 462680 4340 462732
-rect 333924 462680 333976 462732
-rect 4196 462612 4248 462664
-rect 337144 462612 337196 462664
-rect 4012 462544 4064 462596
-rect 339260 462544 339312 462596
-rect 4104 462476 4156 462528
-rect 340272 462476 340324 462528
-rect 291144 462272 291196 462324
-rect 313868 462272 313920 462324
-rect 314788 462272 314840 462324
-rect 284704 462204 284756 462256
-rect 296756 462136 296808 462188
-rect 228952 461524 229004 461576
-rect 328680 461524 328732 461576
-rect 220580 461456 220632 461508
-rect 324540 461456 324592 461508
-rect 240268 461388 240320 461440
-rect 351680 461388 351732 461440
-rect 235024 461184 235076 461236
-rect 361340 461184 361392 461236
-rect 259220 461116 259272 461168
-rect 411020 461116 411072 461168
-rect 179180 461048 179232 461100
-rect 332912 461048 332964 461100
-rect 6772 460980 6824 461032
-rect 322424 460980 322476 461032
-rect 260324 460912 260376 460964
-rect 580668 460912 580720 460964
-rect 228860 460300 228912 460352
-rect 335028 460300 335080 460352
-rect 243488 460096 243540 460148
-rect 353060 460096 353112 460148
-rect 3644 459756 3696 459808
-rect 227572 460028 227624 460080
-rect 325276 460028 325328 460080
-rect 223340 459960 223392 460012
-rect 326380 459960 326432 460012
-rect 252780 459892 252832 459944
-rect 355820 459892 355872 459944
-rect 248088 459824 248140 459876
-rect 358580 459824 358632 459876
-rect 224720 459756 224772 459808
-rect 335856 459756 335908 459808
-rect 234288 459663 234340 459672
-rect 234288 459629 234297 459663
-rect 234297 459629 234331 459663
-rect 234331 459629 234340 459663
-rect 234288 459620 234340 459629
-rect 250112 459663 250164 459672
-rect 250112 459629 250121 459663
-rect 250121 459629 250155 459663
-rect 250155 459629 250164 459663
-rect 250112 459620 250164 459629
-rect 256368 459663 256420 459672
-rect 256368 459629 256377 459663
-rect 256377 459629 256411 459663
-rect 256411 459629 256420 459663
-rect 256368 459620 256420 459629
-rect 319940 459688 319992 459740
-rect 323528 459663 323580 459672
-rect 323528 459629 323537 459663
-rect 323537 459629 323571 459663
-rect 323571 459629 323580 459663
-rect 323528 459620 323580 459629
-rect 327484 459663 327536 459672
-rect 327484 459629 327493 459663
-rect 327493 459629 327527 459663
-rect 327527 459629 327536 459663
-rect 327484 459620 327536 459629
-rect 329508 459663 329560 459672
-rect 329508 459629 329517 459663
-rect 329517 459629 329551 459663
-rect 329551 459629 329560 459663
-rect 329508 459620 329560 459629
-rect 331716 459663 331768 459672
-rect 331716 459629 331725 459663
-rect 331725 459629 331759 459663
-rect 331759 459629 331768 459663
-rect 331716 459620 331768 459629
-rect 337788 459663 337840 459672
-rect 337788 459629 337797 459663
-rect 337797 459629 337831 459663
-rect 337831 459629 337840 459663
-rect 337788 459620 337840 459629
-rect 341192 459620 341244 459672
-rect 345608 459663 345660 459672
-rect 345608 459629 345617 459663
-rect 345617 459629 345651 459663
-rect 345651 459629 345660 459663
-rect 345608 459620 345660 459629
-rect 227480 458940 227532 458992
-rect 354440 458872 354492 458924
-rect 226192 458804 226244 458856
-rect 226100 458736 226152 458788
-rect 221960 458668 222012 458720
-rect 219200 458464 219252 458516
-rect 406880 458396 406932 458448
-rect 6680 458328 6732 458380
-rect 9440 458260 9492 458312
-rect 580760 458192 580812 458244
-rect 359960 447040 360012 447092
-rect 580668 447040 580720 447092
-rect 3644 444116 3696 444168
-rect 6772 444116 6824 444168
-rect 411020 430516 411072 430568
-rect 580668 430516 580720 430568
-rect 3644 427728 3696 427780
-rect 220580 427728 220632 427780
-rect 355912 415352 355964 415404
-rect 580668 415352 580720 415404
-rect 3828 411136 3880 411188
-rect 226192 411136 226244 411188
-rect 358672 400120 358724 400172
-rect 580668 400120 580720 400172
-rect 3828 394612 3880 394664
-rect 227572 394612 227624 394664
-rect 406880 383596 406932 383648
-rect 580668 383596 580720 383648
-rect 3552 377952 3604 378004
-rect 221960 377952 222012 378004
-rect 354532 368432 354584 368484
-rect 580668 368432 580720 368484
-rect 3828 361496 3880 361548
-rect 223340 361496 223392 361548
-rect 357200 353200 357252 353252
-rect 580668 353200 580720 353252
-rect 3828 343544 3880 343596
-rect 228952 343544 229004 343596
-rect 240912 339056 240964 339108
-rect 241280 339056 241332 339108
-rect 242108 339056 242160 339108
-rect 242476 339056 242528 339108
-rect 268236 339056 268288 339108
-rect 268696 339056 268748 339108
-rect 254804 338512 254856 338564
-rect 255172 338512 255224 338564
-rect 272652 338444 272704 338496
-rect 273112 338444 273164 338496
-rect 278908 338444 278960 338496
-rect 279184 338444 279236 338496
-rect 234196 338172 234248 338224
-rect 129224 338104 129276 338156
-rect 142564 338104 142616 338156
-rect 152224 338104 152276 338156
-rect 161884 338104 161936 338156
-rect 171544 338104 171596 338156
-rect 181204 338104 181256 338156
-rect 190864 338104 190916 338156
-rect 200524 338104 200576 338156
-rect 210184 338104 210236 338156
-rect 219844 338104 219896 338156
-rect 232356 338104 232408 338156
-rect 308900 338104 308952 338156
-rect 309544 338104 309596 338156
-rect 62524 338036 62576 338088
-rect 242936 338036 242988 338088
-rect 259220 338036 259272 338088
-rect 283876 338036 283928 338088
-rect 303932 338036 303984 338088
-rect 356556 338036 356608 338088
-rect 45964 337968 46016 338020
-rect 55624 337968 55676 338020
-rect 236312 337968 236364 338020
-rect 44584 337900 44636 337952
-rect 142564 337900 142616 337952
-rect 152224 337900 152276 337952
-rect 161884 337900 161936 337952
-rect 171544 337900 171596 337952
-rect 181204 337900 181256 337952
-rect 190864 337900 190916 337952
-rect 200524 337900 200576 337952
-rect 210184 337900 210236 337952
-rect 219844 337900 219896 337952
-rect 237784 337900 237836 337952
-rect 292616 337968 292668 338020
-rect 293812 337968 293864 338020
-rect 297308 337968 297360 338020
-rect 304760 337968 304812 338020
-rect 305404 337968 305456 338020
-rect 364836 337968 364888 338020
-rect 298228 337900 298280 337952
-rect 298504 337900 298556 337952
-rect 300252 337900 300304 337952
-rect 303472 337900 303524 337952
-rect 304944 337900 304996 337952
-rect 305128 337900 305180 337952
-rect 309084 337900 309136 337952
-rect 309544 337900 309596 337952
-rect 22504 337696 22556 337748
-rect 37684 337696 37736 337748
-rect 30784 337628 30836 337680
-rect 45964 337560 46016 337612
-rect 65284 337560 65336 337612
-rect 84604 337560 84656 337612
-rect 126004 337560 126056 337612
-rect 12844 337492 12896 337544
-rect 116344 337492 116396 337544
-rect 128580 337492 128632 337544
-rect 128764 337560 128816 337612
-rect 129040 337560 129092 337612
-rect 138332 337628 138384 337680
-rect 138424 337628 138476 337680
-rect 232632 337696 232684 337748
-rect 232816 337696 232868 337748
-rect 234656 337696 234708 337748
-rect 241464 337696 241516 337748
-rect 264740 337696 264792 337748
-rect 280656 337696 280708 337748
-rect 284060 337696 284112 337748
-rect 293352 337696 293404 337748
-rect 294180 337696 294232 337748
-rect 294824 337696 294876 337748
-rect 295744 337696 295796 337748
-rect 296296 337696 296348 337748
-rect 296756 337696 296808 337748
-rect 296848 337696 296900 337748
-rect 297032 337696 297084 337748
-rect 297584 337696 297636 337748
-rect 298320 337696 298372 337748
-rect 298780 337696 298832 337748
-rect 299516 337696 299568 337748
-rect 300712 337696 300764 337748
-rect 301172 337696 301224 337748
-rect 302184 337696 302236 337748
-rect 302460 337696 302512 337748
-rect 302920 337696 302972 337748
-rect 303932 337696 303984 337748
-rect 304668 337696 304720 337748
-rect 305220 337696 305272 337748
-rect 306416 337696 306468 337748
-rect 306600 337696 306652 337748
-rect 307336 337696 307388 337748
-rect 307980 337696 308032 337748
-rect 310004 337696 310056 337748
-rect 310832 337696 310884 337748
-rect 312028 337696 312080 337748
-rect 312304 337696 312356 337748
-rect 378636 337696 378688 337748
-rect 230056 337628 230108 337680
-rect 292892 337628 292944 337680
-rect 293720 337628 293772 337680
-rect 293904 337628 293956 337680
-rect 295192 337628 295244 337680
-rect 295376 337628 295428 337680
-rect 295560 337628 295612 337680
-rect 296572 337628 296624 337680
-rect 142472 337560 142524 337612
-rect 142656 337560 142708 337612
-rect 142748 337560 142800 337612
-rect 152132 337560 152184 337612
-rect 152224 337560 152276 337612
-rect 152408 337560 152460 337612
-rect 161792 337560 161844 337612
-rect 161976 337560 162028 337612
-rect 162068 337560 162120 337612
-rect 171452 337560 171504 337612
-rect 171544 337560 171596 337612
-rect 171728 337560 171780 337612
-rect 181112 337560 181164 337612
-rect 181296 337560 181348 337612
-rect 181388 337560 181440 337612
-rect 190772 337560 190824 337612
-rect 190864 337560 190916 337612
-rect 191048 337560 191100 337612
-rect 200432 337560 200484 337612
-rect 200616 337560 200668 337612
-rect 200708 337560 200760 337612
-rect 210092 337560 210144 337612
-rect 210184 337560 210236 337612
-rect 210368 337560 210420 337612
-rect 219752 337560 219804 337612
-rect 219936 337560 219988 337612
-rect 220028 337560 220080 337612
-rect 239256 337560 239308 337612
-rect 251584 337560 251636 337612
-rect 281852 337560 281904 337612
-rect 293628 337560 293680 337612
-rect 294364 337560 294416 337612
-rect 296112 337560 296164 337612
-rect 297032 337560 297084 337612
-rect 297768 337560 297820 337612
-rect 298412 337560 298464 337612
-rect 128856 337492 128908 337544
-rect 129132 337492 129184 337544
-rect 253976 337492 254028 337544
-rect 254344 337492 254396 337544
-rect 282588 337492 282640 337544
-rect 295836 337492 295888 337544
-rect 297124 337492 297176 337544
-rect 299240 337628 299292 337680
-rect 299884 337628 299936 337680
-rect 299976 337628 300028 337680
-rect 301264 337628 301316 337680
-rect 302000 337628 302052 337680
-rect 302552 337628 302604 337680
-rect 304392 337628 304444 337680
-rect 305312 337628 305364 337680
-rect 305864 337628 305916 337680
-rect 306692 337628 306744 337680
-rect 310280 337628 310332 337680
-rect 310648 337628 310700 337680
-rect 311476 337628 311528 337680
-rect 312120 337628 312172 337680
-rect 385536 337628 385588 337680
-rect 299056 337560 299108 337612
-rect 299792 337560 299844 337612
-rect 301448 337560 301500 337612
-rect 302644 337560 302696 337612
-rect 306140 337560 306192 337612
-rect 306600 337560 306652 337612
-rect 311016 337560 311068 337612
-rect 312028 337560 312080 337612
-rect 392436 337560 392488 337612
-rect 303380 337492 303432 337544
-rect 306876 337492 306928 337544
-rect 21124 337424 21176 337476
-rect 142380 337424 142432 337476
-rect 152500 337424 152552 337476
-rect 161700 337424 161752 337476
-rect 171820 337424 171872 337476
-rect 181020 337424 181072 337476
-rect 191140 337424 191192 337476
-rect 200340 337424 200392 337476
-rect 210460 337424 210512 337476
-rect 219660 337424 219712 337476
-rect 11464 337356 11516 337408
-rect 26644 337356 26696 337408
-rect 36396 337356 36448 337408
-rect 45964 337356 46016 337408
-rect 55716 337356 55768 337408
-rect 65284 337356 65336 337408
-rect 75036 337356 75088 337408
-rect 84604 337356 84656 337408
-rect 94356 337356 94408 337408
-rect 103924 337356 103976 337408
-rect 123888 337356 123940 337408
-rect 138332 337356 138384 337408
-rect 142564 337356 142616 337408
-rect 152316 337356 152368 337408
-rect 161884 337356 161936 337408
-rect 171636 337356 171688 337408
-rect 181204 337356 181256 337408
-rect 190956 337356 191008 337408
-rect 200524 337356 200576 337408
-rect 210276 337356 210328 337408
-rect 219844 337356 219896 337408
-rect 226928 337424 226980 337476
-rect 230056 337424 230108 337476
-rect 256184 337424 256236 337476
-rect 257104 337424 257156 337476
-rect 283140 337424 283192 337476
-rect 294640 337424 294692 337476
-rect 310280 337424 310332 337476
-rect 310556 337492 310608 337544
-rect 310924 337492 310976 337544
-rect 311292 337492 311344 337544
-rect 314236 337492 314288 337544
-rect 400716 337492 400768 337544
-rect 407616 337424 407668 337476
-rect 252504 337356 252556 337408
-rect 254252 337356 254304 337408
-rect 282404 337356 282456 337408
-rect 295100 337356 295152 337408
-rect 308532 337356 308584 337408
-rect 309360 337356 309412 337408
-rect 312764 337356 312816 337408
-rect 313684 337356 313736 337408
-rect 315708 337356 315760 337408
-rect 414516 337356 414568 337408
-rect 26644 337152 26696 337204
-rect 65192 337152 65244 337204
-rect 69424 337152 69476 337204
-rect 244408 337152 244460 337204
-rect 251032 337152 251084 337204
-rect 280104 337152 280156 337204
-rect 287280 337152 287332 337204
-rect 293168 337152 293220 337204
-rect 295100 337152 295152 337204
-rect 301724 337152 301776 337204
-rect 313040 337152 313092 337204
-rect 313684 337152 313736 337204
-rect 342388 337152 342440 337204
-rect 342664 337152 342716 337204
-rect 342848 337152 342900 337204
-rect 343768 337152 343820 337204
-rect 344596 337152 344648 337204
-rect 345424 337152 345476 337204
-rect 346252 337152 346304 337204
-rect 346528 337152 346580 337204
-rect 347540 337152 347592 337204
-rect 348184 337152 348236 337204
-rect 348276 337152 348328 337204
-rect 349196 337152 349248 337204
-rect 349288 337152 349340 337204
-rect 349472 337152 349524 337204
-rect 349932 337152 349984 337204
-rect 350944 337152 350996 337204
-rect 76324 337084 76376 337136
-rect 245880 337084 245932 337136
-rect 278540 337084 278592 337136
-rect 286544 337084 286596 337136
-rect 291420 337084 291472 337136
-rect 293904 337084 293956 337136
-rect 314144 337084 314196 337136
-rect 341376 337084 341428 337136
-rect 342480 337084 342532 337136
-rect 344320 337084 344372 337136
-rect 345056 337084 345108 337136
-rect 346068 337084 346120 337136
-rect 346804 337084 346856 337136
-rect 346988 337084 347040 337136
-rect 348000 337084 348052 337136
-rect 348736 337084 348788 337136
-rect 351772 337084 351824 337136
-rect 36396 337016 36448 337068
-rect 45964 337016 46016 337068
-rect 55716 337016 55768 337068
-rect 65284 337016 65336 337068
-rect 83224 337016 83276 337068
-rect 247352 337016 247404 337068
-rect 261244 337016 261296 337068
-rect 283508 337016 283560 337068
-rect 284152 337016 284204 337068
-rect 291144 337016 291196 337068
-rect 292248 337016 292300 337068
-rect 300528 337016 300580 337068
-rect 300988 337016 301040 337068
-rect 309820 337016 309872 337068
-rect 318376 337016 318428 337068
-rect 318836 337016 318888 337068
-rect 321044 337016 321096 337068
-rect 321596 337016 321648 337068
-rect 326656 337016 326708 337068
-rect 327392 337016 327444 337068
-rect 332084 337016 332136 337068
-rect 332636 337016 332688 337068
-rect 336224 337016 336276 337068
-rect 336776 337016 336828 337068
-rect 341652 337016 341704 337068
-rect 342664 337016 342716 337068
-rect 343124 337016 343176 337068
-rect 343584 337016 343636 337068
-rect 343676 337016 343728 337068
-rect 344044 337016 344096 337068
-rect 345516 337016 345568 337068
-rect 346620 337016 346672 337068
-rect 347264 337016 347316 337068
-rect 347816 337016 347868 337068
-rect 348460 337016 348512 337068
-rect 349472 337016 349524 337068
-rect 84512 336948 84564 337000
-rect 87364 336948 87416 337000
-rect 248088 336948 248140 337000
-rect 94264 336880 94316 336932
-rect 249560 336880 249612 336932
-rect 288016 336948 288068 337000
-rect 290500 336948 290552 337000
-rect 291512 336948 291564 337000
-rect 291880 336948 291932 337000
-rect 292892 336948 292944 337000
-rect 298044 336948 298096 337000
-rect 306140 336948 306192 337000
-rect 308348 336948 308400 337000
-rect 315156 336948 315208 337000
-rect 316352 336948 316404 337000
-rect 316628 336948 316680 337000
-rect 317640 336948 317692 337000
-rect 318652 336948 318704 337000
-rect 319204 336948 319256 337000
-rect 320124 336948 320176 337000
-rect 320492 336948 320544 337000
-rect 320768 336948 320820 337000
-rect 321964 336948 322016 337000
-rect 326472 336948 326524 337000
-rect 327208 336948 327260 337000
-rect 328128 336948 328180 337000
-rect 328772 336948 328824 337000
-rect 330888 336948 330940 337000
-rect 331164 336948 331216 337000
-rect 331808 336948 331860 337000
-rect 332912 336948 332964 337000
-rect 333832 336948 333884 337000
-rect 334384 336948 334436 337000
-rect 334568 336948 334620 337000
-rect 335672 336948 335724 337000
-rect 335948 336948 336000 337000
-rect 336960 336948 337012 337000
-rect 338892 336948 338944 337000
-rect 339812 336948 339864 337000
-rect 340180 336948 340232 337000
-rect 341284 336948 341336 337000
-rect 345792 336948 345844 337000
-rect 346344 336948 346396 337000
-rect 347724 336948 347776 337000
-rect 348092 336948 348144 337000
-rect 349748 336948 349800 337000
-rect 350760 336948 350812 337000
-rect 290960 336880 291012 336932
-rect 291604 336880 291656 336932
-rect 291696 336880 291748 336932
-rect 292800 336880 292852 336932
-rect 307612 336880 307664 336932
-rect 307888 336880 307940 336932
-rect 313960 336880 314012 336932
-rect 314788 336880 314840 336932
-rect 315892 336880 315944 336932
-rect 316260 336880 316312 336932
-rect 317180 336880 317232 336932
-rect 317824 336880 317876 336932
-rect 319572 336880 319624 336932
-rect 320308 336880 320360 336932
-rect 321320 336880 321372 336932
-rect 321780 336880 321832 336932
-rect 322792 336880 322844 336932
-rect 323160 336880 323212 336932
-rect 323528 336880 323580 336932
-rect 324448 336880 324500 336932
-rect 325184 336880 325236 336932
-rect 326104 336880 326156 336932
-rect 326932 336880 326984 336932
-rect 327300 336880 327352 336932
-rect 329140 336880 329192 336932
-rect 330060 336880 330112 336932
-rect 330336 336880 330388 336932
-rect 331440 336880 331492 336932
-rect 332360 336880 332412 336932
-rect 333004 336880 333056 336932
-rect 333280 336880 333332 336932
-rect 334200 336880 334252 336932
-rect 335304 336880 335356 336932
-rect 335580 336880 335632 336932
-rect 336500 336880 336552 336932
-rect 337144 336880 337196 336932
-rect 337420 336880 337472 336932
-rect 338248 336880 338300 336932
-rect 339352 336880 339404 336932
-rect 339628 336880 339680 336932
-rect 340640 336880 340692 336932
-rect 341100 336880 341152 336932
-rect 342756 336880 342808 336932
-rect 343308 336880 343360 336932
-rect 75036 336812 75088 336864
-rect 84604 336812 84656 336864
-rect 101164 336812 101216 336864
-rect 245420 336812 245472 336864
-rect 247628 336812 247680 336864
-rect 249744 336812 249796 336864
-rect 250572 336812 250624 336864
-rect 256828 336812 256880 336864
-rect 258668 336812 258720 336864
-rect 276148 336812 276200 336864
-rect 278724 336812 278776 336864
-rect 284060 336812 284112 336864
-rect 284152 336812 284204 336864
-rect 285072 336812 285124 336864
-rect 287096 336812 287148 336864
-rect 287556 336812 287608 336864
-rect 288844 336812 288896 336864
-rect 289488 336812 289540 336864
-rect 290684 336812 290736 336864
-rect 291420 336812 291472 336864
-rect 292432 336812 292484 336864
-rect 292984 336812 293036 336864
-rect 312488 336812 312540 336864
-rect 313316 336812 313368 336864
-rect 313500 336812 313552 336864
-rect 313684 336812 313736 336864
-rect 314420 336812 314472 336864
-rect 314972 336812 315024 336864
-rect 315432 336812 315484 336864
-rect 316076 336812 316128 336864
-rect 316904 336812 316956 336864
-rect 317272 336812 317324 336864
-rect 317364 336812 317416 336864
-rect 317732 336812 317784 336864
-rect 318100 336812 318152 336864
-rect 318928 336812 318980 336864
-rect 319664 336812 319716 336864
-rect 320032 336812 320084 336864
-rect 320216 336812 320268 336864
-rect 320584 336812 320636 336864
-rect 321504 336812 321556 336864
-rect 321872 336812 321924 336864
-rect 322240 336812 322292 336864
-rect 322884 336812 322936 336864
-rect 322976 336812 323028 336864
-rect 323252 336812 323304 336864
-rect 323712 336812 323764 336864
-rect 324172 336812 324224 336864
-rect 324264 336812 324316 336864
-rect 324724 336812 324776 336864
-rect 325000 336812 325052 336864
-rect 325736 336812 325788 336864
-rect 326196 336812 326248 336864
-rect 327024 336812 327076 336864
-rect 327944 336812 327996 336864
-rect 328496 336812 328548 336864
-rect 329416 336812 329468 336864
-rect 329968 336812 330020 336864
-rect 331072 336812 331124 336864
-rect 331348 336812 331400 336864
-rect 332544 336812 332596 336864
-rect 332820 336812 332872 336864
-rect 334016 336812 334068 336864
-rect 334292 336812 334344 336864
-rect 334752 336812 334804 336864
-rect 335396 336812 335448 336864
-rect 336684 336812 336736 336864
-rect 337052 336812 337104 336864
-rect 337236 336812 337288 336864
-rect 338064 336812 338116 336864
-rect 338156 336812 338208 336864
-rect 338432 336812 338484 336864
-rect 339168 336812 339220 336864
-rect 339536 336812 339588 336864
-rect 340916 336812 340968 336864
-rect 341192 336812 341244 336864
-rect 108064 336608 108116 336660
-rect 355820 336608 355872 336660
-rect 580392 336608 580444 336660
-rect 119288 336404 119340 336456
-rect 284980 336447 285032 336456
-rect 284980 336413 284989 336447
-rect 284989 336413 285023 336447
-rect 285023 336413 285032 336447
-rect 284980 336404 285032 336413
-rect 225364 336268 225416 336320
-rect 276516 336268 276568 336320
-rect 302736 336268 302788 336320
-rect 351036 336268 351088 336320
-rect 181204 336064 181256 336116
-rect 267408 336064 267460 336116
-rect 304208 336064 304260 336116
-rect 357936 336064 357988 336116
-rect 127384 335996 127436 336048
-rect 256460 335996 256512 336048
-rect 323988 335996 324040 336048
-rect 454536 335996 454588 336048
-rect 275228 335860 275280 335912
-rect 275412 335860 275464 335912
-rect 275136 335792 275188 335844
-rect 275596 335792 275648 335844
-rect 231068 335724 231120 335776
-rect 231620 335724 231672 335776
-rect 235208 335724 235260 335776
-rect 235484 335724 235536 335776
-rect 237968 335724 238020 335776
-rect 238428 335724 238480 335776
-rect 239348 335724 239400 335776
-rect 239900 335724 239952 335776
-rect 245052 335724 245104 335776
-rect 245236 335724 245288 335776
-rect 247904 335724 247956 335776
-rect 248640 335724 248692 335776
-rect 253148 335724 253200 335776
-rect 254068 335724 254120 335776
-rect 254620 335724 254672 335776
-rect 255540 335724 255592 335776
-rect 260048 335724 260100 335776
-rect 260876 335724 260928 335776
-rect 264188 335724 264240 335776
-rect 264832 335724 264884 335776
-rect 265660 335724 265712 335776
-rect 266304 335724 266356 335776
-rect 268328 335724 268380 335776
-rect 268972 335724 269024 335776
-rect 269800 335724 269852 335776
-rect 270444 335724 270496 335776
-rect 271180 335724 271232 335776
-rect 271916 335724 271968 335776
-rect 273756 335724 273808 335776
-rect 274860 335724 274912 335776
-rect 276516 335724 276568 335776
-rect 276792 335724 276844 335776
-rect 328220 335724 328272 335776
-rect 328680 335724 328732 335776
-rect 229964 335520 230016 335572
-rect 230700 335520 230752 335572
-rect 231344 335520 231396 335572
-rect 231988 335520 232040 335572
-rect 233000 335520 233052 335572
-rect 233460 335520 233512 335572
-rect 233828 335520 233880 335572
-rect 234748 335520 234800 335572
-rect 235300 335520 235352 335572
-rect 235668 335520 235720 335572
-rect 236772 335520 236824 335572
-rect 237140 335520 237192 335572
-rect 238152 335520 238204 335572
-rect 238612 335520 238664 335572
-rect 239624 335520 239676 335572
-rect 240360 335520 240412 335572
-rect 240820 335520 240872 335572
-rect 241096 335520 241148 335572
-rect 242384 335520 242436 335572
-rect 242568 335520 242620 335572
-rect 244040 335520 244092 335572
-rect 244500 335520 244552 335572
-rect 244868 335520 244920 335572
-rect 245512 335520 245564 335572
-rect 247720 335520 247772 335572
-rect 248456 335520 248508 335572
-rect 249008 335520 249060 335572
-rect 249928 335520 249980 335572
-rect 253332 335520 253384 335572
-rect 253608 335520 253660 335572
-rect 254712 335520 254764 335572
-rect 255264 335520 255316 335572
-rect 257472 335520 257524 335572
-rect 258208 335520 258260 335572
-rect 258760 335520 258812 335572
-rect 259496 335520 259548 335572
-rect 260232 335520 260284 335572
-rect 260692 335520 260744 335572
-rect 261704 335520 261756 335572
-rect 262348 335520 262400 335572
-rect 262900 335520 262952 335572
-rect 263360 335520 263412 335572
-rect 264096 335520 264148 335572
-rect 264372 335520 264424 335572
-rect 265844 335520 265896 335572
-rect 266580 335520 266632 335572
-rect 267132 335520 267184 335572
-rect 267776 335520 267828 335572
-rect 268512 335520 268564 335572
-rect 269248 335520 269300 335572
-rect 269984 335520 270036 335572
-rect 270720 335520 270772 335572
-rect 274124 335520 274176 335572
-rect 274676 335520 274728 335572
-rect 276792 335520 276844 335572
-rect 277344 335520 277396 335572
-rect 278080 335520 278132 335572
-rect 278816 335520 278868 335572
-rect 279276 335520 279328 335572
-rect 280288 335520 280340 335572
-rect 280748 335520 280800 335572
-rect 281484 335520 281536 335572
-rect 327852 335520 327904 335572
-rect 328680 335520 328732 335572
-rect 333188 335520 333240 335572
-rect 334292 335520 334344 335572
-rect 337972 335520 338024 335572
-rect 338432 335520 338484 335572
-rect 231068 335452 231120 335504
-rect 231804 335452 231856 335504
-rect 232724 335452 232776 335504
-rect 233276 335452 233328 335504
-rect 237876 335452 237928 335504
-rect 238888 335452 238940 335504
-rect 239440 335452 239492 335504
-rect 240084 335452 240136 335504
-rect 242016 335452 242068 335504
-rect 242292 335452 242344 335504
-rect 243580 335452 243632 335504
-rect 243948 335452 244000 335504
-rect 270076 335452 270128 335504
-rect 270260 335452 270312 335504
-rect 272652 335452 272704 335504
-rect 273388 335452 273440 335504
-rect 284980 335452 285032 335504
-rect 285624 335452 285676 335504
-rect 289028 335452 289080 335504
-rect 289672 335452 289724 335504
-rect 313132 335452 313184 335504
-rect 313592 335452 313644 335504
-rect 318008 335452 318060 335504
-rect 319204 335452 319256 335504
-rect 319480 335452 319532 335504
-rect 320492 335452 320544 335504
-rect 322148 335452 322200 335504
-rect 323068 335452 323120 335504
-rect 240820 335384 240872 335436
-rect 241556 335384 241608 335436
-rect 264372 335384 264424 335436
-rect 265108 335384 265160 335436
-rect 250388 335316 250440 335368
-rect 251124 335316 251176 335368
-rect 251860 335316 251912 335368
-rect 252596 335316 252648 335368
-rect 338800 335316 338852 335368
-rect 339812 335316 339864 335368
-rect 250480 335248 250532 335300
-rect 250756 335248 250808 335300
-rect 251952 335248 252004 335300
-rect 252412 335248 252464 335300
-rect 277068 335248 277120 335300
-rect 277528 335248 277580 335300
-rect 319940 335291 319992 335300
-rect 319940 335257 319949 335291
-rect 319949 335257 319983 335291
-rect 319983 335257 319992 335291
-rect 319940 335248 319992 335257
-rect 263176 334908 263228 334960
-rect 263820 334908 263872 334960
-rect 305588 334704 305640 334756
-rect 366216 334704 366268 334756
-rect 261520 334636 261572 334688
-rect 342756 334636 342808 334688
-rect 548376 334636 548428 334688
-rect 280012 334296 280064 334348
-rect 276516 333931 276568 333940
-rect 276516 333897 276525 333931
-rect 276525 333897 276559 333931
-rect 276559 333897 276568 333931
-rect 276516 333888 276568 333897
-rect 236680 333548 236732 333600
-rect 237416 333548 237468 333600
-rect 204664 333344 204716 333396
-rect 272376 333344 272428 333396
-rect 303104 333344 303156 333396
-rect 353796 333344 353848 333396
-rect 163264 333276 163316 333328
-rect 263728 333276 263780 333328
-rect 284888 333276 284940 333328
-rect 285164 333276 285216 333328
-rect 329876 333276 329928 333328
-rect 483516 333276 483568 333328
-rect 145324 333208 145376 333260
-rect 260140 333208 260192 333260
-rect 262624 333208 262676 333260
-rect 348828 333208 348880 333260
-rect 575976 333208 576028 333260
-rect 279368 332800 279420 332852
-rect 279736 332800 279788 332852
-rect 274308 331984 274360 332036
-rect 287924 331984 287976 332036
-rect 288384 331984 288436 332036
-rect 307060 331984 307112 332036
-rect 373024 331984 373076 332036
-rect 117724 331916 117776 331968
-rect 254436 331916 254488 331968
-rect 278816 331916 278868 331968
-rect 337696 331916 337748 331968
-rect 520776 331916 520828 331968
-rect 282312 331576 282364 331628
-rect 283232 331576 283284 331628
-rect 285440 331440 285492 331492
-rect 259128 331372 259180 331424
-rect 254712 331168 254764 331220
-rect 254896 331168 254948 331220
-rect 259036 331211 259088 331220
-rect 259036 331177 259045 331211
-rect 259045 331177 259079 331211
-rect 259079 331177 259088 331211
-rect 259036 331168 259088 331177
-rect 340824 331168 340876 331220
-rect 341192 331168 341244 331220
-rect 259128 331143 259180 331152
-rect 259128 331109 259137 331143
-rect 259137 331109 259171 331143
-rect 259171 331109 259180 331143
-rect 259128 331100 259180 331109
-rect 285164 331100 285216 331152
-rect 285440 331100 285492 331152
-rect 288752 331100 288804 331152
-rect 371920 331143 371972 331152
-rect 371920 331109 371929 331143
-rect 371929 331109 371963 331143
-rect 371963 331109 371972 331143
-rect 371920 331100 371972 331109
-rect 246432 331032 246484 331084
-rect 246708 331032 246760 331084
-rect 271364 331032 271416 331084
-rect 271732 331032 271784 331084
-rect 167404 330624 167456 330676
-rect 264096 330624 264148 330676
-rect 303564 330624 303616 330676
-rect 355176 330624 355228 330676
-rect 156364 330556 156416 330608
-rect 262072 330556 262124 330608
-rect 330520 330556 330572 330608
-rect 486276 330556 486328 330608
-rect 23884 330488 23936 330540
-rect 235116 330488 235168 330540
-rect 342020 330488 342072 330540
-rect 342388 330488 342440 330540
-rect 339352 330420 339404 330472
-rect 530436 330488 530488 330540
-rect 221224 329196 221276 329248
-rect 275136 329196 275188 329248
-rect 322516 329196 322568 329248
-rect 447636 329196 447688 329248
-rect 252504 328448 252556 328500
-rect 252872 328448 252924 328500
-rect 259312 328448 259364 328500
-rect 259772 328448 259824 328500
-rect 285348 328491 285400 328500
-rect 285348 328457 285357 328491
-rect 285357 328457 285391 328491
-rect 285391 328457 285400 328491
-rect 285348 328448 285400 328457
-rect 285716 328448 285768 328500
-rect 285992 328448 286044 328500
-rect 333372 328448 333424 328500
-rect 333924 328448 333976 328500
-rect 229964 328380 230016 328432
-rect 235576 328380 235628 328432
-rect 268604 328423 268656 328432
-rect 268604 328389 268613 328423
-rect 268613 328389 268647 328423
-rect 268647 328389 268656 328423
-rect 268604 328380 268656 328389
-rect 318652 328423 318704 328432
-rect 318652 328389 318661 328423
-rect 318661 328389 318695 328423
-rect 318695 328389 318704 328423
-rect 318652 328380 318704 328389
-rect 328128 328380 328180 328432
-rect 328220 328380 328272 328432
-rect 371920 328423 371972 328432
-rect 371920 328389 371929 328423
-rect 371929 328389 371963 328423
-rect 371963 328389 371972 328423
-rect 371920 328380 371972 328389
-rect 218464 327836 218516 327888
-rect 273756 327836 273808 327888
-rect 305128 327836 305180 327888
-rect 362076 327836 362128 327888
-rect 264372 327768 264424 327820
-rect 331256 327768 331308 327820
-rect 490416 327768 490468 327820
-rect 77704 327700 77756 327752
-rect 246156 327700 246208 327752
-rect 341836 327700 341888 327752
-rect 541476 327700 541528 327752
-rect 152224 327131 152276 327140
-rect 152224 327097 152233 327131
-rect 152233 327097 152267 327131
-rect 152267 327097 152276 327131
-rect 152224 327088 152276 327097
-rect 170164 327131 170216 327140
-rect 170164 327097 170173 327131
-rect 170173 327097 170207 327131
-rect 170207 327097 170216 327131
-rect 170164 327088 170216 327097
-rect 214324 327131 214376 327140
-rect 214324 327097 214333 327131
-rect 214333 327097 214367 327131
-rect 214367 327097 214376 327131
-rect 214324 327088 214376 327097
-rect 246524 327088 246576 327140
-rect 246708 327088 246760 327140
-rect 279828 327131 279880 327140
-rect 279828 327097 279837 327131
-rect 279837 327097 279871 327131
-rect 279871 327097 279880 327131
-rect 279828 327088 279880 327097
-rect 392252 327088 392304 327140
-rect 392436 327088 392488 327140
-rect 328128 327063 328180 327072
-rect 328128 327029 328137 327063
-rect 328137 327029 328171 327063
-rect 328171 327029 328180 327063
-rect 328128 327020 328180 327029
-rect 373024 327063 373076 327072
-rect 373024 327029 373033 327063
-rect 373033 327029 373067 327063
-rect 373067 327029 373076 327063
-rect 373024 327020 373076 327029
-rect 278724 326859 278776 326868
-rect 278724 326825 278733 326859
-rect 278733 326825 278767 326859
-rect 278767 326825 278776 326859
-rect 278724 326816 278776 326825
-rect 186724 326476 186776 326528
-rect 268236 326476 268288 326528
-rect 327024 326476 327076 326528
-rect 465576 326476 465628 326528
-rect 229504 325048 229556 325100
-rect 276884 325048 276936 325100
-rect 306508 325048 306560 325100
-rect 368976 325048 369028 325100
-rect 174304 324980 174356 325032
-rect 265936 324980 265988 325032
-rect 332636 324980 332688 325032
-rect 494556 324980 494608 325032
-rect 73564 324912 73616 324964
-rect 245052 324912 245104 324964
-rect 345056 324912 345108 324964
-rect 553896 324912 553948 324964
-rect 275780 324368 275832 324420
-rect 276148 324368 276200 324420
-rect 276608 324368 276660 324420
-rect 272928 324300 272980 324352
-rect 273020 324300 273072 324352
-rect 275688 324300 275740 324352
-rect 275964 324300 276016 324352
-rect 194912 323552 194964 323604
-rect 270168 323552 270220 323604
-rect 313316 323552 313368 323604
-rect 399336 323552 399388 323604
-rect 177064 322260 177116 322312
-rect 265844 322260 265896 322312
-rect 332728 322260 332780 322312
-rect 497316 322260 497368 322312
-rect 135664 322192 135716 322244
-rect 257932 322192 257984 322244
-rect 299516 322192 299568 322244
-rect 331716 322192 331768 322244
-rect 343676 322192 343728 322244
-rect 552516 322192 552568 322244
-rect 235760 321920 235812 321972
-rect 239256 321580 239308 321632
-rect 239440 321580 239492 321632
-rect 258944 321580 258996 321632
-rect 259128 321580 259180 321632
-rect 272560 321580 272612 321632
-rect 272744 321580 272796 321632
-rect 340824 321580 340876 321632
-rect 341192 321580 341244 321632
-rect 229872 321419 229924 321428
-rect 229872 321385 229881 321419
-rect 229881 321385 229915 321419
-rect 229915 321385 229924 321419
-rect 229872 321376 229924 321385
-rect 268696 321376 268748 321428
-rect 318652 321419 318704 321428
-rect 318652 321385 318661 321419
-rect 318661 321385 318695 321419
-rect 318695 321385 318704 321419
-rect 318652 321376 318704 321385
-rect 340824 321376 340876 321428
-rect 341192 321376 341244 321428
-rect 371920 321419 371972 321428
-rect 371920 321385 371929 321419
-rect 371929 321385 371963 321419
-rect 371963 321385 371972 321419
-rect 371920 321376 371972 321385
-rect 110824 320832 110876 320884
-rect 252504 320832 252556 320884
-rect 261244 320671 261296 320680
-rect 261244 320637 261253 320671
-rect 261253 320637 261287 320671
-rect 261287 320637 261296 320671
-rect 261244 320628 261296 320637
-rect 254160 320492 254212 320544
-rect 261152 320492 261204 320544
-rect 488300 320492 488352 320544
-rect 493084 320492 493136 320544
-rect 418564 320288 418616 320340
-rect 425464 320288 425516 320340
-rect 437884 320288 437936 320340
-rect 444784 320288 444836 320340
-rect 457204 320288 457256 320340
-rect 464104 320288 464156 320340
-rect 476524 320288 476576 320340
-rect 483424 320288 483476 320340
-rect 541568 320288 541620 320340
-rect 544328 320288 544380 320340
-rect 261244 320263 261296 320272
-rect 261244 320229 261253 320263
-rect 261253 320229 261287 320263
-rect 261287 320229 261296 320263
-rect 261244 320220 261296 320229
-rect 290316 320220 290368 320272
-rect 294640 320220 294692 320272
-rect 311936 319540 311988 319592
-rect 395196 319540 395248 319592
-rect 185344 319472 185396 319524
-rect 267592 319472 267644 319524
-rect 333924 319472 333976 319524
-rect 501456 319472 501508 319524
-rect 134192 319404 134244 319456
-rect 257564 319404 257616 319456
-rect 346344 319404 346396 319456
-rect 560796 319404 560848 319456
-rect 235484 318903 235536 318912
-rect 235484 318869 235493 318903
-rect 235493 318869 235527 318903
-rect 235527 318869 235536 318903
-rect 235484 318860 235536 318869
-rect 235668 318903 235720 318912
-rect 235668 318869 235677 318903
-rect 235677 318869 235711 318903
-rect 235711 318869 235720 318903
-rect 235668 318860 235720 318869
-rect 277068 318860 277120 318912
-rect 235484 318699 235536 318708
-rect 235484 318665 235493 318699
-rect 235493 318665 235527 318699
-rect 235527 318665 235536 318699
-rect 235484 318656 235536 318665
-rect 235668 318656 235720 318708
-rect 235944 318656 235996 318708
-rect 276976 318699 277028 318708
-rect 276976 318665 276985 318699
-rect 276985 318665 277019 318699
-rect 277019 318665 277028 318699
-rect 276976 318656 277028 318665
-rect 285256 318699 285308 318708
-rect 285256 318665 285265 318699
-rect 285265 318665 285299 318699
-rect 285299 318665 285308 318699
-rect 285256 318656 285308 318665
-rect 285624 318699 285676 318708
-rect 285624 318665 285633 318699
-rect 285633 318665 285667 318699
-rect 285667 318665 285676 318699
-rect 285624 318656 285676 318665
-rect 318928 318699 318980 318708
-rect 318928 318665 318937 318699
-rect 318937 318665 318971 318699
-rect 318971 318665 318980 318699
-rect 318928 318656 318980 318665
-rect 319112 318699 319164 318708
-rect 319112 318665 319121 318699
-rect 319121 318665 319155 318699
-rect 319155 318665 319164 318699
-rect 319112 318656 319164 318665
-rect 373116 318656 373168 318708
-rect 319204 318588 319256 318640
-rect 319204 318452 319256 318504
-rect 197764 318112 197816 318164
-rect 269984 318112 270036 318164
-rect 314788 318112 314840 318164
-rect 406236 318112 406288 318164
-rect 138424 318044 138476 318096
-rect 256460 318044 256512 318096
-rect 334016 318044 334068 318096
-rect 504216 318044 504268 318096
-rect 320124 317432 320176 317484
-rect 328404 317432 328456 317484
-rect 135664 317407 135716 317416
-rect 135664 317373 135673 317407
-rect 135673 317373 135707 317407
-rect 135707 317373 135716 317407
-rect 135664 317364 135716 317373
-rect 152224 317407 152276 317416
-rect 152224 317373 152233 317407
-rect 152233 317373 152267 317407
-rect 152267 317373 152276 317407
-rect 152224 317364 152276 317373
-rect 170164 317407 170216 317416
-rect 170164 317373 170173 317407
-rect 170173 317373 170207 317407
-rect 170207 317373 170216 317407
-rect 170164 317364 170216 317373
-rect 214324 317407 214376 317416
-rect 214324 317373 214333 317407
-rect 214333 317373 214367 317407
-rect 214367 317373 214376 317407
-rect 214324 317364 214376 317373
-rect 385536 317407 385588 317416
-rect 385536 317373 385545 317407
-rect 385545 317373 385579 317407
-rect 385579 317373 385588 317407
-rect 392436 317407 392488 317416
-rect 385536 317364 385588 317373
-rect 392436 317373 392445 317407
-rect 392445 317373 392479 317407
-rect 392479 317373 392488 317407
-rect 392436 317364 392488 317373
-rect 342204 316752 342256 316804
-rect 342388 316752 342440 316804
-rect 121864 316684 121916 316736
-rect 254804 316684 254856 316736
-rect 317456 316684 317508 316736
-rect 420036 316684 420088 316736
-rect 201904 315324 201956 315376
-rect 271640 315324 271692 315376
-rect 316076 315324 316128 315376
-rect 413136 315324 413188 315376
-rect 142564 315256 142616 315308
-rect 258944 315256 258996 315308
-rect 335304 315256 335356 315308
-rect 508356 315256 508408 315308
-rect 275504 314644 275556 314696
-rect 275688 314644 275740 314696
-rect 318928 314075 318980 314084
-rect 318928 314041 318937 314075
-rect 318937 314041 318971 314075
-rect 318971 314041 318980 314075
-rect 318928 314032 318980 314041
-rect 242660 313964 242712 314016
-rect 242844 313964 242896 314016
-rect 305220 313964 305272 314016
-rect 360696 313964 360748 314016
-rect 149464 312604 149516 312656
-rect 260232 312604 260284 312656
-rect 313408 312604 313460 312656
-rect 402096 312604 402148 312656
-rect 14224 312536 14276 312588
-rect 233092 312536 233144 312588
-rect 350760 312536 350812 312588
-rect 579380 312536 579432 312588
-rect 268696 311967 268748 311976
-rect 268696 311933 268705 311967
-rect 268705 311933 268739 311967
-rect 268739 311933 268748 311967
-rect 268696 311924 268748 311933
-rect 318744 311924 318796 311976
-rect 331164 311967 331216 311976
-rect 331164 311933 331173 311967
-rect 331173 311933 331207 311967
-rect 331207 311933 331216 311967
-rect 331164 311924 331216 311933
-rect 372012 311924 372064 311976
-rect 235576 311788 235628 311840
-rect 249468 311788 249520 311840
-rect 249652 311788 249704 311840
-rect 309176 311831 309228 311840
-rect 309176 311797 309185 311831
-rect 309185 311797 309219 311831
-rect 309219 311797 309228 311831
-rect 309176 311788 309228 311797
-rect 318744 311788 318796 311840
-rect 371920 311788 371972 311840
-rect 268696 311627 268748 311636
-rect 268696 311593 268705 311627
-rect 268705 311593 268739 311627
-rect 268739 311593 268748 311627
-rect 268696 311584 268748 311593
-rect 306600 311244 306652 311296
-rect 367596 311244 367648 311296
-rect 3644 310428 3696 310480
-rect 226100 310428 226152 310480
-rect 319112 309859 319164 309868
-rect 319112 309825 319121 309859
-rect 319121 309825 319155 309859
-rect 319155 309825 319164 309859
-rect 319112 309816 319164 309825
-rect 321596 309816 321648 309868
-rect 440736 309816 440788 309868
-rect 268512 309748 268564 309800
-rect 345148 309748 345200 309800
-rect 555276 309748 555328 309800
-rect 285440 309272 285492 309324
-rect 331256 309204 331308 309256
-rect 242476 309136 242528 309188
-rect 242568 309136 242620 309188
-rect 285348 309136 285400 309188
-rect 285440 309136 285492 309188
-rect 285716 309136 285768 309188
-rect 309176 309179 309228 309188
-rect 309176 309145 309185 309179
-rect 309185 309145 309219 309179
-rect 309219 309145 309228 309179
-rect 309176 309136 309228 309145
-rect 340824 309136 340876 309188
-rect 341192 309136 341244 309188
-rect 225364 309111 225416 309120
-rect 225364 309077 225373 309111
-rect 225373 309077 225407 309111
-rect 225407 309077 225416 309111
-rect 225364 309068 225416 309077
-rect 259312 309111 259364 309120
-rect 259312 309077 259321 309111
-rect 259321 309077 259355 309111
-rect 259355 309077 259364 309111
-rect 259312 309068 259364 309077
-rect 357936 309111 357988 309120
-rect 357936 309077 357945 309111
-rect 357945 309077 357979 309111
-rect 357979 309077 357988 309111
-rect 357936 309068 357988 309077
-rect 371920 309068 371972 309120
-rect 373116 309068 373168 309120
-rect 373208 309068 373260 309120
-rect 552516 309068 552568 309120
-rect 552608 309068 552660 309120
-rect 135664 307819 135716 307828
-rect 135664 307785 135673 307819
-rect 135673 307785 135707 307819
-rect 135707 307785 135716 307819
-rect 135664 307776 135716 307785
-rect 152224 307819 152276 307828
-rect 152224 307785 152233 307819
-rect 152233 307785 152267 307819
-rect 152267 307785 152276 307819
-rect 152224 307776 152276 307785
-rect 170164 307819 170216 307828
-rect 170164 307785 170173 307819
-rect 170173 307785 170207 307819
-rect 170207 307785 170216 307819
-rect 170164 307776 170216 307785
-rect 190864 307819 190916 307828
-rect 190864 307785 190873 307819
-rect 190873 307785 190907 307819
-rect 190907 307785 190916 307819
-rect 190864 307776 190916 307785
-rect 214324 307819 214376 307828
-rect 214324 307785 214333 307819
-rect 214333 307785 214367 307819
-rect 214367 307785 214376 307819
-rect 214324 307776 214376 307785
-rect 319940 307776 319992 307828
-rect 320124 307776 320176 307828
-rect 385536 307819 385588 307828
-rect 385536 307785 385545 307819
-rect 385545 307785 385579 307819
-rect 385579 307785 385588 307819
-rect 392436 307819 392488 307828
-rect 385536 307776 385588 307785
-rect 392436 307785 392445 307819
-rect 392445 307785 392479 307819
-rect 392479 307785 392488 307819
-rect 392436 307776 392488 307785
-rect 229872 307708 229924 307760
-rect 229964 307708 230016 307760
-rect 372932 307708 372984 307760
-rect 373208 307708 373260 307760
-rect 160504 307096 160556 307148
-rect 263084 307096 263136 307148
-rect 321688 307096 321740 307148
-rect 443588 307096 443640 307148
-rect 103924 307028 103976 307080
-rect 250940 307028 250992 307080
-rect 339536 307028 339588 307080
-rect 529056 307028 529108 307080
-rect 342204 306348 342256 306400
-rect 342388 306348 342440 306400
-rect 272560 304920 272612 304972
-rect 272836 304920 272888 304972
-rect 273020 304920 273072 304972
-rect 273204 304920 273256 304972
-rect 276608 304920 276660 304972
-rect 276700 304920 276752 304972
-rect 276976 304920 277028 304972
-rect 277252 304852 277304 304904
-rect 322976 304308 323028 304360
-rect 451776 304308 451828 304360
-rect 128764 304240 128816 304292
-rect 256000 304240 256052 304292
-rect 343768 304240 343820 304292
-rect 546996 304240 547048 304292
-rect 235760 302268 235812 302320
-rect 239256 302268 239308 302320
-rect 239348 302268 239400 302320
-rect 285348 302268 285400 302320
-rect 285716 302268 285768 302320
-rect 235668 302132 235720 302184
-rect 239256 302132 239308 302184
-rect 239348 302132 239400 302184
-rect 285256 302132 285308 302184
-rect 331164 302200 331216 302252
-rect 331256 302132 331308 302184
-rect 340824 302132 340876 302184
-rect 341192 302132 341244 302184
-rect 371828 302175 371880 302184
-rect 371828 302141 371837 302175
-rect 371837 302141 371871 302175
-rect 371871 302141 371880 302175
-rect 371828 302132 371880 302141
-rect 285808 302064 285860 302116
-rect 324356 301520 324408 301572
-rect 458676 301520 458728 301572
-rect 139804 301452 139856 301504
-rect 258852 301452 258904 301504
-rect 346436 301452 346488 301504
-rect 563556 301452 563608 301504
-rect 325736 300160 325788 300212
-rect 461436 300160 461488 300212
-rect 142472 300092 142524 300144
-rect 258760 300092 258812 300144
-rect 347816 300092 347868 300144
-rect 567696 300092 567748 300144
-rect 225364 299523 225416 299532
-rect 225364 299489 225373 299523
-rect 225373 299489 225407 299523
-rect 225407 299489 225416 299523
-rect 225364 299480 225416 299489
-rect 242476 299480 242528 299532
-rect 242568 299480 242620 299532
-rect 259404 299480 259456 299532
-rect 271364 299480 271416 299532
-rect 275688 299480 275740 299532
-rect 357936 299523 357988 299532
-rect 357936 299489 357945 299523
-rect 357945 299489 357979 299523
-rect 357979 299489 357988 299523
-rect 357936 299480 357988 299489
-rect 235668 299455 235720 299464
-rect 235668 299421 235677 299455
-rect 235677 299421 235711 299455
-rect 235711 299421 235720 299455
-rect 235668 299412 235720 299421
-rect 239256 299412 239308 299464
-rect 239440 299412 239492 299464
-rect 309176 299455 309228 299464
-rect 309176 299421 309185 299455
-rect 309185 299421 309219 299455
-rect 309219 299421 309228 299455
-rect 309176 299412 309228 299421
-rect 553804 299412 553856 299464
-rect 553896 299412 553948 299464
-rect 271456 299344 271508 299396
-rect 272560 298732 272612 298784
-rect 272928 298732 272980 298784
-rect 229872 297984 229924 298036
-rect 230240 297984 230292 298036
-rect 319940 298027 319992 298036
-rect 319940 297993 319949 298027
-rect 319949 297993 319983 298027
-rect 319983 297993 319992 298027
-rect 319940 297984 319992 297993
-rect 183964 297440 184016 297492
-rect 267132 297440 267184 297492
-rect 307888 297440 307940 297492
-rect 374496 297440 374548 297492
-rect 29404 297372 29456 297424
-rect 340916 297372 340968 297424
-rect 534576 297372 534628 297424
-rect 275504 296939 275556 296948
-rect 275504 296905 275513 296939
-rect 275513 296905 275547 296939
-rect 275547 296905 275556 296939
-rect 275504 296896 275556 296905
-rect 278724 296692 278776 296744
-rect 278908 296692 278960 296744
-rect 342204 296692 342256 296744
-rect 342388 296692 342440 296744
-rect 272652 295579 272704 295588
-rect 272652 295545 272661 295579
-rect 272661 295545 272695 295579
-rect 272695 295545 272704 295579
-rect 272652 295536 272704 295545
-rect 272744 295511 272796 295520
-rect 272744 295477 272753 295511
-rect 272753 295477 272787 295511
-rect 272787 295477 272796 295511
-rect 272744 295468 272796 295477
-rect 249376 295264 249428 295316
-rect 249652 295264 249704 295316
-rect 272744 295307 272796 295316
-rect 272744 295273 272753 295307
-rect 272753 295273 272787 295307
-rect 272787 295273 272796 295307
-rect 272744 295264 272796 295273
-rect 276700 295307 276752 295316
-rect 276700 295273 276709 295307
-rect 276709 295273 276743 295307
-rect 276743 295273 276752 295307
-rect 276700 295264 276752 295273
-rect 278448 295264 278500 295316
-rect 278724 295264 278776 295316
-rect 272652 295239 272704 295248
-rect 272652 295205 272661 295239
-rect 272661 295205 272695 295239
-rect 272695 295205 272704 295239
-rect 272652 295196 272704 295205
-rect 276608 295239 276660 295248
-rect 276608 295205 276617 295239
-rect 276617 295205 276651 295239
-rect 276651 295205 276660 295239
-rect 276608 295196 276660 295205
-rect 340824 294924 340876 294976
-rect 341192 294924 341244 294976
-rect 81844 294584 81896 294636
-rect 246432 294584 246484 294636
-rect 303472 294584 303524 294636
-rect 339996 294584 340048 294636
-rect 341008 294584 341060 294636
-rect 537336 294584 537388 294636
-rect 3552 293836 3604 293888
-rect 6680 293836 6732 293888
-rect 331164 292544 331216 292596
-rect 268696 292476 268748 292528
-rect 331256 292476 331308 292528
-rect 320124 292408 320176 292460
-rect 268696 292340 268748 292392
-rect 84604 291796 84656 291848
-rect 245420 291796 245472 291848
-rect 342296 291796 342348 291848
-rect 545616 291796 545668 291848
-rect 235484 289824 235536 289876
-rect 235576 289824 235628 289876
-rect 309176 289867 309228 289876
-rect 309176 289833 309185 289867
-rect 309185 289833 309219 289867
-rect 309219 289833 309228 289867
-rect 309176 289824 309228 289833
-rect 259404 289799 259456 289808
-rect 259404 289765 259413 289799
-rect 259413 289765 259447 289799
-rect 259447 289765 259456 289799
-rect 259404 289756 259456 289765
-rect 341192 289756 341244 289808
-rect 354440 289756 354492 289808
-rect 580668 289756 580720 289808
-rect 357936 289688 357988 289740
-rect 371920 289731 371972 289740
-rect 371920 289697 371929 289731
-rect 371929 289697 371963 289731
-rect 371963 289697 371972 289731
-rect 371920 289688 371972 289697
-rect 553896 289731 553948 289740
-rect 553896 289697 553905 289731
-rect 553905 289697 553939 289731
-rect 553939 289697 553948 289731
-rect 553896 289688 553948 289697
-rect 88744 289076 88796 289128
-rect 248272 289076 248324 289128
-rect 135480 288396 135532 288448
-rect 135664 288396 135716 288448
-rect 152040 288396 152092 288448
-rect 152224 288396 152276 288448
-rect 170164 288396 170216 288448
-rect 170348 288396 170400 288448
-rect 190680 288396 190732 288448
-rect 190864 288396 190916 288448
-rect 214324 288396 214376 288448
-rect 214508 288396 214560 288448
-rect 385536 288396 385588 288448
-rect 385720 288396 385772 288448
-rect 392436 288396 392488 288448
-rect 392620 288396 392672 288448
-rect 528872 288396 528924 288448
-rect 529056 288396 529108 288448
-rect 372840 288192 372892 288244
-rect 373116 288192 373168 288244
-rect 229964 287036 230016 287088
-rect 230148 287036 230200 287088
-rect 314880 286356 314932 286408
-rect 408996 286356 409048 286408
-rect 249192 286288 249244 286340
-rect 347908 286288 347960 286340
-rect 571836 286288 571888 286340
-rect 276608 285719 276660 285728
-rect 276608 285685 276617 285719
-rect 276617 285685 276651 285719
-rect 276651 285685 276660 285719
-rect 276608 285676 276660 285685
-rect 276976 285676 277028 285728
-rect 235484 285311 235536 285320
-rect 235484 285277 235493 285311
-rect 235493 285277 235527 285311
-rect 235527 285277 235536 285311
-rect 235484 285268 235536 285277
-rect 276976 285132 277028 285184
-rect 268696 284971 268748 284980
-rect 268696 284937 268705 284971
-rect 268705 284937 268739 284971
-rect 268739 284937 268748 284971
-rect 268696 284928 268748 284937
-rect 272836 284248 272888 284300
-rect 272928 284248 272980 284300
-rect 316168 283636 316220 283688
-rect 417368 283636 417420 283688
-rect 95644 283568 95696 283620
-rect 249376 283568 249428 283620
-rect 349288 283568 349340 283620
-rect 578000 283568 578052 283620
-rect 271456 283067 271508 283076
-rect 271456 283033 271465 283067
-rect 271465 283033 271499 283067
-rect 271499 283033 271508 283067
-rect 271456 283024 271508 283033
-rect 341100 282999 341152 283008
-rect 341100 282965 341109 282999
-rect 341109 282965 341143 282999
-rect 341143 282965 341152 282999
-rect 341100 282956 341152 282965
-rect 235576 282752 235628 282804
-rect 268788 282752 268840 282804
-rect 271456 282795 271508 282804
-rect 271456 282761 271465 282795
-rect 271465 282761 271499 282795
-rect 271499 282761 271508 282795
-rect 271456 282752 271508 282761
-rect 341100 282795 341152 282804
-rect 341100 282761 341109 282795
-rect 341109 282761 341143 282795
-rect 341143 282761 341152 282795
-rect 341100 282752 341152 282761
-rect 371920 282795 371972 282804
-rect 371920 282761 371929 282795
-rect 371929 282761 371963 282795
-rect 371963 282761 371972 282795
-rect 371920 282752 371972 282761
-rect 276792 280483 276844 280492
-rect 276792 280449 276801 280483
-rect 276801 280449 276835 280483
-rect 276835 280449 276844 280483
-rect 276792 280440 276844 280449
-rect 357936 280304 357988 280356
-rect 91504 280279 91556 280288
-rect 91504 280245 91513 280279
-rect 91513 280245 91547 280279
-rect 91547 280245 91556 280279
-rect 91504 280236 91556 280245
-rect 259404 280279 259456 280288
-rect 259404 280245 259413 280279
-rect 259413 280245 259447 280279
-rect 259447 280245 259456 280279
-rect 259404 280236 259456 280245
-rect 340916 280279 340968 280288
-rect 340916 280245 340925 280279
-rect 340925 280245 340959 280279
-rect 340959 280245 340968 280279
-rect 340916 280236 340968 280245
-rect 373116 280236 373168 280288
-rect 553896 280279 553948 280288
-rect 553896 280245 553905 280279
-rect 553905 280245 553939 280279
-rect 553939 280245 553948 280279
-rect 553896 280236 553948 280245
-rect 276792 280075 276844 280084
-rect 276792 280041 276801 280075
-rect 276801 280041 276835 280075
-rect 276835 280041 276844 280075
-rect 276792 280032 276844 280041
-rect 309176 280075 309228 280084
-rect 309176 280041 309185 280075
-rect 309185 280041 309219 280075
-rect 309219 280041 309228 280075
-rect 309176 280032 309228 280041
-rect 331256 280075 331308 280084
-rect 331256 280041 331265 280075
-rect 331265 280041 331299 280075
-rect 331299 280041 331308 280075
-rect 331256 280032 331308 280041
-rect 276700 280007 276752 280016
-rect 276700 279973 276709 280007
-rect 276709 279973 276743 280007
-rect 276743 279973 276752 280007
-rect 276700 279964 276752 279973
-rect 285164 279871 285216 279880
-rect 285164 279837 285173 279871
-rect 285173 279837 285207 279871
-rect 285207 279837 285216 279871
-rect 285164 279828 285216 279837
-rect 285256 279871 285308 279880
-rect 285256 279837 285265 279871
-rect 285265 279837 285299 279871
-rect 285299 279837 285308 279871
-rect 285256 279828 285308 279837
-rect 99784 279420 99836 279472
-rect 249560 279420 249612 279472
-rect 335396 279420 335448 279472
-rect 506976 279420 507028 279472
-rect 242108 278851 242160 278860
-rect 242108 278817 242117 278851
-rect 242117 278817 242151 278851
-rect 242151 278817 242160 278851
-rect 242108 278808 242160 278817
-rect 246616 278851 246668 278860
-rect 246616 278817 246625 278851
-rect 246625 278817 246659 278851
-rect 246659 278817 246668 278851
-rect 246616 278808 246668 278817
-rect 229964 278740 230016 278792
-rect 230056 278740 230108 278792
-rect 372932 278783 372984 278792
-rect 372932 278749 372941 278783
-rect 372941 278749 372975 278783
-rect 372975 278749 372984 278783
-rect 372932 278740 372984 278749
-rect 552516 278740 552568 278792
-rect 552608 278740 552660 278792
-rect 285716 278672 285768 278724
-rect 285808 278672 285860 278724
-rect 242108 277355 242160 277364
-rect 242108 277321 242117 277355
-rect 242117 277321 242151 277355
-rect 242151 277321 242160 277355
-rect 242108 277312 242160 277321
-rect 246616 277355 246668 277364
-rect 246616 277321 246625 277355
-rect 246625 277321 246659 277355
-rect 246659 277321 246668 277355
-rect 246616 277312 246668 277321
-rect 90124 276632 90176 276684
-rect 247720 276632 247772 276684
-rect 336776 276632 336828 276684
-rect 513876 276632 513928 276684
-rect 273020 275952 273072 276004
-rect 273112 275952 273164 276004
-rect 328220 275952 328272 276004
-rect 328404 275952 328456 276004
-rect 272836 274592 272888 274644
-rect 273020 274592 273072 274644
-rect 358580 274592 358632 274644
-rect 580668 274592 580720 274644
-rect 19744 273912 19796 273964
-rect 234104 273912 234156 273964
-rect 239256 273232 239308 273284
-rect 239440 273232 239492 273284
-rect 246800 273300 246852 273352
-rect 259404 273300 259456 273352
-rect 372012 273300 372064 273352
-rect 246708 273164 246760 273216
-rect 371920 273164 371972 273216
-rect 125912 271124 125964 271176
-rect 255908 271124 255960 271176
-rect 341100 271124 341152 271176
-rect 259312 270555 259364 270564
-rect 259312 270521 259321 270555
-rect 259321 270521 259355 270555
-rect 259355 270521 259364 270555
-rect 259312 270512 259364 270521
-rect 285348 270512 285400 270564
-rect 309176 270555 309228 270564
-rect 309176 270521 309185 270555
-rect 309185 270521 309219 270555
-rect 309219 270521 309228 270555
-rect 309176 270512 309228 270521
-rect 331256 270555 331308 270564
-rect 331256 270521 331265 270555
-rect 331265 270521 331299 270555
-rect 331299 270521 331308 270555
-rect 331256 270512 331308 270521
-rect 91504 270487 91556 270496
-rect 91504 270453 91513 270487
-rect 91513 270453 91547 270487
-rect 91547 270453 91556 270487
-rect 91504 270444 91556 270453
-rect 225364 270487 225416 270496
-rect 225364 270453 225373 270487
-rect 225373 270453 225407 270487
-rect 225407 270453 225416 270487
-rect 225364 270444 225416 270453
-rect 357936 270487 357988 270496
-rect 357936 270453 357945 270487
-rect 357945 270453 357979 270487
-rect 357979 270453 357988 270487
-rect 553896 270487 553948 270496
-rect 357936 270444 357988 270453
-rect 553896 270453 553905 270487
-rect 553905 270453 553939 270487
-rect 553939 270453 553948 270487
-rect 553896 270444 553948 270453
-rect 278448 270240 278500 270292
-rect 278816 270240 278868 270292
-rect 242752 269739 242804 269748
-rect 242752 269705 242761 269739
-rect 242761 269705 242795 269739
-rect 242795 269705 242804 269739
-rect 242752 269696 242804 269705
-rect 135480 269084 135532 269136
-rect 135664 269084 135716 269136
-rect 152040 269084 152092 269136
-rect 152224 269084 152276 269136
-rect 170164 269084 170216 269136
-rect 170348 269084 170400 269136
-rect 190680 269084 190732 269136
-rect 190864 269084 190916 269136
-rect 214324 269084 214376 269136
-rect 214508 269084 214560 269136
-rect 229780 269084 229832 269136
-rect 229872 269084 229924 269136
-rect 235392 269084 235444 269136
-rect 235576 269084 235628 269136
-rect 268604 269084 268656 269136
-rect 268696 269084 268748 269136
-rect 279828 269084 279880 269136
-rect 280012 269084 280064 269136
-rect 285164 269127 285216 269136
-rect 285164 269093 285173 269127
-rect 285173 269093 285207 269127
-rect 285207 269093 285216 269127
-rect 285164 269084 285216 269093
-rect 372932 269084 372984 269136
-rect 373116 269084 373168 269136
-rect 385536 269084 385588 269136
-rect 385720 269084 385772 269136
-rect 392436 269084 392488 269136
-rect 392620 269084 392672 269136
-rect 528872 269084 528924 269136
-rect 529056 269084 529108 269136
-rect 535956 269127 536008 269136
-rect 535956 269093 535965 269127
-rect 535965 269093 535999 269127
-rect 535999 269093 536008 269127
-rect 535956 269084 536008 269093
-rect 552608 269084 552660 269136
-rect 552792 269084 552844 269136
-rect 571836 269084 571888 269136
-rect 572020 269084 572072 269136
-rect 342388 268336 342440 268388
-rect 542856 268336 542908 268388
-rect 242568 267792 242620 267844
-rect 242476 267724 242528 267776
-rect 242936 267520 242988 267572
-rect 300896 265616 300948 265668
-rect 342756 265616 342808 265668
-rect 343860 265616 343912 265668
-rect 549756 265616 549808 265668
-rect 242936 264800 242988 264852
-rect 235576 263644 235628 263696
-rect 239256 263576 239308 263628
-rect 239440 263576 239492 263628
-rect 285348 263644 285400 263696
-rect 320032 263619 320084 263628
-rect 320032 263585 320041 263619
-rect 320041 263585 320075 263619
-rect 320075 263585 320084 263619
-rect 320032 263576 320084 263585
-rect 328404 263644 328456 263696
-rect 341192 263644 341244 263696
-rect 285256 263508 285308 263560
-rect 328312 263508 328364 263560
-rect 341100 263508 341152 263560
-rect 235576 263440 235628 263492
-rect 345240 262828 345292 262880
-rect 556656 262828 556708 262880
-rect 351772 261468 351824 261520
-rect 574596 261468 574648 261520
-rect 553896 260967 553948 260976
-rect 553896 260933 553905 260967
-rect 553905 260933 553939 260967
-rect 553939 260933 553948 260967
-rect 553896 260924 553948 260933
-rect 91504 260899 91556 260908
-rect 91504 260865 91513 260899
-rect 91513 260865 91547 260899
-rect 91547 260865 91556 260899
-rect 91504 260856 91556 260865
-rect 225364 260899 225416 260908
-rect 225364 260865 225373 260899
-rect 225373 260865 225407 260899
-rect 225407 260865 225416 260899
-rect 225364 260856 225416 260865
-rect 279736 260856 279788 260908
-rect 279828 260856 279880 260908
-rect 357936 260899 357988 260908
-rect 357936 260865 357945 260899
-rect 357945 260865 357979 260899
-rect 357979 260865 357988 260899
-rect 357936 260856 357988 260865
-rect 3828 260788 3880 260840
-rect 179180 260788 179232 260840
-rect 235576 260831 235628 260840
-rect 235576 260797 235585 260831
-rect 235585 260797 235619 260831
-rect 235619 260797 235628 260831
-rect 235576 260788 235628 260797
-rect 285624 260831 285676 260840
-rect 285624 260797 285633 260831
-rect 285633 260797 285667 260831
-rect 285667 260797 285676 260831
-rect 285624 260788 285676 260797
-rect 331256 260831 331308 260840
-rect 331256 260797 331265 260831
-rect 331265 260797 331299 260831
-rect 331299 260797 331308 260831
-rect 331256 260788 331308 260797
-rect 341100 260831 341152 260840
-rect 341100 260797 341109 260831
-rect 341109 260797 341143 260831
-rect 341143 260797 341152 260831
-rect 341100 260788 341152 260797
-rect 553896 260788 553948 260840
-rect 285256 260763 285308 260772
-rect 285256 260729 285265 260763
-rect 285265 260729 285299 260763
-rect 285299 260729 285308 260763
-rect 285256 260720 285308 260729
-rect 553804 260720 553856 260772
-rect 305036 259403 305088 259412
-rect 305036 259369 305045 259403
-rect 305045 259369 305079 259403
-rect 305079 259369 305088 259403
-rect 305036 259360 305088 259369
-rect 320032 258111 320084 258120
-rect 320032 258077 320041 258111
-rect 320041 258077 320075 258111
-rect 320075 258077 320084 258111
-rect 320032 258068 320084 258077
-rect 273020 256887 273072 256896
-rect 273020 256853 273029 256887
-rect 273029 256853 273063 256887
-rect 273063 256853 273072 256887
-rect 273020 256844 273072 256853
-rect 328312 256683 328364 256692
-rect 328312 256649 328321 256683
-rect 328321 256649 328355 256683
-rect 328355 256649 328364 256683
-rect 328312 256640 328364 256649
-rect 279736 256028 279788 256080
-rect 279828 255960 279880 256012
-rect 242844 255323 242896 255332
-rect 242844 255289 242853 255323
-rect 242853 255289 242887 255323
-rect 242887 255289 242896 255323
-rect 242844 255280 242896 255289
-rect 273020 255323 273072 255332
-rect 273020 255289 273029 255323
-rect 273029 255289 273063 255323
-rect 273063 255289 273072 255323
-rect 273020 255280 273072 255289
-rect 242568 255255 242620 255264
-rect 242568 255221 242577 255255
-rect 242577 255221 242611 255255
-rect 242611 255221 242620 255255
-rect 242568 255212 242620 255221
-rect 275688 255212 275740 255264
-rect 246616 254124 246668 254176
-rect 308992 253920 309044 253972
-rect 371920 253920 371972 253972
-rect 372104 253920 372156 253972
-rect 273020 253895 273072 253904
-rect 273020 253861 273029 253895
-rect 273029 253861 273063 253895
-rect 273063 253861 273072 253895
-rect 273020 253852 273072 253861
-rect 309084 253852 309136 253904
-rect 328312 253895 328364 253904
-rect 328312 253861 328321 253895
-rect 328321 253861 328355 253895
-rect 328355 253861 328364 253895
-rect 328312 253852 328364 253861
-rect 235576 253827 235628 253836
-rect 235576 253793 235585 253827
-rect 235585 253793 235619 253827
-rect 235619 253793 235628 253827
-rect 235576 253784 235628 253793
-rect 302368 253172 302420 253224
-rect 349656 253172 349708 253224
-rect 350852 253172 350904 253224
-rect 581496 253172 581548 253224
-rect 278816 251200 278868 251252
-rect 285348 251200 285400 251252
-rect 285716 251200 285768 251252
-rect 331256 251243 331308 251252
-rect 331256 251209 331265 251243
-rect 331265 251209 331299 251243
-rect 331299 251209 331308 251243
-rect 331256 251200 331308 251209
-rect 341192 251200 341244 251252
-rect 91504 251175 91556 251184
-rect 91504 251141 91513 251175
-rect 91513 251141 91547 251175
-rect 91547 251141 91556 251175
-rect 91504 251132 91556 251141
-rect 225364 251175 225416 251184
-rect 225364 251141 225373 251175
-rect 225373 251141 225407 251175
-rect 225407 251141 225416 251175
-rect 225364 251132 225416 251141
-rect 229964 251175 230016 251184
-rect 229964 251141 229973 251175
-rect 229973 251141 230007 251175
-rect 230007 251141 230016 251175
-rect 229964 251132 230016 251141
-rect 357936 251175 357988 251184
-rect 357936 251141 357945 251175
-rect 357945 251141 357979 251175
-rect 357979 251141 357988 251175
-rect 553896 251175 553948 251184
-rect 357936 251132 357988 251141
-rect 553896 251141 553905 251175
-rect 553905 251141 553939 251175
-rect 553939 251141 553948 251175
-rect 553896 251132 553948 251141
-rect 278816 251064 278868 251116
-rect 135480 249772 135532 249824
-rect 135664 249772 135716 249824
-rect 152040 249772 152092 249824
-rect 152224 249772 152276 249824
-rect 170164 249772 170216 249824
-rect 170348 249772 170400 249824
-rect 190680 249772 190732 249824
-rect 190864 249772 190916 249824
-rect 214324 249772 214376 249824
-rect 214508 249772 214560 249824
-rect 246524 249815 246576 249824
-rect 246524 249781 246533 249815
-rect 246533 249781 246567 249815
-rect 246567 249781 246576 249815
-rect 246524 249772 246576 249781
-rect 305128 249772 305180 249824
-rect 372932 249772 372984 249824
-rect 373116 249772 373168 249824
-rect 385536 249772 385588 249824
-rect 385720 249772 385772 249824
-rect 392436 249772 392488 249824
-rect 392620 249772 392672 249824
-rect 528872 249772 528924 249824
-rect 529056 249772 529108 249824
-rect 535956 249772 536008 249824
-rect 536140 249772 536192 249824
-rect 571836 249772 571888 249824
-rect 572020 249772 572072 249824
-rect 242752 246823 242804 246832
-rect 242752 246789 242761 246823
-rect 242761 246789 242795 246823
-rect 242795 246789 242804 246823
-rect 242752 246780 242804 246789
-rect 242660 245624 242712 245676
-rect 275596 245667 275648 245676
-rect 275596 245633 275605 245667
-rect 275605 245633 275639 245667
-rect 275639 245633 275648 245667
-rect 275596 245624 275648 245633
-rect 239256 244332 239308 244384
-rect 239440 244332 239492 244384
-rect 285348 244375 285400 244384
-rect 285348 244341 285357 244375
-rect 285357 244341 285391 244375
-rect 285391 244341 285400 244375
-rect 285348 244332 285400 244341
-rect 3828 244128 3880 244180
-rect 228860 244128 228912 244180
-rect 229964 244171 230016 244180
-rect 229964 244137 229973 244171
-rect 229973 244137 230007 244171
-rect 230007 244137 230016 244171
-rect 229964 244128 230016 244137
-rect 239256 244128 239308 244180
-rect 239440 244128 239492 244180
-rect 285348 244171 285400 244180
-rect 285348 244137 285357 244171
-rect 285357 244137 285391 244171
-rect 285391 244137 285400 244171
-rect 285348 244128 285400 244137
-rect 328312 243448 328364 243500
-rect 328404 243448 328456 243500
-rect 242752 241995 242804 242004
-rect 242752 241961 242761 241995
-rect 242761 241961 242795 241995
-rect 242795 241961 242804 241995
-rect 242752 241952 242804 241961
-rect 251676 241748 251728 241800
-rect 261152 241748 261204 241800
-rect 91504 241655 91556 241664
-rect 91504 241621 91513 241655
-rect 91513 241621 91547 241655
-rect 91547 241621 91556 241655
-rect 91504 241612 91556 241621
-rect 225364 241655 225416 241664
-rect 225364 241621 225373 241655
-rect 225373 241621 225407 241655
-rect 225407 241621 225416 241655
-rect 225364 241612 225416 241621
-rect 290316 241612 290368 241664
-rect 294824 241612 294876 241664
-rect 357936 241655 357988 241664
-rect 357936 241621 357945 241655
-rect 357945 241621 357979 241655
-rect 357979 241621 357988 241655
-rect 553896 241655 553948 241664
-rect 357936 241612 357988 241621
-rect 553896 241621 553905 241655
-rect 553905 241621 553939 241655
-rect 553939 241621 553948 241655
-rect 553896 241612 553948 241621
-rect 246432 241408 246484 241460
-rect 246708 241408 246760 241460
-rect 309176 241451 309228 241460
-rect 309176 241417 309185 241451
-rect 309185 241417 309219 241451
-rect 309219 241417 309228 241451
-rect 309176 241408 309228 241417
-rect 279460 240116 279512 240168
-rect 279736 240116 279788 240168
-rect 235484 240091 235536 240100
-rect 235484 240057 235493 240091
-rect 235493 240057 235527 240091
-rect 235527 240057 235536 240091
-rect 235484 240048 235536 240057
-rect 242476 237371 242528 237380
-rect 242476 237337 242485 237371
-rect 242485 237337 242519 237371
-rect 242519 237337 242528 237371
-rect 242476 237328 242528 237337
-rect 276700 237328 276752 237380
-rect 276884 237328 276936 237380
-rect 285348 236759 285400 236768
-rect 285348 236725 285357 236759
-rect 285357 236725 285391 236759
-rect 285391 236725 285400 236759
-rect 285348 236716 285400 236725
-rect 273020 236011 273072 236020
-rect 273020 235977 273029 236011
-rect 273029 235977 273063 236011
-rect 273063 235977 273072 236011
-rect 273020 235968 273072 235977
-rect 272836 235943 272888 235952
-rect 272836 235909 272845 235943
-rect 272845 235909 272879 235943
-rect 272879 235909 272888 235943
-rect 272836 235900 272888 235909
-rect 328312 235943 328364 235952
-rect 328312 235909 328321 235943
-rect 328321 235909 328355 235943
-rect 328355 235909 328364 235943
-rect 328312 235900 328364 235909
-rect 371828 234676 371880 234728
-rect 275688 234583 275740 234592
-rect 275688 234549 275697 234583
-rect 275697 234549 275731 234583
-rect 275731 234549 275740 234583
-rect 275688 234540 275740 234549
-rect 371736 234540 371788 234592
-rect 309176 234379 309228 234388
-rect 309176 234345 309185 234379
-rect 309185 234345 309219 234379
-rect 309219 234345 309228 234379
-rect 309176 234336 309228 234345
-rect 319940 234336 319992 234388
-rect 320124 234336 320176 234388
-rect 276608 232568 276660 232620
-rect 277068 232500 277120 232552
-rect 277252 232500 277304 232552
-rect 285348 231931 285400 231940
-rect 285348 231897 285357 231931
-rect 285357 231897 285391 231931
-rect 285391 231897 285400 231931
-rect 285348 231888 285400 231897
-rect 242108 231820 242160 231872
-rect 242200 231820 242252 231872
-rect 246616 231820 246668 231872
-rect 246708 231820 246760 231872
-rect 285716 231820 285768 231872
-rect 285808 231820 285860 231872
-rect 331072 231820 331124 231872
-rect 331256 231820 331308 231872
-rect 341008 231820 341060 231872
-rect 341100 231820 341152 231872
-rect 272928 231548 272980 231600
-rect 135480 230460 135532 230512
-rect 135664 230460 135716 230512
-rect 152040 230460 152092 230512
-rect 152224 230460 152276 230512
-rect 170164 230460 170216 230512
-rect 170348 230460 170400 230512
-rect 190680 230460 190732 230512
-rect 190864 230460 190916 230512
-rect 214324 230460 214376 230512
-rect 214508 230460 214560 230512
-rect 235668 230460 235720 230512
-rect 385536 230460 385588 230512
-rect 385720 230460 385772 230512
-rect 392436 230460 392488 230512
-rect 392620 230460 392672 230512
-rect 528872 230460 528924 230512
-rect 529056 230460 529108 230512
-rect 535956 230460 536008 230512
-rect 536140 230460 536192 230512
-rect 552332 230460 552384 230512
-rect 552424 230460 552476 230512
-rect 571836 230460 571888 230512
-rect 572020 230460 572072 230512
-rect 242844 227740 242896 227792
-rect 276516 227783 276568 227792
-rect 276516 227749 276525 227783
-rect 276525 227749 276559 227783
-rect 276559 227749 276568 227783
-rect 276516 227740 276568 227749
-rect 268696 227035 268748 227044
-rect 268696 227001 268705 227035
-rect 268705 227001 268739 227035
-rect 268739 227001 268748 227035
-rect 268696 226992 268748 227001
-rect 300436 226584 300488 226636
-rect 308164 226584 308216 226636
-rect 360604 226516 360656 226568
-rect 362260 226516 362312 226568
-rect 239256 225632 239308 225684
-rect 239440 225632 239492 225684
-rect 229780 224952 229832 225004
-rect 229964 224952 230016 225004
-rect 275688 224995 275740 225004
-rect 275688 224961 275697 224995
-rect 275697 224961 275731 224995
-rect 275731 224961 275740 224995
-rect 275688 224952 275740 224961
-rect 285348 224952 285400 225004
-rect 285532 224952 285584 225004
-rect 285716 224952 285768 225004
-rect 304944 224952 304996 225004
-rect 320032 224995 320084 225004
-rect 320032 224961 320041 224995
-rect 320041 224961 320075 224995
-rect 320075 224961 320084 224995
-rect 320032 224952 320084 224961
-rect 271364 224884 271416 224936
-rect 271548 224884 271600 224936
-rect 272928 224927 272980 224936
-rect 272928 224893 272937 224927
-rect 272937 224893 272971 224927
-rect 272971 224893 272980 224927
-rect 272928 224884 272980 224893
-rect 341100 225020 341152 225072
-rect 341008 224884 341060 224936
-rect 275688 224816 275740 224868
-rect 304944 224816 304996 224868
-rect 239348 222232 239400 222284
-rect 91320 222164 91372 222216
-rect 91504 222164 91556 222216
-rect 242200 222232 242252 222284
-rect 246616 222232 246668 222284
-rect 246800 222164 246852 222216
-rect 268788 222164 268840 222216
-rect 276700 222164 276752 222216
-rect 276884 222164 276936 222216
-rect 357936 222164 357988 222216
-rect 358120 222164 358172 222216
-rect 371552 222164 371604 222216
-rect 371828 222164 371880 222216
-rect 553712 222164 553764 222216
-rect 553896 222164 553948 222216
-rect 239348 222096 239400 222148
-rect 242108 222096 242160 222148
-rect 246524 222096 246576 222148
-rect 246800 222028 246852 222080
-rect 320032 220983 320084 220992
-rect 320032 220949 320041 220983
-rect 320041 220949 320075 220983
-rect 320075 220949 320084 220983
-rect 320032 220940 320084 220949
-rect 276700 220779 276752 220788
-rect 276700 220745 276709 220779
-rect 276709 220745 276743 220779
-rect 276743 220745 276752 220779
-rect 276700 220736 276752 220745
-rect 341008 220779 341060 220788
-rect 341008 220745 341017 220779
-rect 341017 220745 341051 220779
-rect 341051 220745 341060 220779
-rect 341008 220736 341060 220745
-rect 373208 220779 373260 220788
-rect 373208 220745 373217 220779
-rect 373217 220745 373251 220779
-rect 373251 220745 373260 220779
-rect 373208 220736 373260 220745
-rect 279736 219444 279788 219496
-rect 279828 219444 279880 219496
-rect 285256 219487 285308 219496
-rect 285256 219453 285265 219487
-rect 285265 219453 285299 219487
-rect 285299 219453 285308 219487
-rect 285256 219444 285308 219453
-rect 272928 217991 272980 218000
-rect 272928 217957 272937 217991
-rect 272937 217957 272971 217991
-rect 272971 217957 272980 217991
-rect 272928 217948 272980 217957
-rect 242476 216631 242528 216640
-rect 242476 216597 242485 216631
-rect 242485 216597 242519 216631
-rect 242519 216597 242528 216631
-rect 242476 216588 242528 216597
-rect 275596 215339 275648 215348
-rect 275596 215305 275605 215339
-rect 275605 215305 275639 215339
-rect 275639 215305 275648 215339
-rect 275596 215296 275648 215305
-rect 272836 215271 272888 215280
-rect 272836 215237 272845 215271
-rect 272845 215237 272879 215271
-rect 272879 215237 272888 215271
-rect 272836 215228 272888 215237
-rect 341100 215228 341152 215280
-rect 331072 212508 331124 212560
-rect 331256 212508 331308 212560
-rect 553712 212508 553764 212560
-rect 553896 212508 553948 212560
-rect 279736 212440 279788 212492
-rect 279828 212440 279880 212492
-rect 357936 212483 357988 212492
-rect 357936 212449 357945 212483
-rect 357945 212449 357979 212483
-rect 357979 212449 357988 212483
-rect 373208 212483 373260 212492
-rect 357936 212440 357988 212449
-rect 373208 212449 373217 212483
-rect 373217 212449 373251 212483
-rect 373251 212449 373260 212483
-rect 373208 212440 373260 212449
-rect 275596 211259 275648 211268
-rect 275596 211225 275605 211259
-rect 275605 211225 275639 211259
-rect 275639 211225 275648 211259
-rect 275596 211216 275648 211225
-rect 235392 211148 235444 211200
-rect 235484 211148 235536 211200
-rect 276700 211191 276752 211200
-rect 276700 211157 276709 211191
-rect 276709 211157 276743 211191
-rect 276743 211157 276752 211191
-rect 276700 211148 276752 211157
-rect 328312 211191 328364 211200
-rect 328312 211157 328321 211191
-rect 328321 211157 328355 211191
-rect 328355 211157 328364 211191
-rect 328312 211148 328364 211157
-rect 385536 211148 385588 211200
-rect 385720 211148 385772 211200
-rect 392436 211148 392488 211200
-rect 392620 211148 392672 211200
-rect 528872 211148 528924 211200
-rect 529056 211148 529108 211200
-rect 535956 211148 536008 211200
-rect 536140 211148 536192 211200
-rect 552332 211148 552384 211200
-rect 552516 211148 552568 211200
-rect 571836 211148 571888 211200
-rect 572020 211148 572072 211200
-rect 272836 210443 272888 210452
-rect 272836 210409 272845 210443
-rect 272845 210409 272879 210443
-rect 272879 210409 272888 210443
-rect 272836 210400 272888 210409
-rect 275596 210443 275648 210452
-rect 275596 210409 275605 210443
-rect 275605 210409 275639 210443
-rect 275639 210409 275648 210443
-rect 275596 210400 275648 210409
-rect 3736 209720 3788 209772
-rect 224720 209720 224772 209772
-rect 328404 209763 328456 209772
-rect 328404 209729 328413 209763
-rect 328413 209729 328447 209763
-rect 328447 209729 328456 209763
-rect 328404 209720 328456 209729
-rect 285256 208564 285308 208616
-rect 285256 208428 285308 208480
-rect 285256 208224 285308 208276
-rect 268696 207723 268748 207732
-rect 268696 207689 268705 207723
-rect 268705 207689 268739 207723
-rect 268739 207689 268748 207723
-rect 268696 207680 268748 207689
-rect 242476 207043 242528 207052
-rect 242476 207009 242485 207043
-rect 242485 207009 242519 207043
-rect 242519 207009 242528 207043
-rect 242476 207000 242528 207009
-rect 273020 206932 273072 206984
-rect 273112 206864 273164 206916
-rect 230056 205776 230108 205828
-rect 341192 205776 341244 205828
-rect 235484 205751 235536 205760
-rect 235484 205717 235493 205751
-rect 235493 205717 235527 205751
-rect 235527 205717 235536 205751
-rect 235484 205708 235536 205717
-rect 271456 205751 271508 205760
-rect 271456 205717 271465 205751
-rect 271465 205717 271499 205751
-rect 271499 205717 271508 205751
-rect 271456 205708 271508 205717
-rect 309084 205751 309136 205760
-rect 309084 205717 309093 205751
-rect 309093 205717 309127 205751
-rect 309127 205717 309136 205751
-rect 309084 205708 309136 205717
-rect 229964 205547 230016 205556
-rect 229964 205513 229973 205547
-rect 229973 205513 230007 205547
-rect 230007 205513 230016 205547
-rect 229964 205504 230016 205513
-rect 239256 205504 239308 205556
-rect 239440 205504 239492 205556
-rect 268788 205504 268840 205556
-rect 271456 205547 271508 205556
-rect 271456 205513 271465 205547
-rect 271465 205513 271499 205547
-rect 271499 205513 271508 205547
-rect 271456 205504 271508 205513
-rect 309084 205547 309136 205556
-rect 309084 205513 309093 205547
-rect 309093 205513 309127 205547
-rect 309127 205513 309136 205547
-rect 309084 205504 309136 205513
-rect 341100 205547 341152 205556
-rect 341100 205513 341109 205547
-rect 341109 205513 341143 205547
-rect 341143 205513 341152 205547
-rect 341100 205504 341152 205513
-rect 328404 204935 328456 204944
-rect 328404 204901 328413 204935
-rect 328413 204901 328447 204935
-rect 328447 204901 328456 204935
-rect 328404 204892 328456 204901
-rect 91320 202988 91372 203040
-rect 91504 202988 91556 203040
-rect 225364 202988 225416 203040
-rect 225548 202988 225600 203040
-rect 235484 203031 235536 203040
-rect 235484 202997 235493 203031
-rect 235493 202997 235527 203031
-rect 235527 202997 235536 203031
-rect 235484 202988 235536 202997
-rect 357936 203031 357988 203040
-rect 357936 202997 357945 203031
-rect 357945 202997 357979 203031
-rect 357979 202997 357988 203031
-rect 357936 202988 357988 202997
-rect 235576 202827 235628 202836
-rect 235576 202793 235585 202827
-rect 235585 202793 235619 202827
-rect 235619 202793 235628 202827
-rect 235576 202784 235628 202793
-rect 341100 202827 341152 202836
-rect 341100 202793 341109 202827
-rect 341109 202793 341143 202827
-rect 341143 202793 341152 202827
-rect 341100 202784 341152 202793
-rect 242476 201492 242528 201544
-rect 285624 201492 285676 201544
-rect 285716 201492 285768 201544
-rect 135480 201424 135532 201476
-rect 135664 201424 135716 201476
-rect 152040 201424 152092 201476
-rect 152224 201424 152276 201476
-rect 170164 201424 170216 201476
-rect 170348 201424 170400 201476
-rect 190680 201424 190732 201476
-rect 190864 201424 190916 201476
-rect 214324 201424 214376 201476
-rect 214508 201424 214560 201476
-rect 268512 201424 268564 201476
-rect 268788 201424 268840 201476
-rect 385536 201424 385588 201476
-rect 385720 201424 385772 201476
-rect 392436 201424 392488 201476
-rect 392620 201424 392672 201476
-rect 528872 201424 528924 201476
-rect 529056 201424 529108 201476
-rect 535956 201424 536008 201476
-rect 536140 201424 536192 201476
-rect 552424 201467 552476 201476
-rect 552424 201433 552433 201467
-rect 552433 201433 552467 201467
-rect 552467 201433 552476 201467
-rect 552424 201424 552476 201433
-rect 571836 201424 571888 201476
-rect 572020 201424 572072 201476
-rect 242568 201356 242620 201408
-rect 279828 200107 279880 200116
-rect 279828 200073 279837 200107
-rect 279837 200073 279871 200107
-rect 279871 200073 279880 200107
-rect 279828 200064 279880 200073
-rect 320032 200107 320084 200116
-rect 320032 200073 320041 200107
-rect 320041 200073 320075 200107
-rect 320075 200073 320084 200107
-rect 320032 200064 320084 200073
-rect 372012 200107 372064 200116
-rect 372012 200073 372021 200107
-rect 372021 200073 372055 200107
-rect 372055 200073 372064 200107
-rect 372012 200064 372064 200073
-rect 373116 200064 373168 200116
-rect 328404 200039 328456 200048
-rect 328404 200005 328413 200039
-rect 328413 200005 328447 200039
-rect 328447 200005 328456 200039
-rect 328404 199996 328456 200005
-rect 285072 198747 285124 198756
-rect 285072 198713 285081 198747
-rect 285081 198713 285115 198747
-rect 285115 198713 285124 198747
-rect 285072 198704 285124 198713
-rect 242568 198636 242620 198688
-rect 276976 198135 277028 198144
-rect 276976 198101 276985 198135
-rect 276985 198101 277019 198135
-rect 277019 198101 277028 198135
-rect 276976 198092 277028 198101
-rect 275596 197548 275648 197600
-rect 275688 197387 275740 197396
-rect 275688 197353 275697 197387
-rect 275697 197353 275731 197387
-rect 275731 197353 275740 197387
-rect 275688 197344 275740 197353
-rect 552424 196299 552476 196308
-rect 552424 196265 552433 196299
-rect 552433 196265 552467 196299
-rect 552467 196265 552476 196299
-rect 552424 196256 552476 196265
-rect 320032 195959 320084 195968
-rect 320032 195925 320041 195959
-rect 320041 195925 320075 195959
-rect 320075 195925 320084 195959
-rect 320032 195916 320084 195925
-rect 353060 195916 353112 195968
-rect 580668 195916 580720 195968
-rect 235576 195755 235628 195764
-rect 235576 195721 235585 195755
-rect 235585 195721 235619 195755
-rect 235619 195721 235628 195755
-rect 235576 195712 235628 195721
-rect 328404 195755 328456 195764
-rect 328404 195721 328413 195755
-rect 328413 195721 328447 195755
-rect 328447 195721 328456 195755
-rect 328404 195712 328456 195721
-rect 246708 193876 246760 193928
-rect 246984 193876 247036 193928
-rect 341192 193332 341244 193384
-rect 331072 193196 331124 193248
-rect 331256 193196 331308 193248
-rect 553712 193196 553764 193248
-rect 553896 193196 553948 193248
-rect 3828 192652 3880 192704
-rect 9440 192652 9492 192704
-rect 235576 191768 235628 191820
-rect 235760 191768 235812 191820
-rect 552424 191811 552476 191820
-rect 552424 191777 552433 191811
-rect 552433 191777 552467 191811
-rect 552467 191777 552476 191811
-rect 552424 191768 552476 191777
-rect 372012 191267 372064 191276
-rect 372012 191233 372021 191267
-rect 372021 191233 372055 191267
-rect 372055 191233 372064 191267
-rect 372012 191224 372064 191233
-rect 373024 190587 373076 190596
-rect 373024 190553 373033 190587
-rect 373033 190553 373067 190587
-rect 373067 190553 373076 190587
-rect 373024 190544 373076 190553
-rect 279828 190519 279880 190528
-rect 279828 190485 279837 190519
-rect 279837 190485 279871 190519
-rect 279871 190485 279880 190519
-rect 279828 190476 279880 190485
-rect 242476 189091 242528 189100
-rect 242476 189057 242485 189091
-rect 242485 189057 242519 189091
-rect 242519 189057 242528 189091
-rect 242476 189048 242528 189057
-rect 246432 189048 246484 189100
-rect 246616 189048 246668 189100
-rect 272928 189048 272980 189100
-rect 276976 189091 277028 189100
-rect 276976 189057 276985 189091
-rect 276985 189057 277019 189091
-rect 277019 189057 277028 189091
-rect 276976 189048 277028 189057
-rect 242844 189023 242896 189032
-rect 242844 188989 242853 189023
-rect 242853 188989 242887 189023
-rect 242887 188989 242896 189023
-rect 242844 188980 242896 188989
-rect 272928 188912 272980 188964
-rect 229780 186328 229832 186380
-rect 229964 186328 230016 186380
-rect 285532 186328 285584 186380
-rect 305036 186328 305088 186380
-rect 305220 186328 305272 186380
-rect 309084 186328 309136 186380
-rect 341100 186371 341152 186380
-rect 341100 186337 341109 186371
-rect 341109 186337 341143 186371
-rect 341143 186337 341152 186371
-rect 341100 186328 341152 186337
-rect 285072 186260 285124 186312
-rect 285256 186260 285308 186312
-rect 285624 186192 285676 186244
-rect 91320 183540 91372 183592
-rect 91504 183540 91556 183592
-rect 279828 183608 279880 183660
-rect 341100 183651 341152 183660
-rect 341100 183617 341109 183651
-rect 341109 183617 341143 183651
-rect 341143 183617 341152 183651
-rect 341100 183608 341152 183617
-rect 308992 183583 309044 183592
-rect 308992 183549 309001 183583
-rect 309001 183549 309035 183583
-rect 309035 183549 309044 183583
-rect 308992 183540 309044 183549
-rect 357936 183540 357988 183592
-rect 358120 183540 358172 183592
-rect 553712 183540 553764 183592
-rect 553896 183540 553948 183592
-rect 279736 183472 279788 183524
-rect 341100 183472 341152 183524
-rect 341468 183472 341520 183524
-rect 552424 183515 552476 183524
-rect 552424 183481 552433 183515
-rect 552433 183481 552467 183515
-rect 552467 183481 552476 183515
-rect 552424 183472 552476 183481
-rect 135480 182112 135532 182164
-rect 135664 182112 135716 182164
-rect 152040 182112 152092 182164
-rect 152224 182112 152276 182164
-rect 170164 182112 170216 182164
-rect 170348 182112 170400 182164
-rect 190680 182112 190732 182164
-rect 190864 182112 190916 182164
-rect 214324 182112 214376 182164
-rect 214508 182112 214560 182164
-rect 229872 182155 229924 182164
-rect 229872 182121 229881 182155
-rect 229881 182121 229915 182155
-rect 229915 182121 229924 182155
-rect 229872 182112 229924 182121
-rect 242108 182112 242160 182164
-rect 242200 182112 242252 182164
-rect 246524 182112 246576 182164
-rect 246616 182112 246668 182164
-rect 285164 182155 285216 182164
-rect 285164 182121 285173 182155
-rect 285173 182121 285207 182155
-rect 285207 182121 285216 182155
-rect 285164 182112 285216 182121
-rect 285624 182112 285676 182164
-rect 285716 182112 285768 182164
-rect 328312 182155 328364 182164
-rect 328312 182121 328321 182155
-rect 328321 182121 328355 182155
-rect 328355 182121 328364 182155
-rect 328312 182112 328364 182121
-rect 385536 182112 385588 182164
-rect 385720 182112 385772 182164
-rect 392436 182112 392488 182164
-rect 392620 182112 392672 182164
-rect 528872 182112 528924 182164
-rect 529056 182112 529108 182164
-rect 535956 182112 536008 182164
-rect 536140 182112 536192 182164
-rect 571836 182112 571888 182164
-rect 572020 182112 572072 182164
-rect 242844 182019 242896 182028
-rect 242844 181985 242853 182019
-rect 242853 181985 242887 182019
-rect 242887 181985 242896 182019
-rect 242844 181976 242896 181985
-rect 295192 181432 295244 181484
-rect 308256 181432 308308 181484
-rect 273020 180752 273072 180804
-rect 273112 180752 273164 180804
-rect 278816 180795 278868 180804
-rect 278816 180761 278825 180795
-rect 278825 180761 278859 180795
-rect 278859 180761 278868 180795
-rect 278816 180752 278868 180761
-rect 371920 180752 371972 180804
-rect 372012 180752 372064 180804
-rect 251676 179392 251728 179444
-rect 259864 179392 259916 179444
-rect 371920 179367 371972 179376
-rect 371920 179333 371929 179367
-rect 371929 179333 371963 179367
-rect 371963 179333 371972 179367
-rect 371920 179324 371972 179333
-rect 304852 178712 304904 178764
-rect 305128 178712 305180 178764
-rect 319756 177216 319808 177268
-rect 320032 177216 320084 177268
-rect 235484 176672 235536 176724
-rect 229872 176579 229924 176588
-rect 229872 176545 229881 176579
-rect 229881 176545 229915 176579
-rect 229915 176545 229924 176579
-rect 229872 176536 229924 176545
-rect 235576 176536 235628 176588
-rect 328404 176536 328456 176588
-rect 268512 173884 268564 173936
-rect 268696 173884 268748 173936
-rect 308992 173884 309044 173936
-rect 309084 173884 309136 173936
-rect 331072 173884 331124 173936
-rect 331256 173884 331308 173936
-rect 275596 173247 275648 173256
-rect 275596 173213 275605 173247
-rect 275605 173213 275639 173247
-rect 275639 173213 275648 173247
-rect 275596 173204 275648 173213
-rect 285164 172567 285216 172576
-rect 285164 172533 285173 172567
-rect 285173 172533 285207 172567
-rect 285207 172533 285216 172567
-rect 285164 172524 285216 172533
-rect 278816 171139 278868 171148
-rect 278816 171105 278825 171139
-rect 278825 171105 278859 171139
-rect 278859 171105 278868 171139
-rect 278816 171096 278868 171105
-rect 246984 169260 247036 169312
-rect 273112 168308 273164 168360
-rect 235576 167152 235628 167204
-rect 239256 167084 239308 167136
-rect 239440 167084 239492 167136
-rect 235484 166923 235536 166932
-rect 235484 166889 235493 166923
-rect 235493 166889 235527 166923
-rect 235527 166889 235536 166923
-rect 235484 166880 235536 166889
-rect 239256 166880 239308 166932
-rect 239440 166880 239492 166932
-rect 285716 166812 285768 166864
-rect 285716 166676 285768 166728
-rect 272928 166268 272980 166320
-rect 272836 166200 272888 166252
-rect 284796 164364 284848 164416
-rect 285624 164364 285676 164416
-rect 382040 164364 382092 164416
-rect 385812 164364 385864 164416
-rect 391700 164364 391752 164416
-rect 392712 164364 392764 164416
-rect 526940 164364 526992 164416
-rect 529332 164364 529384 164416
-rect 535680 164364 535732 164416
-rect 536600 164364 536652 164416
-rect 552516 164364 552568 164416
-rect 552700 164364 552752 164416
-rect 571560 164364 571612 164416
-rect 572112 164364 572164 164416
-rect 242108 164160 242160 164212
-rect 242660 164203 242712 164212
-rect 242660 164169 242669 164203
-rect 242669 164169 242703 164203
-rect 242703 164169 242712 164203
-rect 242660 164160 242712 164169
-rect 246524 164160 246576 164212
-rect 259312 164203 259364 164212
-rect 259312 164169 259321 164203
-rect 259321 164169 259355 164203
-rect 259355 164169 259364 164203
-rect 259312 164160 259364 164169
-rect 305128 164203 305180 164212
-rect 305128 164169 305137 164203
-rect 305137 164169 305171 164203
-rect 305171 164169 305180 164203
-rect 305128 164160 305180 164169
-rect 308992 164160 309044 164212
-rect 309084 164160 309136 164212
-rect 331072 164160 331124 164212
-rect 331256 164160 331308 164212
-rect 341008 164160 341060 164212
-rect 341100 164160 341152 164212
-rect 553896 164203 553948 164212
-rect 553896 164169 553905 164203
-rect 553905 164169 553939 164203
-rect 553939 164169 553948 164203
-rect 553896 164160 553948 164169
-rect 242200 164092 242252 164144
-rect 246616 164092 246668 164144
-rect 320032 164092 320084 164144
-rect 320124 164092 320176 164144
-rect 273020 163523 273072 163532
-rect 273020 163489 273029 163523
-rect 273029 163489 273063 163523
-rect 273063 163489 273072 163523
-rect 273020 163480 273072 163489
-rect 275596 163523 275648 163532
-rect 275596 163489 275605 163523
-rect 275605 163489 275639 163523
-rect 275639 163489 275648 163523
-rect 275596 163480 275648 163489
-rect 135664 162843 135716 162852
-rect 135664 162809 135673 162843
-rect 135673 162809 135707 162843
-rect 135707 162809 135716 162843
-rect 135664 162800 135716 162809
-rect 152224 162843 152276 162852
-rect 152224 162809 152233 162843
-rect 152233 162809 152267 162843
-rect 152267 162809 152276 162843
-rect 152224 162800 152276 162809
-rect 170164 162843 170216 162852
-rect 170164 162809 170173 162843
-rect 170173 162809 170207 162843
-rect 170207 162809 170216 162843
-rect 170164 162800 170216 162809
-rect 190864 162843 190916 162852
-rect 190864 162809 190873 162843
-rect 190873 162809 190907 162843
-rect 190907 162809 190916 162843
-rect 190864 162800 190916 162809
-rect 214324 162843 214376 162852
-rect 214324 162809 214333 162843
-rect 214333 162809 214367 162843
-rect 214367 162809 214376 162843
-rect 214324 162800 214376 162809
-rect 230056 162843 230108 162852
-rect 230056 162809 230065 162843
-rect 230065 162809 230099 162843
-rect 230099 162809 230108 162843
-rect 230056 162800 230108 162809
-rect 242016 162800 242068 162852
-rect 242200 162800 242252 162852
-rect 242568 162868 242620 162920
-rect 246616 162843 246668 162852
-rect 246616 162809 246625 162843
-rect 246625 162809 246659 162843
-rect 246659 162809 246668 162843
-rect 246616 162800 246668 162809
-rect 385536 162843 385588 162852
-rect 385536 162809 385545 162843
-rect 385545 162809 385579 162843
-rect 385579 162809 385588 162843
-rect 392436 162843 392488 162852
-rect 385536 162800 385588 162809
-rect 392436 162809 392445 162843
-rect 392445 162809 392479 162843
-rect 392479 162809 392488 162843
-rect 392436 162800 392488 162809
-rect 529056 162843 529108 162852
-rect 529056 162809 529065 162843
-rect 529065 162809 529099 162843
-rect 529099 162809 529108 162843
-rect 529056 162800 529108 162809
-rect 535956 162843 536008 162852
-rect 535956 162809 535965 162843
-rect 535965 162809 535999 162843
-rect 535999 162809 536008 162843
-rect 535956 162800 536008 162809
-rect 552516 162843 552568 162852
-rect 552516 162809 552525 162843
-rect 552525 162809 552559 162843
-rect 552559 162809 552568 162843
-rect 552516 162800 552568 162809
-rect 571836 162843 571888 162852
-rect 571836 162809 571845 162843
-rect 571845 162809 571879 162843
-rect 571879 162809 571888 162843
-rect 571836 162800 571888 162809
-rect 246892 161483 246944 161492
-rect 246892 161449 246901 161483
-rect 246901 161449 246935 161483
-rect 246935 161449 246944 161483
-rect 246892 161440 246944 161449
-rect 285256 161440 285308 161492
-rect 285348 161440 285400 161492
-rect 372012 161440 372064 161492
-rect 268512 161279 268564 161288
-rect 268512 161245 268521 161279
-rect 268521 161245 268555 161279
-rect 268555 161245 268564 161279
-rect 268512 161236 268564 161245
-rect 246892 159468 246944 159520
-rect 235484 159307 235536 159316
-rect 235484 159273 235493 159307
-rect 235493 159273 235527 159307
-rect 235527 159273 235536 159307
-rect 235484 159264 235536 159273
-rect 273020 158652 273072 158704
-rect 273112 158652 273164 158704
-rect 319848 157972 319900 158024
-rect 320124 157972 320176 158024
-rect 242476 157947 242528 157956
-rect 242476 157913 242485 157947
-rect 242485 157913 242519 157947
-rect 242519 157913 242528 157947
-rect 242476 157904 242528 157913
-rect 285348 157360 285400 157412
-rect 259312 157335 259364 157344
-rect 259312 157301 259321 157335
-rect 259321 157301 259355 157335
-rect 259355 157301 259364 157335
-rect 259312 157292 259364 157301
-rect 273112 157292 273164 157344
-rect 275596 157335 275648 157344
-rect 275596 157301 275605 157335
-rect 275605 157301 275639 157335
-rect 275639 157301 275648 157335
-rect 275596 157292 275648 157301
-rect 305128 157335 305180 157344
-rect 305128 157301 305137 157335
-rect 305137 157301 305171 157335
-rect 305171 157301 305180 157335
-rect 305128 157292 305180 157301
-rect 230056 157131 230108 157140
-rect 230056 157097 230065 157131
-rect 230065 157097 230099 157131
-rect 230099 157097 230108 157131
-rect 230056 157088 230108 157097
-rect 235576 157088 235628 157140
-rect 242660 157131 242712 157140
-rect 242660 157097 242669 157131
-rect 242669 157097 242703 157131
-rect 242703 157097 242712 157131
-rect 242660 157088 242712 157097
-rect 246800 157131 246852 157140
-rect 246800 157097 246809 157131
-rect 246809 157097 246843 157131
-rect 246843 157097 246852 157131
-rect 246800 157088 246852 157097
-rect 268696 157088 268748 157140
-rect 285164 156748 285216 156800
-rect 553896 154683 553948 154692
-rect 553896 154649 553905 154683
-rect 553905 154649 553939 154683
-rect 553939 154649 553948 154683
-rect 553896 154640 553948 154649
-rect 268696 154411 268748 154420
-rect 268696 154377 268705 154411
-rect 268705 154377 268739 154411
-rect 268739 154377 268748 154411
-rect 268696 154368 268748 154377
-rect 135664 153255 135716 153264
-rect 135664 153221 135673 153255
-rect 135673 153221 135707 153255
-rect 135707 153221 135716 153255
-rect 135664 153212 135716 153221
-rect 152224 153255 152276 153264
-rect 152224 153221 152233 153255
-rect 152233 153221 152267 153255
-rect 152267 153221 152276 153255
-rect 152224 153212 152276 153221
-rect 170164 153255 170216 153264
-rect 170164 153221 170173 153255
-rect 170173 153221 170207 153255
-rect 170207 153221 170216 153255
-rect 170164 153212 170216 153221
-rect 190864 153255 190916 153264
-rect 190864 153221 190873 153255
-rect 190873 153221 190907 153255
-rect 190907 153221 190916 153255
-rect 190864 153212 190916 153221
-rect 214324 153255 214376 153264
-rect 214324 153221 214333 153255
-rect 214333 153221 214367 153255
-rect 214367 153221 214376 153255
-rect 214324 153212 214376 153221
-rect 246616 153255 246668 153264
-rect 246616 153221 246625 153255
-rect 246625 153221 246659 153255
-rect 246659 153221 246668 153255
-rect 246616 153212 246668 153221
-rect 372012 153212 372064 153264
-rect 385536 153255 385588 153264
-rect 385536 153221 385545 153255
-rect 385545 153221 385579 153255
-rect 385579 153221 385588 153255
-rect 392436 153255 392488 153264
-rect 385536 153212 385588 153221
-rect 392436 153221 392445 153255
-rect 392445 153221 392479 153255
-rect 392479 153221 392488 153255
-rect 392436 153212 392488 153221
-rect 529056 153255 529108 153264
-rect 529056 153221 529065 153255
-rect 529065 153221 529099 153255
-rect 529099 153221 529108 153255
-rect 529056 153212 529108 153221
-rect 535956 153255 536008 153264
-rect 535956 153221 535965 153255
-rect 535965 153221 535999 153255
-rect 535999 153221 536008 153255
-rect 535956 153212 536008 153221
-rect 552516 153255 552568 153264
-rect 552516 153221 552525 153255
-rect 552525 153221 552559 153255
-rect 552559 153221 552568 153255
-rect 552516 153212 552568 153221
-rect 571836 153255 571888 153264
-rect 571836 153221 571845 153255
-rect 571845 153221 571879 153255
-rect 571879 153221 571888 153255
-rect 571836 153212 571888 153221
-rect 371920 153144 371972 153196
-rect 373116 153187 373168 153196
-rect 373116 153153 373125 153187
-rect 373125 153153 373159 153187
-rect 373159 153153 373168 153187
-rect 373116 153144 373168 153153
-rect 278724 149855 278776 149864
-rect 278724 149821 278733 149855
-rect 278733 149821 278767 149855
-rect 278767 149821 278776 149855
-rect 278724 149812 278776 149821
-rect 271456 149132 271508 149184
-rect 271548 149132 271600 149184
-rect 351680 148928 351732 148980
-rect 580392 148928 580444 148980
-rect 246616 147704 246668 147756
-rect 273020 147679 273072 147688
-rect 273020 147645 273029 147679
-rect 273029 147645 273063 147679
-rect 273063 147645 273072 147679
-rect 273020 147636 273072 147645
-rect 275688 147636 275740 147688
-rect 285532 147636 285584 147688
-rect 285716 147636 285768 147688
-rect 305036 147636 305088 147688
-rect 305220 147636 305272 147688
-rect 341192 147704 341244 147756
-rect 246524 147568 246576 147620
-rect 268696 147611 268748 147620
-rect 268696 147577 268705 147611
-rect 268705 147577 268739 147611
-rect 268739 147577 268748 147611
-rect 268696 147568 268748 147577
-rect 341100 147568 341152 147620
-rect 373116 145163 373168 145172
-rect 373116 145129 373125 145163
-rect 373125 145129 373159 145163
-rect 373159 145129 373168 145163
-rect 373116 145120 373168 145129
-rect 320032 144984 320084 145036
-rect 320124 144984 320176 145036
-rect 328312 144984 328364 145036
-rect 328404 144984 328456 145036
-rect 225364 144891 225416 144900
-rect 225364 144857 225373 144891
-rect 225373 144857 225407 144891
-rect 225407 144857 225416 144891
-rect 225364 144848 225416 144857
-rect 242108 144848 242160 144900
-rect 242200 144848 242252 144900
-rect 285624 144891 285676 144900
-rect 285624 144857 285633 144891
-rect 285633 144857 285667 144891
-rect 285667 144857 285676 144891
-rect 285624 144848 285676 144857
-rect 331072 144848 331124 144900
-rect 331256 144848 331308 144900
-rect 341008 144848 341060 144900
-rect 341100 144848 341152 144900
-rect 553896 144891 553948 144900
-rect 553896 144857 553905 144891
-rect 553905 144857 553939 144891
-rect 553939 144857 553948 144891
-rect 553896 144848 553948 144857
-rect 242660 144780 242712 144832
-rect 242752 144780 242804 144832
-rect 273020 143735 273072 143744
-rect 273020 143701 273029 143735
-rect 273029 143701 273063 143735
-rect 273063 143701 273072 143735
-rect 273020 143692 273072 143701
-rect 4012 143488 4064 143540
-rect 227480 143488 227532 143540
-rect 242016 143488 242068 143540
-rect 242200 143488 242252 143540
-rect 242476 143488 242528 143540
-rect 242568 143488 242620 143540
-rect 276700 143488 276752 143540
-rect 276884 143488 276936 143540
-rect 320124 143488 320176 143540
-rect 328404 143531 328456 143540
-rect 328404 143497 328413 143531
-rect 328413 143497 328447 143531
-rect 328447 143497 328456 143531
-rect 328404 143488 328456 143497
-rect 373024 143488 373076 143540
-rect 373116 143488 373168 143540
-rect 385536 143531 385588 143540
-rect 385536 143497 385545 143531
-rect 385545 143497 385579 143531
-rect 385579 143497 385588 143531
-rect 392436 143531 392488 143540
-rect 385536 143488 385588 143497
-rect 392436 143497 392445 143531
-rect 392445 143497 392479 143531
-rect 392479 143497 392488 143531
-rect 392436 143488 392488 143497
-rect 529056 143531 529108 143540
-rect 529056 143497 529065 143531
-rect 529065 143497 529099 143531
-rect 529099 143497 529108 143531
-rect 529056 143488 529108 143497
-rect 535956 143531 536008 143540
-rect 535956 143497 535965 143531
-rect 535965 143497 535999 143531
-rect 535999 143497 536008 143531
-rect 535956 143488 536008 143497
-rect 552516 143488 552568 143540
-rect 571836 143531 571888 143540
-rect 571836 143497 571845 143531
-rect 571845 143497 571879 143531
-rect 571879 143497 571888 143531
-rect 571836 143488 571888 143497
-rect 135664 143463 135716 143472
-rect 135664 143429 135673 143463
-rect 135673 143429 135707 143463
-rect 135707 143429 135716 143463
-rect 135664 143420 135716 143429
-rect 152224 143463 152276 143472
-rect 152224 143429 152233 143463
-rect 152233 143429 152267 143463
-rect 152267 143429 152276 143463
-rect 152224 143420 152276 143429
-rect 170164 143463 170216 143472
-rect 170164 143429 170173 143463
-rect 170173 143429 170207 143463
-rect 170207 143429 170216 143463
-rect 170164 143420 170216 143429
-rect 190864 143463 190916 143472
-rect 190864 143429 190873 143463
-rect 190873 143429 190907 143463
-rect 190907 143429 190916 143463
-rect 190864 143420 190916 143429
-rect 214324 143463 214376 143472
-rect 214324 143429 214333 143463
-rect 214333 143429 214367 143463
-rect 214367 143429 214376 143463
-rect 214324 143420 214376 143429
-rect 278816 142128 278868 142180
-rect 309084 140743 309136 140752
-rect 309084 140709 309093 140743
-rect 309093 140709 309127 140743
-rect 309127 140709 309136 140743
-rect 309084 140700 309136 140709
-rect 275504 139544 275556 139596
-rect 275688 139544 275740 139596
-rect 271456 139408 271508 139460
-rect 271548 139408 271600 139460
-rect 273020 139451 273072 139460
-rect 273020 139417 273029 139451
-rect 273029 139417 273063 139451
-rect 273063 139417 273072 139451
-rect 273020 139408 273072 139417
-rect 279736 139383 279788 139392
-rect 279736 139349 279745 139383
-rect 279745 139349 279779 139383
-rect 279779 139349 279788 139383
-rect 279736 139340 279788 139349
-rect 229872 137980 229924 138032
-rect 235484 137980 235536 138032
-rect 229780 137912 229832 137964
-rect 246892 138048 246944 138100
-rect 285348 138048 285400 138100
-rect 259312 137980 259364 138032
-rect 235576 137912 235628 137964
-rect 246800 137912 246852 137964
-rect 268788 137980 268840 138032
-rect 305036 137980 305088 138032
-rect 371828 137980 371880 138032
-rect 268696 137912 268748 137964
-rect 271456 137955 271508 137964
-rect 271456 137921 271465 137955
-rect 271465 137921 271499 137955
-rect 271499 137921 271508 137955
-rect 271456 137912 271508 137921
-rect 285624 137955 285676 137964
-rect 285624 137921 285633 137955
-rect 285633 137921 285667 137955
-rect 285667 137921 285676 137955
-rect 285624 137912 285676 137921
-rect 305128 137912 305180 137964
-rect 371920 137912 371972 137964
-rect 285164 137844 285216 137896
-rect 259404 137776 259456 137828
-rect 285440 135575 285492 135584
-rect 285440 135541 285449 135575
-rect 285449 135541 285483 135575
-rect 285483 135541 285492 135575
-rect 285440 135532 285492 135541
-rect 225364 135371 225416 135380
-rect 225364 135337 225373 135371
-rect 225373 135337 225407 135371
-rect 225407 135337 225416 135371
-rect 225364 135328 225416 135337
-rect 553896 135371 553948 135380
-rect 553896 135337 553905 135371
-rect 553905 135337 553939 135371
-rect 553939 135337 553948 135371
-rect 553896 135328 553948 135337
-rect 246524 135260 246576 135312
-rect 285532 135260 285584 135312
-rect 91320 135192 91372 135244
-rect 91504 135192 91556 135244
-rect 235576 135192 235628 135244
-rect 235760 135192 235812 135244
-rect 259404 135235 259456 135244
-rect 259404 135201 259413 135235
-rect 259413 135201 259447 135235
-rect 259447 135201 259456 135235
-rect 259404 135192 259456 135201
-rect 268696 135235 268748 135244
-rect 268696 135201 268705 135235
-rect 268705 135201 268739 135235
-rect 268739 135201 268748 135235
-rect 268696 135192 268748 135201
-rect 341192 135192 341244 135244
-rect 341376 135192 341428 135244
-rect 371920 135235 371972 135244
-rect 371920 135201 371929 135235
-rect 371929 135201 371963 135235
-rect 371963 135201 371972 135235
-rect 371920 135192 371972 135201
-rect 553712 135192 553764 135244
-rect 553896 135192 553948 135244
-rect 246524 135124 246576 135176
-rect 309084 134691 309136 134700
-rect 309084 134657 309093 134691
-rect 309093 134657 309127 134691
-rect 309127 134657 309136 134691
-rect 309084 134648 309136 134657
-rect 135664 133943 135716 133952
-rect 135664 133909 135673 133943
-rect 135673 133909 135707 133943
-rect 135707 133909 135716 133943
-rect 135664 133900 135716 133909
-rect 152224 133943 152276 133952
-rect 152224 133909 152233 133943
-rect 152233 133909 152267 133943
-rect 152267 133909 152276 133943
-rect 152224 133900 152276 133909
-rect 170164 133943 170216 133952
-rect 170164 133909 170173 133943
-rect 170173 133909 170207 133943
-rect 170207 133909 170216 133943
-rect 170164 133900 170216 133909
-rect 190864 133943 190916 133952
-rect 190864 133909 190873 133943
-rect 190873 133909 190907 133943
-rect 190907 133909 190916 133943
-rect 190864 133900 190916 133909
-rect 214324 133943 214376 133952
-rect 214324 133909 214333 133943
-rect 214333 133909 214367 133943
-rect 214367 133909 214376 133943
-rect 214324 133900 214376 133909
-rect 319940 133943 319992 133952
-rect 319940 133909 319949 133943
-rect 319949 133909 319983 133943
-rect 319983 133909 319992 133943
-rect 319940 133900 319992 133909
-rect 328404 133943 328456 133952
-rect 328404 133909 328413 133943
-rect 328413 133909 328447 133943
-rect 328447 133909 328456 133943
-rect 328404 133900 328456 133909
-rect 385536 133943 385588 133952
-rect 385536 133909 385545 133943
-rect 385545 133909 385579 133943
-rect 385579 133909 385588 133943
-rect 392436 133943 392488 133952
-rect 385536 133900 385588 133909
-rect 392436 133909 392445 133943
-rect 392445 133909 392479 133943
-rect 392479 133909 392488 133943
-rect 392436 133900 392488 133909
-rect 529056 133943 529108 133952
-rect 529056 133909 529065 133943
-rect 529065 133909 529099 133943
-rect 529099 133909 529108 133943
-rect 529056 133900 529108 133909
-rect 535956 133943 536008 133952
-rect 535956 133909 535965 133943
-rect 535965 133909 535999 133943
-rect 535999 133909 536008 133943
-rect 535956 133900 536008 133909
-rect 552240 133943 552292 133952
-rect 552240 133909 552249 133943
-rect 552249 133909 552283 133943
-rect 552283 133909 552292 133943
-rect 552240 133900 552292 133909
-rect 571836 133943 571888 133952
-rect 571836 133909 571845 133943
-rect 571845 133909 571879 133943
-rect 571879 133909 571888 133943
-rect 571836 133900 571888 133909
-rect 552240 133739 552292 133748
-rect 552240 133705 552249 133739
-rect 552249 133705 552283 133739
-rect 552283 133705 552292 133739
-rect 552240 133696 552292 133705
-rect 290316 132812 290368 132864
-rect 293260 132812 293312 132864
-rect 399244 132812 399296 132864
-rect 406144 132812 406196 132864
-rect 375876 132540 375928 132592
-rect 385444 132540 385496 132592
-rect 275504 129820 275556 129872
-rect 275688 129820 275740 129872
-rect 279828 129752 279880 129804
-rect 275596 129684 275648 129736
-rect 275688 129684 275740 129736
-rect 239256 128460 239308 128512
-rect 239440 128460 239492 128512
-rect 271456 128503 271508 128512
-rect 271456 128469 271465 128503
-rect 271465 128469 271499 128503
-rect 271499 128469 271508 128503
-rect 271456 128460 271508 128469
-rect 239256 128256 239308 128308
-rect 239440 128256 239492 128308
-rect 259404 128299 259456 128308
-rect 259404 128265 259413 128299
-rect 259413 128265 259447 128299
-rect 259447 128265 259456 128299
-rect 259404 128256 259456 128265
-rect 268696 128299 268748 128308
-rect 268696 128265 268705 128299
-rect 268705 128265 268739 128299
-rect 268739 128265 268748 128299
-rect 268696 128256 268748 128265
-rect 371920 128299 371972 128308
-rect 371920 128265 371929 128299
-rect 371929 128265 371963 128299
-rect 371963 128265 371972 128299
-rect 371920 128256 371972 128265
-rect 242844 125579 242896 125588
-rect 242844 125545 242853 125579
-rect 242853 125545 242887 125579
-rect 242887 125545 242896 125579
-rect 242844 125536 242896 125545
-rect 246524 125536 246576 125588
-rect 305128 125579 305180 125588
-rect 305128 125545 305137 125579
-rect 305137 125545 305171 125579
-rect 305171 125545 305180 125579
-rect 305128 125536 305180 125545
-rect 308992 125579 309044 125588
-rect 308992 125545 309001 125579
-rect 309001 125545 309035 125579
-rect 309035 125545 309044 125579
-rect 308992 125536 309044 125545
-rect 331072 125536 331124 125588
-rect 331256 125536 331308 125588
-rect 341100 125579 341152 125588
-rect 341100 125545 341109 125579
-rect 341109 125545 341143 125579
-rect 341143 125545 341152 125579
-rect 341100 125536 341152 125545
-rect 246616 125468 246668 125520
-rect 552516 125400 552568 125452
-rect 135664 124151 135716 124160
-rect 135664 124117 135673 124151
-rect 135673 124117 135707 124151
-rect 135707 124117 135716 124151
-rect 135664 124108 135716 124117
-rect 152224 124151 152276 124160
-rect 152224 124117 152233 124151
-rect 152233 124117 152267 124151
-rect 152267 124117 152276 124151
-rect 152224 124108 152276 124117
-rect 170164 124151 170216 124160
-rect 170164 124117 170173 124151
-rect 170173 124117 170207 124151
-rect 170207 124117 170216 124151
-rect 170164 124108 170216 124117
-rect 190864 124151 190916 124160
-rect 190864 124117 190873 124151
-rect 190873 124117 190907 124151
-rect 190907 124117 190916 124151
-rect 190864 124108 190916 124117
-rect 214324 124151 214376 124160
-rect 214324 124117 214333 124151
-rect 214333 124117 214367 124151
-rect 214367 124117 214376 124151
-rect 214324 124108 214376 124117
-rect 373116 124151 373168 124160
-rect 373116 124117 373125 124151
-rect 373125 124117 373159 124151
-rect 373159 124117 373168 124151
-rect 373116 124108 373168 124117
-rect 385536 124151 385588 124160
-rect 385536 124117 385545 124151
-rect 385545 124117 385579 124151
-rect 385579 124117 385588 124151
-rect 392436 124151 392488 124160
-rect 385536 124108 385588 124117
-rect 392436 124117 392445 124151
-rect 392445 124117 392479 124151
-rect 392479 124117 392488 124151
-rect 392436 124108 392488 124117
-rect 529056 124151 529108 124160
-rect 529056 124117 529065 124151
-rect 529065 124117 529099 124151
-rect 529099 124117 529108 124151
-rect 529056 124108 529108 124117
-rect 535956 124151 536008 124160
-rect 535956 124117 535965 124151
-rect 535965 124117 535999 124151
-rect 535999 124117 536008 124151
-rect 535956 124108 536008 124117
-rect 571836 124151 571888 124160
-rect 571836 124117 571845 124151
-rect 571845 124117 571879 124151
-rect 571879 124117 571888 124151
-rect 571836 124108 571888 124117
-rect 553436 122748 553488 122800
-rect 553896 122748 553948 122800
-rect 272836 121431 272888 121440
-rect 272836 121397 272845 121431
-rect 272845 121397 272879 121431
-rect 272879 121397 272888 121431
-rect 272836 121388 272888 121397
-rect 273020 121388 273072 121440
-rect 273112 121388 273164 121440
-rect 279736 121388 279788 121440
-rect 279828 121388 279880 121440
-rect 285624 120071 285676 120080
-rect 285624 120037 285633 120071
-rect 285633 120037 285667 120071
-rect 285667 120037 285676 120071
-rect 285624 120028 285676 120037
-rect 242568 119348 242620 119400
-rect 242936 119348 242988 119400
-rect 309176 119348 309228 119400
-rect 229872 118736 229924 118788
-rect 305128 118507 305180 118516
-rect 305128 118473 305137 118507
-rect 305137 118473 305171 118507
-rect 305171 118473 305180 118507
-rect 305128 118464 305180 118473
-rect 242844 116739 242896 116748
-rect 242844 116705 242853 116739
-rect 242853 116705 242887 116739
-rect 242887 116705 242896 116739
-rect 242844 116696 242896 116705
-rect 341192 116016 341244 116068
-rect 259312 115948 259364 116000
-rect 259496 115948 259548 116000
-rect 91504 115787 91556 115796
-rect 91504 115753 91513 115787
-rect 91513 115753 91547 115787
-rect 91547 115753 91556 115787
-rect 91504 115744 91556 115753
-rect 235576 115787 235628 115796
-rect 235576 115753 235585 115787
-rect 235585 115753 235619 115787
-rect 235619 115753 235628 115787
-rect 235576 115744 235628 115753
-rect 357936 115787 357988 115796
-rect 357936 115753 357945 115787
-rect 357945 115753 357979 115787
-rect 357979 115753 357988 115787
-rect 357936 115744 357988 115753
-rect 229780 114699 229832 114708
-rect 229780 114665 229789 114699
-rect 229789 114665 229823 114699
-rect 229823 114665 229832 114699
-rect 229780 114656 229832 114665
-rect 135664 114563 135716 114572
-rect 135664 114529 135673 114563
-rect 135673 114529 135707 114563
-rect 135707 114529 135716 114563
-rect 135664 114520 135716 114529
-rect 152224 114563 152276 114572
-rect 152224 114529 152233 114563
-rect 152233 114529 152267 114563
-rect 152267 114529 152276 114563
-rect 152224 114520 152276 114529
-rect 170164 114563 170216 114572
-rect 170164 114529 170173 114563
-rect 170173 114529 170207 114563
-rect 170207 114529 170216 114563
-rect 170164 114520 170216 114529
-rect 190864 114563 190916 114572
-rect 190864 114529 190873 114563
-rect 190873 114529 190907 114563
-rect 190907 114529 190916 114563
-rect 190864 114520 190916 114529
-rect 214324 114563 214376 114572
-rect 214324 114529 214333 114563
-rect 214333 114529 214367 114563
-rect 214367 114529 214376 114563
-rect 214324 114520 214376 114529
-rect 319940 114520 319992 114572
-rect 320124 114520 320176 114572
-rect 373116 114563 373168 114572
-rect 373116 114529 373125 114563
-rect 373125 114529 373159 114563
-rect 373159 114529 373168 114563
-rect 373116 114520 373168 114529
-rect 385536 114563 385588 114572
-rect 385536 114529 385545 114563
-rect 385545 114529 385579 114563
-rect 385579 114529 385588 114563
-rect 392436 114563 392488 114572
-rect 385536 114520 385588 114529
-rect 392436 114529 392445 114563
-rect 392445 114529 392479 114563
-rect 392479 114529 392488 114563
-rect 392436 114520 392488 114529
-rect 529056 114563 529108 114572
-rect 529056 114529 529065 114563
-rect 529065 114529 529099 114563
-rect 529099 114529 529108 114563
-rect 529056 114520 529108 114529
-rect 535956 114563 536008 114572
-rect 535956 114529 535965 114563
-rect 535965 114529 535999 114563
-rect 535999 114529 536008 114563
-rect 535956 114520 536008 114529
-rect 571836 114563 571888 114572
-rect 571836 114529 571845 114563
-rect 571845 114529 571879 114563
-rect 571879 114529 571888 114563
-rect 571836 114520 571888 114529
-rect 242844 114495 242896 114504
-rect 242844 114461 242853 114495
-rect 242853 114461 242887 114495
-rect 242887 114461 242896 114495
-rect 242844 114452 242896 114461
-rect 552516 114452 552568 114504
-rect 552608 114452 552660 114504
-rect 272836 111843 272888 111852
-rect 272836 111809 272845 111843
-rect 272845 111809 272879 111843
-rect 272879 111809 272888 111843
-rect 272836 111800 272888 111809
-rect 285256 111800 285308 111852
-rect 285348 111800 285400 111852
-rect 285624 111299 285676 111308
-rect 285624 111265 285633 111299
-rect 285633 111265 285667 111299
-rect 285667 111265 285676 111299
-rect 285624 111256 285676 111265
-rect 242936 111120 242988 111172
-rect 242936 110508 242988 110560
-rect 553620 109692 553672 109744
-rect 229780 109012 229832 109064
-rect 275504 109012 275556 109064
-rect 275596 109012 275648 109064
-rect 305036 109012 305088 109064
-rect 305220 109012 305272 109064
-rect 320124 109055 320176 109064
-rect 320124 109021 320133 109055
-rect 320133 109021 320167 109055
-rect 320167 109021 320176 109055
-rect 320124 109012 320176 109021
-rect 328404 109055 328456 109064
-rect 328404 109021 328413 109055
-rect 328413 109021 328447 109055
-rect 328447 109021 328456 109055
-rect 328404 109012 328456 109021
-rect 229872 108944 229924 108996
-rect 235576 108987 235628 108996
-rect 235576 108953 235585 108987
-rect 235585 108953 235619 108987
-rect 235619 108953 235628 108987
-rect 235576 108944 235628 108953
-rect 91504 106335 91556 106344
-rect 91504 106301 91513 106335
-rect 91513 106301 91547 106335
-rect 91547 106301 91556 106335
-rect 91504 106292 91556 106301
-rect 275596 106292 275648 106344
-rect 309176 106292 309228 106344
-rect 357936 106335 357988 106344
-rect 357936 106301 357945 106335
-rect 357945 106301 357979 106335
-rect 357979 106301 357988 106335
-rect 357936 106292 357988 106301
-rect 235484 106267 235536 106276
-rect 235484 106233 235493 106267
-rect 235493 106233 235527 106267
-rect 235527 106233 235536 106267
-rect 235484 106224 235536 106233
-rect 239256 106224 239308 106276
-rect 239440 106224 239492 106276
-rect 309084 106224 309136 106276
-rect 331072 106224 331124 106276
-rect 331256 106224 331308 106276
-rect 341008 106224 341060 106276
-rect 341100 106224 341152 106276
-rect 242660 104907 242712 104916
-rect 242660 104873 242669 104907
-rect 242669 104873 242703 104907
-rect 242703 104873 242712 104907
-rect 242660 104864 242712 104873
-rect 320124 104907 320176 104916
-rect 320124 104873 320133 104907
-rect 320133 104873 320167 104907
-rect 320167 104873 320176 104907
-rect 320124 104864 320176 104873
-rect 328404 104907 328456 104916
-rect 328404 104873 328413 104907
-rect 328413 104873 328447 104907
-rect 328447 104873 328456 104907
-rect 328404 104864 328456 104873
-rect 371828 104864 371880 104916
-rect 371920 104864 371972 104916
-rect 135664 104839 135716 104848
-rect 135664 104805 135673 104839
-rect 135673 104805 135707 104839
-rect 135707 104805 135716 104839
-rect 135664 104796 135716 104805
-rect 152224 104839 152276 104848
-rect 152224 104805 152233 104839
-rect 152233 104805 152267 104839
-rect 152267 104805 152276 104839
-rect 152224 104796 152276 104805
-rect 170164 104839 170216 104848
-rect 170164 104805 170173 104839
-rect 170173 104805 170207 104839
-rect 170207 104805 170216 104839
-rect 170164 104796 170216 104805
-rect 190864 104839 190916 104848
-rect 190864 104805 190873 104839
-rect 190873 104805 190907 104839
-rect 190907 104805 190916 104839
-rect 190864 104796 190916 104805
-rect 214324 104839 214376 104848
-rect 214324 104805 214333 104839
-rect 214333 104805 214367 104839
-rect 214367 104805 214376 104839
-rect 214324 104796 214376 104805
-rect 305036 104839 305088 104848
-rect 305036 104805 305045 104839
-rect 305045 104805 305079 104839
-rect 305079 104805 305088 104839
-rect 305036 104796 305088 104805
-rect 373116 104839 373168 104848
-rect 373116 104805 373125 104839
-rect 373125 104805 373159 104839
-rect 373159 104805 373168 104839
-rect 373116 104796 373168 104805
-rect 385536 104839 385588 104848
-rect 385536 104805 385545 104839
-rect 385545 104805 385579 104839
-rect 385579 104805 385588 104839
-rect 392436 104839 392488 104848
-rect 385536 104796 385588 104805
-rect 392436 104805 392445 104839
-rect 392445 104805 392479 104839
-rect 392479 104805 392488 104839
-rect 392436 104796 392488 104805
-rect 529056 104839 529108 104848
-rect 529056 104805 529065 104839
-rect 529065 104805 529099 104839
-rect 529099 104805 529108 104839
-rect 529056 104796 529108 104805
-rect 535956 104839 536008 104848
-rect 535956 104805 535965 104839
-rect 535965 104805 535999 104839
-rect 535999 104805 536008 104839
-rect 535956 104796 536008 104805
-rect 571836 104839 571888 104848
-rect 571836 104805 571845 104839
-rect 571845 104805 571879 104839
-rect 571879 104805 571888 104839
-rect 571836 104796 571888 104805
-rect 229872 103436 229924 103488
-rect 309084 103436 309136 103488
-rect 320124 103436 320176 103488
-rect 552424 103436 552476 103488
-rect 285348 102348 285400 102400
-rect 285256 102187 285308 102196
-rect 285256 102153 285265 102187
-rect 285265 102153 285299 102187
-rect 285299 102153 285308 102187
-rect 285256 102144 285308 102153
-rect 242108 101396 242160 101448
-rect 360420 100920 360472 100972
-rect 366124 100920 366176 100972
-rect 251676 100852 251728 100904
-rect 261152 100852 261204 100904
-rect 275504 100759 275556 100768
-rect 275504 100725 275513 100759
-rect 275513 100725 275547 100759
-rect 275547 100725 275556 100759
-rect 275504 100716 275556 100725
-rect 279736 100716 279788 100768
-rect 280012 100716 280064 100768
-rect 246616 99424 246668 99476
-rect 268696 99356 268748 99408
-rect 271456 99356 271508 99408
-rect 271640 99356 271692 99408
-rect 246616 99288 246668 99340
-rect 305128 99288 305180 99340
-rect 268696 99220 268748 99272
-rect 553896 96747 553948 96756
-rect 553896 96713 553905 96747
-rect 553905 96713 553939 96747
-rect 553939 96713 553948 96747
-rect 553896 96704 553948 96713
-rect 235484 96679 235536 96688
-rect 235484 96645 235493 96679
-rect 235493 96645 235527 96679
-rect 235527 96645 235536 96679
-rect 235484 96636 235536 96645
-rect 91320 96568 91372 96620
-rect 91504 96568 91556 96620
-rect 235576 96611 235628 96620
-rect 235576 96577 235585 96611
-rect 235585 96577 235619 96611
-rect 235619 96577 235628 96611
-rect 235576 96568 235628 96577
-rect 268696 96611 268748 96620
-rect 268696 96577 268705 96611
-rect 268705 96577 268739 96611
-rect 268739 96577 268748 96611
-rect 268696 96568 268748 96577
-rect 307888 96568 307940 96620
-rect 308256 96568 308308 96620
-rect 341192 96568 341244 96620
-rect 341376 96568 341428 96620
-rect 357936 96568 357988 96620
-rect 358212 96568 358264 96620
-rect 553712 96568 553764 96620
-rect 553896 96568 553948 96620
-rect 135664 95319 135716 95328
-rect 135664 95285 135673 95319
-rect 135673 95285 135707 95319
-rect 135707 95285 135716 95319
-rect 135664 95276 135716 95285
-rect 152224 95319 152276 95328
-rect 152224 95285 152233 95319
-rect 152233 95285 152267 95319
-rect 152267 95285 152276 95319
-rect 152224 95276 152276 95285
-rect 170164 95319 170216 95328
-rect 170164 95285 170173 95319
-rect 170173 95285 170207 95319
-rect 170207 95285 170216 95319
-rect 170164 95276 170216 95285
-rect 190864 95319 190916 95328
-rect 190864 95285 190873 95319
-rect 190873 95285 190907 95319
-rect 190907 95285 190916 95319
-rect 190864 95276 190916 95285
-rect 214324 95319 214376 95328
-rect 214324 95285 214333 95319
-rect 214333 95285 214367 95319
-rect 214367 95285 214376 95319
-rect 214324 95276 214376 95285
-rect 371920 95276 371972 95328
-rect 372012 95276 372064 95328
-rect 373116 95319 373168 95328
-rect 373116 95285 373125 95319
-rect 373125 95285 373159 95319
-rect 373159 95285 373168 95319
-rect 373116 95276 373168 95285
-rect 385536 95319 385588 95328
-rect 385536 95285 385545 95319
-rect 385545 95285 385579 95319
-rect 385579 95285 385588 95319
-rect 392436 95319 392488 95328
-rect 385536 95276 385588 95285
-rect 392436 95285 392445 95319
-rect 392445 95285 392479 95319
-rect 392479 95285 392488 95319
-rect 392436 95276 392488 95285
-rect 529056 95319 529108 95328
-rect 529056 95285 529065 95319
-rect 529065 95285 529099 95319
-rect 529099 95285 529108 95319
-rect 529056 95276 529108 95285
-rect 535956 95319 536008 95328
-rect 535956 95285 535965 95319
-rect 535965 95285 535999 95319
-rect 535999 95285 536008 95319
-rect 535956 95276 536008 95285
-rect 571836 95319 571888 95328
-rect 571836 95285 571845 95319
-rect 571845 95285 571879 95319
-rect 571879 95285 571888 95319
-rect 571836 95276 571888 95285
-rect 328588 95072 328640 95124
-rect 371920 95115 371972 95124
-rect 371920 95081 371929 95115
-rect 371929 95081 371963 95115
-rect 371963 95081 371972 95115
-rect 371920 95072 371972 95081
-rect 328588 94936 328640 94988
-rect 278816 93916 278868 93968
-rect 242016 93891 242068 93900
-rect 242016 93857 242025 93891
-rect 242025 93857 242059 93891
-rect 242059 93857 242068 93891
-rect 242016 93848 242068 93857
-rect 276516 93848 276568 93900
-rect 278724 93848 278776 93900
-rect 285256 93848 285308 93900
-rect 285348 93848 285400 93900
-rect 308900 93891 308952 93900
-rect 308900 93857 308909 93891
-rect 308909 93857 308943 93891
-rect 308943 93857 308952 93891
-rect 308900 93848 308952 93857
-rect 319940 93891 319992 93900
-rect 319940 93857 319949 93891
-rect 319949 93857 319983 93891
-rect 319983 93857 319992 93891
-rect 319940 93848 319992 93857
-rect 552424 93848 552476 93900
-rect 276608 93780 276660 93832
-rect 275504 91060 275556 91112
-rect 275688 91060 275740 91112
-rect 279828 91060 279880 91112
-rect 280012 91060 280064 91112
-rect 246616 90040 246668 90092
-rect 235576 89675 235628 89684
-rect 235576 89641 235585 89675
-rect 235585 89641 235619 89675
-rect 235619 89641 235628 89675
-rect 235576 89632 235628 89641
-rect 268696 89675 268748 89684
-rect 268696 89641 268705 89675
-rect 268705 89641 268739 89675
-rect 268739 89641 268748 89675
-rect 268696 89632 268748 89641
-rect 97024 88952 97076 89004
-rect 249008 88952 249060 89004
-rect 246524 88451 246576 88460
-rect 246524 88417 246533 88451
-rect 246533 88417 246567 88451
-rect 246567 88417 246576 88451
-rect 246524 88408 246576 88417
-rect 242476 87227 242528 87236
-rect 242476 87193 242485 87227
-rect 242485 87193 242519 87227
-rect 242519 87193 242528 87227
-rect 242476 87184 242528 87193
-rect 552424 87159 552476 87168
-rect 552424 87125 552433 87159
-rect 552433 87125 552467 87159
-rect 552467 87125 552476 87159
-rect 552424 87116 552476 87125
-rect 242844 86912 242896 86964
-rect 242936 86912 242988 86964
-rect 305128 86955 305180 86964
-rect 305128 86921 305137 86955
-rect 305137 86921 305171 86955
-rect 305171 86921 305180 86955
-rect 305128 86912 305180 86921
-rect 331072 86912 331124 86964
-rect 331256 86912 331308 86964
-rect 341100 86955 341152 86964
-rect 341100 86921 341109 86955
-rect 341109 86921 341143 86955
-rect 341143 86921 341152 86955
-rect 341100 86912 341152 86921
-rect 361340 86912 361392 86964
-rect 580668 86912 580720 86964
-rect 242476 86887 242528 86896
-rect 242476 86853 242485 86887
-rect 242485 86853 242519 86887
-rect 242519 86853 242528 86887
-rect 242476 86844 242528 86853
-rect 552424 86887 552476 86896
-rect 552424 86853 552433 86887
-rect 552433 86853 552467 86887
-rect 552467 86853 552476 86887
-rect 552424 86844 552476 86853
-rect 279828 86776 279880 86828
-rect 280012 86776 280064 86828
-rect 230056 85552 230108 85604
-rect 372012 85552 372064 85604
-rect 135664 85527 135716 85536
-rect 135664 85493 135673 85527
-rect 135673 85493 135707 85527
-rect 135707 85493 135716 85527
-rect 135664 85484 135716 85493
-rect 152224 85527 152276 85536
-rect 152224 85493 152233 85527
-rect 152233 85493 152267 85527
-rect 152267 85493 152276 85527
-rect 152224 85484 152276 85493
-rect 170164 85527 170216 85536
-rect 170164 85493 170173 85527
-rect 170173 85493 170207 85527
-rect 170207 85493 170216 85527
-rect 170164 85484 170216 85493
-rect 190864 85527 190916 85536
-rect 190864 85493 190873 85527
-rect 190873 85493 190907 85527
-rect 190907 85493 190916 85527
-rect 190864 85484 190916 85493
-rect 214324 85527 214376 85536
-rect 214324 85493 214333 85527
-rect 214333 85493 214367 85527
-rect 214367 85493 214376 85527
-rect 214324 85484 214376 85493
-rect 242476 85527 242528 85536
-rect 242476 85493 242485 85527
-rect 242485 85493 242519 85527
-rect 242519 85493 242528 85527
-rect 242476 85484 242528 85493
-rect 319940 85527 319992 85536
-rect 319940 85493 319949 85527
-rect 319949 85493 319983 85527
-rect 319983 85493 319992 85527
-rect 319940 85484 319992 85493
-rect 373116 85527 373168 85536
-rect 373116 85493 373125 85527
-rect 373125 85493 373159 85527
-rect 373159 85493 373168 85527
-rect 373116 85484 373168 85493
-rect 385536 85527 385588 85536
-rect 385536 85493 385545 85527
-rect 385545 85493 385579 85527
-rect 385579 85493 385588 85527
-rect 392436 85527 392488 85536
-rect 385536 85484 385588 85493
-rect 392436 85493 392445 85527
-rect 392445 85493 392479 85527
-rect 392479 85493 392488 85527
-rect 392436 85484 392488 85493
-rect 529056 85527 529108 85536
-rect 529056 85493 529065 85527
-rect 529065 85493 529099 85527
-rect 529099 85493 529108 85527
-rect 529056 85484 529108 85493
-rect 535956 85527 536008 85536
-rect 535956 85493 535965 85527
-rect 535965 85493 535999 85527
-rect 535999 85493 536008 85527
-rect 535956 85484 536008 85493
-rect 552424 85484 552476 85536
-rect 571836 85527 571888 85536
-rect 571836 85493 571845 85527
-rect 571845 85493 571879 85527
-rect 571879 85493 571888 85527
-rect 571836 85484 571888 85493
-rect 242568 85280 242620 85332
-rect 280012 84192 280064 84244
-rect 279920 84124 279972 84176
-rect 276976 82900 277028 82952
-rect 277068 82832 277120 82884
-rect 276608 82807 276660 82816
-rect 276608 82773 276617 82807
-rect 276617 82773 276651 82807
-rect 276651 82773 276660 82807
-rect 276608 82764 276660 82773
-rect 279828 82764 279880 82816
-rect 280104 82764 280156 82816
-rect 285256 82807 285308 82816
-rect 285256 82773 285265 82807
-rect 285265 82773 285299 82807
-rect 285299 82773 285308 82807
-rect 285256 82764 285308 82773
-rect 271456 81472 271508 81524
-rect 230056 80112 230108 80164
-rect 271364 80087 271416 80096
-rect 271364 80053 271373 80087
-rect 271373 80053 271407 80087
-rect 271407 80053 271416 80087
-rect 271364 80044 271416 80053
-rect 229964 79883 230016 79892
-rect 229964 79849 229973 79883
-rect 229973 79849 230007 79883
-rect 230007 79849 230016 79883
-rect 229964 79840 230016 79849
-rect 305128 79883 305180 79892
-rect 305128 79849 305137 79883
-rect 305137 79849 305171 79883
-rect 305171 79849 305180 79883
-rect 305128 79840 305180 79849
-rect 285256 77979 285308 77988
-rect 285256 77945 285265 77979
-rect 285265 77945 285299 77979
-rect 285299 77945 285308 77979
-rect 285256 77936 285308 77945
-rect 341192 77392 341244 77444
-rect 91504 77163 91556 77172
-rect 91504 77129 91513 77163
-rect 91513 77129 91547 77163
-rect 91547 77129 91556 77163
-rect 91504 77120 91556 77129
-rect 235576 77120 235628 77172
-rect 341192 77120 341244 77172
-rect 357936 77163 357988 77172
-rect 357936 77129 357945 77163
-rect 357945 77129 357979 77163
-rect 357979 77129 357988 77163
-rect 552332 77163 552384 77172
-rect 357936 77120 357988 77129
-rect 552332 77129 552341 77163
-rect 552341 77129 552375 77163
-rect 552375 77129 552384 77163
-rect 552332 77120 552384 77129
-rect 271364 76576 271416 76628
-rect 135664 75939 135716 75948
-rect 135664 75905 135673 75939
-rect 135673 75905 135707 75939
-rect 135707 75905 135716 75939
-rect 135664 75896 135716 75905
-rect 152224 75939 152276 75948
-rect 152224 75905 152233 75939
-rect 152233 75905 152267 75939
-rect 152267 75905 152276 75939
-rect 152224 75896 152276 75905
-rect 170164 75939 170216 75948
-rect 170164 75905 170173 75939
-rect 170173 75905 170207 75939
-rect 170207 75905 170216 75939
-rect 170164 75896 170216 75905
-rect 190864 75939 190916 75948
-rect 190864 75905 190873 75939
-rect 190873 75905 190907 75939
-rect 190907 75905 190916 75939
-rect 190864 75896 190916 75905
-rect 214324 75939 214376 75948
-rect 214324 75905 214333 75939
-rect 214333 75905 214367 75939
-rect 214367 75905 214376 75939
-rect 214324 75896 214376 75905
-rect 320124 75896 320176 75948
-rect 373116 75939 373168 75948
-rect 373116 75905 373125 75939
-rect 373125 75905 373159 75939
-rect 373159 75905 373168 75939
-rect 373116 75896 373168 75905
-rect 385536 75939 385588 75948
-rect 385536 75905 385545 75939
-rect 385545 75905 385579 75939
-rect 385579 75905 385588 75939
-rect 392436 75939 392488 75948
-rect 385536 75896 385588 75905
-rect 392436 75905 392445 75939
-rect 392445 75905 392479 75939
-rect 392479 75905 392488 75939
-rect 392436 75896 392488 75905
-rect 529056 75939 529108 75948
-rect 529056 75905 529065 75939
-rect 529065 75905 529099 75939
-rect 529099 75905 529108 75939
-rect 529056 75896 529108 75905
-rect 535956 75939 536008 75948
-rect 535956 75905 535965 75939
-rect 535965 75905 535999 75939
-rect 535999 75905 536008 75939
-rect 535956 75896 536008 75905
-rect 571836 75939 571888 75948
-rect 571836 75905 571845 75939
-rect 571845 75905 571879 75939
-rect 571879 75905 571888 75939
-rect 571836 75896 571888 75905
-rect 279920 74647 279972 74656
-rect 279920 74613 279929 74647
-rect 279929 74613 279963 74647
-rect 279963 74613 279972 74647
-rect 279920 74604 279972 74613
-rect 279920 74443 279972 74452
-rect 279920 74409 279929 74443
-rect 279929 74409 279963 74443
-rect 279963 74409 279972 74443
-rect 279920 74400 279972 74409
-rect 276608 73219 276660 73228
-rect 276608 73185 276617 73219
-rect 276617 73185 276651 73219
-rect 276651 73185 276660 73219
-rect 276608 73176 276660 73185
-rect 275688 73108 275740 73160
-rect 371920 72156 371972 72208
-rect 372104 72156 372156 72208
-rect 246708 70499 246760 70508
-rect 246708 70465 246717 70499
-rect 246717 70465 246751 70499
-rect 246751 70465 246760 70499
-rect 246708 70456 246760 70465
-rect 91504 67643 91556 67652
-rect 91504 67609 91513 67643
-rect 91513 67609 91547 67643
-rect 91547 67609 91556 67643
-rect 91504 67600 91556 67609
-rect 235484 67643 235536 67652
-rect 235484 67609 235493 67643
-rect 235493 67609 235527 67643
-rect 235527 67609 235536 67643
-rect 235484 67600 235536 67609
-rect 242016 67600 242068 67652
-rect 242108 67600 242160 67652
-rect 276976 67600 277028 67652
-rect 278724 67668 278776 67720
-rect 341100 67643 341152 67652
-rect 341100 67609 341109 67643
-rect 341109 67609 341143 67643
-rect 341143 67609 341152 67643
-rect 341100 67600 341152 67609
-rect 357936 67643 357988 67652
-rect 357936 67609 357945 67643
-rect 357945 67609 357979 67643
-rect 357979 67609 357988 67643
-rect 357936 67600 357988 67609
-rect 553896 67600 553948 67652
-rect 553988 67600 554040 67652
-rect 278632 67532 278684 67584
-rect 331256 67575 331308 67584
-rect 331256 67541 331265 67575
-rect 331265 67541 331299 67575
-rect 331299 67541 331308 67575
-rect 331256 67532 331308 67541
-rect 229872 66240 229924 66292
-rect 229964 66240 230016 66292
-rect 246708 66283 246760 66292
-rect 246708 66249 246717 66283
-rect 246717 66249 246751 66283
-rect 246751 66249 246760 66283
-rect 246708 66240 246760 66249
-rect 285624 66240 285676 66292
-rect 285808 66240 285860 66292
-rect 373208 66240 373260 66292
-rect 373300 66240 373352 66292
-rect 135664 66215 135716 66224
-rect 135664 66181 135673 66215
-rect 135673 66181 135707 66215
-rect 135707 66181 135716 66215
-rect 135664 66172 135716 66181
-rect 152224 66215 152276 66224
-rect 152224 66181 152233 66215
-rect 152233 66181 152267 66215
-rect 152267 66181 152276 66215
-rect 152224 66172 152276 66181
-rect 170164 66215 170216 66224
-rect 170164 66181 170173 66215
-rect 170173 66181 170207 66215
-rect 170207 66181 170216 66215
-rect 170164 66172 170216 66181
-rect 190864 66215 190916 66224
-rect 190864 66181 190873 66215
-rect 190873 66181 190907 66215
-rect 190907 66181 190916 66215
-rect 190864 66172 190916 66181
-rect 214324 66215 214376 66224
-rect 214324 66181 214333 66215
-rect 214333 66181 214367 66215
-rect 214367 66181 214376 66215
-rect 214324 66172 214376 66181
-rect 309084 66172 309136 66224
-rect 320124 66215 320176 66224
-rect 320124 66181 320133 66215
-rect 320133 66181 320167 66215
-rect 320167 66181 320176 66215
-rect 320124 66172 320176 66181
-rect 385536 66215 385588 66224
-rect 385536 66181 385545 66215
-rect 385545 66181 385579 66215
-rect 385579 66181 385588 66215
-rect 392436 66215 392488 66224
-rect 385536 66172 385588 66181
-rect 392436 66181 392445 66215
-rect 392445 66181 392479 66215
-rect 392479 66181 392488 66215
-rect 392436 66172 392488 66181
-rect 529056 66215 529108 66224
-rect 529056 66181 529065 66215
-rect 529065 66181 529099 66215
-rect 529099 66181 529108 66215
-rect 529056 66172 529108 66181
-rect 535956 66215 536008 66224
-rect 535956 66181 535965 66215
-rect 535965 66181 535999 66215
-rect 535999 66181 536008 66215
-rect 535956 66172 536008 66181
-rect 552516 66215 552568 66224
-rect 552516 66181 552525 66215
-rect 552525 66181 552559 66215
-rect 552559 66181 552568 66215
-rect 552516 66172 552568 66181
-rect 571836 66215 571888 66224
-rect 571836 66181 571845 66215
-rect 571845 66181 571879 66215
-rect 571879 66181 571888 66215
-rect 571836 66172 571888 66181
-rect 242568 64948 242620 65000
-rect 242476 64880 242528 64932
-rect 278632 64855 278684 64864
-rect 278632 64821 278641 64855
-rect 278641 64821 278675 64855
-rect 278675 64821 278684 64855
-rect 278632 64812 278684 64821
-rect 279736 64855 279788 64864
-rect 279736 64821 279745 64855
-rect 279745 64821 279779 64855
-rect 279779 64821 279788 64855
-rect 279736 64812 279788 64821
-rect 285256 64855 285308 64864
-rect 285256 64821 285265 64855
-rect 285265 64821 285299 64855
-rect 285299 64821 285308 64855
-rect 285256 64812 285308 64821
-rect 372012 64812 372064 64864
-rect 271456 63563 271508 63572
-rect 271456 63529 271465 63563
-rect 271465 63529 271499 63563
-rect 271499 63529 271508 63563
-rect 271456 63520 271508 63529
-rect 275596 63563 275648 63572
-rect 275596 63529 275605 63563
-rect 275605 63529 275639 63563
-rect 275639 63529 275648 63563
-rect 275596 63520 275648 63529
-rect 242476 63495 242528 63504
-rect 242476 63461 242485 63495
-rect 242485 63461 242519 63495
-rect 242519 63461 242528 63495
-rect 242476 63452 242528 63461
-rect 331256 62815 331308 62824
-rect 331256 62781 331265 62815
-rect 331265 62781 331299 62815
-rect 331299 62781 331308 62815
-rect 331256 62772 331308 62781
-rect 277068 62747 277120 62756
-rect 277068 62713 277077 62747
-rect 277077 62713 277111 62747
-rect 277111 62713 277120 62747
-rect 277068 62704 277120 62713
-rect 273020 62092 273072 62144
-rect 273112 62092 273164 62144
-rect 229872 60800 229924 60852
-rect 235484 60732 235536 60784
-rect 229780 60664 229832 60716
-rect 341192 60732 341244 60784
-rect 341100 60664 341152 60716
-rect 235576 60596 235628 60648
-rect 3644 59168 3696 59220
-rect 219200 59168 219252 59220
-rect 553896 58012 553948 58064
-rect 275596 57987 275648 57996
-rect 275596 57953 275605 57987
-rect 275605 57953 275639 57987
-rect 275639 57953 275648 57987
-rect 275596 57944 275648 57953
-rect 91504 57919 91556 57928
-rect 91504 57885 91513 57919
-rect 91513 57885 91547 57919
-rect 91547 57885 91556 57919
-rect 91504 57876 91556 57885
-rect 225180 57876 225232 57928
-rect 225364 57876 225416 57928
-rect 235576 57876 235628 57928
-rect 272836 57919 272888 57928
-rect 272836 57885 272845 57919
-rect 272845 57885 272879 57919
-rect 272879 57885 272888 57919
-rect 272836 57876 272888 57885
-rect 340916 57876 340968 57928
-rect 341192 57876 341244 57928
-rect 357936 57919 357988 57928
-rect 357936 57885 357945 57919
-rect 357945 57885 357979 57919
-rect 357979 57885 357988 57919
-rect 357936 57876 357988 57885
-rect 553988 57876 554040 57928
-rect 276424 57264 276476 57316
-rect 135664 56695 135716 56704
-rect 135664 56661 135673 56695
-rect 135673 56661 135707 56695
-rect 135707 56661 135716 56695
-rect 135664 56652 135716 56661
-rect 152224 56695 152276 56704
-rect 152224 56661 152233 56695
-rect 152233 56661 152267 56695
-rect 152267 56661 152276 56695
-rect 152224 56652 152276 56661
-rect 170164 56695 170216 56704
-rect 170164 56661 170173 56695
-rect 170173 56661 170207 56695
-rect 170207 56661 170216 56695
-rect 170164 56652 170216 56661
-rect 190864 56695 190916 56704
-rect 190864 56661 190873 56695
-rect 190873 56661 190907 56695
-rect 190907 56661 190916 56695
-rect 190864 56652 190916 56661
-rect 214324 56695 214376 56704
-rect 214324 56661 214333 56695
-rect 214333 56661 214367 56695
-rect 214367 56661 214376 56695
-rect 214324 56652 214376 56661
-rect 308900 56695 308952 56704
-rect 308900 56661 308909 56695
-rect 308909 56661 308943 56695
-rect 308943 56661 308952 56695
-rect 308900 56652 308952 56661
-rect 320124 56695 320176 56704
-rect 320124 56661 320133 56695
-rect 320133 56661 320167 56695
-rect 320167 56661 320176 56695
-rect 320124 56652 320176 56661
-rect 373116 56652 373168 56704
-rect 373300 56652 373352 56704
-rect 385536 56695 385588 56704
-rect 385536 56661 385545 56695
-rect 385545 56661 385579 56695
-rect 385579 56661 385588 56695
-rect 392436 56695 392488 56704
-rect 385536 56652 385588 56661
-rect 392436 56661 392445 56695
-rect 392445 56661 392479 56695
-rect 392479 56661 392488 56695
-rect 392436 56652 392488 56661
-rect 529056 56695 529108 56704
-rect 529056 56661 529065 56695
-rect 529065 56661 529099 56695
-rect 529099 56661 529108 56695
-rect 529056 56652 529108 56661
-rect 535956 56695 536008 56704
-rect 535956 56661 535965 56695
-rect 535965 56661 535999 56695
-rect 535999 56661 536008 56695
-rect 535956 56652 536008 56661
-rect 552516 56695 552568 56704
-rect 552516 56661 552525 56695
-rect 552525 56661 552559 56695
-rect 552559 56661 552568 56695
-rect 552516 56652 552568 56661
-rect 571836 56695 571888 56704
-rect 571836 56661 571845 56695
-rect 571845 56661 571879 56695
-rect 571879 56661 571888 56695
-rect 571836 56652 571888 56661
-rect 285256 56491 285308 56500
-rect 285256 56457 285265 56491
-rect 285265 56457 285299 56491
-rect 285299 56457 285308 56491
-rect 285256 56448 285308 56457
-rect 278724 55224 278776 55276
-rect 279736 55267 279788 55276
-rect 279736 55233 279745 55267
-rect 279745 55233 279779 55267
-rect 279779 55233 279788 55267
-rect 279736 55224 279788 55233
-rect 371920 55267 371972 55276
-rect 371920 55233 371929 55267
-rect 371929 55233 371963 55267
-rect 371963 55233 371972 55267
-rect 371920 55224 371972 55233
-rect 246892 55199 246944 55208
-rect 246892 55165 246901 55199
-rect 246901 55165 246935 55199
-rect 246935 55165 246944 55199
-rect 246892 55156 246944 55165
-rect 268604 52504 268656 52556
-rect 268696 52504 268748 52556
-rect 275596 52479 275648 52488
-rect 275596 52445 275605 52479
-rect 275605 52445 275639 52479
-rect 275639 52445 275648 52479
-rect 275596 52436 275648 52445
-rect 279736 51756 279788 51808
-rect 280012 51756 280064 51808
-rect 239256 51008 239308 51060
-rect 239440 51008 239492 51060
-rect 259220 51008 259272 51060
-rect 259404 51008 259456 51060
-rect 271364 51008 271416 51060
-rect 271548 51008 271600 51060
-rect 91504 48331 91556 48340
-rect 91504 48297 91513 48331
-rect 91513 48297 91547 48331
-rect 91547 48297 91556 48331
-rect 91504 48288 91556 48297
-rect 235392 48331 235444 48340
-rect 235392 48297 235401 48331
-rect 235401 48297 235435 48331
-rect 235435 48297 235444 48331
-rect 235392 48288 235444 48297
-rect 242752 48288 242804 48340
-rect 242844 48288 242896 48340
-rect 272836 48331 272888 48340
-rect 272836 48297 272845 48331
-rect 272845 48297 272879 48331
-rect 272879 48297 272888 48331
-rect 272836 48288 272888 48297
-rect 278816 48288 278868 48340
-rect 357936 48331 357988 48340
-rect 357936 48297 357945 48331
-rect 357945 48297 357979 48331
-rect 357979 48297 357988 48331
-rect 357936 48288 357988 48297
-rect 259404 48220 259456 48272
-rect 341008 48220 341060 48272
-rect 341100 48220 341152 48272
-rect 553804 48220 553856 48272
-rect 553896 48220 553948 48272
-rect 319848 46996 319900 47048
-rect 320032 46996 320084 47048
-rect 275596 46971 275648 46980
-rect 275596 46937 275605 46971
-rect 275605 46937 275639 46971
-rect 275639 46937 275648 46971
-rect 275596 46928 275648 46937
-rect 135664 46903 135716 46912
-rect 135664 46869 135673 46903
-rect 135673 46869 135707 46903
-rect 135707 46869 135716 46903
-rect 135664 46860 135716 46869
-rect 152224 46903 152276 46912
-rect 152224 46869 152233 46903
-rect 152233 46869 152267 46903
-rect 152267 46869 152276 46903
-rect 152224 46860 152276 46869
-rect 170164 46903 170216 46912
-rect 170164 46869 170173 46903
-rect 170173 46869 170207 46903
-rect 170207 46869 170216 46903
-rect 170164 46860 170216 46869
-rect 190864 46903 190916 46912
-rect 190864 46869 190873 46903
-rect 190873 46869 190907 46903
-rect 190907 46869 190916 46903
-rect 190864 46860 190916 46869
-rect 214324 46903 214376 46912
-rect 214324 46869 214333 46903
-rect 214333 46869 214367 46903
-rect 214367 46869 214376 46903
-rect 214324 46860 214376 46869
-rect 225364 46903 225416 46912
-rect 225364 46869 225373 46903
-rect 225373 46869 225407 46903
-rect 225407 46869 225416 46903
-rect 225364 46860 225416 46869
-rect 242016 46860 242068 46912
-rect 242108 46860 242160 46912
-rect 272836 46903 272888 46912
-rect 272836 46869 272845 46903
-rect 272845 46869 272879 46903
-rect 272879 46869 272888 46903
-rect 272836 46860 272888 46869
-rect 285072 46860 285124 46912
-rect 285256 46860 285308 46912
-rect 308992 46860 309044 46912
-rect 309176 46860 309228 46912
-rect 320032 46860 320084 46912
-rect 328404 46860 328456 46912
-rect 385536 46903 385588 46912
-rect 385536 46869 385545 46903
-rect 385545 46869 385579 46903
-rect 385579 46869 385588 46903
-rect 392436 46903 392488 46912
-rect 385536 46860 385588 46869
-rect 392436 46869 392445 46903
-rect 392445 46869 392479 46903
-rect 392479 46869 392488 46903
-rect 392436 46860 392488 46869
-rect 529056 46903 529108 46912
-rect 529056 46869 529065 46903
-rect 529065 46869 529099 46903
-rect 529099 46869 529108 46903
-rect 529056 46860 529108 46869
-rect 535956 46903 536008 46912
-rect 535956 46869 535965 46903
-rect 535965 46869 535999 46903
-rect 535999 46869 536008 46903
-rect 535956 46860 536008 46869
-rect 552516 46903 552568 46912
-rect 552516 46869 552525 46903
-rect 552525 46869 552559 46903
-rect 552559 46869 552568 46903
-rect 552516 46860 552568 46869
-rect 553804 46903 553856 46912
-rect 553804 46869 553813 46903
-rect 553813 46869 553847 46903
-rect 553847 46869 553856 46903
-rect 553804 46860 553856 46869
-rect 571836 46903 571888 46912
-rect 571836 46869 571845 46903
-rect 571845 46869 571879 46903
-rect 571879 46869 571888 46903
-rect 571836 46860 571888 46869
-rect 392528 46656 392580 46708
-rect 242568 45568 242620 45620
-rect 246892 45611 246944 45620
-rect 246892 45577 246901 45611
-rect 246901 45577 246935 45611
-rect 246935 45577 246944 45611
-rect 246892 45568 246944 45577
-rect 278724 45611 278776 45620
-rect 278724 45577 278733 45611
-rect 278733 45577 278767 45611
-rect 278767 45577 278776 45611
-rect 278724 45568 278776 45577
-rect 276608 44140 276660 44192
-rect 273112 42780 273164 42832
-rect 273296 42780 273348 42832
-rect 275596 42823 275648 42832
-rect 275596 42789 275605 42823
-rect 275605 42789 275639 42823
-rect 275639 42789 275648 42823
-rect 275596 42780 275648 42789
-rect 273112 42644 273164 42696
-rect 273020 42576 273072 42628
-rect 285624 42032 285676 42084
-rect 399244 38836 399296 38888
-rect 406144 38836 406196 38888
-rect 298596 38768 298648 38820
-rect 308164 38768 308216 38820
-rect 259312 38743 259364 38752
-rect 259312 38709 259321 38743
-rect 259321 38709 259355 38743
-rect 259355 38709 259364 38743
-rect 259312 38700 259364 38709
-rect 261060 38700 261112 38752
-rect 268144 38700 268196 38752
-rect 377348 38700 377400 38752
-rect 382040 38700 382092 38752
-rect 91504 38539 91556 38548
-rect 91504 38505 91513 38539
-rect 91513 38505 91547 38539
-rect 91547 38505 91556 38539
-rect 91504 38496 91556 38505
-rect 320308 38539 320360 38548
-rect 320308 38505 320317 38539
-rect 320317 38505 320351 38539
-rect 320351 38505 320360 38539
-rect 320308 38496 320360 38505
-rect 357936 38539 357988 38548
-rect 357936 38505 357945 38539
-rect 357945 38505 357979 38539
-rect 357979 38505 357988 38539
-rect 357936 38496 357988 38505
-rect 553804 38403 553856 38412
-rect 553804 38369 553813 38403
-rect 553813 38369 553847 38403
-rect 553847 38369 553856 38403
-rect 553804 38360 553856 38369
-rect 275504 37952 275556 38004
-rect 276240 37952 276292 38004
-rect 276608 37952 276660 38004
-rect 135664 37315 135716 37324
-rect 135664 37281 135673 37315
-rect 135673 37281 135707 37315
-rect 135707 37281 135716 37315
-rect 135664 37272 135716 37281
-rect 152224 37315 152276 37324
-rect 152224 37281 152233 37315
-rect 152233 37281 152267 37315
-rect 152267 37281 152276 37315
-rect 152224 37272 152276 37281
-rect 170164 37315 170216 37324
-rect 170164 37281 170173 37315
-rect 170173 37281 170207 37315
-rect 170207 37281 170216 37315
-rect 170164 37272 170216 37281
-rect 190864 37315 190916 37324
-rect 190864 37281 190873 37315
-rect 190873 37281 190907 37315
-rect 190907 37281 190916 37315
-rect 190864 37272 190916 37281
-rect 214324 37315 214376 37324
-rect 214324 37281 214333 37315
-rect 214333 37281 214367 37315
-rect 214367 37281 214376 37315
-rect 214324 37272 214376 37281
-rect 225456 37272 225508 37324
-rect 271456 37272 271508 37324
-rect 271548 37272 271600 37324
-rect 272836 37315 272888 37324
-rect 272836 37281 272845 37315
-rect 272845 37281 272879 37315
-rect 272879 37281 272888 37315
-rect 272836 37272 272888 37281
-rect 276976 37272 277028 37324
-rect 277068 37272 277120 37324
-rect 280012 37272 280064 37324
-rect 319940 37315 319992 37324
-rect 319940 37281 319949 37315
-rect 319949 37281 319983 37315
-rect 319983 37281 319992 37315
-rect 319940 37272 319992 37281
-rect 328220 37315 328272 37324
-rect 328220 37281 328229 37315
-rect 328229 37281 328263 37315
-rect 328263 37281 328272 37315
-rect 328220 37272 328272 37281
-rect 371920 37272 371972 37324
-rect 372012 37272 372064 37324
-rect 373024 37272 373076 37324
-rect 373116 37272 373168 37324
-rect 385536 37315 385588 37324
-rect 385536 37281 385545 37315
-rect 385545 37281 385579 37315
-rect 385579 37281 385588 37315
-rect 529056 37315 529108 37324
-rect 385536 37272 385588 37281
-rect 529056 37281 529065 37315
-rect 529065 37281 529099 37315
-rect 529099 37281 529108 37315
-rect 529056 37272 529108 37281
-rect 535956 37315 536008 37324
-rect 535956 37281 535965 37315
-rect 535965 37281 535999 37315
-rect 535999 37281 536008 37315
-rect 535956 37272 536008 37281
-rect 552608 37272 552660 37324
-rect 571836 37315 571888 37324
-rect 571836 37281 571845 37315
-rect 571845 37281 571879 37315
-rect 571879 37281 571888 37315
-rect 571836 37272 571888 37281
-rect 242016 37204 242068 37256
-rect 242108 37204 242160 37256
-rect 278724 37204 278776 37256
-rect 278908 37204 278960 37256
-rect 279828 37204 279880 37256
-rect 320308 31875 320360 31884
-rect 320308 31841 320317 31875
-rect 320317 31841 320351 31875
-rect 320351 31841 320360 31875
-rect 320308 31832 320360 31841
-rect 341100 31832 341152 31884
-rect 229964 31764 230016 31816
-rect 235484 31807 235536 31816
-rect 235484 31773 235493 31807
-rect 235493 31773 235527 31807
-rect 235527 31773 235536 31807
-rect 235484 31764 235536 31773
-rect 229964 31628 230016 31680
-rect 91504 29019 91556 29028
-rect 91504 28985 91513 29019
-rect 91513 28985 91547 29019
-rect 91547 28985 91556 29019
-rect 91504 28976 91556 28985
-rect 235484 29019 235536 29028
-rect 235484 28985 235493 29019
-rect 235493 28985 235527 29019
-rect 235527 28985 235536 29019
-rect 235484 28976 235536 28985
-rect 242660 29044 242712 29096
-rect 246892 29044 246944 29096
-rect 242752 28976 242804 29028
-rect 242844 28976 242896 29028
-rect 246524 28976 246576 29028
-rect 246616 28976 246668 29028
-rect 246708 28976 246760 29028
-rect 285072 28976 285124 29028
-rect 285256 28976 285308 29028
-rect 285808 28976 285860 29028
-rect 308992 28976 309044 29028
-rect 309176 28976 309228 29028
-rect 341008 29019 341060 29028
-rect 341008 28985 341017 29019
-rect 341017 28985 341051 29019
-rect 341051 28985 341060 29019
-rect 341008 28976 341060 28985
-rect 357936 29019 357988 29028
-rect 357936 28985 357945 29019
-rect 357945 28985 357979 29019
-rect 357979 28985 357988 29019
-rect 357936 28976 357988 28985
-rect 553804 28976 553856 29028
-rect 553896 28976 553948 29028
-rect 242568 28908 242620 28960
-rect 254896 28908 254948 28960
-rect 285164 28951 285216 28960
-rect 285164 28917 285173 28951
-rect 285173 28917 285207 28951
-rect 285207 28917 285216 28951
-rect 285164 28908 285216 28917
-rect 276240 27616 276292 27668
-rect 276516 27616 276568 27668
-rect 278816 27659 278868 27668
-rect 278816 27625 278825 27659
-rect 278825 27625 278859 27659
-rect 278859 27625 278868 27659
-rect 278816 27616 278868 27625
-rect 135664 27548 135716 27600
-rect 152224 27548 152276 27600
-rect 170164 27548 170216 27600
-rect 190220 27548 190272 27600
-rect 190864 27548 190916 27600
-rect 214324 27548 214376 27600
-rect 214508 27548 214560 27600
-rect 225364 27548 225416 27600
-rect 242568 27591 242620 27600
-rect 242568 27557 242577 27591
-rect 242577 27557 242611 27591
-rect 242611 27557 242620 27591
-rect 242568 27548 242620 27557
-rect 246524 27548 246576 27600
-rect 246616 27548 246668 27600
-rect 272836 27591 272888 27600
-rect 272836 27557 272845 27591
-rect 272845 27557 272879 27591
-rect 272879 27557 272888 27591
-rect 272836 27548 272888 27557
-rect 305128 27591 305180 27600
-rect 305128 27557 305137 27591
-rect 305137 27557 305171 27591
-rect 305171 27557 305180 27591
-rect 305128 27548 305180 27557
-rect 385536 27548 385588 27600
-rect 385996 27548 386048 27600
-rect 392436 27548 392488 27600
-rect 392620 27548 392672 27600
-rect 529056 27591 529108 27600
-rect 529056 27557 529065 27591
-rect 529065 27557 529099 27591
-rect 529099 27557 529108 27591
-rect 529056 27548 529108 27557
-rect 535956 27591 536008 27600
-rect 535956 27557 535965 27591
-rect 535965 27557 535999 27591
-rect 535999 27557 536008 27591
-rect 535956 27548 536008 27557
-rect 552516 27591 552568 27600
-rect 552516 27557 552525 27591
-rect 552525 27557 552559 27591
-rect 552559 27557 552568 27591
-rect 552516 27548 552568 27557
-rect 571836 27591 571888 27600
-rect 571836 27557 571845 27591
-rect 571845 27557 571879 27591
-rect 571879 27557 571888 27591
-rect 571836 27548 571888 27557
-rect 278816 26299 278868 26308
-rect 278816 26265 278825 26299
-rect 278825 26265 278859 26299
-rect 278859 26265 278868 26299
-rect 278816 26256 278868 26265
-rect 3920 26188 3972 26240
-rect 350024 26188 350076 26240
-rect 275688 24828 275740 24880
-rect 229964 24148 230016 24200
-rect 230148 24148 230200 24200
-rect 285808 24148 285860 24200
-rect 319940 24148 319992 24200
-rect 320124 24148 320176 24200
-rect 242568 22695 242620 22704
-rect 242568 22661 242577 22695
-rect 242577 22661 242611 22695
-rect 242611 22661 242620 22695
-rect 242568 22652 242620 22661
-rect 235484 22108 235536 22160
-rect 277068 22108 277120 22160
-rect 276976 22040 277028 22092
-rect 367596 22040 367648 22092
-rect 368332 22040 368384 22092
-rect 368976 22040 369028 22092
-rect 369528 22040 369580 22092
-rect 235576 21972 235628 22024
-rect 254804 21403 254856 21412
-rect 254804 21369 254813 21403
-rect 254813 21369 254847 21403
-rect 254847 21369 254856 21403
-rect 254804 21360 254856 21369
-rect 313040 21360 313092 21412
-rect 346896 21360 346948 21412
-rect 285072 19388 285124 19440
-rect 285164 19363 285216 19372
-rect 285164 19329 285173 19363
-rect 285173 19329 285207 19363
-rect 285207 19329 285216 19363
-rect 285164 19320 285216 19329
-rect 285348 19320 285400 19372
-rect 285716 19363 285768 19372
-rect 285716 19329 285725 19363
-rect 285725 19329 285759 19363
-rect 285759 19329 285768 19363
-rect 285716 19320 285768 19329
-rect 91504 19295 91556 19304
-rect 91504 19261 91513 19295
-rect 91513 19261 91547 19295
-rect 91547 19261 91556 19295
-rect 91504 19252 91556 19261
-rect 145324 19252 145376 19304
-rect 308256 19295 308308 19304
-rect 308256 19261 308265 19295
-rect 308265 19261 308299 19295
-rect 308299 19261 308308 19295
-rect 308256 19252 308308 19261
-rect 340732 19252 340784 19304
-rect 341008 19252 341060 19304
-rect 346896 19252 346948 19304
-rect 347080 19252 347132 19304
-rect 351036 19252 351088 19304
-rect 351312 19252 351364 19304
-rect 414516 19252 414568 19304
-rect 414884 19252 414936 19304
-rect 541476 19295 541528 19304
-rect 541476 19261 541485 19295
-rect 541485 19261 541519 19295
-rect 541519 19261 541528 19295
-rect 541476 19252 541528 19261
-rect 560796 19295 560848 19304
-rect 560796 19261 560805 19295
-rect 560805 19261 560839 19295
-rect 560839 19261 560848 19295
-rect 560796 19252 560848 19261
-rect 145232 19184 145284 19236
-rect 273020 18776 273072 18828
-rect 273204 18776 273256 18828
-rect 236404 18572 236456 18624
-rect 275780 18572 275832 18624
-rect 299608 18572 299660 18624
-rect 336132 18572 336184 18624
-rect 242752 18096 242804 18148
-rect 135296 18071 135348 18080
-rect 135296 18037 135305 18071
-rect 135305 18037 135339 18071
-rect 135339 18037 135348 18071
-rect 135296 18028 135348 18037
-rect 151856 18071 151908 18080
-rect 151856 18037 151865 18071
-rect 151865 18037 151899 18071
-rect 151899 18037 151908 18071
-rect 151856 18028 151908 18037
-rect 169704 18071 169756 18080
-rect 169704 18037 169713 18071
-rect 169713 18037 169747 18071
-rect 169747 18037 169756 18071
-rect 169704 18028 169756 18037
-rect 225088 18071 225140 18080
-rect 225088 18037 225097 18071
-rect 225097 18037 225131 18071
-rect 225131 18037 225140 18071
-rect 225088 18028 225140 18037
-rect 242660 18028 242712 18080
-rect 272836 18071 272888 18080
-rect 272836 18037 272845 18071
-rect 272845 18037 272879 18071
-rect 272879 18037 272888 18071
-rect 272836 18028 272888 18037
-rect 305128 18071 305180 18080
-rect 305128 18037 305137 18071
-rect 305137 18037 305171 18071
-rect 305171 18037 305180 18071
-rect 305128 18028 305180 18037
-rect 373116 17867 373168 17876
-rect 373116 17833 373125 17867
-rect 373125 17833 373159 17867
-rect 373159 17833 373168 17867
-rect 373116 17824 373168 17833
-rect 239256 17280 239308 17332
-rect 239532 17280 239584 17332
-rect 242476 17280 242528 17332
-rect 242660 17280 242712 17332
-rect 232172 17212 232224 17264
-rect 277160 17212 277212 17264
-rect 306140 17212 306192 17264
-rect 328956 17212 329008 17264
-rect 278632 16600 278684 16652
-rect 278816 16600 278868 16652
-rect 347908 16532 347960 16584
-rect 348184 16532 348236 16584
-rect 392344 15444 392396 15496
-rect 393540 15444 393592 15496
-rect 483516 14560 483568 14612
-rect 483976 14560 484028 14612
-rect 246064 14492 246116 14544
-rect 264740 14492 264792 14544
-rect 252964 14424 253016 14476
-rect 282220 14424 282272 14476
-rect 303380 14424 303432 14476
-rect 322056 14424 322108 14476
-rect 114964 12384 115016 12436
-rect 253332 12384 253384 12436
-rect 332912 12384 332964 12436
-rect 493176 12384 493228 12436
-rect 513876 12384 513928 12436
-rect 514888 12384 514940 12436
-rect 530436 12384 530488 12436
-rect 531540 12384 531592 12436
-rect 534576 12384 534628 12436
-rect 535036 12384 535088 12436
-rect 542856 12384 542908 12436
-rect 543408 12384 543460 12436
-rect 548376 12384 548428 12436
-rect 549388 12384 549440 12436
-rect 549756 12384 549808 12436
-rect 550584 12384 550636 12436
-rect 556656 12384 556708 12436
-rect 557668 12384 557720 12436
-rect 567696 12384 567748 12436
-rect 568340 12384 568392 12436
-rect 574596 12384 574648 12436
-rect 575516 12384 575568 12436
-rect 575976 12384 576028 12436
-rect 576712 12384 576764 12436
-rect 107972 12316 108024 12368
-rect 251952 12316 252004 12368
-rect 332820 12316 332872 12368
-rect 495936 12316 495988 12368
-rect 99508 12248 99560 12300
-rect 250480 12248 250532 12300
-rect 334200 12248 334252 12300
-rect 500076 12248 500128 12300
-rect 92608 12180 92660 12232
-rect 249284 12180 249336 12232
-rect 334108 12180 334160 12232
-rect 504124 12180 504176 12232
-rect 85984 12112 86036 12164
-rect 248180 12112 248232 12164
-rect 335488 12112 335540 12164
-rect 511300 12112 511352 12164
-rect 83132 12044 83184 12096
-rect 246708 12044 246760 12096
-rect 336868 12044 336920 12096
-rect 518384 12044 518436 12096
-rect 79084 11840 79136 11892
-rect 246248 11840 246300 11892
-rect 338156 11840 338208 11892
-rect 525560 11840 525612 11892
-rect 74944 11772 74996 11824
-rect 244868 11772 244920 11824
-rect 295560 11772 295612 11824
-rect 316168 11772 316220 11824
-rect 339628 11772 339680 11824
-rect 532736 11772 532788 11824
-rect 72184 11704 72236 11756
-rect 244960 11704 245012 11756
-rect 248824 11704 248876 11756
-rect 281300 11704 281352 11756
-rect 304760 11704 304812 11756
-rect 324816 11704 324868 11756
-rect 342480 11704 342532 11756
-rect 539820 11704 539872 11756
-rect 331348 11636 331400 11688
-rect 489864 11636 489916 11688
-rect 235300 11228 235352 11280
-rect 117632 11092 117684 11144
-rect 167588 11160 167640 11212
-rect 215980 11160 216032 11212
-rect 177248 11092 177300 11144
-rect 56912 10956 56964 11008
-rect 167496 11024 167548 11076
-rect 215796 11024 215848 11076
-rect 234932 11024 234984 11076
-rect 236772 10956 236824 11008
-rect 242292 10956 242344 11008
-rect 323160 10956 323212 11008
-rect 331348 11024 331400 11076
-rect 328404 10956 328456 11008
-rect 341468 11160 341520 11212
-rect 348092 11228 348144 11280
-rect 346528 11160 346580 11212
-rect 408996 11160 409048 11212
-rect 410192 11160 410244 11212
-rect 460148 10956 460200 11008
-rect 53324 10752 53376 10804
-rect 147808 10752 147860 10804
-rect 148452 10752 148504 10804
-rect 153052 10752 153104 10804
-rect 187092 10752 187144 10804
-rect 191692 10752 191744 10804
-rect 234656 10752 234708 10804
-rect 235024 10752 235076 10804
-rect 238152 10752 238204 10804
-rect 238520 10752 238572 10804
-rect 244132 10752 244184 10804
-rect 327208 10752 327260 10804
-rect 462816 10752 462868 10804
-rect 50104 10684 50156 10736
-rect 45964 10616 46016 10668
-rect 153052 10616 153104 10668
-rect 186816 10684 186868 10736
-rect 191692 10616 191744 10668
-rect 234840 10684 234892 10736
-rect 239256 10684 239308 10736
-rect 323068 10684 323120 10736
-rect 328404 10684 328456 10736
-rect 328496 10684 328548 10736
-rect 235392 10616 235444 10668
-rect 238244 10616 238296 10668
-rect 243764 10616 243816 10668
-rect 324448 10616 324500 10668
-rect 329876 10616 329928 10668
-rect 329968 10616 330020 10668
-rect 466956 10684 467008 10736
-rect 469716 10616 469768 10668
-rect 41824 10548 41876 10600
-rect 39064 10480 39116 10532
-rect 123520 10548 123572 10600
-rect 254988 10548 255040 10600
-rect 318836 10548 318888 10600
-rect 138424 10480 138476 10532
-rect 254620 10480 254672 10532
-rect 317548 10480 317600 10532
-rect 408996 10480 409048 10532
-rect 474408 10548 474460 10600
-rect 477996 10480 478048 10532
-rect 34924 10412 34976 10464
-rect 253148 10412 253200 10464
-rect 255724 10412 255776 10464
-rect 282496 10412 282548 10464
-rect 318652 10412 318704 10464
-rect 418748 10412 418800 10464
-rect 481584 10412 481636 10464
-rect 60500 10208 60552 10260
-rect 65284 10140 65336 10192
-rect 128856 10140 128908 10192
-rect 143300 10208 143352 10260
-rect 138608 10140 138660 10192
-rect 157468 10140 157520 10192
-rect 68044 10072 68096 10124
-rect 138240 10072 138292 10124
-rect 143300 10072 143352 10124
-rect 157560 10072 157612 10124
-rect 167588 10140 167640 10192
-rect 167864 10140 167916 10192
-rect 195924 10140 195976 10192
-rect 167772 10072 167824 10124
-rect 196016 10072 196068 10124
-rect 196384 10208 196436 10260
-rect 225456 10140 225508 10192
-rect 225640 10208 225692 10260
-rect 232448 10251 232500 10260
-rect 232448 10217 232457 10251
-rect 232457 10217 232491 10251
-rect 232491 10217 232500 10251
-rect 232448 10208 232500 10217
-rect 239624 10208 239676 10260
-rect 327116 10208 327168 10260
-rect 240912 10140 240964 10192
-rect 253516 10140 253568 10192
-rect 325828 10140 325880 10192
-rect 455916 10208 455968 10260
-rect 331624 10140 331676 10192
-rect 451868 10140 451920 10192
-rect 225640 10072 225692 10124
-rect 235024 10072 235076 10124
-rect 241924 10072 241976 10124
-rect 242384 10072 242436 10124
-rect 244776 10072 244828 10124
-rect 325920 10072 325972 10124
-rect 102544 10004 102596 10056
-rect 250388 10004 250440 10056
-rect 324540 10004 324592 10056
-rect 331348 10072 331400 10124
-rect 449016 10072 449068 10124
-rect 331624 10004 331676 10056
-rect 444876 10004 444928 10056
-rect 106684 9936 106736 9988
-rect 252320 9936 252372 9988
-rect 321780 9936 321832 9988
-rect 442116 9936 442168 9988
-rect 109444 9868 109496 9920
-rect 251860 9868 251912 9920
-rect 320216 9868 320268 9920
-rect 437976 9868 438028 9920
-rect 91504 9707 91556 9716
-rect 91504 9673 91513 9707
-rect 91513 9673 91547 9707
-rect 91547 9673 91556 9707
-rect 91504 9664 91556 9673
-rect 113584 9664 113636 9716
-rect 124624 9596 124676 9648
-rect 138240 9596 138292 9648
-rect 128856 9528 128908 9580
-rect 169520 9596 169572 9648
-rect 170164 9596 170216 9648
-rect 257472 9596 257524 9648
-rect 285072 9664 285124 9716
-rect 285256 9664 285308 9716
-rect 305036 9664 305088 9716
-rect 305128 9664 305180 9716
-rect 308256 9707 308308 9716
-rect 308256 9673 308265 9707
-rect 308265 9673 308299 9707
-rect 308299 9673 308308 9707
-rect 308256 9664 308308 9673
-rect 320124 9664 320176 9716
-rect 262808 9596 262860 9648
-rect 309176 9596 309228 9648
-rect 385168 9596 385220 9648
-rect 431076 9596 431128 9648
-rect 150936 9528 150988 9580
-rect 261612 9528 261664 9580
-rect 310648 9528 310700 9580
-rect 388756 9528 388808 9580
-rect 426936 9528 426988 9580
-rect 147348 9460 147400 9512
-rect 259036 9460 259088 9512
-rect 262900 9460 262952 9512
-rect 312028 9460 312080 9512
-rect 392344 9460 392396 9512
-rect 435124 9664 435176 9716
-rect 529148 9664 529200 9716
-rect 536232 9664 536284 9716
-rect 542212 9664 542264 9716
-rect 545524 9664 545576 9716
-rect 545800 9664 545852 9716
-rect 552884 9664 552936 9716
-rect 561256 9664 561308 9716
-rect 571928 9664 571980 9716
-rect 143760 9324 143812 9376
-rect 259220 9392 259272 9444
-rect 349380 9392 349432 9444
-rect 350852 9392 350904 9444
-rect 351128 9392 351180 9444
-rect 560060 9392 560112 9444
-rect 244868 9324 244920 9376
-rect 279644 9324 279696 9376
-rect 341284 9324 341336 9376
-rect 346620 9324 346672 9376
-rect 349288 9324 349340 9376
-rect 349472 9324 349524 9376
-rect 563648 9324 563700 9376
-rect 136584 9120 136636 9172
-rect 244776 9120 244828 9172
-rect 244868 9120 244920 9172
-rect 254252 9120 254304 9172
-rect 254436 9120 254488 9172
-rect 254528 9120 254580 9172
-rect 278080 9120 278132 9172
-rect 567236 9120 567288 9172
-rect 27196 9052 27248 9104
-rect 9348 8916 9400 8968
-rect 140172 8984 140224 9036
-rect 191784 8984 191836 9036
-rect 233828 8984 233880 9036
-rect 231344 8916 231396 8968
-rect 233000 8916 233052 8968
-rect 278172 9052 278224 9104
-rect 234932 8984 234984 9036
-rect 276792 8984 276844 9036
-rect 303748 8984 303800 9036
-rect 351220 8984 351272 9036
-rect 570732 9052 570784 9104
-rect 574320 8984 574372 9036
-rect 235024 8916 235076 8968
-rect 276700 8916 276752 8968
-rect 303932 8916 303984 8968
-rect 351036 8916 351088 8968
-rect 577908 8916 577960 8968
-rect 22412 8848 22464 8900
-rect 161608 8848 161660 8900
-rect 261888 8848 261940 8900
-rect 309268 8848 309320 8900
-rect 381672 8848 381724 8900
-rect 254896 8780 254948 8832
-rect 260416 8780 260468 8832
-rect 308072 8780 308124 8832
-rect 378084 8780 378136 8832
-rect 158020 8576 158072 8628
-rect 207976 8576 208028 8628
-rect 272744 8576 272796 8628
-rect 307980 8576 308032 8628
-rect 374588 8576 374640 8628
-rect 154432 8508 154484 8560
-rect 211564 8508 211616 8560
-rect 273940 8508 273992 8560
-rect 306416 8508 306468 8560
-rect 370816 8508 370868 8560
-rect 184240 8372 184292 8424
-rect 185344 8372 185396 8424
-rect 215152 8372 215204 8424
-rect 274400 8440 274452 8492
-rect 306692 8440 306744 8492
-rect 222512 8372 222564 8424
-rect 218556 8304 218608 8356
-rect 275320 8372 275372 8424
-rect 305036 8372 305088 8424
-rect 275412 8304 275464 8356
-rect 305312 8304 305364 8356
-rect 351036 8304 351088 8356
-rect 351128 8304 351180 8356
-rect 353060 8304 353112 8356
-rect 363824 8440 363876 8492
-rect 363916 8440 363968 8492
-rect 367412 8440 367464 8492
-rect 356188 8372 356240 8424
-rect 370356 8372 370408 8424
-rect 373116 8415 373168 8424
-rect 373116 8381 373125 8415
-rect 373125 8381 373159 8415
-rect 373159 8381 373168 8415
-rect 373116 8372 373168 8381
-rect 374496 8372 374548 8424
-rect 375692 8372 375744 8424
-rect 399428 8372 399480 8424
-rect 409180 8372 409232 8424
-rect 438252 8372 438304 8424
-rect 361156 8304 361208 8356
-rect 361248 8304 361300 8356
-rect 129500 8236 129552 8288
-rect 256092 8236 256144 8288
-rect 272836 8279 272888 8288
-rect 272836 8245 272845 8279
-rect 272845 8245 272879 8279
-rect 272879 8245 272888 8279
-rect 272836 8236 272888 8245
-rect 310280 8236 310332 8288
-rect 312672 8236 312724 8288
-rect 337052 8236 337104 8288
-rect 341284 8236 341336 8288
-rect 506516 8236 506568 8288
-rect 69976 8032 70028 8084
-rect 244040 8032 244092 8084
-rect 249652 8032 249704 8084
-rect 280748 8032 280800 8084
-rect 336960 8032 337012 8084
-rect 341376 8032 341428 8084
-rect 510104 8032 510156 8084
-rect 66480 7964 66532 8016
-rect 243580 7964 243632 8016
-rect 245972 7964 246024 8016
-rect 280840 7964 280892 8016
-rect 333004 7964 333056 8016
-rect 338156 7964 338208 8016
-rect 338340 7964 338392 8016
-rect 350852 7964 350904 8016
-rect 513692 7964 513744 8016
-rect 62892 7896 62944 7948
-rect 242384 7896 242436 7948
-rect 242476 7896 242528 7948
-rect 279828 7896 279880 7948
-rect 334292 7896 334344 7948
-rect 339720 7896 339772 7948
-rect 350668 7896 350720 7948
-rect 517280 7896 517332 7948
-rect 17720 7828 17772 7880
-rect 196292 7828 196344 7880
-rect 215796 7828 215848 7880
-rect 215888 7828 215940 7880
-rect 225272 7828 225324 7880
-rect 225364 7828 225416 7880
-rect 225456 7828 225508 7880
-rect 225548 7828 225600 7880
-rect 231252 7828 231304 7880
-rect 231344 7828 231396 7880
-rect 232540 7828 232592 7880
-rect 237876 7828 237928 7880
-rect 238888 7828 238940 7880
-rect 279552 7828 279604 7880
-rect 330060 7828 330112 7880
-rect 338248 7828 338300 7880
-rect 520868 7828 520920 7880
-rect 12936 7760 12988 7812
-rect 267040 7760 267092 7812
-rect 327300 7760 327352 7812
-rect 524364 7760 524416 7812
-rect 4564 7692 4616 7744
-rect 193624 7692 193676 7744
-rect 193716 7692 193768 7744
-rect 194912 7692 194964 7744
-rect 265660 7692 265712 7744
-rect 328680 7692 328732 7744
-rect 527952 7692 528004 7744
-rect 146152 7488 146204 7540
-rect 260324 7488 260376 7540
-rect 331716 7488 331768 7540
-rect 332912 7488 332964 7540
-rect 335672 7488 335724 7540
-rect 340824 7488 340876 7540
-rect 149740 7420 149792 7472
-rect 260048 7420 260100 7472
-rect 335580 7420 335632 7472
-rect 340732 7420 340784 7472
-rect 502928 7488 502980 7540
-rect 520776 7488 520828 7540
-rect 521972 7488 522024 7540
-rect 537336 7488 537388 7540
-rect 538624 7488 538676 7540
-rect 555276 7488 555328 7540
-rect 556472 7488 556524 7540
-rect 563556 7488 563608 7540
-rect 564844 7488 564896 7540
-rect 581496 7488 581548 7540
-rect 582692 7488 582744 7540
-rect 499432 7420 499484 7472
-rect 153236 7352 153288 7404
-rect 261796 7352 261848 7404
-rect 334384 7352 334436 7404
-rect 343400 7352 343452 7404
-rect 346988 7395 347040 7404
-rect 346988 7361 346997 7395
-rect 346997 7361 347031 7395
-rect 347031 7361 347040 7395
-rect 346988 7352 347040 7361
-rect 356464 7352 356516 7404
-rect 495844 7352 495896 7404
-rect 156824 7284 156876 7336
-rect 261704 7284 261756 7336
-rect 331440 7284 331492 7336
-rect 492256 7284 492308 7336
-rect 160412 7216 160464 7268
-rect 263268 7216 263320 7268
-rect 317640 7216 317692 7268
-rect 331164 7216 331216 7268
-rect 488668 7216 488720 7268
-rect 165196 7148 165248 7200
-rect 264280 7148 264332 7200
-rect 320308 7148 320360 7200
-rect 330152 7148 330204 7200
-rect 473856 7148 473908 7200
-rect 474224 7148 474276 7200
-rect 485080 7148 485132 7200
-rect 486276 7148 486328 7200
-rect 487472 7148 487524 7200
-rect 569076 7148 569128 7200
-rect 578644 7148 578696 7200
-rect 168692 6944 168744 6996
-rect 264188 6944 264240 6996
-rect 331716 6944 331768 6996
-rect 480388 6944 480440 6996
-rect 480848 6944 480900 6996
-rect 483516 6944 483568 6996
-rect 558036 6944 558088 6996
-rect 567604 6944 567656 6996
-rect 172280 6876 172332 6928
-rect 265752 6876 265804 6928
-rect 278448 6876 278500 6928
-rect 278724 6876 278776 6928
-rect 322240 6876 322292 6928
-rect 328588 6876 328640 6928
-rect 476800 6876 476852 6928
-rect 178260 6808 178312 6860
-rect 266948 6808 267000 6860
-rect 313500 6808 313552 6860
-rect 405408 6808 405460 6860
-rect 469624 6808 469676 6860
-rect 174672 6740 174724 6792
-rect 266028 6740 266080 6792
-rect 314972 6740 315024 6792
-rect 408996 6740 409048 6792
-rect 424084 6740 424136 6792
-rect 428960 6740 429012 6792
-rect 473212 6740 473264 6792
-rect 171084 6672 171136 6724
-rect 265568 6672 265620 6724
-rect 316352 6672 316404 6724
-rect 412584 6672 412636 6724
-rect 453248 6672 453300 6724
-rect 462724 6672 462776 6724
-rect 167588 6604 167640 6656
-rect 264556 6604 264608 6656
-rect 316260 6604 316312 6656
-rect 416172 6604 416224 6656
-rect 164000 6400 164052 6452
-rect 263176 6400 263228 6452
-rect 313592 6400 313644 6452
-rect 131892 6332 131944 6384
-rect 257380 6332 257432 6384
-rect 270076 6332 270128 6384
-rect 310740 6332 310792 6384
-rect 317732 6400 317784 6452
-rect 419668 6400 419720 6452
-rect 318836 6332 318888 6384
-rect 423256 6332 423308 6384
-rect 59304 6264 59356 6316
-rect 215796 6264 215848 6316
-rect 235116 6264 235168 6316
-rect 264004 6264 264056 6316
-rect 310832 6264 310884 6316
-rect 319020 6264 319072 6316
-rect 55716 6196 55768 6248
-rect 225180 6196 225232 6248
-rect 225272 6196 225324 6248
-rect 272652 6196 272704 6248
-rect 302460 6196 302512 6248
-rect 328680 6307 328732 6316
-rect 328680 6273 328689 6307
-rect 328689 6273 328723 6307
-rect 328723 6273 328732 6307
-rect 328680 6264 328732 6273
-rect 337236 6264 337288 6316
-rect 338800 6264 338852 6316
-rect 346988 6307 347040 6316
-rect 346988 6273 346997 6307
-rect 346997 6273 347031 6307
-rect 347031 6273 347040 6307
-rect 346988 6264 347040 6273
-rect 356464 6264 356516 6316
-rect 430432 6196 430484 6248
-rect 52128 6128 52180 6180
-rect 215888 6128 215940 6180
-rect 307796 6128 307848 6180
-rect 370356 6128 370408 6180
-rect 370448 6128 370500 6180
-rect 434020 6128 434072 6180
-rect 181848 6060 181900 6112
-rect 267316 6060 267368 6112
-rect 309360 6060 309412 6112
-rect 321964 6060 322016 6112
-rect 401820 6060 401872 6112
-rect 426844 6060 426896 6112
-rect 175868 5856 175920 5908
-rect 185344 5856 185396 5908
-rect 268420 5856 268472 5908
-rect 312120 5856 312172 5908
-rect 312212 5856 312264 5908
-rect 398324 5856 398376 5908
-rect 179456 5788 179508 5840
-rect 188932 5788 188984 5840
-rect 268328 5788 268380 5840
-rect 394736 5788 394788 5840
-rect 192520 5720 192572 5772
-rect 269892 5720 269944 5772
-rect 391148 5720 391200 5772
-rect 269800 5652 269852 5704
-rect 387560 5652 387612 5704
-rect 199696 5584 199748 5636
-rect 271272 5584 271324 5636
-rect 312028 5584 312080 5636
-rect 203192 5516 203244 5568
-rect 271180 5516 271232 5568
-rect 309452 5516 309504 5568
-rect 371000 5584 371052 5636
-rect 370908 5516 370960 5568
-rect 191324 5312 191376 5364
-rect 283600 5312 283652 5364
-rect 301080 5312 301132 5364
-rect 134284 5244 134336 5296
-rect 244776 5244 244828 5296
-rect 130696 5176 130748 5228
-rect 244868 5176 244920 5228
-rect 244960 5176 245012 5228
-rect 264004 5244 264056 5296
-rect 278264 5244 278316 5296
-rect 299792 5244 299844 5296
-rect 312580 5244 312632 5296
-rect 341192 5312 341244 5364
-rect 548192 5312 548244 5364
-rect 338064 5244 338116 5296
-rect 343584 5244 343636 5296
-rect 345424 5244 345476 5296
-rect 278724 5176 278776 5228
-rect 296756 5176 296808 5228
-rect 345976 5176 346028 5228
-rect 8152 5108 8204 5160
-rect 226928 5108 226980 5160
-rect 227020 5108 227072 5160
-rect 276516 5108 276568 5160
-rect 296848 5108 296900 5160
-rect 301264 5108 301316 5160
-rect 302736 5108 302788 5160
-rect 307520 5108 307572 5160
-rect 342388 5108 342440 5160
-rect 343952 5108 344004 5160
-rect 551688 5244 551740 5296
-rect 555276 5176 555328 5228
-rect 346804 5108 346856 5160
-rect 350852 5108 350904 5160
-rect 350944 5108 350996 5160
-rect 558864 5108 558916 5160
-rect 2172 5040 2224 5092
-rect 3368 4972 3420 5024
-rect 275688 5040 275740 5092
-rect 295652 5040 295704 5092
-rect 302644 5040 302696 5092
-rect 312212 5040 312264 5092
-rect 331716 5040 331768 5092
-rect 342572 5040 342624 5092
-rect 345332 5040 345384 5092
-rect 562452 5040 562504 5092
-rect 229688 4972 229740 5024
-rect 230148 4972 230200 5024
-rect 230608 4972 230660 5024
-rect 276976 4972 277028 5024
-rect 300988 4972 301040 5024
-rect 307520 4972 307572 5024
-rect 335212 4972 335264 5024
-rect 335764 4972 335816 5024
-rect 566040 4972 566092 5024
-rect 1068 4768 1120 4820
-rect 225272 4768 225324 4820
-rect 225364 4768 225416 4820
-rect 275228 4768 275280 4820
-rect 296940 4768 296992 4820
-rect 301172 4768 301224 4820
-rect 321964 4768 322016 4820
-rect 322148 4768 322200 4820
-rect 337604 4768 337656 4820
-rect 339812 4768 339864 4820
-rect 515256 4768 515308 4820
-rect 515348 4768 515400 4820
-rect 526756 4768 526808 4820
-rect 195004 4700 195056 4752
-rect 259128 4700 259180 4752
-rect 279368 4700 279420 4752
-rect 297032 4700 297084 4752
-rect 338800 4700 338852 4752
-rect 340916 4700 340968 4752
-rect 198500 4632 198552 4684
-rect 201996 4564 202048 4616
-rect 264280 4564 264332 4616
-rect 283508 4632 283560 4684
-rect 299884 4632 299936 4684
-rect 312304 4632 312356 4684
-rect 334108 4632 334160 4684
-rect 337144 4632 337196 4684
-rect 274124 4564 274176 4616
-rect 299700 4564 299752 4616
-rect 205584 4496 205636 4548
-rect 264372 4496 264424 4548
-rect 269708 4496 269760 4548
-rect 269800 4496 269852 4548
-rect 272468 4496 272520 4548
-rect 298412 4496 298464 4548
-rect 302552 4496 302604 4548
-rect 302828 4496 302880 4548
-rect 209172 4428 209224 4480
-rect 264188 4428 264240 4480
-rect 264280 4428 264332 4480
-rect 270996 4428 271048 4480
-rect 298504 4428 298556 4480
-rect 330520 4564 330572 4616
-rect 344780 4564 344832 4616
-rect 347908 4700 347960 4752
-rect 350576 4700 350628 4752
-rect 350944 4700 350996 4752
-rect 544604 4700 544656 4752
-rect 346712 4632 346764 4684
-rect 350668 4632 350720 4684
-rect 350852 4632 350904 4684
-rect 569536 4700 569588 4752
-rect 541016 4564 541068 4616
-rect 303104 4496 303156 4548
-rect 328128 4496 328180 4548
-rect 533932 4496 533984 4548
-rect 303012 4428 303064 4480
-rect 326932 4428 326984 4480
-rect 530344 4428 530396 4480
-rect 128856 4224 128908 4276
-rect 218648 4224 218700 4276
-rect 186816 4156 186868 4208
-rect 196384 4156 196436 4208
-rect 196476 4156 196528 4208
-rect 206044 4156 206096 4208
-rect 212760 4156 212812 4208
-rect 274216 4224 274268 4276
-rect 288844 4224 288896 4276
-rect 298228 4224 298280 4276
-rect 302644 4224 302696 4276
-rect 324540 4224 324592 4276
-rect 336684 4224 336736 4276
-rect 339444 4224 339496 4276
-rect 515348 4224 515400 4276
-rect 58108 4088 58160 4140
-rect 235116 4088 235168 4140
-rect 235300 4088 235352 4140
-rect 236404 4088 236456 4140
-rect 236496 4088 236548 4140
-rect 237784 4088 237836 4140
-rect 238060 4088 238112 4140
-rect 242752 4088 242804 4140
-rect 244868 4088 244920 4140
-rect 246064 4088 246116 4140
-rect 251952 4088 252004 4140
-rect 252964 4088 253016 4140
-rect 260324 4088 260376 4140
-rect 261244 4088 261296 4140
-rect 271364 4156 271416 4208
-rect 269800 4088 269852 4140
-rect 51024 4020 51076 4072
-rect 228124 4020 228176 4072
-rect 228216 4020 228268 4072
-rect 229504 4020 229556 4072
-rect 230148 4020 230200 4072
-rect 47436 3952 47488 4004
-rect 133088 3952 133140 4004
-rect 134192 3952 134244 4004
-rect 137688 3952 137740 4004
-rect 137780 3952 137832 4004
-rect 138056 3952 138108 4004
-rect 215796 3952 215848 4004
-rect 215888 3952 215940 4004
-rect 217544 3952 217596 4004
-rect 218464 3952 218516 4004
-rect 219752 3952 219804 4004
-rect 235668 4020 235720 4072
-rect 237968 4020 238020 4072
-rect 241280 4020 241332 4072
-rect 268604 4020 268656 4072
-rect 284152 4088 284204 4140
-rect 285440 4088 285492 4140
-rect 287648 4088 287700 4140
-rect 298320 4156 298372 4208
-rect 302460 4156 302512 4208
-rect 288844 4088 288896 4140
-rect 289396 4088 289448 4140
-rect 289580 4088 289632 4140
-rect 290040 4088 290092 4140
-rect 291512 4088 291564 4140
-rect 292432 4088 292484 4140
-rect 293904 4088 293956 4140
-rect 297216 4088 297268 4140
-rect 323344 4156 323396 4208
-rect 338432 4156 338484 4208
-rect 537428 4224 537480 4276
-rect 305496 4088 305548 4140
-rect 319756 4088 319808 4140
-rect 320584 4088 320636 4140
-rect 284980 4020 285032 4072
-rect 294272 4020 294324 4072
-rect 302552 4020 302604 4072
-rect 302736 4020 302788 4072
-rect 320952 4020 321004 4072
-rect 418840 4088 418892 4140
-rect 523168 4156 523220 4208
-rect 578000 4088 578052 4140
-rect 579104 4088 579156 4140
-rect 579380 4088 579432 4140
-rect 580300 4088 580352 4140
-rect 436320 4020 436372 4072
-rect 512496 4020 512548 4072
-rect 239348 3952 239400 4004
-rect 267500 3952 267552 4004
-rect 284888 3952 284940 4004
-rect 286452 3952 286504 4004
-rect 289120 3952 289172 4004
-rect 295100 3952 295152 4004
-rect 46240 3884 46292 3936
-rect 266304 3884 266356 3936
-rect 284060 3884 284112 3936
-rect 285256 3884 285308 3936
-rect 292800 3884 292852 3936
-rect 298412 3884 298464 3936
-rect 304300 3952 304352 4004
-rect 321872 3952 321924 4004
-rect 439908 3952 439960 4004
-rect 516084 3952 516136 4004
-rect 320492 3884 320544 3936
-rect 322976 3884 323028 3936
-rect 324264 3884 324316 3936
-rect 443496 3884 443548 3936
-rect 42652 3680 42704 3732
-rect 230424 3680 230476 3732
-rect 235208 3680 235260 3732
-rect 239716 3680 239768 3732
-rect 254804 3680 254856 3732
-rect 263912 3680 263964 3732
-rect 283692 3680 283744 3732
-rect 292892 3680 292944 3732
-rect 299608 3680 299660 3732
-rect 311476 3680 311528 3732
-rect 324632 3680 324684 3732
-rect 447084 3680 447136 3732
-rect 38972 3612 39024 3664
-rect 29588 3544 29640 3596
-rect 30784 3544 30836 3596
-rect 36672 3544 36724 3596
-rect 37684 3544 37736 3596
-rect 37868 3544 37920 3596
-rect 39064 3544 39116 3596
-rect 43848 3544 43900 3596
-rect 44584 3544 44636 3596
-rect 138976 3612 139028 3664
-rect 139804 3612 139856 3664
-rect 141368 3612 141420 3664
-rect 142564 3612 142616 3664
-rect 148544 3612 148596 3664
-rect 149464 3612 149516 3664
-rect 159216 3612 159268 3664
-rect 160504 3612 160556 3664
-rect 162804 3612 162856 3664
-rect 163264 3612 163316 3664
-rect 166392 3612 166444 3664
-rect 167220 3612 167272 3664
-rect 10544 3476 10596 3528
-rect 11464 3476 11516 3528
-rect 11740 3476 11792 3528
-rect 12844 3476 12896 3528
-rect 18824 3476 18876 3528
-rect 19744 3476 19796 3528
-rect 20020 3476 20072 3528
-rect 21124 3476 21176 3528
-rect 34372 3476 34424 3528
-rect 34924 3476 34976 3528
-rect 35476 3476 35528 3528
-rect 81936 3476 81988 3528
-rect 83040 3476 83092 3528
-rect 93804 3476 93856 3528
-rect 94264 3476 94316 3528
-rect 95000 3476 95052 3528
-rect 95644 3476 95696 3528
-rect 96196 3476 96248 3528
-rect 97024 3476 97076 3528
-rect 98588 3476 98640 3528
-rect 99784 3476 99836 3528
-rect 99692 3408 99744 3460
-rect 103832 3408 103884 3460
-rect 113860 3408 113912 3460
-rect 114044 3476 114096 3528
-rect 114964 3476 115016 3528
-rect 115240 3476 115292 3528
-rect 116344 3476 116396 3528
-rect 116436 3476 116488 3528
-rect 117448 3476 117500 3528
-rect 119932 3476 119984 3528
-rect 120484 3476 120536 3528
-rect 121128 3476 121180 3528
-rect 121864 3476 121916 3528
-rect 123520 3476 123572 3528
-rect 124624 3476 124676 3528
-rect 124716 3476 124768 3528
-rect 125820 3476 125872 3528
-rect 126096 3476 126148 3528
-rect 128304 3476 128356 3528
-rect 128764 3476 128816 3528
-rect 138424 3476 138476 3528
-rect 157652 3476 157704 3528
-rect 128856 3408 128908 3460
-rect 210276 3612 210328 3664
-rect 215796 3612 215848 3664
-rect 220028 3612 220080 3664
-rect 224536 3612 224588 3664
-rect 225180 3612 225232 3664
-rect 225456 3612 225508 3664
-rect 234840 3612 234892 3664
-rect 234932 3612 234984 3664
-rect 225640 3544 225692 3596
-rect 234748 3544 234800 3596
-rect 235392 3544 235444 3596
-rect 238428 3544 238480 3596
-rect 158112 3408 158164 3460
-rect 186540 3408 186592 3460
-rect 206228 3476 206280 3528
-rect 236680 3476 236732 3528
-rect 236772 3476 236824 3528
-rect 241096 3476 241148 3528
-rect 243672 3612 243724 3664
-rect 247260 3612 247312 3664
-rect 273388 3544 273440 3596
-rect 278448 3544 278500 3596
-rect 285164 3612 285216 3664
-rect 285256 3612 285308 3664
-rect 289672 3612 289724 3664
-rect 295744 3612 295796 3664
-rect 313868 3612 313920 3664
-rect 323252 3612 323304 3664
-rect 450672 3612 450724 3664
-rect 281024 3544 281076 3596
-rect 282956 3544 283008 3596
-rect 287924 3544 287976 3596
-rect 297124 3544 297176 3596
-rect 265108 3476 265160 3528
-rect 282312 3476 282364 3528
-rect 292708 3476 292760 3528
-rect 300804 3476 300856 3528
-rect 307888 3544 307940 3596
-rect 309544 3544 309596 3596
-rect 370632 3544 370684 3596
-rect 454168 3544 454220 3596
-rect 318560 3476 318612 3528
-rect 321780 3476 321832 3528
-rect 326104 3476 326156 3528
-rect 341468 3476 341520 3528
-rect 351128 3476 351180 3528
-rect 360512 3476 360564 3528
-rect 360604 3476 360656 3528
-rect 360788 3476 360840 3528
-rect 370172 3476 370224 3528
-rect 370264 3476 370316 3528
-rect 370540 3476 370592 3528
-rect 457756 3476 457808 3528
-rect 186816 3408 186868 3460
-rect 196292 3408 196344 3460
-rect 196384 3408 196436 3460
-rect 196476 3408 196528 3460
-rect 206044 3408 206096 3460
-rect 254712 3408 254764 3460
-rect 261520 3408 261572 3460
-rect 262624 3408 262676 3460
-rect 33176 3340 33228 3392
-rect 40260 3340 40312 3392
-rect 45044 3340 45096 3392
-rect 45964 3340 46016 3392
-rect 61696 3340 61748 3392
-rect 62524 3340 62576 3392
-rect 64088 3340 64140 3392
-rect 65192 3340 65244 3392
-rect 65284 3340 65336 3392
-rect 235116 3340 235168 3392
-rect 235208 3340 235260 3392
-rect 237048 3340 237100 3392
-rect 237140 3340 237192 3392
-rect 243856 3340 243908 3392
-rect 257932 3340 257984 3392
-rect 275780 3408 275832 3460
-rect 262900 3340 262952 3392
-rect 272192 3340 272244 3392
-rect 280104 3408 280156 3460
-rect 288108 3408 288160 3460
-rect 291420 3408 291472 3460
-rect 293628 3408 293680 3460
-rect 294364 3408 294416 3460
-rect 310280 3408 310332 3460
-rect 310924 3408 310976 3460
-rect 389952 3408 390004 3460
-rect 461344 3408 461396 3460
-rect 286728 3340 286780 3392
-rect 293720 3340 293772 3392
-rect 303104 3340 303156 3392
-rect 319112 3340 319164 3392
-rect 429236 3340 429288 3392
-rect 433836 3340 433888 3392
-rect 451684 3340 451736 3392
-rect 466588 3340 466640 3392
-rect 480664 3340 480716 3392
-rect 28392 3136 28444 3188
-rect 29404 3136 29456 3188
-rect 68780 3136 68832 3188
-rect 69424 3136 69476 3188
-rect 71172 3136 71224 3188
-rect 72184 3136 72236 3188
-rect 72368 3136 72420 3188
-rect 21216 3068 21268 3120
-rect 22504 3068 22556 3120
-rect 77152 3068 77204 3120
-rect 77704 3068 77756 3120
-rect 78348 3068 78400 3120
-rect 79084 3068 79136 3120
-rect 80740 3068 80792 3120
-rect 81844 3068 81896 3120
-rect 79544 3000 79596 3052
-rect 187184 3136 187236 3188
-rect 196200 3136 196252 3188
-rect 196752 3136 196804 3188
-rect 205860 3136 205912 3188
-rect 245144 3136 245196 3188
-rect 253148 3136 253200 3188
-rect 254160 3136 254212 3188
-rect 270996 3136 271048 3188
-rect 246616 3068 246668 3120
-rect 278172 3136 278224 3188
-rect 286820 3136 286872 3188
-rect 294088 3136 294140 3188
-rect 319204 3136 319256 3188
-rect 425648 3136 425700 3188
-rect 285532 3068 285584 3120
-rect 292340 3068 292392 3120
-rect 296020 3068 296072 3120
-rect 317824 3068 317876 3120
-rect 422060 3068 422112 3120
-rect 85432 3000 85484 3052
-rect 85984 3000 86036 3052
-rect 86628 3000 86680 3052
-rect 87364 3000 87416 3052
-rect 87824 3000 87876 3052
-rect 88744 3000 88796 3052
-rect 89020 3000 89072 3052
-rect 90124 3000 90176 3052
-rect 99508 3000 99560 3052
-rect 99784 3000 99836 3052
-rect 102084 3000 102136 3052
-rect 102544 3000 102596 3052
-rect 54520 2932 54572 2984
-rect 55624 2932 55676 2984
-rect 90216 2932 90268 2984
-rect 186816 3000 186868 3052
-rect 207700 3000 207752 3052
-rect 247904 3000 247956 3052
-rect 250848 3000 250900 3052
-rect 251584 3000 251636 3052
-rect 286360 3000 286412 3052
-rect 294180 3000 294232 3052
-rect 105672 2932 105724 2984
-rect 106684 2932 106736 2984
-rect 106868 2932 106920 2984
-rect 107972 2932 108024 2984
-rect 103280 2864 103332 2916
-rect 103924 2864 103976 2916
-rect 104476 2864 104528 2916
-rect 97392 2796 97444 2848
-rect 111652 2864 111704 2916
-rect 112848 2796 112900 2848
-rect 113308 2796 113360 2848
-rect 187092 2932 187144 2984
-rect 195924 2932 195976 2984
-rect 250664 2932 250716 2984
-rect 274584 2932 274636 2984
-rect 286636 2932 286688 2984
-rect 291604 2932 291656 2984
-rect 294824 2932 294876 2984
-rect 252136 2864 252188 2916
-rect 276976 2864 277028 2916
-rect 279920 2864 279972 2916
-rect 280564 2864 280616 2916
-rect 283968 2864 284020 2916
-rect 292984 2864 293036 2916
-rect 301908 2864 301960 2916
-rect 316444 3000 316496 3052
-rect 418472 3000 418524 3052
-rect 432824 3068 432876 3120
-rect 315064 2932 315116 2984
-rect 355268 2975 355320 2984
-rect 355268 2941 355277 2975
-rect 355277 2941 355311 2975
-rect 355311 2941 355320 2975
-rect 355268 2932 355320 2941
-rect 306692 2864 306744 2916
-rect 313684 2864 313736 2916
-rect 406880 2932 406932 2984
-rect 411664 2932 411716 2984
-rect 414608 2932 414660 2984
-rect 424084 2932 424136 2984
-rect 196384 2796 196436 2848
-rect 253424 2796 253476 2848
-rect 279276 2796 279328 2848
-rect 281760 2796 281812 2848
-rect 288200 2796 288252 2848
-rect 293812 2796 293864 2848
-rect 308256 2796 308308 2848
-rect 311936 2796 311988 2848
-rect 364652 2796 364704 2848
-rect 364744 2839 364796 2848
-rect 364744 2805 364753 2839
-rect 364753 2805 364787 2839
-rect 364787 2805 364796 2839
-rect 364744 2796 364796 2805
-rect 364928 2839 364980 2848
-rect 364928 2805 364937 2839
-rect 364937 2805 364971 2839
-rect 364971 2805 364980 2839
-rect 364928 2796 364980 2805
-rect 365112 2796 365164 2848
-rect 397128 2796 397180 2848
-rect 411388 2864 411440 2916
-rect 404212 2796 404264 2848
-rect 420036 2796 420088 2848
-rect 122324 2592 122376 2644
-rect 196108 2635 196160 2644
-rect 196108 2601 196117 2635
-rect 196117 2601 196151 2635
-rect 196151 2601 196160 2635
-rect 196108 2592 196160 2601
-rect 220028 2592 220080 2644
-rect 223432 2592 223484 2644
-rect 346344 2592 346396 2644
-rect 118736 2524 118788 2576
-rect 358028 2592 358080 2644
-rect 359040 2592 359092 2644
-rect 360696 2592 360748 2644
-rect 361432 2592 361484 2644
-rect 362076 2592 362128 2644
-rect 362628 2592 362680 2644
-rect 382868 2592 382920 2644
-rect 380476 2456 380528 2508
-rect 349656 2388 349708 2440
-rect 350760 2388 350812 2440
-rect 384064 2388 384116 2440
-rect 356556 1368 356608 1420
-rect 357844 1368 357896 1420
-rect 353796 1096 353848 1148
-rect 354256 1096 354308 1148
-rect 5760 552 5812 604
-rect 5944 552 5996 604
-rect 23608 552 23660 604
-rect 23884 552 23936 604
-rect 74760 552 74812 604
-rect 74944 552 74996 604
-rect 152040 552 152092 604
-rect 152132 552 152184 604
-rect 155628 552 155680 604
-rect 156364 552 156416 604
-rect 169888 552 169940 604
-rect 169980 552 170032 604
-rect 173476 552 173528 604
-rect 174304 552 174356 604
-rect 180652 552 180704 604
-rect 181204 552 181256 604
-rect 183044 552 183096 604
-rect 183964 552 184016 604
-rect 190128 552 190180 604
-rect 190404 552 190456 604
-rect 197304 552 197356 604
-rect 197764 552 197816 604
-rect 200892 552 200944 604
-rect 201904 552 201956 604
-rect 221040 552 221092 604
-rect 221224 552 221276 604
-rect 231804 552 231856 604
-rect 231988 552 232040 604
-rect 234196 552 234248 604
-rect 248456 552 248508 604
-rect 248824 552 248876 604
-rect 256736 552 256788 604
-rect 257104 552 257156 604
-rect 290592 552 290644 604
-rect 291236 552 291288 604
-rect 309084 595 309136 604
-rect 309084 561 309093 595
-rect 309093 561 309127 595
-rect 309127 561 309136 595
-rect 309084 552 309136 561
-rect 324816 552 324868 604
-rect 325736 552 325788 604
-rect 378636 552 378688 604
-rect 379280 552 379332 604
-rect 395196 552 395248 604
-rect 395932 552 395984 604
-rect 402096 552 402148 604
-rect 403016 552 403068 604
-rect 406236 552 406288 604
-rect 406604 552 406656 604
-rect 420864 595 420916 604
-rect 420864 561 420873 595
-rect 420873 561 420907 595
-rect 420907 561 420916 595
-rect 420864 552 420916 561
-rect 424176 552 424228 604
-rect 424452 552 424504 604
-rect 426936 552 426988 604
-rect 428040 552 428092 604
-rect 431076 552 431128 604
-rect 431628 552 431680 604
-rect 442116 552 442168 604
-rect 442300 552 442352 604
-rect 443588 552 443640 604
-rect 444692 552 444744 604
-rect 444876 552 444928 604
-rect 445888 552 445940 604
-rect 447636 552 447688 604
-rect 448280 552 448332 604
-rect 449016 552 449068 604
-rect 449476 552 449528 604
-rect 451868 552 451920 604
-rect 452972 552 453024 604
-rect 454536 552 454588 604
-rect 455364 552 455416 604
-rect 461436 552 461488 604
-rect 462540 552 462592 604
-rect 462816 552 462868 604
-rect 463736 552 463788 604
-rect 465576 552 465628 604
-rect 466128 552 466180 604
-rect 466956 552 467008 604
-rect 467324 552 467376 604
-rect 469716 552 469768 604
-rect 470820 552 470872 604
-rect 490416 552 490468 604
-rect 491060 552 491112 604
-rect 495936 552 495988 604
-rect 497040 552 497092 604
-rect 497316 552 497368 604
-rect 498236 552 498288 604
-rect 500076 552 500128 604
-rect 500628 552 500680 604
-rect 501456 552 501508 604
-rect 501732 552 501784 604
-rect 504216 552 504268 604
-rect 505320 552 505372 604
-rect 506976 552 507028 604
-rect 507712 552 507764 604
-rect 508356 552 508408 604
-rect 508908 552 508960 604
-rect 529148 552 529200 604
-rect 529240 552 529292 604
+rect -630 -630 300088 359022
 << metal2 >>
-rect 11278 703520 11334 704000
-rect 32898 703520 32954 704000
-rect 54518 703520 54574 704000
-rect 76138 703520 76194 704000
-rect 97758 703520 97814 704000
-rect 119378 703520 119434 704000
-rect 140998 703520 141054 704000
-rect 162618 703520 162674 704000
-rect 184238 703520 184294 704000
-rect 205950 703520 206006 704000
-rect 227570 703520 227626 704000
-rect 249190 703520 249246 704000
-rect 270810 703520 270866 704000
-rect 292430 703520 292486 704000
-rect 314050 703520 314106 704000
-rect 335670 703520 335726 704000
-rect 357290 703520 357346 704000
-rect 378910 703520 378966 704000
-rect 400622 703520 400678 704000
-rect 422242 703520 422298 704000
-rect 443862 703520 443918 704000
-rect 465482 703520 465538 704000
-rect 487102 703520 487158 704000
-rect 508722 703520 508778 704000
-rect 530342 703520 530398 704000
-rect 551962 703520 552018 704000
-rect 573582 703520 573638 704000
-rect 11292 700369 11320 703520
-rect 11278 700360 11334 700369
-rect 32912 700330 32940 703520
-rect 54532 700534 54560 703520
-rect 76152 700602 76180 703520
-rect 97772 700806 97800 703520
-rect 119392 701010 119420 703520
-rect 119380 701004 119432 701010
-rect 119380 700946 119432 700952
-rect 97760 700800 97812 700806
-rect 97760 700742 97812 700748
-rect 76140 700596 76192 700602
-rect 76140 700538 76192 700544
-rect 54520 700528 54572 700534
-rect 54520 700470 54572 700476
-rect 11278 700295 11334 700304
-rect 32900 700324 32952 700330
-rect 32900 700266 32952 700272
-rect 141012 700262 141040 703520
-rect 141000 700256 141052 700262
-rect 141000 700198 141052 700204
-rect 162632 700058 162660 703520
-rect 184252 700874 184280 703520
-rect 184240 700868 184292 700874
-rect 184240 700810 184292 700816
-rect 185344 700868 185396 700874
-rect 185344 700810 185396 700816
-rect 162620 700052 162672 700058
-rect 162620 699994 162672 700000
-rect 3734 695464 3790 695473
-rect 3734 695399 3790 695408
-rect 3748 694278 3776 695399
-rect 3736 694272 3788 694278
-rect 3736 694214 3788 694220
-rect 3918 678736 3974 678745
-rect 3918 678671 3974 678680
-rect 3932 677618 3960 678671
-rect 3920 677612 3972 677618
-rect 3920 677554 3972 677560
-rect 3918 662008 3974 662017
-rect 3918 661943 3974 661952
-rect 3932 661094 3960 661943
-rect 3920 661088 3972 661094
-rect 3920 661030 3972 661036
-rect 3550 645280 3606 645289
-rect 3550 645215 3606 645224
-rect 3564 644502 3592 645215
-rect 3552 644496 3604 644502
-rect 3552 644438 3604 644444
-rect 3918 628416 3974 628425
-rect 3918 628351 3974 628360
-rect 3932 627978 3960 628351
-rect 3920 627972 3972 627978
-rect 3920 627914 3972 627920
-rect 3826 611688 3882 611697
-rect 3826 611623 3882 611632
-rect 3840 611386 3868 611623
-rect 3828 611380 3880 611386
-rect 3828 611322 3880 611328
-rect 3918 594960 3974 594969
-rect 3918 594895 3974 594904
-rect 3932 594862 3960 594895
-rect 3920 594856 3972 594862
-rect 3920 594798 3972 594804
-rect 3734 578232 3790 578241
-rect 3734 578167 3790 578176
-rect 3748 576910 3776 578167
-rect 3736 576904 3788 576910
-rect 3736 576846 3788 576852
-rect 3918 561368 3974 561377
-rect 3918 561303 3974 561312
-rect 3932 560454 3960 561303
-rect 3920 560448 3972 560454
-rect 3920 560390 3972 560396
-rect 3642 544640 3698 544649
-rect 3642 544575 3698 544584
-rect 3656 543794 3684 544575
-rect 3644 543788 3696 543794
-rect 3644 543730 3696 543736
-rect 3642 527912 3698 527921
-rect 3642 527847 3698 527856
-rect 3656 527270 3684 527847
-rect 3644 527264 3696 527270
-rect 3644 527206 3696 527212
-rect 4010 511184 4066 511193
-rect 4010 511119 4066 511128
-rect 4024 510678 4052 511119
-rect 4012 510672 4064 510678
-rect 4012 510614 4064 510620
-rect 3734 494320 3790 494329
-rect 3734 494255 3790 494264
-rect 3748 494086 3776 494255
-rect 3736 494080 3788 494086
-rect 3736 494022 3788 494028
-rect 3918 477592 3974 477601
-rect 3918 477527 3920 477536
-rect 3972 477527 3974 477536
-rect 3920 477498 3972 477504
-rect 185356 463282 185384 700810
-rect 205964 699854 205992 703520
-rect 205952 699848 206004 699854
-rect 205952 699790 206004 699796
-rect 227584 699786 227612 703520
-rect 249204 700942 249232 703520
-rect 249192 700936 249244 700942
-rect 249192 700878 249244 700884
-rect 250204 700936 250256 700942
-rect 250204 700878 250256 700884
-rect 227572 699780 227624 699786
-rect 227572 699722 227624 699728
-rect 185344 463276 185396 463282
-rect 185344 463218 185396 463224
-rect 250216 463214 250244 700878
-rect 270824 699990 270852 703520
-rect 284704 700936 284756 700942
-rect 284704 700878 284756 700884
-rect 280564 700460 280616 700466
-rect 280564 700402 280616 700408
-rect 280472 700392 280524 700398
-rect 280472 700334 280524 700340
-rect 270812 699984 270864 699990
-rect 270812 699926 270864 699932
-rect 276424 695564 276476 695570
-rect 276424 695506 276476 695512
-rect 275044 663808 275096 663814
-rect 275044 663750 275096 663756
-rect 273664 648644 273716 648650
-rect 273664 648586 273716 648592
-rect 272284 617024 272336 617030
-rect 272284 616966 272336 616972
-rect 270904 601792 270956 601798
-rect 270904 601734 270956 601740
-rect 270812 586560 270864 586566
-rect 270812 586502 270864 586508
-rect 269524 569968 269576 569974
-rect 269524 569910 269576 569916
-rect 266764 554804 266816 554810
-rect 266764 554746 266816 554752
-rect 266672 523048 266724 523054
-rect 266672 522990 266724 522996
-rect 264004 507884 264056 507890
-rect 264004 507826 264056 507832
-rect 262624 476128 262676 476134
-rect 262624 476070 262676 476076
-rect 257104 463616 257156 463622
-rect 257104 463558 257156 463564
-rect 253976 463344 254028 463350
-rect 253976 463286 254028 463292
-rect 250204 463208 250256 463214
-rect 250204 463150 250256 463156
-rect 4380 462800 4432 462806
-rect 4380 462742 4432 462748
-rect 4288 462732 4340 462738
-rect 4288 462674 4340 462680
-rect 4196 462664 4248 462670
-rect 4196 462606 4248 462612
-rect 4012 462596 4064 462602
-rect 4012 462538 4064 462544
-rect 3918 462496 3974 462505
-rect 3918 462431 3974 462440
-rect 3642 460864 3698 460873
-rect 3642 460799 3698 460808
-rect 3656 459814 3684 460799
-rect 3644 459808 3696 459814
-rect 3644 459750 3696 459756
-rect 3644 444168 3696 444174
-rect 3642 444136 3644 444145
-rect 3696 444136 3698 444145
-rect 3642 444071 3698 444080
-rect 3644 427780 3696 427786
-rect 3644 427722 3696 427728
-rect 3656 427281 3684 427722
-rect 3642 427272 3698 427281
-rect 3642 427207 3698 427216
-rect 3828 411188 3880 411194
-rect 3828 411130 3880 411136
-rect 3840 410553 3868 411130
-rect 3826 410544 3882 410553
-rect 3826 410479 3882 410488
-rect 3828 394664 3880 394670
-rect 3828 394606 3880 394612
-rect 3840 393825 3868 394606
-rect 3826 393816 3882 393825
-rect 3826 393751 3882 393760
-rect 3552 378004 3604 378010
-rect 3552 377946 3604 377952
-rect 3564 377097 3592 377946
-rect 3550 377088 3606 377097
-rect 3550 377023 3606 377032
-rect 3828 361548 3880 361554
-rect 3828 361490 3880 361496
-rect 3840 360369 3868 361490
-rect 3826 360360 3882 360369
-rect 3826 360295 3882 360304
-rect 3828 343596 3880 343602
-rect 3828 343538 3880 343544
-rect 3840 343505 3868 343538
-rect 3826 343496 3882 343505
-rect 3826 343431 3882 343440
-rect 3644 310480 3696 310486
-rect 3644 310422 3696 310428
-rect 3656 310049 3684 310422
-rect 3642 310040 3698 310049
-rect 3642 309975 3698 309984
-rect 3552 293888 3604 293894
-rect 3552 293830 3604 293836
-rect 3564 293321 3592 293830
-rect 3550 293312 3606 293321
-rect 3550 293247 3606 293256
-rect 3828 260840 3880 260846
-rect 3828 260782 3880 260788
-rect 3840 259729 3868 260782
-rect 3826 259720 3882 259729
-rect 3826 259655 3882 259664
-rect 3828 244180 3880 244186
-rect 3828 244122 3880 244128
-rect 3840 243001 3868 244122
-rect 3826 242992 3882 243001
-rect 3826 242927 3882 242936
-rect 3736 209772 3788 209778
-rect 3736 209714 3788 209720
-rect 3748 209409 3776 209714
-rect 3734 209400 3790 209409
-rect 3734 209335 3790 209344
-rect 3828 192704 3880 192710
-rect 3826 192672 3828 192681
-rect 3880 192672 3882 192681
-rect 3826 192607 3882 192616
-rect 3932 108905 3960 462431
-rect 4024 159225 4052 462538
-rect 4104 462528 4156 462534
-rect 4104 462470 4156 462476
-rect 4116 175953 4144 462470
-rect 4208 226273 4236 462606
-rect 4300 276457 4328 462674
-rect 4392 326777 4420 462742
-rect 228952 461576 229004 461582
-rect 228952 461518 229004 461524
-rect 220580 461508 220632 461514
-rect 220580 461450 220632 461456
-rect 179180 461100 179232 461106
-rect 179180 461042 179232 461048
-rect 6772 461032 6824 461038
-rect 6772 460974 6824 460980
-rect 6680 458380 6732 458386
-rect 6680 458322 6732 458328
-rect 5942 337376 5998 337385
-rect 5942 337311 5998 337320
-rect 4378 326768 4434 326777
-rect 4378 326703 4434 326712
-rect 4286 276448 4342 276457
-rect 4286 276383 4342 276392
-rect 4194 226264 4250 226273
-rect 4194 226199 4250 226208
-rect 4102 175944 4158 175953
-rect 4102 175879 4158 175888
-rect 4010 159216 4066 159225
-rect 4010 159151 4066 159160
-rect 4012 143540 4064 143546
-rect 4012 143482 4064 143488
-rect 4024 142361 4052 143482
-rect 4010 142352 4066 142361
-rect 4010 142287 4066 142296
-rect 4010 126984 4066 126993
-rect 4010 126919 4066 126928
-rect 4024 125633 4052 126919
-rect 4010 125624 4066 125633
-rect 4010 125559 4066 125568
-rect 3918 108896 3974 108905
-rect 3918 108831 3974 108840
-rect 3644 59220 3696 59226
-rect 3644 59162 3696 59168
-rect 3656 58585 3684 59162
-rect 3642 58576 3698 58585
-rect 3642 58511 3698 58520
-rect 3918 42800 3974 42809
-rect 3918 42735 3974 42744
-rect 3932 41857 3960 42735
-rect 3918 41848 3974 41857
-rect 3918 41783 3974 41792
-rect 3920 26240 3972 26246
-rect 3920 26182 3972 26188
-rect 3932 25129 3960 26182
-rect 3918 25120 3974 25129
-rect 3918 25055 3974 25064
-rect 3918 9616 3974 9625
-rect 3918 9551 3974 9560
-rect 3932 8401 3960 9551
-rect 3918 8392 3974 8401
-rect 3918 8327 3974 8336
-rect 4564 7744 4616 7750
-rect 4564 7686 4616 7692
-rect 2172 5092 2224 5098
-rect 2172 5034 2224 5040
-rect 1068 4820 1120 4826
-rect 1068 4762 1120 4768
-rect 1080 480 1108 4762
-rect 2184 480 2212 5034
-rect 3368 5024 3420 5030
-rect 3368 4966 3420 4972
-rect 3380 480 3408 4966
-rect 4576 480 4604 7686
-rect 5956 610 5984 337311
-rect 6692 293894 6720 458322
-rect 6784 444174 6812 460974
-rect 9440 458312 9492 458318
-rect 9440 458254 9492 458260
-rect 6772 444168 6824 444174
-rect 6772 444110 6824 444116
-rect 6680 293888 6732 293894
-rect 6680 293830 6732 293836
-rect 9452 192710 9480 458254
-rect 129224 338156 129276 338162
-rect 129224 338098 129276 338104
-rect 142564 338156 142616 338162
-rect 142564 338098 142616 338104
-rect 152224 338156 152276 338162
-rect 152224 338098 152276 338104
-rect 161884 338156 161936 338162
-rect 161884 338098 161936 338104
-rect 171544 338156 171596 338162
-rect 171544 338098 171596 338104
-rect 62524 338088 62576 338094
-rect 62524 338030 62576 338036
-rect 45964 338020 46016 338026
-rect 45964 337962 46016 337968
-rect 55624 338020 55676 338026
-rect 55624 337962 55676 337968
-rect 44584 337952 44636 337958
-rect 44584 337894 44636 337900
-rect 22504 337748 22556 337754
-rect 22504 337690 22556 337696
-rect 37684 337748 37736 337754
-rect 37684 337690 37736 337696
-rect 12844 337544 12896 337550
-rect 12844 337486 12896 337492
-rect 11464 337408 11516 337414
-rect 11464 337350 11516 337356
-rect 9440 192704 9492 192710
-rect 9440 192646 9492 192652
-rect 9348 8968 9400 8974
-rect 9348 8910 9400 8916
-rect 8152 5160 8204 5166
-rect 8152 5102 8204 5108
-rect 6954 3360 7010 3369
-rect 6954 3295 7010 3304
-rect 5760 604 5812 610
-rect 5760 546 5812 552
-rect 5944 604 5996 610
-rect 5944 546 5996 552
-rect 5772 480 5800 546
-rect 6968 480 6996 3295
-rect 8164 480 8192 5102
-rect 9360 480 9388 8910
-rect 11476 3534 11504 337350
-rect 12856 3534 12884 337486
-rect 21124 337476 21176 337482
-rect 21124 337418 21176 337424
-rect 14224 312588 14276 312594
-rect 14224 312530 14276 312536
-rect 12936 7812 12988 7818
-rect 12936 7754 12988 7760
-rect 10544 3528 10596 3534
-rect 10544 3470 10596 3476
-rect 11464 3528 11516 3534
-rect 11464 3470 11516 3476
-rect 11740 3528 11792 3534
-rect 11740 3470 11792 3476
-rect 12844 3528 12896 3534
-rect 12844 3470 12896 3476
-rect 10556 480 10584 3470
-rect 11752 480 11780 3470
-rect 12948 480 12976 7754
-rect 14236 626 14264 312530
-rect 19744 273964 19796 273970
-rect 19744 273906 19796 273912
-rect 17720 7880 17772 7886
-rect 17720 7822 17772 7828
-rect 16522 3632 16578 3641
-rect 16522 3567 16578 3576
-rect 15326 3496 15382 3505
-rect 15326 3431 15382 3440
-rect 14144 598 14264 626
-rect 14144 480 14172 598
-rect 15340 480 15368 3431
-rect 16536 480 16564 3567
-rect 17732 480 17760 7822
-rect 19756 3534 19784 273906
-rect 21136 3534 21164 337418
-rect 22412 8900 22464 8906
-rect 22412 8842 22464 8848
-rect 18824 3528 18876 3534
-rect 18824 3470 18876 3476
-rect 19744 3528 19796 3534
-rect 19744 3470 19796 3476
-rect 20020 3528 20072 3534
-rect 20020 3470 20072 3476
-rect 21124 3528 21176 3534
-rect 21124 3470 21176 3476
-rect 18836 480 18864 3470
-rect 20032 480 20060 3470
-rect 21216 3120 21268 3126
-rect 21216 3062 21268 3068
-rect 21228 480 21256 3062
-rect 22424 480 22452 8842
-rect 22516 3126 22544 337690
-rect 30784 337680 30836 337686
-rect 30784 337622 30836 337628
-rect 26644 337408 26696 337414
-rect 26644 337350 26696 337356
-rect 26656 337210 26684 337350
-rect 26644 337204 26696 337210
-rect 26644 337146 26696 337152
-rect 23884 330540 23936 330546
-rect 23884 330482 23936 330488
-rect 22504 3120 22556 3126
-rect 22504 3062 22556 3068
-rect 23896 610 23924 330482
-rect 29404 297424 29456 297430
-rect 29404 297366 29456 297372
-rect 27196 9104 27248 9110
-rect 27196 9046 27248 9052
-rect 25998 3904 26054 3913
-rect 25998 3839 26054 3848
-rect 24802 3768 24858 3777
-rect 24802 3703 24858 3712
-rect 23608 604 23660 610
-rect 23608 546 23660 552
-rect 23884 604 23936 610
-rect 23884 546 23936 552
-rect 23620 480 23648 546
-rect 24816 480 24844 3703
-rect 26012 480 26040 3839
-rect 27208 480 27236 9046
-rect 29416 3194 29444 297366
-rect 30690 10296 30746 10305
-rect 30690 10231 30746 10240
-rect 29588 3596 29640 3602
-rect 29588 3538 29640 3544
-rect 28392 3188 28444 3194
-rect 28392 3130 28444 3136
-rect 29404 3188 29456 3194
-rect 29404 3130 29456 3136
-rect 28404 480 28432 3130
-rect 29600 480 29628 3538
-rect 30704 3482 30732 10231
-rect 30796 3602 30824 337622
-rect 36396 337408 36448 337414
-rect 36396 337350 36448 337356
-rect 36408 337074 36436 337350
-rect 36396 337068 36448 337074
-rect 36396 337010 36448 337016
-rect 34924 10464 34976 10470
-rect 34924 10406 34976 10412
-rect 31978 4040 32034 4049
-rect 31978 3975 32034 3984
-rect 30784 3596 30836 3602
-rect 30784 3538 30836 3544
-rect 30704 3454 30824 3482
-rect 30796 480 30824 3454
-rect 31992 480 32020 3975
-rect 34936 3534 34964 10406
-rect 37696 3602 37724 337690
-rect 41824 10600 41876 10606
-rect 41824 10542 41876 10548
-rect 39064 10532 39116 10538
-rect 39064 10474 39116 10480
-rect 38972 3664 39024 3670
-rect 38972 3606 39024 3612
-rect 36672 3596 36724 3602
-rect 36672 3538 36724 3544
-rect 37684 3596 37736 3602
-rect 37684 3538 37736 3544
-rect 37868 3596 37920 3602
-rect 37868 3538 37920 3544
-rect 34372 3528 34424 3534
-rect 34372 3470 34424 3476
-rect 34924 3528 34976 3534
-rect 34924 3470 34976 3476
-rect 35476 3528 35528 3534
-rect 35476 3470 35528 3476
-rect 33176 3392 33228 3398
-rect 33176 3334 33228 3340
-rect 33188 480 33216 3334
-rect 34384 480 34412 3470
-rect 35488 480 35516 3470
-rect 36684 480 36712 3538
-rect 37880 480 37908 3538
-rect 38984 1850 39012 3606
-rect 39076 3602 39104 10474
-rect 39064 3596 39116 3602
-rect 39064 3538 39116 3544
-rect 40260 3392 40312 3398
-rect 41836 3346 41864 10542
-rect 42652 3732 42704 3738
-rect 42652 3674 42704 3680
-rect 40260 3334 40312 3340
-rect 38984 1822 39104 1850
-rect 39076 480 39104 1822
-rect 40272 480 40300 3334
-rect 41468 3318 41864 3346
-rect 41468 480 41496 3318
-rect 42664 480 42692 3674
-rect 44596 3602 44624 337894
-rect 45976 337618 46004 337962
-rect 45964 337612 46016 337618
-rect 45964 337554 46016 337560
-rect 45964 337408 46016 337414
-rect 45964 337350 46016 337356
-rect 45976 337074 46004 337350
-rect 45964 337068 46016 337074
-rect 45964 337010 46016 337016
-rect 53324 10804 53376 10810
-rect 53324 10746 53376 10752
-rect 50104 10736 50156 10742
-rect 50104 10678 50156 10684
-rect 45964 10668 46016 10674
-rect 45964 10610 46016 10616
-rect 43848 3596 43900 3602
-rect 43848 3538 43900 3544
-rect 44584 3596 44636 3602
-rect 44584 3538 44636 3544
-rect 43860 480 43888 3538
-rect 45976 3398 46004 10610
-rect 48630 6216 48686 6225
-rect 48630 6151 48686 6160
-rect 47436 4004 47488 4010
-rect 47436 3946 47488 3952
-rect 46240 3936 46292 3942
-rect 46240 3878 46292 3884
-rect 45044 3392 45096 3398
-rect 45044 3334 45096 3340
-rect 45964 3392 46016 3398
-rect 45964 3334 46016 3340
-rect 45056 480 45084 3334
-rect 46252 480 46280 3878
-rect 47448 480 47476 3946
-rect 48644 480 48672 6151
-rect 50116 3380 50144 10678
-rect 52128 6180 52180 6186
-rect 52128 6122 52180 6128
-rect 51024 4072 51076 4078
-rect 51024 4014 51076 4020
-rect 49840 3352 50144 3380
-rect 49840 480 49868 3352
-rect 51036 480 51064 4014
-rect 52140 480 52168 6122
-rect 53336 480 53364 10746
-rect 55636 2990 55664 337962
-rect 55716 337408 55768 337414
-rect 55716 337350 55768 337356
-rect 55728 337074 55756 337350
-rect 55716 337068 55768 337074
-rect 55716 337010 55768 337016
-rect 56912 11008 56964 11014
-rect 56912 10950 56964 10956
-rect 55716 6248 55768 6254
-rect 55716 6190 55768 6196
-rect 54520 2984 54572 2990
-rect 54520 2926 54572 2932
-rect 55624 2984 55676 2990
-rect 55624 2926 55676 2932
-rect 54532 480 54560 2926
-rect 55728 480 55756 6190
-rect 56924 480 56952 10950
-rect 60500 10260 60552 10266
-rect 60500 10202 60552 10208
-rect 59304 6316 59356 6322
-rect 59304 6258 59356 6264
-rect 58108 4140 58160 4146
-rect 58108 4082 58160 4088
-rect 58120 480 58148 4082
-rect 58212 3590 58424 3618
-rect 58212 3505 58240 3590
-rect 58396 3505 58424 3590
-rect 58198 3496 58254 3505
-rect 58198 3431 58254 3440
-rect 58382 3496 58438 3505
-rect 58382 3431 58438 3440
-rect 58198 3360 58254 3369
-rect 58198 3295 58254 3304
-rect 58382 3360 58438 3369
-rect 58382 3295 58438 3304
-rect 58212 3210 58240 3295
-rect 58396 3210 58424 3295
-rect 58212 3182 58424 3210
-rect 59316 480 59344 6258
-rect 60512 480 60540 10202
-rect 62536 3398 62564 338030
-rect 128854 337920 128910 337929
-rect 128854 337855 128910 337864
-rect 119286 337784 119342 337793
-rect 119286 337719 119342 337728
-rect 65284 337612 65336 337618
-rect 65284 337554 65336 337560
-rect 84604 337612 84656 337618
-rect 84604 337554 84656 337560
-rect 65296 337498 65324 337554
-rect 84616 337498 84644 337554
-rect 116344 337544 116396 337550
-rect 65204 337470 65324 337498
-rect 84524 337470 84644 337498
-rect 94354 337512 94410 337521
-rect 65204 337210 65232 337470
-rect 65284 337408 65336 337414
-rect 65284 337350 65336 337356
-rect 75036 337408 75088 337414
-rect 75036 337350 75088 337356
-rect 65192 337204 65244 337210
-rect 65192 337146 65244 337152
-rect 65296 337074 65324 337350
-rect 69424 337204 69476 337210
-rect 69424 337146 69476 337152
-rect 65284 337068 65336 337074
-rect 65284 337010 65336 337016
-rect 65284 10192 65336 10198
-rect 65284 10134 65336 10140
-rect 62892 7948 62944 7954
-rect 62892 7890 62944 7896
-rect 61696 3392 61748 3398
-rect 61696 3334 61748 3340
-rect 62524 3392 62576 3398
-rect 62524 3334 62576 3340
-rect 61708 480 61736 3334
-rect 62904 480 62932 7890
-rect 65296 3482 65324 10134
-rect 68044 10124 68096 10130
-rect 68044 10066 68096 10072
-rect 66480 8016 66532 8022
-rect 66480 7958 66532 7964
-rect 65204 3454 65324 3482
-rect 65204 3398 65232 3454
-rect 64088 3392 64140 3398
-rect 64088 3334 64140 3340
-rect 65192 3392 65244 3398
-rect 65192 3334 65244 3340
-rect 65284 3392 65336 3398
-rect 65284 3334 65336 3340
-rect 64100 480 64128 3334
-rect 65296 480 65324 3334
-rect 66492 480 66520 7958
-rect 68056 626 68084 10066
-rect 69436 3194 69464 337146
-rect 75048 336870 75076 337350
-rect 76324 337136 76376 337142
-rect 76324 337078 76376 337084
-rect 75036 336864 75088 336870
-rect 75036 336806 75088 336812
-rect 73564 324964 73616 324970
-rect 73564 324906 73616 324912
-rect 72184 11756 72236 11762
-rect 72184 11698 72236 11704
-rect 69976 8084 70028 8090
-rect 69976 8026 70028 8032
-rect 68780 3188 68832 3194
-rect 68780 3130 68832 3136
-rect 69424 3188 69476 3194
-rect 69424 3130 69476 3136
-rect 67688 598 68084 626
-rect 67688 480 67716 598
-rect 68792 480 68820 3130
-rect 69988 480 70016 8026
-rect 72196 3194 72224 11698
-rect 71172 3188 71224 3194
-rect 71172 3130 71224 3136
-rect 72184 3188 72236 3194
-rect 72184 3130 72236 3136
-rect 72368 3188 72420 3194
-rect 72368 3130 72420 3136
-rect 71184 480 71212 3130
-rect 72380 480 72408 3130
-rect 73576 480 73604 324906
-rect 74944 11824 74996 11830
-rect 74944 11766 74996 11772
-rect 74956 610 74984 11766
-rect 76336 3346 76364 337078
-rect 83224 337068 83276 337074
-rect 83224 337010 83276 337016
-rect 77704 327752 77756 327758
-rect 77704 327694 77756 327700
-rect 75968 3318 76364 3346
-rect 74760 604 74812 610
-rect 74760 546 74812 552
-rect 74944 604 74996 610
-rect 74944 546 74996 552
-rect 74772 480 74800 546
-rect 75968 480 75996 3318
-rect 77716 3126 77744 327694
-rect 81844 294636 81896 294642
-rect 81844 294578 81896 294584
-rect 79084 11892 79136 11898
-rect 79084 11834 79136 11840
-rect 79096 3126 79124 11834
-rect 81856 3126 81884 294578
-rect 83132 12096 83184 12102
-rect 83132 12038 83184 12044
-rect 83144 3618 83172 12038
-rect 83052 3590 83172 3618
-rect 83052 3534 83080 3590
-rect 81936 3528 81988 3534
-rect 81936 3470 81988 3476
-rect 83040 3528 83092 3534
-rect 83236 3482 83264 337010
-rect 84524 337006 84552 337470
-rect 94354 337447 94410 337456
-rect 103922 337512 103978 337521
-rect 116344 337486 116396 337492
-rect 103922 337447 103978 337456
-rect 94368 337414 94396 337447
-rect 103936 337414 103964 337447
-rect 84604 337408 84656 337414
-rect 84604 337350 84656 337356
-rect 94356 337408 94408 337414
-rect 94356 337350 94408 337356
-rect 103924 337408 103976 337414
-rect 103924 337350 103976 337356
-rect 84512 337000 84564 337006
-rect 84512 336942 84564 336948
-rect 84616 336870 84644 337350
-rect 87364 337000 87416 337006
-rect 87364 336942 87416 336948
-rect 84604 336864 84656 336870
-rect 84604 336806 84656 336812
-rect 84604 291848 84656 291854
-rect 84604 291790 84656 291796
-rect 83040 3470 83092 3476
-rect 77152 3120 77204 3126
-rect 77152 3062 77204 3068
-rect 77704 3120 77756 3126
-rect 77704 3062 77756 3068
-rect 78348 3120 78400 3126
-rect 78348 3062 78400 3068
-rect 79084 3120 79136 3126
-rect 79084 3062 79136 3068
-rect 80740 3120 80792 3126
-rect 80740 3062 80792 3068
-rect 81844 3120 81896 3126
-rect 81844 3062 81896 3068
-rect 77164 480 77192 3062
-rect 78360 480 78388 3062
-rect 79544 3052 79596 3058
-rect 79544 2994 79596 3000
-rect 79556 480 79584 2994
-rect 80752 480 80780 3062
-rect 81948 480 81976 3470
-rect 83144 3454 83264 3482
-rect 83144 480 83172 3454
-rect 84616 3346 84644 291790
-rect 85984 12164 86036 12170
-rect 85984 12106 86036 12112
-rect 84340 3318 84644 3346
-rect 84340 480 84368 3318
-rect 85996 3058 86024 12106
-rect 87376 3058 87404 336942
-rect 94264 336932 94316 336938
-rect 94264 336874 94316 336880
-rect 88744 289128 88796 289134
-rect 88744 289070 88796 289076
-rect 88756 3058 88784 289070
-rect 91504 280288 91556 280294
-rect 91504 280230 91556 280236
-rect 90124 276684 90176 276690
-rect 90124 276626 90176 276632
-rect 90136 3058 90164 276626
-rect 91516 270502 91544 280230
-rect 91504 270496 91556 270502
-rect 91504 270438 91556 270444
-rect 91504 260908 91556 260914
-rect 91504 260850 91556 260856
-rect 91516 251190 91544 260850
-rect 91504 251184 91556 251190
-rect 91504 251126 91556 251132
-rect 91504 241664 91556 241670
-rect 91504 241606 91556 241612
-rect 91516 231849 91544 241606
-rect 91318 231840 91374 231849
-rect 91318 231775 91374 231784
-rect 91502 231840 91558 231849
-rect 91502 231775 91558 231784
-rect 91332 222222 91360 231775
-rect 91320 222216 91372 222222
-rect 91320 222158 91372 222164
-rect 91504 222216 91556 222222
-rect 91504 222158 91556 222164
-rect 91516 212537 91544 222158
-rect 91318 212528 91374 212537
-rect 91318 212463 91374 212472
-rect 91502 212528 91558 212537
-rect 91502 212463 91558 212472
-rect 91332 203046 91360 212463
-rect 91320 203040 91372 203046
-rect 91320 202982 91372 202988
-rect 91504 203040 91556 203046
-rect 91504 202982 91556 202988
-rect 91516 193225 91544 202982
-rect 91318 193216 91374 193225
-rect 91318 193151 91374 193160
-rect 91502 193216 91558 193225
-rect 91502 193151 91558 193160
-rect 91332 183598 91360 193151
-rect 91320 183592 91372 183598
-rect 91320 183534 91372 183540
-rect 91504 183592 91556 183598
-rect 91504 183534 91556 183540
-rect 91516 173913 91544 183534
-rect 91502 173904 91558 173913
-rect 91502 173839 91558 173848
-rect 91502 164248 91558 164257
-rect 91502 164183 91558 164192
-rect 91516 154465 91544 164183
-rect 91502 154456 91558 154465
-rect 91502 154391 91558 154400
-rect 91778 154456 91834 154465
-rect 91778 154391 91834 154400
-rect 91792 144945 91820 154391
-rect 91502 144936 91558 144945
-rect 91502 144871 91558 144880
-rect 91778 144936 91834 144945
-rect 91778 144871 91834 144880
-rect 91516 135250 91544 144871
-rect 91320 135244 91372 135250
-rect 91320 135186 91372 135192
-rect 91504 135244 91556 135250
-rect 91504 135186 91556 135192
-rect 91332 125769 91360 135186
-rect 91318 125760 91374 125769
-rect 91318 125695 91374 125704
-rect 91502 125624 91558 125633
-rect 91502 125559 91558 125568
-rect 91516 115802 91544 125559
-rect 91504 115796 91556 115802
-rect 91504 115738 91556 115744
-rect 91504 106344 91556 106350
-rect 91504 106286 91556 106292
-rect 91516 96626 91544 106286
-rect 91320 96620 91372 96626
-rect 91320 96562 91372 96568
-rect 91504 96620 91556 96626
-rect 91504 96562 91556 96568
-rect 91332 87145 91360 96562
-rect 91318 87136 91374 87145
-rect 91318 87071 91374 87080
-rect 91502 87000 91558 87009
-rect 91502 86935 91558 86944
-rect 91516 77178 91544 86935
-rect 91504 77172 91556 77178
-rect 91504 77114 91556 77120
-rect 91504 67652 91556 67658
-rect 91504 67594 91556 67600
-rect 91516 57934 91544 67594
-rect 91504 57928 91556 57934
-rect 91504 57870 91556 57876
-rect 91504 48340 91556 48346
-rect 91504 48282 91556 48288
-rect 91516 38554 91544 48282
-rect 91504 38548 91556 38554
-rect 91504 38490 91556 38496
-rect 91504 29028 91556 29034
-rect 91504 28970 91556 28976
-rect 91516 19310 91544 28970
-rect 91504 19304 91556 19310
-rect 91504 19246 91556 19252
-rect 92608 12232 92660 12238
-rect 92608 12174 92660 12180
-rect 91504 9716 91556 9722
-rect 91504 9658 91556 9664
-rect 91516 4842 91544 9658
-rect 91424 4814 91544 4842
-rect 85432 3052 85484 3058
-rect 85432 2994 85484 3000
-rect 85984 3052 86036 3058
-rect 85984 2994 86036 3000
-rect 86628 3052 86680 3058
-rect 86628 2994 86680 3000
-rect 87364 3052 87416 3058
-rect 87364 2994 87416 3000
-rect 87824 3052 87876 3058
-rect 87824 2994 87876 3000
-rect 88744 3052 88796 3058
-rect 88744 2994 88796 3000
-rect 89020 3052 89072 3058
-rect 89020 2994 89072 3000
-rect 90124 3052 90176 3058
-rect 90124 2994 90176 3000
-rect 85444 480 85472 2994
-rect 86640 480 86668 2994
-rect 87836 480 87864 2994
-rect 89032 480 89060 2994
-rect 90216 2984 90268 2990
-rect 90216 2926 90268 2932
-rect 90228 480 90256 2926
-rect 91424 480 91452 4814
-rect 92620 480 92648 12174
-rect 94276 3534 94304 336874
-rect 101164 336864 101216 336870
-rect 101164 336806 101216 336812
-rect 95644 283620 95696 283626
-rect 95644 283562 95696 283568
-rect 95656 3534 95684 283562
-rect 99784 279472 99836 279478
-rect 99784 279414 99836 279420
-rect 97024 89004 97076 89010
-rect 97024 88946 97076 88952
-rect 97036 3534 97064 88946
-rect 99508 12300 99560 12306
-rect 99508 12242 99560 12248
-rect 93804 3528 93856 3534
-rect 93804 3470 93856 3476
-rect 94264 3528 94316 3534
-rect 94264 3470 94316 3476
-rect 95000 3528 95052 3534
-rect 95000 3470 95052 3476
-rect 95644 3528 95696 3534
-rect 95644 3470 95696 3476
-rect 96196 3528 96248 3534
-rect 96196 3470 96248 3476
-rect 97024 3528 97076 3534
-rect 97024 3470 97076 3476
-rect 98588 3528 98640 3534
-rect 98588 3470 98640 3476
-rect 93816 480 93844 3470
-rect 95012 480 95040 3470
-rect 96208 480 96236 3470
-rect 97392 2848 97444 2854
-rect 97392 2790 97444 2796
-rect 97404 480 97432 2790
-rect 98600 480 98628 3470
-rect 99520 3058 99548 12242
-rect 99796 3534 99824 279414
-rect 99784 3528 99836 3534
-rect 99784 3470 99836 3476
-rect 99692 3460 99744 3466
-rect 99692 3402 99744 3408
-rect 99704 3233 99732 3402
-rect 101176 3346 101204 336806
-rect 108064 336660 108116 336666
-rect 108064 336602 108116 336608
-rect 103924 307080 103976 307086
-rect 103924 307022 103976 307028
-rect 102544 10056 102596 10062
-rect 102544 9998 102596 10004
-rect 100992 3318 101204 3346
-rect 99690 3224 99746 3233
-rect 99690 3159 99746 3168
-rect 99508 3052 99560 3058
-rect 99508 2994 99560 3000
-rect 99784 3052 99836 3058
-rect 99784 2994 99836 3000
-rect 99796 480 99824 2994
-rect 100992 480 101020 3318
-rect 102556 3058 102584 9998
-rect 103832 3460 103884 3466
-rect 103832 3402 103884 3408
-rect 103844 3233 103872 3402
-rect 103830 3224 103886 3233
-rect 103830 3159 103886 3168
-rect 102084 3052 102136 3058
-rect 102084 2994 102136 3000
-rect 102544 3052 102596 3058
-rect 102544 2994 102596 3000
-rect 102096 480 102124 2994
-rect 103936 2922 103964 307022
-rect 107972 12368 108024 12374
-rect 107972 12310 108024 12316
-rect 106684 9988 106736 9994
-rect 106684 9930 106736 9936
-rect 106696 2990 106724 9930
-rect 107984 2990 108012 12310
-rect 105672 2984 105724 2990
-rect 105672 2926 105724 2932
-rect 106684 2984 106736 2990
-rect 106684 2926 106736 2932
-rect 106868 2984 106920 2990
-rect 106868 2926 106920 2932
-rect 107972 2984 108024 2990
-rect 107972 2926 108024 2932
-rect 103280 2916 103332 2922
-rect 103280 2858 103332 2864
-rect 103924 2916 103976 2922
-rect 103924 2858 103976 2864
-rect 104476 2916 104528 2922
-rect 104476 2858 104528 2864
-rect 103292 480 103320 2858
-rect 104488 480 104516 2858
-rect 105684 480 105712 2926
-rect 106880 480 106908 2926
-rect 108076 480 108104 336602
-rect 110824 320884 110876 320890
-rect 110824 320826 110876 320832
-rect 109444 9920 109496 9926
-rect 109444 9862 109496 9868
-rect 109456 3482 109484 9862
-rect 110836 3482 110864 320826
-rect 114964 12436 115016 12442
-rect 114964 12378 115016 12384
-rect 113584 9716 113636 9722
-rect 113584 9658 113636 9664
-rect 109272 3454 109484 3482
-rect 110468 3454 110864 3482
-rect 109272 480 109300 3454
-rect 110468 480 110496 3454
-rect 111652 2916 111704 2922
-rect 111652 2858 111704 2864
-rect 111664 480 111692 2858
-rect 112848 2848 112900 2854
-rect 112848 2790 112900 2796
-rect 113308 2848 113360 2854
-rect 113596 2802 113624 9658
-rect 114976 3534 115004 12378
-rect 116356 3534 116384 337486
-rect 119300 336462 119328 337719
-rect 128578 337648 128634 337657
-rect 126004 337612 126056 337618
-rect 128578 337583 128634 337592
-rect 128764 337612 128816 337618
-rect 126004 337554 126056 337560
-rect 123886 337512 123942 337521
-rect 123886 337447 123942 337456
-rect 123900 337414 123928 337447
-rect 123888 337408 123940 337414
-rect 123888 337350 123940 337356
-rect 119288 336456 119340 336462
-rect 119288 336398 119340 336404
-rect 117724 331968 117776 331974
-rect 117724 331910 117776 331916
-rect 117632 11144 117684 11150
-rect 117632 11086 117684 11092
-rect 117644 3618 117672 11086
-rect 117460 3590 117672 3618
-rect 117460 3534 117488 3590
-rect 114044 3528 114096 3534
-rect 114044 3470 114096 3476
-rect 114964 3528 115016 3534
-rect 114964 3470 115016 3476
-rect 115240 3528 115292 3534
-rect 115240 3470 115292 3476
-rect 116344 3528 116396 3534
-rect 116344 3470 116396 3476
-rect 116436 3528 116488 3534
-rect 116436 3470 116488 3476
-rect 117448 3528 117500 3534
-rect 117736 3482 117764 331910
-rect 121864 316736 121916 316742
-rect 121864 316678 121916 316684
-rect 120482 10568 120538 10577
-rect 120482 10503 120538 10512
-rect 120496 3534 120524 10503
-rect 121876 3534 121904 316678
-rect 125912 271176 125964 271182
-rect 125912 271118 125964 271124
-rect 123520 10600 123572 10606
-rect 123518 10568 123520 10577
-rect 123572 10568 123574 10577
-rect 123518 10503 123574 10512
-rect 124624 9648 124676 9654
-rect 124624 9590 124676 9596
-rect 124636 3534 124664 9590
-rect 125924 3618 125952 271118
-rect 125832 3590 125952 3618
-rect 125832 3534 125860 3590
-rect 117448 3470 117500 3476
-rect 113860 3460 113912 3466
-rect 113860 3402 113912 3408
-rect 113872 3233 113900 3402
-rect 113858 3224 113914 3233
-rect 113858 3159 113914 3168
-rect 113360 2796 113624 2802
-rect 113308 2790 113624 2796
-rect 112860 480 112888 2790
-rect 113320 2774 113624 2790
-rect 114056 480 114084 3470
-rect 115252 480 115280 3470
-rect 116448 480 116476 3470
-rect 117644 3454 117764 3482
-rect 119932 3528 119984 3534
-rect 119932 3470 119984 3476
-rect 120484 3528 120536 3534
-rect 120484 3470 120536 3476
-rect 121128 3528 121180 3534
-rect 121128 3470 121180 3476
-rect 121864 3528 121916 3534
-rect 121864 3470 121916 3476
-rect 123520 3528 123572 3534
-rect 123520 3470 123572 3476
-rect 124624 3528 124676 3534
-rect 124624 3470 124676 3476
-rect 124716 3528 124768 3534
-rect 124716 3470 124768 3476
-rect 125820 3528 125872 3534
-rect 126016 3482 126044 337554
-rect 128592 337550 128620 337583
-rect 128764 337554 128816 337560
-rect 128580 337544 128632 337550
-rect 128776 337521 128804 337554
-rect 128868 337550 128896 337855
-rect 129038 337784 129094 337793
-rect 129038 337719 129094 337728
-rect 128946 337648 129002 337657
-rect 129052 337618 129080 337719
-rect 129236 337657 129264 338098
-rect 142576 337958 142604 338098
-rect 152236 337958 152264 338098
-rect 161896 337958 161924 338098
-rect 171556 337958 171584 338098
-rect 142564 337952 142616 337958
-rect 138422 337920 138478 337929
-rect 142564 337894 142616 337900
-rect 152224 337952 152276 337958
-rect 152224 337894 152276 337900
-rect 161884 337952 161936 337958
-rect 161884 337894 161936 337900
-rect 171544 337952 171596 337958
-rect 171544 337894 171596 337900
-rect 138422 337855 138478 337864
-rect 138436 337686 138464 337855
-rect 142654 337784 142710 337793
-rect 142654 337719 142710 337728
-rect 152222 337784 152278 337793
-rect 152222 337719 152278 337728
-rect 161974 337784 162030 337793
-rect 161974 337719 162030 337728
-rect 171542 337784 171598 337793
-rect 171542 337719 171598 337728
-rect 138332 337680 138384 337686
-rect 129222 337648 129278 337657
-rect 128946 337583 129002 337592
-rect 129040 337612 129092 337618
-rect 128856 337544 128908 337550
-rect 128580 337486 128632 337492
-rect 128762 337512 128818 337521
-rect 128856 337486 128908 337492
-rect 128960 337498 128988 337583
-rect 129222 337583 129278 337592
-rect 138330 337648 138332 337657
-rect 138424 337680 138476 337686
-rect 138384 337648 138386 337657
-rect 138424 337622 138476 337628
-rect 142668 337618 142696 337719
-rect 152236 337618 152264 337719
-rect 161988 337618 162016 337719
-rect 171556 337618 171584 337719
-rect 138330 337583 138386 337592
-rect 142472 337612 142524 337618
-rect 129040 337554 129092 337560
-rect 142472 337554 142524 337560
-rect 142656 337612 142708 337618
-rect 142656 337554 142708 337560
-rect 142748 337612 142800 337618
-rect 142748 337554 142800 337560
-rect 152132 337612 152184 337618
-rect 152132 337554 152184 337560
-rect 152224 337612 152276 337618
-rect 152224 337554 152276 337560
-rect 152408 337612 152460 337618
-rect 152408 337554 152460 337560
-rect 161792 337612 161844 337618
-rect 161792 337554 161844 337560
-rect 161976 337612 162028 337618
-rect 161976 337554 162028 337560
-rect 162068 337612 162120 337618
-rect 162068 337554 162120 337560
-rect 171452 337612 171504 337618
-rect 171452 337554 171504 337560
-rect 171544 337612 171596 337618
-rect 171544 337554 171596 337560
-rect 171728 337612 171780 337618
-rect 171728 337554 171780 337560
-rect 129132 337544 129184 337550
-rect 128960 337492 129132 337498
-rect 142484 337521 142512 337554
-rect 142760 337521 142788 337554
-rect 152144 337521 152172 337554
-rect 152420 337521 152448 337554
-rect 161804 337521 161832 337554
-rect 162080 337521 162108 337554
-rect 171464 337521 171492 337554
-rect 171740 337521 171768 337554
-rect 128960 337486 129184 337492
-rect 142286 337512 142342 337521
-rect 128960 337470 129172 337486
-rect 128762 337447 128818 337456
-rect 142470 337512 142526 337521
-rect 142342 337482 142420 337498
-rect 142342 337476 142432 337482
-rect 142342 337470 142380 337476
-rect 142286 337447 142342 337456
-rect 142470 337447 142526 337456
-rect 142746 337512 142802 337521
-rect 142746 337447 142802 337456
-rect 152130 337512 152186 337521
-rect 152130 337447 152186 337456
-rect 152406 337512 152462 337521
-rect 152590 337512 152646 337521
-rect 152512 337482 152590 337498
-rect 152406 337447 152462 337456
-rect 152500 337476 152590 337482
-rect 142380 337418 142432 337424
-rect 152552 337470 152590 337476
-rect 152590 337447 152646 337456
-rect 161606 337512 161662 337521
-rect 161790 337512 161846 337521
-rect 161662 337482 161740 337498
-rect 161662 337476 161752 337482
-rect 161662 337470 161700 337476
-rect 161606 337447 161662 337456
-rect 152500 337418 152552 337424
-rect 161790 337447 161846 337456
-rect 162066 337512 162122 337521
-rect 162066 337447 162122 337456
-rect 171450 337512 171506 337521
-rect 171450 337447 171506 337456
-rect 171726 337512 171782 337521
-rect 171910 337512 171966 337521
-rect 171832 337482 171910 337498
-rect 171726 337447 171782 337456
-rect 171820 337476 171910 337482
-rect 161700 337418 161752 337424
-rect 171872 337470 171910 337476
-rect 171910 337447 171966 337456
-rect 171820 337418 171872 337424
-rect 138332 337408 138384 337414
-rect 138332 337350 138384 337356
-rect 142564 337408 142616 337414
-rect 142564 337350 142616 337356
-rect 152316 337408 152368 337414
-rect 152316 337350 152368 337356
-rect 161884 337408 161936 337414
-rect 161884 337350 161936 337356
-rect 171636 337408 171688 337414
-rect 171636 337350 171688 337356
-rect 138344 337249 138372 337350
-rect 142576 337249 142604 337350
-rect 152328 337249 152356 337350
-rect 161896 337249 161924 337350
-rect 171648 337249 171676 337350
-rect 138330 337240 138386 337249
-rect 138330 337175 138386 337184
-rect 142562 337240 142618 337249
-rect 142562 337175 142618 337184
-rect 152314 337240 152370 337249
-rect 152314 337175 152370 337184
-rect 161882 337240 161938 337249
-rect 161882 337175 161938 337184
-rect 171634 337240 171690 337249
-rect 171634 337175 171690 337184
-rect 127384 336048 127436 336054
-rect 127384 335990 127436 335996
-rect 125820 3470 125872 3476
-rect 117644 480 117672 3454
-rect 118736 2576 118788 2582
-rect 118736 2518 118788 2524
-rect 118748 480 118776 2518
-rect 119944 480 119972 3470
-rect 121140 480 121168 3470
-rect 122324 2644 122376 2650
-rect 122324 2586 122376 2592
-rect 122336 480 122364 2586
-rect 123532 480 123560 3470
-rect 124728 480 124756 3470
-rect 125924 3454 126044 3482
-rect 126096 3528 126148 3534
-rect 126096 3470 126148 3476
-rect 125924 480 125952 3454
-rect 126108 3233 126136 3470
-rect 127396 3346 127424 335990
-rect 163264 333328 163316 333334
-rect 163264 333270 163316 333276
-rect 145324 333260 145376 333266
-rect 145324 333202 145376 333208
-rect 135664 322244 135716 322250
-rect 135664 322186 135716 322192
-rect 134192 319456 134244 319462
-rect 134192 319398 134244 319404
-rect 128764 304292 128816 304298
-rect 128764 304234 128816 304240
-rect 128776 3534 128804 304234
-rect 128856 10192 128908 10198
-rect 128856 10134 128908 10140
-rect 128868 9586 128896 10134
-rect 128856 9580 128908 9586
-rect 128856 9522 128908 9528
-rect 129500 8288 129552 8294
-rect 129500 8230 129552 8236
-rect 128856 4276 128908 4282
-rect 128856 4218 128908 4224
-rect 128304 3528 128356 3534
-rect 128304 3470 128356 3476
-rect 128764 3528 128816 3534
-rect 128764 3470 128816 3476
-rect 127120 3318 127424 3346
-rect 126094 3224 126150 3233
-rect 126094 3159 126150 3168
-rect 127120 480 127148 3318
-rect 128316 480 128344 3470
-rect 128868 3466 128896 4218
-rect 128856 3460 128908 3466
-rect 128856 3402 128908 3408
-rect 129512 480 129540 8230
-rect 131892 6384 131944 6390
-rect 131892 6326 131944 6332
-rect 130696 5228 130748 5234
-rect 130696 5170 130748 5176
-rect 130708 480 130736 5170
-rect 131904 480 131932 6326
-rect 134204 4010 134232 319398
-rect 135676 317422 135704 322186
-rect 138424 318096 138476 318102
-rect 138424 318038 138476 318044
-rect 135664 317416 135716 317422
-rect 135664 317358 135716 317364
-rect 135664 307828 135716 307834
-rect 135664 307770 135716 307776
-rect 135676 298081 135704 307770
-rect 135478 298072 135534 298081
-rect 135478 298007 135534 298016
-rect 135662 298072 135718 298081
-rect 135662 298007 135718 298016
-rect 135492 288454 135520 298007
-rect 135480 288448 135532 288454
-rect 135480 288390 135532 288396
-rect 135664 288448 135716 288454
-rect 135664 288390 135716 288396
-rect 135676 278769 135704 288390
-rect 135478 278760 135534 278769
-rect 135478 278695 135534 278704
-rect 135662 278760 135718 278769
-rect 135662 278695 135718 278704
-rect 135492 269142 135520 278695
-rect 135480 269136 135532 269142
-rect 135480 269078 135532 269084
-rect 135664 269136 135716 269142
-rect 135664 269078 135716 269084
-rect 135676 259457 135704 269078
-rect 135478 259448 135534 259457
-rect 135478 259383 135534 259392
-rect 135662 259448 135718 259457
-rect 135662 259383 135718 259392
-rect 135492 249830 135520 259383
-rect 135480 249824 135532 249830
-rect 135480 249766 135532 249772
-rect 135664 249824 135716 249830
-rect 135664 249766 135716 249772
-rect 135676 240145 135704 249766
-rect 135478 240136 135534 240145
-rect 135478 240071 135534 240080
-rect 135662 240136 135718 240145
-rect 135662 240071 135718 240080
-rect 135492 230518 135520 240071
-rect 135480 230512 135532 230518
-rect 135480 230454 135532 230460
-rect 135664 230512 135716 230518
-rect 135664 230454 135716 230460
-rect 135676 220833 135704 230454
-rect 135478 220824 135534 220833
-rect 135478 220759 135534 220768
-rect 135662 220824 135718 220833
-rect 135662 220759 135718 220768
-rect 135492 211177 135520 220759
-rect 135478 211168 135534 211177
-rect 135478 211103 135534 211112
-rect 135662 211168 135718 211177
-rect 135662 211103 135718 211112
-rect 135676 201482 135704 211103
-rect 135480 201476 135532 201482
-rect 135480 201418 135532 201424
-rect 135664 201476 135716 201482
-rect 135664 201418 135716 201424
-rect 135492 191865 135520 201418
-rect 135478 191856 135534 191865
-rect 135478 191791 135534 191800
-rect 135662 191856 135718 191865
-rect 135662 191791 135718 191800
-rect 135676 182170 135704 191791
-rect 135480 182164 135532 182170
-rect 135480 182106 135532 182112
-rect 135664 182164 135716 182170
-rect 135664 182106 135716 182112
-rect 135492 172553 135520 182106
-rect 135478 172544 135534 172553
-rect 135478 172479 135534 172488
-rect 135662 172544 135718 172553
-rect 135662 172479 135718 172488
-rect 135676 164529 135704 172479
-rect 135662 164520 135718 164529
-rect 135662 164455 135718 164464
-rect 135570 164384 135626 164393
-rect 135626 164342 135704 164370
-rect 135570 164319 135626 164328
-rect 135676 162858 135704 164342
-rect 135664 162852 135716 162858
-rect 135664 162794 135716 162800
-rect 135664 153264 135716 153270
-rect 135664 153206 135716 153212
-rect 135676 143478 135704 153206
-rect 135664 143472 135716 143478
-rect 135664 143414 135716 143420
-rect 135664 133952 135716 133958
-rect 135664 133894 135716 133900
-rect 135676 124166 135704 133894
-rect 135664 124160 135716 124166
-rect 135664 124102 135716 124108
-rect 135664 114572 135716 114578
-rect 135664 114514 135716 114520
-rect 135676 104854 135704 114514
-rect 135664 104848 135716 104854
-rect 135664 104790 135716 104796
-rect 135664 95328 135716 95334
-rect 135664 95270 135716 95276
-rect 135676 85542 135704 95270
-rect 135664 85536 135716 85542
-rect 135664 85478 135716 85484
-rect 135664 75948 135716 75954
-rect 135664 75890 135716 75896
-rect 135676 66230 135704 75890
-rect 135664 66224 135716 66230
-rect 135664 66166 135716 66172
-rect 135664 56704 135716 56710
-rect 135664 56646 135716 56652
-rect 135676 48657 135704 56646
-rect 135662 48648 135718 48657
-rect 135662 48583 135718 48592
-rect 135662 48512 135718 48521
-rect 135662 48447 135718 48456
-rect 135676 46918 135704 48447
-rect 135664 46912 135716 46918
-rect 135664 46854 135716 46860
-rect 135664 37324 135716 37330
-rect 135664 37266 135716 37272
-rect 135676 27606 135704 37266
-rect 135664 27600 135716 27606
-rect 135664 27542 135716 27548
-rect 135296 18080 135348 18086
-rect 135296 18022 135348 18028
-rect 135308 9761 135336 18022
-rect 138436 10690 138464 318038
-rect 142564 315308 142616 315314
-rect 142564 315250 142616 315256
-rect 139804 301504 139856 301510
-rect 139804 301446 139856 301452
-rect 138068 10662 138464 10690
-rect 135294 9752 135350 9761
-rect 135294 9687 135350 9696
-rect 135478 9752 135534 9761
-rect 135478 9687 135534 9696
-rect 134284 5296 134336 5302
-rect 134284 5238 134336 5244
-rect 133088 4004 133140 4010
-rect 133088 3946 133140 3952
-rect 134192 4004 134244 4010
-rect 134192 3946 134244 3952
-rect 133100 480 133128 3946
-rect 134296 480 134324 5238
-rect 135492 626 135520 9687
-rect 136584 9172 136636 9178
-rect 136584 9114 136636 9120
-rect 135400 598 135520 626
-rect 135400 480 135428 598
-rect 136596 480 136624 9114
-rect 137700 4134 137912 4162
-rect 137700 4010 137728 4134
-rect 137688 4004 137740 4010
-rect 137688 3946 137740 3952
-rect 137780 4004 137832 4010
-rect 137780 3946 137832 3952
-rect 137792 480 137820 3946
-rect 137884 3516 137912 4134
-rect 138068 4010 138096 10662
-rect 138424 10532 138476 10538
-rect 138424 10474 138476 10480
-rect 138238 10160 138294 10169
-rect 138238 10095 138240 10104
-rect 138292 10095 138294 10104
-rect 138240 10066 138292 10072
-rect 138436 9874 138464 10474
-rect 138608 10192 138660 10198
-rect 138606 10160 138608 10169
-rect 138660 10160 138662 10169
-rect 138606 10095 138662 10104
-rect 138252 9846 138464 9874
-rect 138252 9654 138280 9846
-rect 138240 9648 138292 9654
-rect 138240 9590 138292 9596
-rect 138056 4004 138108 4010
-rect 138056 3946 138108 3952
-rect 139816 3670 139844 301446
-rect 142472 300144 142524 300150
-rect 142472 300086 142524 300092
-rect 140172 9036 140224 9042
-rect 140172 8978 140224 8984
-rect 138976 3664 139028 3670
-rect 138976 3606 139028 3612
-rect 139804 3664 139856 3670
-rect 139804 3606 139856 3612
-rect 138424 3528 138476 3534
-rect 137884 3488 138424 3516
-rect 138424 3470 138476 3476
-rect 138988 480 139016 3606
-rect 140184 480 140212 8978
-rect 141368 3664 141420 3670
-rect 141368 3606 141420 3612
-rect 141380 480 141408 3606
-rect 142484 3516 142512 300086
-rect 142576 3670 142604 315250
-rect 145336 19310 145364 333202
-rect 156364 330608 156416 330614
-rect 156364 330550 156416 330556
-rect 152224 327140 152276 327146
-rect 152224 327082 152276 327088
-rect 152236 317422 152264 327082
-rect 152224 317416 152276 317422
-rect 152224 317358 152276 317364
-rect 149464 312656 149516 312662
-rect 149464 312598 149516 312604
-rect 145324 19304 145376 19310
-rect 145324 19246 145376 19252
-rect 145232 19236 145284 19242
-rect 145232 19178 145284 19184
-rect 145244 12186 145272 19178
-rect 145060 12158 145272 12186
-rect 143300 10260 143352 10266
-rect 143300 10202 143352 10208
-rect 143312 10130 143340 10202
-rect 143300 10124 143352 10130
-rect 143300 10066 143352 10072
-rect 143760 9376 143812 9382
-rect 143760 9318 143812 9324
-rect 142564 3664 142616 3670
-rect 142564 3606 142616 3612
-rect 142484 3488 142604 3516
-rect 142576 480 142604 3488
-rect 143772 480 143800 9318
-rect 145060 626 145088 12158
-rect 147808 10804 147860 10810
-rect 147808 10746 147860 10752
-rect 148452 10804 148504 10810
-rect 148452 10746 148504 10752
-rect 147820 10577 147848 10746
-rect 148464 10577 148492 10746
-rect 147806 10568 147862 10577
-rect 147806 10503 147862 10512
-rect 148450 10568 148506 10577
-rect 148450 10503 148506 10512
-rect 147348 9512 147400 9518
-rect 147348 9454 147400 9460
-rect 146152 7540 146204 7546
-rect 146152 7482 146204 7488
-rect 144968 598 145088 626
-rect 144968 480 144996 598
-rect 146164 480 146192 7482
-rect 147360 480 147388 9454
-rect 149476 3670 149504 312598
-rect 152224 307828 152276 307834
-rect 152224 307770 152276 307776
-rect 152236 298081 152264 307770
-rect 152038 298072 152094 298081
-rect 152038 298007 152094 298016
-rect 152222 298072 152278 298081
-rect 152222 298007 152278 298016
-rect 152052 288454 152080 298007
-rect 152040 288448 152092 288454
-rect 152040 288390 152092 288396
-rect 152224 288448 152276 288454
-rect 152224 288390 152276 288396
-rect 152236 278769 152264 288390
-rect 152038 278760 152094 278769
-rect 152038 278695 152094 278704
-rect 152222 278760 152278 278769
-rect 152222 278695 152278 278704
-rect 152052 269142 152080 278695
-rect 152040 269136 152092 269142
-rect 152040 269078 152092 269084
-rect 152224 269136 152276 269142
-rect 152224 269078 152276 269084
-rect 152236 259457 152264 269078
-rect 152038 259448 152094 259457
-rect 152038 259383 152094 259392
-rect 152222 259448 152278 259457
-rect 152222 259383 152278 259392
-rect 152052 249830 152080 259383
-rect 152040 249824 152092 249830
-rect 152040 249766 152092 249772
-rect 152224 249824 152276 249830
-rect 152224 249766 152276 249772
-rect 152236 240145 152264 249766
-rect 152038 240136 152094 240145
-rect 152038 240071 152094 240080
-rect 152222 240136 152278 240145
-rect 152222 240071 152278 240080
-rect 152052 230518 152080 240071
-rect 152040 230512 152092 230518
-rect 152040 230454 152092 230460
-rect 152224 230512 152276 230518
-rect 152224 230454 152276 230460
-rect 152236 220833 152264 230454
-rect 152038 220824 152094 220833
-rect 152038 220759 152094 220768
-rect 152222 220824 152278 220833
-rect 152222 220759 152278 220768
-rect 152052 211177 152080 220759
-rect 152038 211168 152094 211177
-rect 152038 211103 152094 211112
-rect 152222 211168 152278 211177
-rect 152222 211103 152278 211112
-rect 152236 201482 152264 211103
-rect 152040 201476 152092 201482
-rect 152040 201418 152092 201424
-rect 152224 201476 152276 201482
-rect 152224 201418 152276 201424
-rect 152052 191865 152080 201418
-rect 152038 191856 152094 191865
-rect 152038 191791 152094 191800
-rect 152222 191856 152278 191865
-rect 152222 191791 152278 191800
-rect 152236 182170 152264 191791
-rect 152040 182164 152092 182170
-rect 152040 182106 152092 182112
-rect 152224 182164 152276 182170
-rect 152224 182106 152276 182112
-rect 152052 172553 152080 182106
-rect 152038 172544 152094 172553
-rect 152038 172479 152094 172488
-rect 152222 172544 152278 172553
-rect 152222 172479 152278 172488
-rect 152236 162858 152264 172479
-rect 152224 162852 152276 162858
-rect 152224 162794 152276 162800
-rect 152224 153264 152276 153270
-rect 152224 153206 152276 153212
-rect 152236 143478 152264 153206
-rect 152224 143472 152276 143478
-rect 152224 143414 152276 143420
-rect 152224 133952 152276 133958
-rect 152224 133894 152276 133900
-rect 152236 124166 152264 133894
-rect 152224 124160 152276 124166
-rect 152224 124102 152276 124108
-rect 152224 114572 152276 114578
-rect 152224 114514 152276 114520
-rect 152236 104854 152264 114514
-rect 152224 104848 152276 104854
-rect 152224 104790 152276 104796
-rect 152224 95328 152276 95334
-rect 152224 95270 152276 95276
-rect 152236 85542 152264 95270
-rect 152224 85536 152276 85542
-rect 152224 85478 152276 85484
-rect 152224 75948 152276 75954
-rect 152224 75890 152276 75896
-rect 152236 66230 152264 75890
-rect 152224 66224 152276 66230
-rect 152224 66166 152276 66172
-rect 152224 56704 152276 56710
-rect 152224 56646 152276 56652
-rect 152236 46918 152264 56646
-rect 152224 46912 152276 46918
-rect 152224 46854 152276 46860
-rect 152224 37324 152276 37330
-rect 152224 37266 152276 37272
-rect 152236 27606 152264 37266
-rect 152224 27600 152276 27606
-rect 152224 27542 152276 27548
-rect 151856 18080 151908 18086
-rect 151856 18022 151908 18028
-rect 151868 9761 151896 18022
-rect 153052 10804 153104 10810
-rect 153052 10746 153104 10752
-rect 153064 10674 153092 10746
-rect 153052 10668 153104 10674
-rect 153052 10610 153104 10616
-rect 151854 9752 151910 9761
-rect 151854 9687 151910 9696
-rect 152038 9752 152094 9761
-rect 152038 9687 152094 9696
-rect 150936 9580 150988 9586
-rect 152052 9568 152080 9687
-rect 152052 9540 152172 9568
-rect 150936 9522 150988 9528
-rect 149740 7472 149792 7478
-rect 149740 7414 149792 7420
-rect 148544 3664 148596 3670
-rect 148544 3606 148596 3612
-rect 149464 3664 149516 3670
-rect 149464 3606 149516 3612
-rect 148174 3360 148230 3369
-rect 148174 3295 148230 3304
-rect 148082 3088 148138 3097
-rect 148188 3074 148216 3295
-rect 148138 3046 148216 3074
-rect 148082 3023 148138 3032
-rect 148556 480 148584 3606
-rect 149752 480 149780 7414
-rect 150948 480 150976 9522
-rect 152144 610 152172 9540
-rect 154432 8560 154484 8566
-rect 154432 8502 154484 8508
-rect 153236 7404 153288 7410
-rect 153236 7346 153288 7352
-rect 152040 604 152092 610
-rect 152040 546 152092 552
-rect 152132 604 152184 610
-rect 152132 546 152184 552
-rect 152052 480 152080 546
-rect 153248 480 153276 7346
-rect 154444 480 154472 8502
-rect 156376 610 156404 330550
-rect 160504 307148 160556 307154
-rect 160504 307090 160556 307096
-rect 157834 10432 157890 10441
-rect 157834 10367 157890 10376
-rect 157468 10192 157520 10198
-rect 157466 10160 157468 10169
-rect 157848 10169 157876 10367
-rect 157520 10160 157522 10169
-rect 157834 10160 157890 10169
-rect 157466 10095 157522 10104
-rect 157560 10124 157612 10130
-rect 157834 10095 157890 10104
-rect 157560 10066 157612 10072
-rect 157572 10033 157600 10066
-rect 157558 10024 157614 10033
-rect 157558 9959 157614 9968
-rect 158020 8628 158072 8634
-rect 158020 8570 158072 8576
-rect 156824 7336 156876 7342
-rect 156824 7278 156876 7284
-rect 155628 604 155680 610
-rect 155628 546 155680 552
-rect 156364 604 156416 610
-rect 156364 546 156416 552
-rect 155640 480 155668 546
-rect 156836 480 156864 7278
-rect 157652 3528 157704 3534
-rect 157652 3470 157704 3476
-rect 157664 3233 157692 3470
-rect 157650 3224 157706 3233
-rect 157650 3159 157706 3168
-rect 158032 480 158060 8570
-rect 160412 7268 160464 7274
-rect 160412 7210 160464 7216
-rect 159216 3664 159268 3670
-rect 159216 3606 159268 3612
-rect 158112 3460 158164 3466
-rect 158112 3402 158164 3408
-rect 158124 3233 158152 3402
-rect 158110 3224 158166 3233
-rect 158110 3159 158166 3168
-rect 159228 480 159256 3606
-rect 160424 480 160452 7210
-rect 160516 3670 160544 307090
-rect 161608 8900 161660 8906
-rect 161608 8842 161660 8848
-rect 160504 3664 160556 3670
-rect 160504 3606 160556 3612
-rect 161620 480 161648 8842
-rect 163276 3670 163304 333270
-rect 167404 330676 167456 330682
-rect 167404 330618 167456 330624
-rect 165196 7200 165248 7206
-rect 165196 7142 165248 7148
-rect 164000 6452 164052 6458
-rect 164000 6394 164052 6400
-rect 162804 3664 162856 3670
-rect 162804 3606 162856 3612
-rect 163264 3664 163316 3670
-rect 163264 3606 163316 3612
-rect 162816 480 162844 3606
-rect 164012 480 164040 6394
-rect 165208 480 165236 7142
-rect 166392 3664 166444 3670
-rect 166392 3606 166444 3612
-rect 167220 3664 167272 3670
-rect 167416 3618 167444 330618
-rect 170164 327140 170216 327146
-rect 170164 327082 170216 327088
-rect 170176 317422 170204 327082
-rect 174304 325032 174356 325038
-rect 174304 324974 174356 324980
-rect 170164 317416 170216 317422
-rect 170164 317358 170216 317364
-rect 170164 307828 170216 307834
-rect 170164 307770 170216 307776
-rect 170176 298081 170204 307770
-rect 170162 298072 170218 298081
-rect 170162 298007 170218 298016
-rect 170346 298072 170402 298081
-rect 170346 298007 170402 298016
-rect 170360 288454 170388 298007
-rect 170164 288448 170216 288454
-rect 170164 288390 170216 288396
-rect 170348 288448 170400 288454
-rect 170348 288390 170400 288396
-rect 170176 278769 170204 288390
-rect 170162 278760 170218 278769
-rect 170162 278695 170218 278704
-rect 170346 278760 170402 278769
-rect 170346 278695 170402 278704
-rect 170360 269142 170388 278695
-rect 170164 269136 170216 269142
-rect 170164 269078 170216 269084
-rect 170348 269136 170400 269142
-rect 170348 269078 170400 269084
-rect 170176 259457 170204 269078
-rect 170162 259448 170218 259457
-rect 170162 259383 170218 259392
-rect 170346 259448 170402 259457
-rect 170346 259383 170402 259392
-rect 170360 249830 170388 259383
-rect 170164 249824 170216 249830
-rect 170164 249766 170216 249772
-rect 170348 249824 170400 249830
-rect 170348 249766 170400 249772
-rect 170176 240145 170204 249766
-rect 170162 240136 170218 240145
-rect 170162 240071 170218 240080
-rect 170346 240136 170402 240145
-rect 170346 240071 170402 240080
-rect 170360 230518 170388 240071
-rect 170164 230512 170216 230518
-rect 170164 230454 170216 230460
-rect 170348 230512 170400 230518
-rect 170348 230454 170400 230460
-rect 170176 220833 170204 230454
-rect 170162 220824 170218 220833
-rect 170162 220759 170218 220768
-rect 170346 220824 170402 220833
-rect 170346 220759 170402 220768
-rect 170360 211177 170388 220759
-rect 170162 211168 170218 211177
-rect 170162 211103 170218 211112
-rect 170346 211168 170402 211177
-rect 170346 211103 170402 211112
-rect 170176 201482 170204 211103
-rect 170164 201476 170216 201482
-rect 170164 201418 170216 201424
-rect 170348 201476 170400 201482
-rect 170348 201418 170400 201424
-rect 170360 191865 170388 201418
-rect 170162 191856 170218 191865
-rect 170162 191791 170218 191800
-rect 170346 191856 170402 191865
-rect 170346 191791 170402 191800
-rect 170176 182170 170204 191791
-rect 170164 182164 170216 182170
-rect 170164 182106 170216 182112
-rect 170348 182164 170400 182170
-rect 170348 182106 170400 182112
-rect 170360 172553 170388 182106
-rect 170162 172544 170218 172553
-rect 170162 172479 170218 172488
-rect 170346 172544 170402 172553
-rect 170346 172479 170402 172488
-rect 170176 162858 170204 172479
-rect 170164 162852 170216 162858
-rect 170164 162794 170216 162800
-rect 170164 153264 170216 153270
-rect 170164 153206 170216 153212
-rect 170176 143478 170204 153206
-rect 170164 143472 170216 143478
-rect 170164 143414 170216 143420
-rect 170164 133952 170216 133958
-rect 170164 133894 170216 133900
-rect 170176 124166 170204 133894
-rect 170164 124160 170216 124166
-rect 170164 124102 170216 124108
-rect 170164 114572 170216 114578
-rect 170164 114514 170216 114520
-rect 170176 104854 170204 114514
-rect 170164 104848 170216 104854
-rect 170164 104790 170216 104796
-rect 170164 95328 170216 95334
-rect 170164 95270 170216 95276
-rect 170176 85542 170204 95270
-rect 170164 85536 170216 85542
-rect 170164 85478 170216 85484
-rect 170164 75948 170216 75954
-rect 170164 75890 170216 75896
-rect 170176 66230 170204 75890
-rect 170164 66224 170216 66230
-rect 170164 66166 170216 66172
-rect 170164 56704 170216 56710
-rect 170164 56646 170216 56652
-rect 170176 46918 170204 56646
-rect 170164 46912 170216 46918
-rect 170164 46854 170216 46860
-rect 170164 37324 170216 37330
-rect 170164 37266 170216 37272
-rect 170176 27606 170204 37266
-rect 170164 27600 170216 27606
-rect 170164 27542 170216 27548
-rect 169704 18080 169756 18086
-rect 169704 18022 169756 18028
-rect 167588 11212 167640 11218
-rect 167588 11154 167640 11160
-rect 167496 11076 167548 11082
-rect 167496 11018 167548 11024
-rect 167508 10033 167536 11018
-rect 167600 10198 167628 11154
-rect 167862 10432 167918 10441
-rect 167862 10367 167918 10376
-rect 167876 10198 167904 10367
-rect 167588 10192 167640 10198
-rect 167864 10192 167916 10198
-rect 167588 10134 167640 10140
-rect 167770 10160 167826 10169
-rect 167864 10134 167916 10140
-rect 167770 10095 167772 10104
-rect 167824 10095 167826 10104
-rect 167772 10066 167824 10072
-rect 167494 10024 167550 10033
-rect 167494 9959 167550 9968
-rect 169716 9761 169744 18022
-rect 169702 9752 169758 9761
-rect 169702 9687 169758 9696
-rect 169886 9752 169942 9761
-rect 169886 9687 169942 9696
-rect 169520 9648 169572 9654
-rect 169520 9590 169572 9596
-rect 169900 9602 169928 9687
-rect 170164 9648 170216 9654
-rect 169532 9489 169560 9590
-rect 169900 9574 170020 9602
-rect 170164 9590 170216 9596
-rect 169518 9480 169574 9489
-rect 169518 9415 169574 9424
-rect 168692 6996 168744 7002
-rect 168692 6938 168744 6944
-rect 167588 6656 167640 6662
-rect 167588 6598 167640 6604
-rect 167272 3612 167444 3618
-rect 167220 3606 167444 3612
-rect 166404 480 166432 3606
-rect 167232 3590 167444 3606
-rect 167600 480 167628 6598
-rect 168704 480 168732 6938
-rect 169992 610 170020 9574
-rect 170176 9489 170204 9590
-rect 170162 9480 170218 9489
-rect 170162 9415 170218 9424
-rect 172280 6928 172332 6934
-rect 172280 6870 172332 6876
-rect 171084 6724 171136 6730
-rect 171084 6666 171136 6672
-rect 169888 604 169940 610
-rect 169888 546 169940 552
-rect 169980 604 170032 610
-rect 169980 546 170032 552
-rect 169900 480 169928 546
-rect 171096 480 171124 6666
-rect 172292 480 172320 6870
-rect 174316 610 174344 324974
-rect 177064 322312 177116 322318
-rect 177064 322254 177116 322260
-rect 174672 6792 174724 6798
-rect 174672 6734 174724 6740
-rect 173476 604 173528 610
-rect 173476 546 173528 552
-rect 174304 604 174356 610
-rect 174304 546 174356 552
-rect 173488 480 173516 546
-rect 174684 480 174712 6734
-rect 175868 5908 175920 5914
-rect 175868 5850 175920 5856
-rect 175880 480 175908 5850
-rect 177076 480 177104 322254
-rect 179192 260846 179220 461042
-rect 219200 458516 219252 458522
-rect 219200 458458 219252 458464
-rect 181204 338156 181256 338162
-rect 181204 338098 181256 338104
-rect 190864 338156 190916 338162
-rect 190864 338098 190916 338104
-rect 200524 338156 200576 338162
-rect 200524 338098 200576 338104
-rect 210184 338156 210236 338162
-rect 210184 338098 210236 338104
-rect 181216 337958 181244 338098
-rect 190876 337958 190904 338098
-rect 200536 337958 200564 338098
-rect 210196 337958 210224 338098
-rect 181204 337952 181256 337958
-rect 181204 337894 181256 337900
-rect 190864 337952 190916 337958
-rect 190864 337894 190916 337900
-rect 200524 337952 200576 337958
-rect 200524 337894 200576 337900
-rect 210184 337952 210236 337958
-rect 210184 337894 210236 337900
-rect 181294 337784 181350 337793
-rect 181294 337719 181350 337728
-rect 190862 337784 190918 337793
-rect 190862 337719 190918 337728
-rect 200614 337784 200670 337793
-rect 200614 337719 200670 337728
-rect 210182 337784 210238 337793
-rect 210182 337719 210238 337728
-rect 181308 337618 181336 337719
-rect 190876 337618 190904 337719
-rect 200628 337618 200656 337719
-rect 210196 337618 210224 337719
-rect 181112 337612 181164 337618
-rect 181112 337554 181164 337560
-rect 181296 337612 181348 337618
-rect 181296 337554 181348 337560
-rect 181388 337612 181440 337618
-rect 181388 337554 181440 337560
-rect 190772 337612 190824 337618
-rect 190772 337554 190824 337560
-rect 190864 337612 190916 337618
-rect 190864 337554 190916 337560
-rect 191048 337612 191100 337618
-rect 191048 337554 191100 337560
-rect 200432 337612 200484 337618
-rect 200432 337554 200484 337560
-rect 200616 337612 200668 337618
-rect 200616 337554 200668 337560
-rect 200708 337612 200760 337618
-rect 200708 337554 200760 337560
-rect 210092 337612 210144 337618
-rect 210092 337554 210144 337560
-rect 210184 337612 210236 337618
-rect 210184 337554 210236 337560
-rect 210368 337612 210420 337618
-rect 210368 337554 210420 337560
-rect 181124 337521 181152 337554
-rect 181400 337521 181428 337554
-rect 190784 337521 190812 337554
-rect 191060 337521 191088 337554
-rect 200444 337521 200472 337554
-rect 200720 337521 200748 337554
-rect 210104 337521 210132 337554
-rect 210380 337521 210408 337554
-rect 180926 337512 180982 337521
-rect 181110 337512 181166 337521
-rect 180982 337482 181060 337498
-rect 180982 337476 181072 337482
-rect 180982 337470 181020 337476
-rect 180926 337447 180982 337456
-rect 181110 337447 181166 337456
-rect 181386 337512 181442 337521
-rect 181386 337447 181442 337456
-rect 190770 337512 190826 337521
-rect 190770 337447 190826 337456
-rect 191046 337512 191102 337521
-rect 191230 337512 191286 337521
-rect 191152 337482 191230 337498
-rect 191046 337447 191102 337456
-rect 191140 337476 191230 337482
-rect 181020 337418 181072 337424
-rect 191192 337470 191230 337476
-rect 191230 337447 191286 337456
-rect 200246 337512 200302 337521
-rect 200430 337512 200486 337521
-rect 200302 337482 200380 337498
-rect 200302 337476 200392 337482
-rect 200302 337470 200340 337476
-rect 200246 337447 200302 337456
-rect 191140 337418 191192 337424
-rect 200430 337447 200486 337456
-rect 200706 337512 200762 337521
-rect 200706 337447 200762 337456
-rect 210090 337512 210146 337521
-rect 210090 337447 210146 337456
-rect 210366 337512 210422 337521
-rect 210550 337512 210606 337521
-rect 210472 337482 210550 337498
-rect 210366 337447 210422 337456
-rect 210460 337476 210550 337482
-rect 200340 337418 200392 337424
-rect 210512 337470 210550 337476
-rect 210550 337447 210606 337456
-rect 210460 337418 210512 337424
-rect 181204 337408 181256 337414
-rect 181204 337350 181256 337356
-rect 190956 337408 191008 337414
-rect 190956 337350 191008 337356
-rect 200524 337408 200576 337414
-rect 200524 337350 200576 337356
-rect 210276 337408 210328 337414
-rect 210276 337350 210328 337356
-rect 181216 337249 181244 337350
-rect 190968 337249 190996 337350
-rect 200536 337249 200564 337350
-rect 210288 337249 210316 337350
-rect 181202 337240 181258 337249
-rect 181202 337175 181258 337184
-rect 190954 337240 191010 337249
-rect 190954 337175 191010 337184
-rect 200522 337240 200578 337249
-rect 200522 337175 200578 337184
-rect 210274 337240 210330 337249
-rect 210274 337175 210330 337184
-rect 181204 336116 181256 336122
-rect 181204 336058 181256 336064
-rect 179180 260840 179232 260846
-rect 179180 260782 179232 260788
-rect 177248 11144 177300 11150
-rect 177248 11086 177300 11092
-rect 177260 10577 177288 11086
-rect 177246 10568 177302 10577
-rect 177246 10503 177302 10512
-rect 178260 6860 178312 6866
-rect 178260 6802 178312 6808
-rect 178272 480 178300 6802
-rect 179456 5840 179508 5846
-rect 179456 5782 179508 5788
-rect 179468 480 179496 5782
-rect 181216 610 181244 336058
-rect 204664 333396 204716 333402
-rect 204664 333338 204716 333344
-rect 186724 326528 186776 326534
-rect 186724 326470 186776 326476
-rect 185344 319524 185396 319530
-rect 185344 319466 185396 319472
-rect 183964 297492 184016 297498
-rect 183964 297434 184016 297440
-rect 181848 6112 181900 6118
-rect 181848 6054 181900 6060
-rect 180652 604 180704 610
-rect 180652 546 180704 552
-rect 181204 604 181256 610
-rect 181204 546 181256 552
-rect 180664 480 180692 546
-rect 181860 480 181888 6054
-rect 183976 610 184004 297434
-rect 185356 8430 185384 319466
-rect 184240 8424 184292 8430
-rect 184240 8366 184292 8372
-rect 185344 8424 185396 8430
-rect 185344 8366 185396 8372
-rect 183044 604 183096 610
-rect 183044 546 183096 552
-rect 183964 604 184016 610
-rect 183964 546 184016 552
-rect 183056 480 183084 546
-rect 184252 480 184280 8366
-rect 185344 5908 185396 5914
-rect 185344 5850 185396 5856
-rect 185356 480 185384 5850
-rect 186540 3460 186592 3466
-rect 186540 3402 186592 3408
-rect 186552 3233 186580 3402
-rect 186538 3224 186594 3233
-rect 186538 3159 186594 3168
-rect 186736 626 186764 326470
-rect 194912 323604 194964 323610
-rect 194912 323546 194964 323552
-rect 190864 307828 190916 307834
-rect 190864 307770 190916 307776
-rect 190876 298081 190904 307770
-rect 190678 298072 190734 298081
-rect 190678 298007 190734 298016
-rect 190862 298072 190918 298081
-rect 190862 298007 190918 298016
-rect 190692 288454 190720 298007
-rect 190680 288448 190732 288454
-rect 190680 288390 190732 288396
-rect 190864 288448 190916 288454
-rect 190864 288390 190916 288396
-rect 190876 278769 190904 288390
-rect 190678 278760 190734 278769
-rect 190678 278695 190734 278704
-rect 190862 278760 190918 278769
-rect 190862 278695 190918 278704
-rect 190692 269142 190720 278695
-rect 190680 269136 190732 269142
-rect 190680 269078 190732 269084
-rect 190864 269136 190916 269142
-rect 190864 269078 190916 269084
-rect 190876 259457 190904 269078
-rect 190678 259448 190734 259457
-rect 190678 259383 190734 259392
-rect 190862 259448 190918 259457
-rect 190862 259383 190918 259392
-rect 190692 249830 190720 259383
-rect 190680 249824 190732 249830
-rect 190680 249766 190732 249772
-rect 190864 249824 190916 249830
-rect 190864 249766 190916 249772
-rect 190876 240145 190904 249766
-rect 190678 240136 190734 240145
-rect 190678 240071 190734 240080
-rect 190862 240136 190918 240145
-rect 190862 240071 190918 240080
-rect 190692 230518 190720 240071
-rect 190680 230512 190732 230518
-rect 190680 230454 190732 230460
-rect 190864 230512 190916 230518
-rect 190864 230454 190916 230460
-rect 190876 220833 190904 230454
-rect 190678 220824 190734 220833
-rect 190678 220759 190734 220768
-rect 190862 220824 190918 220833
-rect 190862 220759 190918 220768
-rect 190692 211177 190720 220759
-rect 190678 211168 190734 211177
-rect 190678 211103 190734 211112
-rect 190862 211168 190918 211177
-rect 190862 211103 190918 211112
-rect 190876 201482 190904 211103
-rect 190680 201476 190732 201482
-rect 190680 201418 190732 201424
-rect 190864 201476 190916 201482
-rect 190864 201418 190916 201424
-rect 190692 191865 190720 201418
-rect 190678 191856 190734 191865
-rect 190678 191791 190734 191800
-rect 190862 191856 190918 191865
-rect 190862 191791 190918 191800
-rect 190876 182170 190904 191791
-rect 190680 182164 190732 182170
-rect 190680 182106 190732 182112
-rect 190864 182164 190916 182170
-rect 190864 182106 190916 182112
-rect 190692 172553 190720 182106
-rect 190678 172544 190734 172553
-rect 190678 172479 190734 172488
-rect 190862 172544 190918 172553
-rect 190862 172479 190918 172488
-rect 190876 162858 190904 172479
-rect 190864 162852 190916 162858
-rect 190864 162794 190916 162800
-rect 190864 153264 190916 153270
-rect 190864 153206 190916 153212
-rect 190876 143478 190904 153206
-rect 190864 143472 190916 143478
-rect 190864 143414 190916 143420
-rect 190864 133952 190916 133958
-rect 190864 133894 190916 133900
-rect 190876 124166 190904 133894
-rect 190864 124160 190916 124166
-rect 190864 124102 190916 124108
-rect 190864 114572 190916 114578
-rect 190864 114514 190916 114520
-rect 190876 104854 190904 114514
-rect 190864 104848 190916 104854
-rect 190864 104790 190916 104796
-rect 190864 95328 190916 95334
-rect 190864 95270 190916 95276
-rect 190876 85542 190904 95270
-rect 190864 85536 190916 85542
-rect 190864 85478 190916 85484
-rect 190864 75948 190916 75954
-rect 190864 75890 190916 75896
-rect 190876 66230 190904 75890
-rect 190864 66224 190916 66230
-rect 190864 66166 190916 66172
-rect 190864 56704 190916 56710
-rect 190864 56646 190916 56652
-rect 190876 46918 190904 56646
-rect 190864 46912 190916 46918
-rect 190864 46854 190916 46860
-rect 190864 37324 190916 37330
-rect 190864 37266 190916 37272
-rect 190876 27606 190904 37266
-rect 190220 27600 190272 27606
-rect 190220 27542 190272 27548
-rect 190864 27600 190916 27606
-rect 190864 27542 190916 27548
-rect 190232 18057 190260 27542
-rect 190218 18048 190274 18057
-rect 190218 17983 190274 17992
-rect 190402 18048 190458 18057
-rect 190402 17983 190458 17992
-rect 187092 10804 187144 10810
-rect 187092 10746 187144 10752
-rect 186816 10736 186868 10742
-rect 186814 10704 186816 10713
-rect 186868 10704 186870 10713
-rect 186814 10639 186870 10648
-rect 187104 10577 187132 10746
-rect 187090 10568 187146 10577
-rect 187090 10503 187146 10512
-rect 190416 9761 190444 17983
-rect 191692 10804 191744 10810
-rect 191692 10746 191744 10752
-rect 191704 10674 191732 10746
-rect 191692 10668 191744 10674
-rect 191692 10610 191744 10616
-rect 191782 10160 191838 10169
-rect 191782 10095 191838 10104
-rect 190402 9752 190458 9761
-rect 190402 9687 190458 9696
-rect 190586 9752 190642 9761
-rect 190586 9687 190642 9696
-rect 190600 9602 190628 9687
-rect 190416 9574 190628 9602
-rect 188932 5840 188984 5846
-rect 188932 5782 188984 5788
-rect 187734 4856 187790 4865
-rect 187734 4791 187790 4800
-rect 186816 4208 186868 4214
-rect 186816 4150 186868 4156
-rect 186828 3466 186856 4150
-rect 186816 3460 186868 3466
-rect 186816 3402 186868 3408
-rect 187182 3224 187238 3233
-rect 187182 3159 187184 3168
-rect 187236 3159 187238 3168
-rect 187184 3130 187236 3136
-rect 186828 3058 187132 3074
-rect 186816 3052 187132 3058
-rect 186868 3046 187132 3052
-rect 186816 2994 186868 3000
-rect 187104 2990 187132 3046
-rect 187092 2984 187144 2990
-rect 187092 2926 187144 2932
-rect 186644 598 186764 626
-rect 186644 592 186672 598
-rect 186552 564 186672 592
-rect 186552 480 186580 564
-rect 187748 480 187776 4791
-rect 188944 480 188972 5782
-rect 190416 610 190444 9574
-rect 191796 9042 191824 10095
-rect 191784 9036 191836 9042
-rect 191784 8978 191836 8984
-rect 194924 7750 194952 323546
-rect 197764 318164 197816 318170
-rect 197764 318106 197816 318112
-rect 196382 10704 196438 10713
-rect 196382 10639 196438 10648
-rect 196396 10266 196424 10639
-rect 196474 10432 196530 10441
-rect 196474 10367 196530 10376
-rect 196384 10260 196436 10266
-rect 196384 10202 196436 10208
-rect 195924 10192 195976 10198
-rect 195922 10160 195924 10169
-rect 196488 10169 196516 10367
-rect 195976 10160 195978 10169
-rect 196474 10160 196530 10169
-rect 195922 10095 195978 10104
-rect 196016 10124 196068 10130
-rect 196474 10095 196530 10104
-rect 196016 10066 196068 10072
-rect 196028 10033 196056 10066
-rect 196014 10024 196070 10033
-rect 196014 9959 196070 9968
-rect 196292 7880 196344 7886
-rect 196290 7848 196292 7857
-rect 196344 7848 196346 7857
-rect 196290 7783 196346 7792
-rect 193624 7744 193676 7750
-rect 193622 7712 193624 7721
-rect 193716 7744 193768 7750
-rect 193676 7712 193678 7721
-rect 193716 7686 193768 7692
-rect 194912 7744 194964 7750
-rect 194912 7686 194964 7692
-rect 193622 7647 193678 7656
-rect 192520 5772 192572 5778
-rect 192520 5714 192572 5720
-rect 191324 5364 191376 5370
-rect 191324 5306 191376 5312
-rect 190128 604 190180 610
-rect 190128 546 190180 552
-rect 190404 604 190456 610
-rect 190404 546 190456 552
-rect 190140 480 190168 546
-rect 191336 480 191364 5306
-rect 192532 480 192560 5714
-rect 193728 480 193756 7686
-rect 195004 4752 195056 4758
-rect 195004 4694 195056 4700
-rect 195016 2394 195044 4694
-rect 196384 4208 196436 4214
-rect 196384 4150 196436 4156
-rect 196476 4208 196528 4214
-rect 196476 4150 196528 4156
-rect 196396 3466 196424 4150
-rect 196488 3466 196516 4150
-rect 196292 3460 196344 3466
-rect 196292 3402 196344 3408
-rect 196384 3460 196436 3466
-rect 196384 3402 196436 3408
-rect 196476 3460 196528 3466
-rect 196476 3402 196528 3408
-rect 196198 3224 196254 3233
-rect 196198 3159 196200 3168
-rect 196252 3159 196254 3168
-rect 196200 3130 196252 3136
-rect 195924 2984 195976 2990
-rect 195922 2952 195924 2961
-rect 195976 2952 195978 2961
-rect 195922 2887 195978 2896
-rect 196304 2836 196332 3402
-rect 196750 3224 196806 3233
-rect 196750 3159 196752 3168
-rect 196804 3159 196806 3168
-rect 196752 3130 196804 3136
-rect 196384 2848 196436 2854
-rect 196304 2808 196384 2836
-rect 196384 2790 196436 2796
-rect 196108 2644 196160 2650
-rect 196108 2586 196160 2592
-rect 194924 2366 195044 2394
-rect 194924 480 194952 2366
-rect 196120 480 196148 2586
-rect 197776 610 197804 318106
-rect 201904 315376 201956 315382
-rect 201904 315318 201956 315324
-rect 199696 5636 199748 5642
-rect 199696 5578 199748 5584
-rect 198500 4684 198552 4690
-rect 198500 4626 198552 4632
-rect 197304 604 197356 610
-rect 197304 546 197356 552
-rect 197764 604 197816 610
-rect 197764 546 197816 552
-rect 197316 480 197344 546
-rect 198512 480 198540 4626
-rect 199708 480 199736 5578
-rect 201916 610 201944 315318
-rect 203192 5568 203244 5574
-rect 203192 5510 203244 5516
-rect 201996 4616 202048 4622
-rect 201996 4558 202048 4564
-rect 200892 604 200944 610
-rect 200892 546 200944 552
-rect 201904 604 201956 610
-rect 201904 546 201956 552
-rect 200904 480 200932 546
-rect 202008 480 202036 4558
-rect 203204 480 203232 5510
-rect 204676 626 204704 333338
-rect 218464 327888 218516 327894
-rect 218464 327830 218516 327836
-rect 214324 327140 214376 327146
-rect 214324 327082 214376 327088
-rect 214336 317422 214364 327082
-rect 214324 317416 214376 317422
-rect 214324 317358 214376 317364
-rect 214324 307828 214376 307834
-rect 214324 307770 214376 307776
-rect 214336 298081 214364 307770
-rect 214322 298072 214378 298081
-rect 214322 298007 214378 298016
-rect 214506 298072 214562 298081
-rect 214506 298007 214562 298016
-rect 214520 288454 214548 298007
-rect 214324 288448 214376 288454
-rect 214324 288390 214376 288396
-rect 214508 288448 214560 288454
-rect 214508 288390 214560 288396
-rect 214336 278769 214364 288390
-rect 214322 278760 214378 278769
-rect 214322 278695 214378 278704
-rect 214506 278760 214562 278769
-rect 214506 278695 214562 278704
-rect 214520 269142 214548 278695
-rect 214324 269136 214376 269142
-rect 214324 269078 214376 269084
-rect 214508 269136 214560 269142
-rect 214508 269078 214560 269084
-rect 214336 259457 214364 269078
-rect 214322 259448 214378 259457
-rect 214322 259383 214378 259392
-rect 214506 259448 214562 259457
-rect 214506 259383 214562 259392
-rect 214520 249830 214548 259383
-rect 214324 249824 214376 249830
-rect 214324 249766 214376 249772
-rect 214508 249824 214560 249830
-rect 214508 249766 214560 249772
-rect 214336 240145 214364 249766
-rect 214322 240136 214378 240145
-rect 214322 240071 214378 240080
-rect 214506 240136 214562 240145
-rect 214506 240071 214562 240080
-rect 214520 230518 214548 240071
-rect 214324 230512 214376 230518
-rect 214324 230454 214376 230460
-rect 214508 230512 214560 230518
-rect 214508 230454 214560 230460
-rect 214336 220833 214364 230454
-rect 214322 220824 214378 220833
-rect 214322 220759 214378 220768
-rect 214506 220824 214562 220833
-rect 214506 220759 214562 220768
-rect 214520 211177 214548 220759
-rect 214322 211168 214378 211177
-rect 214322 211103 214378 211112
-rect 214506 211168 214562 211177
-rect 214506 211103 214562 211112
-rect 214336 201482 214364 211103
-rect 214324 201476 214376 201482
-rect 214324 201418 214376 201424
-rect 214508 201476 214560 201482
-rect 214508 201418 214560 201424
-rect 214520 191865 214548 201418
-rect 214322 191856 214378 191865
-rect 214322 191791 214378 191800
-rect 214506 191856 214562 191865
-rect 214506 191791 214562 191800
-rect 214336 182170 214364 191791
-rect 214324 182164 214376 182170
-rect 214324 182106 214376 182112
-rect 214508 182164 214560 182170
-rect 214508 182106 214560 182112
-rect 214520 172553 214548 182106
-rect 214322 172544 214378 172553
-rect 214322 172479 214378 172488
-rect 214506 172544 214562 172553
-rect 214506 172479 214562 172488
-rect 214336 162858 214364 172479
-rect 214324 162852 214376 162858
-rect 214324 162794 214376 162800
-rect 214324 153264 214376 153270
-rect 214324 153206 214376 153212
-rect 214336 143478 214364 153206
-rect 214324 143472 214376 143478
-rect 214324 143414 214376 143420
-rect 214324 133952 214376 133958
-rect 214324 133894 214376 133900
-rect 214336 124166 214364 133894
-rect 214324 124160 214376 124166
-rect 214324 124102 214376 124108
-rect 214324 114572 214376 114578
-rect 214324 114514 214376 114520
-rect 214336 104854 214364 114514
-rect 214324 104848 214376 104854
-rect 214324 104790 214376 104796
-rect 214324 95328 214376 95334
-rect 214324 95270 214376 95276
-rect 214336 85542 214364 95270
-rect 214324 85536 214376 85542
-rect 214324 85478 214376 85484
-rect 214324 75948 214376 75954
-rect 214324 75890 214376 75896
-rect 214336 66230 214364 75890
-rect 214324 66224 214376 66230
-rect 214324 66166 214376 66172
-rect 214324 56704 214376 56710
-rect 214324 56646 214376 56652
-rect 214336 46918 214364 56646
-rect 214324 46912 214376 46918
-rect 214324 46854 214376 46860
-rect 214324 37324 214376 37330
-rect 214324 37266 214376 37272
-rect 214336 27606 214364 37266
-rect 214324 27600 214376 27606
-rect 214324 27542 214376 27548
-rect 214508 27600 214560 27606
-rect 214508 27542 214560 27548
-rect 206318 10432 206374 10441
-rect 206318 10367 206374 10376
-rect 206332 10169 206360 10367
-rect 206318 10160 206374 10169
-rect 206318 10095 206374 10104
-rect 214520 9761 214548 27542
-rect 215980 11212 216032 11218
-rect 215980 11154 216032 11160
-rect 215796 11076 215848 11082
-rect 215796 11018 215848 11024
-rect 215808 10033 215836 11018
-rect 215794 10024 215850 10033
-rect 215794 9959 215850 9968
-rect 215992 9897 216020 11154
-rect 216162 10432 216218 10441
-rect 216162 10367 216218 10376
-rect 216176 10169 216204 10367
-rect 216162 10160 216218 10169
-rect 216162 10095 216218 10104
-rect 215978 9888 216034 9897
-rect 215978 9823 216034 9832
-rect 213954 9752 214010 9761
-rect 213954 9687 214010 9696
-rect 214506 9752 214562 9761
-rect 214506 9687 214562 9696
-rect 207976 8628 208028 8634
-rect 207976 8570 208028 8576
-rect 206778 5944 206834 5953
-rect 206778 5879 206834 5888
-rect 205584 4548 205636 4554
-rect 205584 4490 205636 4496
-rect 204400 598 204704 626
-rect 204400 480 204428 598
-rect 205596 480 205624 4490
-rect 206044 4208 206096 4214
-rect 206044 4150 206096 4156
-rect 206056 3466 206084 4150
-rect 206228 3528 206280 3534
-rect 206228 3470 206280 3476
-rect 206044 3460 206096 3466
-rect 206044 3402 206096 3408
-rect 206240 3233 206268 3470
-rect 205858 3224 205914 3233
-rect 205858 3159 205860 3168
-rect 205912 3159 205914 3168
-rect 206226 3224 206282 3233
-rect 206226 3159 206282 3168
-rect 205860 3130 205912 3136
-rect 206792 480 206820 5879
-rect 207700 3052 207752 3058
-rect 207700 2994 207752 3000
-rect 207712 2961 207740 2994
-rect 207698 2952 207754 2961
-rect 207698 2887 207754 2896
-rect 207988 480 208016 8570
-rect 211564 8560 211616 8566
-rect 211564 8502 211616 8508
-rect 210366 5808 210422 5817
-rect 210366 5743 210422 5752
-rect 209172 4480 209224 4486
-rect 209172 4422 209224 4428
-rect 208158 3360 208214 3369
-rect 208158 3295 208214 3304
-rect 208172 2553 208200 3295
-rect 208158 2544 208214 2553
-rect 208158 2479 208214 2488
-rect 209184 480 209212 4422
-rect 210276 3664 210328 3670
-rect 210276 3606 210328 3612
-rect 210288 3097 210316 3606
-rect 210274 3088 210330 3097
-rect 210274 3023 210330 3032
-rect 210380 480 210408 5743
-rect 211576 480 211604 8502
-rect 212760 4208 212812 4214
-rect 212760 4150 212812 4156
-rect 212772 480 212800 4150
-rect 213968 480 213996 9687
-rect 215152 8424 215204 8430
-rect 215152 8366 215204 8372
-rect 215164 480 215192 8366
-rect 215794 7984 215850 7993
-rect 215794 7919 215850 7928
-rect 215808 7886 215836 7919
-rect 215796 7880 215848 7886
-rect 215796 7822 215848 7828
-rect 215888 7880 215940 7886
-rect 215888 7822 215940 7828
-rect 215900 7721 215928 7822
-rect 215886 7712 215942 7721
-rect 215886 7647 215942 7656
-rect 215796 6316 215848 6322
-rect 215796 6258 215848 6264
-rect 215808 6089 215836 6258
-rect 215888 6180 215940 6186
-rect 215888 6122 215940 6128
-rect 215794 6080 215850 6089
-rect 215794 6015 215850 6024
-rect 215900 5953 215928 6122
-rect 215886 5944 215942 5953
-rect 215886 5879 215942 5888
-rect 216346 4312 216402 4321
-rect 216346 4247 216402 4256
-rect 215794 4176 215850 4185
-rect 215794 4111 215850 4120
-rect 215808 4010 215836 4111
-rect 215796 4004 215848 4010
-rect 215796 3946 215848 3952
-rect 215888 4004 215940 4010
-rect 215888 3946 215940 3952
-rect 215796 3664 215848 3670
-rect 215900 3652 215928 3946
-rect 215848 3624 215928 3652
-rect 215796 3606 215848 3612
-rect 216360 480 216388 4247
-rect 218476 4010 218504 327830
-rect 219212 59226 219240 458458
-rect 220592 427786 220620 461450
-rect 228860 460352 228912 460358
-rect 228860 460294 228912 460300
-rect 227572 460080 227624 460086
-rect 227572 460022 227624 460028
-rect 223340 460012 223392 460018
-rect 223340 459954 223392 459960
-rect 221960 458720 222012 458726
-rect 221960 458662 222012 458668
-rect 220580 427780 220632 427786
-rect 220580 427722 220632 427728
-rect 221972 378010 222000 458662
-rect 221960 378004 222012 378010
-rect 221960 377946 222012 377952
-rect 223352 361554 223380 459954
-rect 224720 459808 224772 459814
-rect 224720 459750 224772 459756
-rect 223340 361548 223392 361554
-rect 223340 361490 223392 361496
-rect 219844 338156 219896 338162
-rect 219844 338098 219896 338104
-rect 219856 337958 219884 338098
-rect 219844 337952 219896 337958
-rect 219844 337894 219896 337900
-rect 219934 337784 219990 337793
-rect 219934 337719 219990 337728
-rect 219948 337618 219976 337719
-rect 219752 337612 219804 337618
-rect 219752 337554 219804 337560
-rect 219936 337612 219988 337618
-rect 219936 337554 219988 337560
-rect 220028 337612 220080 337618
-rect 220028 337554 220080 337560
-rect 219764 337521 219792 337554
-rect 220040 337521 220068 337554
-rect 219566 337512 219622 337521
-rect 219750 337512 219806 337521
-rect 219622 337482 219700 337498
-rect 219622 337476 219712 337482
-rect 219622 337470 219660 337476
-rect 219566 337447 219622 337456
-rect 219750 337447 219806 337456
-rect 220026 337512 220082 337521
-rect 220026 337447 220082 337456
-rect 219660 337418 219712 337424
-rect 219844 337408 219896 337414
-rect 219844 337350 219896 337356
-rect 219856 337249 219884 337350
-rect 219842 337240 219898 337249
-rect 219842 337175 219898 337184
-rect 221224 329248 221276 329254
-rect 221224 329190 221276 329196
-rect 219200 59220 219252 59226
-rect 219200 59162 219252 59168
-rect 218556 8356 218608 8362
-rect 218556 8298 218608 8304
-rect 217544 4004 217596 4010
-rect 217544 3946 217596 3952
-rect 218464 4004 218516 4010
-rect 218464 3946 218516 3952
-rect 217556 480 217584 3946
-rect 218568 2802 218596 8298
-rect 219658 4720 219714 4729
-rect 219658 4655 219714 4664
-rect 218646 4312 218702 4321
-rect 218646 4247 218648 4256
-rect 218700 4247 218702 4256
-rect 218648 4218 218700 4224
-rect 218568 2774 218688 2802
-rect 218660 480 218688 2774
-rect 219672 1306 219700 4655
-rect 219750 4176 219806 4185
-rect 219750 4111 219806 4120
-rect 219764 4010 219792 4111
-rect 219752 4004 219804 4010
-rect 219752 3946 219804 3952
-rect 220028 3664 220080 3670
-rect 220028 3606 220080 3612
-rect 220040 2650 220068 3606
-rect 220028 2644 220080 2650
-rect 220028 2586 220080 2592
-rect 219672 1278 219884 1306
-rect 219856 480 219884 1278
-rect 221236 610 221264 329190
-rect 224732 209778 224760 459750
-rect 227480 458992 227532 458998
-rect 227480 458934 227532 458940
-rect 226192 458856 226244 458862
-rect 226192 458798 226244 458804
-rect 226100 458788 226152 458794
-rect 226100 458730 226152 458736
-rect 225364 336320 225416 336326
-rect 225364 336262 225416 336268
-rect 225376 309126 225404 336262
-rect 226112 310486 226140 458730
-rect 226204 411194 226232 458798
-rect 226192 411188 226244 411194
-rect 226192 411130 226244 411136
-rect 226926 337648 226982 337657
-rect 226926 337583 226982 337592
-rect 226940 337482 226968 337583
-rect 226928 337476 226980 337482
-rect 226928 337418 226980 337424
-rect 226100 310480 226152 310486
-rect 226100 310422 226152 310428
-rect 225364 309120 225416 309126
-rect 225364 309062 225416 309068
-rect 225364 299532 225416 299538
-rect 225364 299474 225416 299480
-rect 225376 270502 225404 299474
-rect 225364 270496 225416 270502
-rect 225364 270438 225416 270444
-rect 225364 260908 225416 260914
-rect 225364 260850 225416 260856
-rect 225376 251190 225404 260850
-rect 225364 251184 225416 251190
-rect 225364 251126 225416 251132
-rect 225364 241664 225416 241670
-rect 225364 241606 225416 241612
-rect 225376 212537 225404 241606
-rect 225362 212528 225418 212537
-rect 225362 212463 225418 212472
-rect 225546 212528 225602 212537
-rect 225546 212463 225602 212472
-rect 224720 209772 224772 209778
-rect 224720 209714 224772 209720
-rect 225560 203046 225588 212463
-rect 225364 203040 225416 203046
-rect 225364 202982 225416 202988
-rect 225548 203040 225600 203046
-rect 225548 202982 225600 202988
-rect 225376 173913 225404 202982
-rect 225362 173904 225418 173913
-rect 225362 173839 225418 173848
-rect 225362 164248 225418 164257
-rect 225362 164183 225418 164192
-rect 225376 144906 225404 164183
-rect 225364 144900 225416 144906
-rect 225364 144842 225416 144848
-rect 227492 143546 227520 458934
-rect 227584 394670 227612 460022
-rect 227572 394664 227624 394670
-rect 227572 394606 227624 394612
-rect 228872 244186 228900 460294
-rect 228964 343602 228992 461518
-rect 240268 461440 240320 461446
-rect 240268 461382 240320 461388
-rect 235024 461236 235076 461242
-rect 235024 461178 235076 461184
-rect 235036 459884 235064 461178
-rect 240280 459884 240308 461382
-rect 243488 460148 243540 460154
-rect 243488 460090 243540 460096
-rect 243500 459884 243528 460090
-rect 252780 459944 252832 459950
-rect 247746 459882 248128 459898
-rect 252832 459892 252990 459898
-rect 252780 459886 252990 459892
-rect 247746 459876 248140 459882
-rect 247746 459870 248088 459876
-rect 252792 459870 252990 459886
-rect 253988 459884 254016 463286
-rect 255080 462868 255132 462874
-rect 255080 462810 255132 462816
-rect 255092 459884 255120 462810
-rect 257116 459884 257144 463558
-rect 258208 463412 258260 463418
-rect 258208 463354 258260 463360
-rect 258220 459884 258248 463354
-rect 261336 463140 261388 463146
-rect 261336 463082 261388 463088
-rect 259220 461168 259272 461174
-rect 259220 461110 259272 461116
-rect 259232 459884 259260 461110
-rect 260324 460964 260376 460970
-rect 260324 460906 260376 460912
-rect 260336 459884 260364 460906
-rect 261348 459884 261376 463082
-rect 262636 459898 262664 476070
-rect 264016 460034 264044 507826
-rect 265384 492720 265436 492726
-rect 265384 492662 265436 492668
-rect 265396 463078 265424 492662
-rect 266684 463078 266712 522990
-rect 264556 463072 264608 463078
-rect 264556 463014 264608 463020
-rect 265384 463072 265436 463078
-rect 265384 463014 265436 463020
-rect 265568 463072 265620 463078
-rect 265568 463014 265620 463020
-rect 266672 463072 266724 463078
-rect 266672 463014 266724 463020
-rect 263740 460006 264044 460034
-rect 263740 459898 263768 460006
-rect 262466 459870 262664 459898
-rect 263478 459870 263768 459898
-rect 264568 459884 264596 463014
-rect 265580 459884 265608 463014
-rect 266776 459898 266804 554746
-rect 268144 539776 268196 539782
-rect 268144 539718 268196 539724
-rect 268156 460034 268184 539718
-rect 269536 463078 269564 569910
-rect 268696 463072 268748 463078
-rect 268696 463014 268748 463020
-rect 269524 463072 269576 463078
-rect 269524 463014 269576 463020
-rect 269800 463072 269852 463078
-rect 269800 463014 269852 463020
-rect 268064 460006 268184 460034
-rect 268064 459898 268092 460006
-rect 266606 459870 266804 459898
-rect 267710 459870 268092 459898
-rect 268708 459884 268736 463014
-rect 269812 459884 269840 463014
-rect 270824 459884 270852 586502
-rect 270916 463078 270944 601734
-rect 270904 463072 270956 463078
-rect 270904 463014 270956 463020
-rect 272296 459898 272324 616966
-rect 273676 463078 273704 648586
-rect 274952 633480 275004 633486
-rect 274952 633422 275004 633428
-rect 274964 463078 274992 633422
-rect 272928 463072 272980 463078
-rect 272928 463014 272980 463020
-rect 273664 463072 273716 463078
-rect 273664 463014 273716 463020
-rect 273940 463072 273992 463078
-rect 273940 463014 273992 463020
-rect 274952 463072 275004 463078
-rect 274952 463014 275004 463020
-rect 271942 459870 272324 459898
-rect 272940 459884 272968 463014
-rect 273952 459884 273980 463014
-rect 275056 459884 275084 663750
-rect 276436 459898 276464 695506
-rect 277804 680400 277856 680406
-rect 277804 680342 277856 680348
-rect 277816 460034 277844 680342
-rect 278172 463140 278224 463146
-rect 278172 463082 278224 463088
-rect 277540 460006 277844 460034
-rect 277540 459898 277568 460006
-rect 276082 459870 276464 459898
-rect 277186 459870 277568 459898
-rect 278184 459884 278212 463082
-rect 279276 463072 279328 463078
-rect 279276 463014 279328 463020
-rect 279288 459884 279316 463014
-rect 280484 459898 280512 700334
-rect 280576 463078 280604 700402
-rect 283324 699916 283376 699922
-rect 283324 699858 283376 699864
-rect 283336 463146 283364 699858
-rect 283600 463276 283652 463282
-rect 283600 463218 283652 463224
-rect 283612 463185 283640 463218
-rect 283598 463176 283654 463185
-rect 282312 463140 282364 463146
-rect 282312 463082 282364 463088
-rect 282404 463140 282456 463146
-rect 282404 463082 282456 463088
-rect 283324 463140 283376 463146
-rect 283324 463082 283376 463088
-rect 283416 463140 283468 463146
-rect 283598 463111 283654 463120
-rect 283416 463082 283468 463088
-rect 280564 463072 280616 463078
-rect 280564 463014 280616 463020
-rect 281392 463072 281444 463078
-rect 282324 463049 282352 463082
-rect 281392 463014 281444 463020
-rect 282310 463040 282366 463049
-rect 280314 459870 280512 459898
-rect 281404 459884 281432 463014
-rect 282310 462975 282366 462984
-rect 282416 459884 282444 463082
-rect 283428 463049 283456 463082
-rect 284716 463078 284744 700878
-rect 286084 700868 286136 700874
-rect 286084 700810 286136 700816
-rect 288844 700868 288896 700874
-rect 288844 700810 288896 700816
-rect 283784 463072 283836 463078
-rect 283414 463040 283470 463049
-rect 283784 463014 283836 463020
-rect 284704 463072 284756 463078
-rect 284704 463014 284756 463020
-rect 283414 462975 283470 462984
-rect 283796 459898 283824 463014
-rect 284704 462256 284756 462262
-rect 284704 462198 284756 462204
-rect 284716 459898 284744 462198
-rect 283442 459870 283824 459898
-rect 284546 459870 284744 459898
-rect 248088 459818 248140 459824
-rect 286096 459762 286124 700810
-rect 287464 699984 287516 699990
-rect 287464 699926 287516 699932
-rect 287476 463078 287504 699926
-rect 288198 463176 288254 463185
-rect 288382 463176 288438 463185
-rect 288198 463111 288200 463120
-rect 288252 463111 288254 463120
-rect 288304 463134 288382 463162
-rect 288200 463082 288252 463088
-rect 286636 463072 286688 463078
-rect 286636 463014 286688 463020
-rect 287464 463072 287516 463078
-rect 287464 463014 287516 463020
-rect 286648 459884 286676 463014
-rect 288304 460034 288332 463134
-rect 288382 463111 288438 463120
-rect 288120 460006 288332 460034
-rect 288120 459898 288148 460006
-rect 288856 459898 288884 700810
-rect 290224 699848 290276 699854
-rect 290224 699790 290276 699796
-rect 287674 459870 288148 459898
-rect 288778 459870 288884 459898
-rect 290236 459762 290264 699790
-rect 291788 699780 291840 699786
-rect 291788 699722 291840 699728
-rect 291696 699712 291748 699718
-rect 291696 699654 291748 699660
-rect 291708 463146 291736 699654
-rect 291696 463140 291748 463146
-rect 291696 463082 291748 463088
-rect 291144 462324 291196 462330
-rect 291144 462266 291196 462272
-rect 291156 459898 291184 462266
-rect 290894 459870 291184 459898
-rect 291800 459898 291828 699722
-rect 292444 699718 292472 703520
-rect 314064 703474 314092 703520
-rect 313972 703446 314092 703474
-rect 299976 701004 300028 701010
-rect 299976 700946 300028 700952
-rect 298596 700256 298648 700262
-rect 298596 700198 298648 700204
-rect 294456 699916 294508 699922
-rect 294456 699858 294508 699864
-rect 292524 699848 292576 699854
-rect 292524 699790 292576 699796
-rect 292536 699718 292564 699790
-rect 292432 699712 292484 699718
-rect 292432 699654 292484 699660
-rect 292524 699712 292576 699718
-rect 292524 699654 292576 699660
-rect 293076 463276 293128 463282
-rect 293076 463218 293128 463224
-rect 293168 463276 293220 463282
-rect 293168 463218 293220 463224
-rect 292524 463140 292576 463146
-rect 292524 463082 292576 463088
-rect 292536 459898 292564 463082
-rect 293088 459898 293116 463218
-rect 293180 463185 293208 463218
-rect 293166 463176 293222 463185
-rect 293166 463111 293222 463120
-rect 291800 459870 291906 459898
-rect 292536 459870 292918 459898
-rect 293088 459870 294022 459898
-rect 285558 459734 286124 459762
-rect 289790 459734 290264 459762
-rect 294468 459762 294496 699858
-rect 295928 699780 295980 699786
-rect 295928 699722 295980 699728
-rect 297216 699780 297268 699786
-rect 297216 699722 297268 699728
-rect 295940 459898 295968 699722
-rect 296756 462188 296808 462194
-rect 296756 462130 296808 462136
-rect 296768 459898 296796 462130
-rect 297228 460034 297256 699722
-rect 297228 460006 297716 460034
-rect 295940 459870 296138 459898
-rect 296768 459870 297150 459898
-rect 297688 459762 297716 460006
-rect 298608 459762 298636 700198
-rect 299988 459898 300016 700946
-rect 301356 700800 301408 700806
-rect 301356 700742 301408 700748
-rect 301368 463146 301396 700742
-rect 301448 700596 301500 700602
-rect 301448 700538 301500 700544
-rect 301356 463140 301408 463146
-rect 301356 463082 301408 463088
-rect 301460 459898 301488 700538
-rect 302736 700528 302788 700534
-rect 302736 700470 302788 700476
-rect 302092 463140 302144 463146
-rect 302092 463082 302144 463088
-rect 299988 459870 300278 459898
-rect 301382 459870 301488 459898
-rect 302104 459898 302132 463082
-rect 302748 460034 302776 700470
-rect 304114 700360 304170 700369
-rect 304114 700295 304170 700304
-rect 305496 700324 305548 700330
-rect 302748 460006 302960 460034
-rect 302104 459870 302394 459898
-rect 302932 459762 302960 460006
-rect 304128 459898 304156 700295
-rect 305496 700266 305548 700272
-rect 305508 459898 305536 700266
-rect 305588 694272 305640 694278
-rect 305588 694214 305640 694220
-rect 305600 460306 305628 694214
-rect 313972 688650 314000 703446
-rect 335684 699854 335712 703520
-rect 335672 699848 335724 699854
-rect 335672 699790 335724 699796
-rect 357304 699718 357332 703520
-rect 378924 702386 378952 703520
-rect 378740 702358 378952 702386
-rect 357292 699712 357344 699718
-rect 357292 699654 357344 699660
-rect 378740 695502 378768 702358
-rect 400636 699922 400664 703520
-rect 422256 699990 422284 703520
-rect 443876 703474 443904 703520
-rect 443692 703446 443904 703474
-rect 422244 699984 422296 699990
-rect 422244 699926 422296 699932
-rect 400624 699916 400676 699922
-rect 400624 699858 400676 699864
-rect 378728 695496 378780 695502
-rect 378728 695438 378780 695444
-rect 443692 688650 443720 703446
-rect 465496 700942 465524 703520
-rect 465484 700936 465536 700942
-rect 465484 700878 465536 700884
-rect 487116 700874 487144 703520
-rect 508736 702386 508764 703520
-rect 508460 702358 508764 702386
-rect 487104 700868 487156 700874
-rect 487104 700810 487156 700816
-rect 508460 695502 508488 702358
-rect 530356 700466 530384 703520
-rect 530344 700460 530396 700466
-rect 530344 700402 530396 700408
-rect 551976 700398 552004 703520
-rect 573596 703474 573624 703520
-rect 573412 703446 573624 703474
-rect 551964 700392 552016 700398
-rect 551964 700334 552016 700340
-rect 508448 695496 508500 695502
-rect 508448 695438 508500 695444
-rect 573412 688650 573440 703446
-rect 580114 696008 580170 696017
-rect 580114 695943 580170 695952
-rect 580128 695570 580156 695943
-rect 580116 695564 580168 695570
-rect 580116 695506 580168 695512
-rect 313972 688634 314092 688650
-rect 443692 688634 443812 688650
-rect 573412 688634 573532 688650
-rect 313972 688628 314104 688634
-rect 313972 688622 314052 688628
-rect 314052 688570 314104 688576
-rect 314236 688628 314288 688634
-rect 443692 688628 443824 688634
-rect 443692 688622 443772 688628
-rect 314236 688570 314288 688576
-rect 443772 688570 443824 688576
-rect 443956 688628 444008 688634
-rect 573412 688628 573544 688634
-rect 573412 688622 573492 688628
-rect 443956 688570 444008 688576
-rect 573492 688570 573544 688576
-rect 573676 688628 573728 688634
-rect 573676 688570 573728 688576
-rect 314064 688539 314092 688570
-rect 314248 681034 314276 688570
-rect 443784 688539 443812 688570
-rect 378820 685908 378872 685914
-rect 378820 685850 378872 685856
-rect 314156 681006 314276 681034
-rect 308256 677612 308308 677618
-rect 308256 677554 308308 677560
-rect 306876 661088 306928 661094
-rect 306876 661030 306928 661036
-rect 305600 460278 306364 460306
-rect 306336 459898 306364 460278
-rect 306888 459898 306916 661030
-rect 308268 459898 308296 677554
-rect 314156 676122 314184 681006
-rect 378832 679130 378860 685850
-rect 443968 681034 443996 688570
-rect 573504 688539 573532 688570
-rect 508540 685908 508592 685914
-rect 508540 685850 508592 685856
-rect 378740 679102 378860 679130
-rect 443876 681006 443996 681034
-rect 378740 678858 378768 679102
-rect 378648 678830 378768 678858
-rect 378648 676122 378676 678830
-rect 443876 676122 443904 681006
-rect 508552 679130 508580 685850
-rect 573688 681034 573716 688570
-rect 508460 679102 508580 679130
-rect 573596 681006 573716 681034
-rect 508460 678858 508488 679102
-rect 508368 678830 508488 678858
-rect 508368 676122 508396 678830
-rect 573596 676122 573624 681006
-rect 580116 680400 580168 680406
-rect 580114 680368 580116 680377
-rect 580168 680368 580170 680377
-rect 580114 680303 580170 680312
-rect 314144 676116 314196 676122
-rect 314144 676058 314196 676064
-rect 378636 676116 378688 676122
-rect 378636 676058 378688 676064
-rect 443864 676116 443916 676122
-rect 443864 676058 443916 676064
-rect 508356 676116 508408 676122
-rect 508356 676058 508408 676064
-rect 573584 676116 573636 676122
-rect 573584 676058 573636 676064
-rect 314236 666596 314288 666602
-rect 314236 666538 314288 666544
-rect 378728 666596 378780 666602
-rect 378728 666538 378780 666544
-rect 443956 666596 444008 666602
-rect 443956 666538 444008 666544
-rect 508448 666596 508500 666602
-rect 508448 666538 508500 666544
-rect 573676 666596 573728 666602
-rect 573676 666538 573728 666544
-rect 314248 659682 314276 666538
-rect 378740 659734 378768 666538
-rect 314064 659654 314276 659682
-rect 378728 659728 378780 659734
-rect 378728 659670 378780 659676
-rect 378820 659728 378872 659734
-rect 443968 659682 443996 666538
-rect 508460 659734 508488 666538
-rect 378820 659670 378872 659676
-rect 314064 647290 314092 659654
-rect 378832 654158 378860 659670
-rect 443784 659654 443996 659682
-rect 508448 659728 508500 659734
-rect 508448 659670 508500 659676
-rect 508540 659728 508592 659734
-rect 573688 659682 573716 666538
-rect 580114 664728 580170 664737
-rect 580114 664663 580170 664672
-rect 580128 663814 580156 664663
-rect 580116 663808 580168 663814
-rect 580116 663750 580168 663756
-rect 508540 659670 508592 659676
-rect 378636 654152 378688 654158
-rect 378636 654094 378688 654100
-rect 378820 654152 378872 654158
-rect 378820 654094 378872 654100
-rect 313960 647284 314012 647290
-rect 313960 647226 314012 647232
-rect 314052 647284 314104 647290
-rect 314052 647226 314104 647232
-rect 309636 644496 309688 644502
-rect 309636 644438 309688 644444
-rect 309648 459898 309676 644438
-rect 313972 640370 314000 647226
-rect 378648 644450 378676 654094
-rect 443784 647290 443812 659654
-rect 508552 654158 508580 659670
-rect 573504 659654 573716 659682
-rect 508356 654152 508408 654158
-rect 508356 654094 508408 654100
-rect 508540 654152 508592 654158
-rect 508540 654094 508592 654100
-rect 443680 647284 443732 647290
-rect 443680 647226 443732 647232
-rect 443772 647284 443824 647290
-rect 443772 647226 443824 647232
-rect 378648 644422 378860 644450
-rect 313972 640342 314184 640370
-rect 314156 637498 314184 640342
-rect 314144 637492 314196 637498
-rect 314144 637434 314196 637440
-rect 311016 627972 311068 627978
-rect 311016 627914 311068 627920
-rect 314236 627972 314288 627978
-rect 314236 627914 314288 627920
-rect 309728 611380 309780 611386
-rect 309728 611322 309780 611328
-rect 309740 460442 309768 611322
-rect 309740 460414 310596 460442
-rect 310568 459898 310596 460414
-rect 304128 459870 304510 459898
-rect 305508 459870 305614 459898
-rect 306336 459870 306626 459898
-rect 306888 459870 307730 459898
-rect 308268 459870 308742 459898
-rect 309648 459870 309754 459898
-rect 310568 459870 310858 459898
-rect 311028 459762 311056 627914
-rect 314248 618322 314276 627914
-rect 314052 618316 314104 618322
-rect 314052 618258 314104 618264
-rect 314236 618316 314288 618322
-rect 314236 618258 314288 618264
-rect 314064 618225 314092 618258
-rect 313774 618216 313830 618225
-rect 313774 618151 313830 618160
-rect 314050 618216 314106 618225
-rect 314050 618151 314106 618160
-rect 313788 608666 313816 618151
-rect 378832 615534 378860 644422
-rect 443692 640370 443720 647226
-rect 508368 644450 508396 654094
-rect 573504 647290 573532 659654
-rect 580114 649088 580170 649097
-rect 580114 649023 580170 649032
-rect 580128 648650 580156 649023
-rect 580116 648644 580168 648650
-rect 580116 648586 580168 648592
-rect 573400 647284 573452 647290
-rect 573400 647226 573452 647232
-rect 573492 647284 573544 647290
-rect 573492 647226 573544 647232
-rect 508368 644422 508580 644450
-rect 443692 640342 443904 640370
-rect 443876 637498 443904 640342
-rect 443864 637492 443916 637498
-rect 443864 637434 443916 637440
-rect 443956 627972 444008 627978
-rect 443956 627914 444008 627920
-rect 443968 618322 443996 627914
-rect 443772 618316 443824 618322
-rect 443772 618258 443824 618264
-rect 443956 618316 444008 618322
-rect 443956 618258 444008 618264
-rect 443784 618225 443812 618258
-rect 443770 618216 443826 618225
-rect 443770 618151 443826 618160
-rect 508552 615534 508580 644422
-rect 573412 640370 573440 647226
-rect 573412 640342 573624 640370
-rect 573596 637498 573624 640342
-rect 573584 637492 573636 637498
-rect 573584 637434 573636 637440
-rect 580116 633480 580168 633486
-rect 580114 633448 580116 633457
-rect 580168 633448 580170 633457
-rect 580114 633383 580170 633392
-rect 573676 627972 573728 627978
-rect 573676 627914 573728 627920
-rect 573688 618322 573716 627914
-rect 573492 618316 573544 618322
-rect 573492 618258 573544 618264
-rect 573676 618316 573728 618322
-rect 573676 618258 573728 618264
-rect 573504 618186 573532 618258
-rect 573492 618180 573544 618186
-rect 573492 618122 573544 618128
-rect 573768 618180 573820 618186
-rect 573768 618122 573820 618128
-rect 378636 615528 378688 615534
-rect 378636 615470 378688 615476
-rect 378820 615528 378872 615534
-rect 378820 615470 378872 615476
-rect 508356 615528 508408 615534
-rect 508356 615470 508408 615476
-rect 508540 615528 508592 615534
-rect 508540 615470 508592 615476
-rect 313776 608660 313828 608666
-rect 313776 608602 313828 608608
-rect 313960 608660 314012 608666
-rect 313960 608602 314012 608608
-rect 313972 601746 314000 608602
-rect 378648 605826 378676 615470
-rect 443678 608696 443734 608705
-rect 443678 608631 443734 608640
-rect 443692 608598 443720 608631
-rect 443680 608592 443732 608598
-rect 443680 608534 443732 608540
-rect 508368 605826 508396 615470
-rect 573780 608705 573808 618122
-rect 580114 617808 580170 617817
-rect 580114 617743 580170 617752
-rect 580128 617030 580156 617743
-rect 580116 617024 580168 617030
-rect 580116 616966 580168 616972
-rect 573398 608696 573454 608705
-rect 573398 608631 573454 608640
-rect 573766 608696 573822 608705
-rect 573766 608631 573822 608640
-rect 573412 608598 573440 608631
-rect 573400 608592 573452 608598
-rect 573400 608534 573452 608540
-rect 378648 605798 378860 605826
-rect 508368 605798 508580 605826
-rect 313880 601718 314000 601746
-rect 313880 598874 313908 601718
-rect 313868 598868 313920 598874
-rect 313868 598810 313920 598816
-rect 312396 594856 312448 594862
-rect 312396 594798 312448 594804
-rect 312408 460034 312436 594798
-rect 378832 591954 378860 605798
-rect 443864 601588 443916 601594
-rect 443864 601530 443916 601536
-rect 443876 598874 443904 601530
-rect 443864 598868 443916 598874
-rect 443864 598810 443916 598816
-rect 508552 591954 508580 605798
-rect 580114 602168 580170 602177
-rect 580114 602103 580170 602112
-rect 580128 601798 580156 602103
-rect 580116 601792 580168 601798
-rect 580116 601734 580168 601740
-rect 573584 601588 573636 601594
-rect 573584 601530 573636 601536
-rect 573596 598874 573624 601530
-rect 573584 598868 573636 598874
-rect 573584 598810 573636 598816
-rect 378740 591926 378860 591954
-rect 508460 591926 508580 591954
-rect 314052 589348 314104 589354
-rect 314052 589290 314104 589296
-rect 314064 582418 314092 589290
-rect 378740 589286 378768 591926
-rect 443956 589348 444008 589354
-rect 443956 589290 444008 589296
-rect 378728 589280 378780 589286
-rect 378728 589222 378780 589228
-rect 443968 582486 443996 589290
-rect 508460 589286 508488 591926
-rect 573676 589348 573728 589354
-rect 573676 589290 573728 589296
-rect 508448 589280 508500 589286
-rect 508448 589222 508500 589228
-rect 573688 582486 573716 589290
-rect 580116 586560 580168 586566
-rect 580114 586528 580116 586537
-rect 580168 586528 580170 586537
-rect 580114 586463 580170 586472
-rect 443956 582480 444008 582486
-rect 443956 582422 444008 582428
-rect 573676 582480 573728 582486
-rect 573676 582422 573728 582428
-rect 314052 582412 314104 582418
-rect 314052 582354 314104 582360
-rect 314144 582344 314196 582350
-rect 314144 582286 314196 582292
-rect 443864 582344 443916 582350
-rect 443864 582286 443916 582292
-rect 573584 582344 573636 582350
-rect 573584 582286 573636 582292
-rect 314156 579630 314184 582286
-rect 378636 579692 378688 579698
-rect 378636 579634 378688 579640
-rect 314144 579624 314196 579630
-rect 314144 579566 314196 579572
-rect 313868 576904 313920 576910
-rect 313868 576846 313920 576852
-rect 313774 557560 313830 557569
-rect 313774 557495 313830 557504
-rect 313788 552634 313816 557495
-rect 313776 552628 313828 552634
-rect 313776 552570 313828 552576
-rect 313776 538280 313828 538286
-rect 313776 538222 313828 538228
-rect 313788 528562 313816 538222
-rect 313776 528556 313828 528562
-rect 313776 528498 313828 528504
-rect 313774 518936 313830 518945
-rect 313774 518871 313830 518880
-rect 313788 514010 313816 518871
-rect 313776 514004 313828 514010
-rect 313776 513946 313828 513952
-rect 313776 499588 313828 499594
-rect 313776 499530 313828 499536
-rect 313788 489870 313816 499530
-rect 313776 489864 313828 489870
-rect 313776 489806 313828 489812
-rect 313776 480276 313828 480282
-rect 313776 480218 313828 480224
-rect 313788 475386 313816 480218
-rect 313776 475380 313828 475386
-rect 313776 475322 313828 475328
-rect 313880 462330 313908 576846
-rect 378648 572642 378676 579634
-rect 443876 572642 443904 582286
-rect 508356 579692 508408 579698
-rect 508356 579634 508408 579640
-rect 378648 572614 378768 572642
-rect 313960 570036 314012 570042
-rect 313960 569978 314012 569984
-rect 313972 565162 314000 569978
-rect 378740 569906 378768 572614
-rect 443692 572614 443904 572642
-rect 508368 572642 508396 579634
-rect 573596 572642 573624 582286
-rect 508368 572614 508488 572642
-rect 378728 569900 378780 569906
-rect 378728 569842 378780 569848
-rect 313972 565134 314184 565162
-rect 314156 562986 314184 565134
-rect 443692 563122 443720 572614
-rect 508460 569906 508488 572614
-rect 573412 572614 573624 572642
-rect 508448 569900 508500 569906
-rect 508448 569842 508500 569848
-rect 573412 563122 573440 572614
-rect 580114 570888 580170 570897
-rect 580114 570823 580170 570832
-rect 580128 569974 580156 570823
-rect 580116 569968 580168 569974
-rect 580116 569910 580168 569916
-rect 314064 562958 314184 562986
-rect 443600 563094 443720 563122
-rect 573320 563094 573440 563122
-rect 378912 562964 378964 562970
-rect 313960 560448 314012 560454
-rect 313960 560390 314012 560396
-rect 313868 462324 313920 462330
-rect 313868 462266 313920 462272
-rect 312408 460006 312620 460034
-rect 312592 459898 312620 460006
-rect 312592 459870 312974 459898
-rect 313972 459884 314000 560390
-rect 314064 557569 314092 562958
-rect 378912 562906 378964 562912
-rect 378924 560289 378952 562906
-rect 378726 560280 378782 560289
-rect 378726 560215 378782 560224
-rect 378910 560280 378966 560289
-rect 443600 560266 443628 563094
-rect 508632 562964 508684 562970
-rect 508632 562906 508684 562912
-rect 508644 560289 508672 562906
-rect 378910 560215 378966 560224
-rect 443508 560238 443628 560266
-rect 508446 560280 508502 560289
-rect 314050 557560 314106 557569
-rect 314050 557495 314106 557504
-rect 314052 552628 314104 552634
-rect 314052 552570 314104 552576
-rect 314064 538286 314092 552570
-rect 378740 550662 378768 560215
-rect 443508 553450 443536 560238
-rect 508446 560215 508502 560224
-rect 508630 560280 508686 560289
-rect 573320 560266 573348 563094
-rect 508630 560215 508686 560224
-rect 573228 560238 573348 560266
-rect 443496 553444 443548 553450
-rect 443496 553386 443548 553392
-rect 508460 550662 508488 560215
-rect 573228 553450 573256 560238
-rect 580114 555248 580170 555257
-rect 580114 555183 580170 555192
-rect 580128 554810 580156 555183
-rect 580116 554804 580168 554810
-rect 580116 554746 580168 554752
-rect 573216 553444 573268 553450
-rect 573216 553386 573268 553392
-rect 378728 550656 378780 550662
-rect 378728 550598 378780 550604
-rect 379004 550656 379056 550662
-rect 379004 550598 379056 550604
-rect 443496 550656 443548 550662
-rect 443496 550598 443548 550604
-rect 508448 550656 508500 550662
-rect 508448 550598 508500 550604
-rect 508724 550656 508776 550662
-rect 508724 550598 508776 550604
-rect 573216 550656 573268 550662
-rect 573216 550598 573268 550604
-rect 379016 543862 379044 550598
-rect 379004 543856 379056 543862
-rect 379004 543798 379056 543804
-rect 443508 543794 443536 550598
-rect 508736 543862 508764 550598
-rect 508724 543856 508776 543862
-rect 508724 543798 508776 543804
-rect 573228 543794 573256 550598
-rect 315156 543788 315208 543794
-rect 315156 543730 315208 543736
-rect 443496 543788 443548 543794
-rect 443496 543730 443548 543736
-rect 573216 543788 573268 543794
-rect 573216 543730 573268 543736
-rect 314052 538280 314104 538286
-rect 314052 538222 314104 538228
-rect 314052 528556 314104 528562
-rect 314052 528498 314104 528504
-rect 314064 518945 314092 528498
-rect 314050 518936 314106 518945
-rect 314050 518871 314106 518880
-rect 314052 514004 314104 514010
-rect 314052 513946 314104 513952
-rect 314064 499594 314092 513946
-rect 314052 499588 314104 499594
-rect 314052 499530 314104 499536
-rect 314052 489864 314104 489870
-rect 314052 489806 314104 489812
-rect 314064 480282 314092 489806
-rect 314052 480276 314104 480282
-rect 314052 480218 314104 480224
-rect 314052 475380 314104 475386
-rect 314052 475322 314104 475328
-rect 314064 463758 314092 475322
-rect 314052 463752 314104 463758
-rect 314052 463694 314104 463700
-rect 314788 462324 314840 462330
-rect 314788 462266 314840 462272
-rect 314800 459898 314828 462266
-rect 315168 460034 315196 543730
-rect 378912 543720 378964 543726
-rect 378912 543662 378964 543668
-rect 508632 543720 508684 543726
-rect 508632 543662 508684 543668
-rect 378924 534138 378952 543662
-rect 443588 543652 443640 543658
-rect 443588 543594 443640 543600
-rect 443600 540954 443628 543594
-rect 443508 540926 443628 540954
-rect 443508 534138 443536 540926
-rect 508644 534138 508672 543662
-rect 573308 543652 573360 543658
-rect 573308 543594 573360 543600
-rect 573320 540954 573348 543594
-rect 573228 540926 573348 540954
-rect 573228 534138 573256 540926
-rect 580116 539776 580168 539782
-rect 580116 539718 580168 539724
-rect 580128 539617 580156 539718
-rect 580114 539608 580170 539617
-rect 580114 539543 580170 539552
-rect 378912 534132 378964 534138
-rect 378912 534074 378964 534080
-rect 443496 534132 443548 534138
-rect 443496 534074 443548 534080
-rect 508632 534132 508684 534138
-rect 508632 534074 508684 534080
-rect 573216 534132 573268 534138
-rect 573216 534074 573268 534080
-rect 379004 533996 379056 534002
-rect 379004 533938 379056 533944
-rect 508724 533996 508776 534002
-rect 508724 533938 508776 533944
-rect 379016 529825 379044 533938
-rect 443508 531350 443536 531381
-rect 443496 531344 443548 531350
-rect 443548 531292 443628 531298
-rect 443496 531286 443628 531292
-rect 443508 531282 443628 531286
-rect 443508 531276 443640 531282
-rect 443508 531270 443588 531276
-rect 443588 531218 443640 531224
-rect 508736 529825 508764 533938
-rect 573228 531350 573256 531381
-rect 573216 531344 573268 531350
-rect 573268 531292 573348 531298
-rect 573216 531286 573348 531292
-rect 573228 531282 573348 531286
-rect 573228 531276 573360 531282
-rect 573228 531270 573308 531276
-rect 573308 531218 573360 531224
-rect 379002 529816 379058 529825
-rect 379002 529751 379058 529760
-rect 508722 529816 508778 529825
-rect 508722 529751 508778 529760
-rect 317916 527264 317968 527270
-rect 317916 527206 317968 527212
-rect 316536 510672 316588 510678
-rect 316536 510614 316588 510620
-rect 316548 460034 316576 510614
-rect 315168 460006 315748 460034
-rect 316548 460006 316852 460034
-rect 315720 459898 315748 460006
-rect 316824 459898 316852 460006
-rect 317928 459898 317956 527206
-rect 443588 524340 443640 524346
-rect 443588 524282 443640 524288
-rect 573308 524340 573360 524346
-rect 573308 524282 573360 524288
-rect 379186 520296 379242 520305
-rect 379186 520231 379242 520240
-rect 379200 514554 379228 520231
-rect 443600 514706 443628 524282
-rect 508906 520296 508962 520305
-rect 508906 520231 508962 520240
-rect 443600 514678 443720 514706
-rect 379004 514548 379056 514554
-rect 379004 514490 379056 514496
-rect 379188 514548 379240 514554
-rect 379188 514490 379240 514496
-rect 379016 502382 379044 514490
-rect 443692 512009 443720 514678
-rect 508920 514554 508948 520231
-rect 573320 514706 573348 524282
-rect 580114 523968 580170 523977
-rect 580114 523903 580170 523912
-rect 580128 523054 580156 523903
-rect 580116 523048 580168 523054
-rect 580116 522990 580168 522996
-rect 573320 514678 573440 514706
-rect 508724 514548 508776 514554
-rect 508724 514490 508776 514496
-rect 508908 514548 508960 514554
-rect 508908 514490 508960 514496
-rect 443494 512000 443550 512009
-rect 443494 511935 443550 511944
-rect 443678 512000 443734 512009
-rect 443678 511935 443734 511944
-rect 443508 502382 443536 511935
-rect 508736 502382 508764 514490
-rect 573412 512009 573440 514678
-rect 573214 512000 573270 512009
-rect 573214 511935 573270 511944
-rect 573398 512000 573454 512009
-rect 573398 511935 573454 511944
-rect 573228 502382 573256 511935
-rect 580114 508328 580170 508337
-rect 580114 508263 580170 508272
-rect 580128 507890 580156 508263
-rect 580116 507884 580168 507890
-rect 580116 507826 580168 507832
-rect 378820 502376 378872 502382
-rect 378542 502344 378598 502353
-rect 378542 502279 378598 502288
-rect 378818 502344 378820 502353
-rect 379004 502376 379056 502382
-rect 378872 502344 378874 502353
-rect 379004 502318 379056 502324
-rect 443496 502376 443548 502382
-rect 443496 502318 443548 502324
-rect 443772 502376 443824 502382
-rect 508540 502376 508592 502382
-rect 443772 502318 443824 502324
-rect 508262 502344 508318 502353
-rect 378818 502279 378874 502288
-rect 318008 494080 318060 494086
-rect 318008 494022 318060 494028
-rect 318020 460442 318048 494022
-rect 378556 492697 378584 502279
-rect 378542 492688 378598 492697
-rect 378542 492623 378598 492632
-rect 378726 492688 378782 492697
-rect 378726 492623 378782 492632
-rect 378740 489954 378768 492623
-rect 378740 489926 378860 489954
-rect 378832 480282 378860 489926
-rect 443784 485926 443812 502318
-rect 508262 502279 508318 502288
-rect 508538 502344 508540 502353
-rect 508724 502376 508776 502382
-rect 508592 502344 508594 502353
-rect 508724 502318 508776 502324
-rect 573216 502376 573268 502382
-rect 573216 502318 573268 502324
-rect 573492 502376 573544 502382
-rect 573492 502318 573544 502324
-rect 508538 502279 508594 502288
-rect 508276 492697 508304 502279
-rect 508262 492688 508318 492697
-rect 508262 492623 508318 492632
-rect 508446 492688 508502 492697
-rect 508446 492623 508502 492632
-rect 508460 489954 508488 492623
-rect 508460 489926 508580 489954
-rect 443772 485920 443824 485926
-rect 443772 485862 443824 485868
-rect 443496 485716 443548 485722
-rect 443496 485658 443548 485664
-rect 378636 480276 378688 480282
-rect 378636 480218 378688 480224
-rect 378820 480276 378872 480282
-rect 378820 480218 378872 480224
-rect 378648 480162 378676 480218
-rect 378648 480134 378768 480162
-rect 320676 477556 320728 477562
-rect 320676 477498 320728 477504
-rect 318020 460414 318876 460442
-rect 318848 459898 318876 460414
-rect 320688 460034 320716 477498
-rect 378740 470642 378768 480134
-rect 443508 475946 443536 485658
-rect 508552 480282 508580 489926
-rect 573504 485926 573532 502318
-rect 580116 492720 580168 492726
-rect 580114 492688 580116 492697
-rect 580168 492688 580170 492697
-rect 580114 492623 580170 492632
-rect 573492 485920 573544 485926
-rect 573492 485862 573544 485868
-rect 573216 485716 573268 485722
-rect 573216 485658 573268 485664
-rect 508356 480276 508408 480282
-rect 508356 480218 508408 480224
-rect 508540 480276 508592 480282
-rect 508540 480218 508592 480224
-rect 508368 480162 508396 480218
-rect 508368 480134 508488 480162
-rect 443508 475918 443628 475946
-rect 443600 471986 443628 475918
-rect 443588 471980 443640 471986
-rect 443588 471922 443640 471928
-rect 508460 470642 508488 480134
-rect 573228 475946 573256 485658
-rect 580114 477048 580170 477057
-rect 580114 476983 580170 476992
-rect 580128 476134 580156 476983
-rect 580116 476128 580168 476134
-rect 580116 476070 580168 476076
-rect 573228 475918 573348 475946
-rect 573320 471986 573348 475918
-rect 573308 471980 573360 471986
-rect 573308 471922 573360 471928
-rect 378740 470614 378860 470642
-rect 508460 470614 508580 470642
-rect 359960 463684 360012 463690
-rect 359960 463626 360012 463632
-rect 355912 463616 355964 463622
-rect 355912 463558 355964 463564
-rect 354532 463344 354584 463350
-rect 354532 463286 354584 463292
-rect 330796 462800 330848 462806
-rect 330796 462742 330848 462748
-rect 328680 461576 328732 461582
-rect 328680 461518 328732 461524
-rect 324540 461508 324592 461514
-rect 324540 461450 324592 461456
-rect 322424 461032 322476 461038
-rect 322424 460974 322476 460980
-rect 320688 460006 321084 460034
-rect 321056 459898 321084 460006
-rect 314800 459870 315090 459898
-rect 315720 459870 316102 459898
-rect 316824 459870 317114 459898
-rect 317928 459870 318218 459898
-rect 318848 459870 319230 459898
-rect 321056 459870 321346 459898
-rect 322436 459884 322464 460974
-rect 324552 459884 324580 461450
-rect 325276 460080 325328 460086
-rect 325276 460022 325328 460028
-rect 325288 459898 325316 460022
-rect 326380 460012 326432 460018
-rect 326380 459954 326432 459960
-rect 326392 459898 326420 459954
-rect 325288 459870 325578 459898
-rect 326392 459870 326590 459898
-rect 328692 459884 328720 461518
-rect 330808 459884 330836 462742
-rect 333924 462732 333976 462738
-rect 333924 462674 333976 462680
-rect 332912 461100 332964 461106
-rect 332912 461042 332964 461048
-rect 332924 459884 332952 461042
-rect 333936 459884 333964 462674
-rect 337144 462664 337196 462670
-rect 337144 462606 337196 462612
-rect 335028 460352 335080 460358
-rect 335028 460294 335080 460300
-rect 335040 459884 335068 460294
-rect 337156 459884 337184 462606
-rect 339260 462596 339312 462602
-rect 339260 462538 339312 462544
-rect 339272 459884 339300 462538
-rect 340272 462528 340324 462534
-rect 340272 462470 340324 462476
-rect 342386 462496 342442 462505
-rect 340284 459884 340312 462470
-rect 342386 462431 342442 462440
-rect 342400 459884 342428 462431
-rect 351680 461440 351732 461446
-rect 351680 461382 351732 461388
-rect 335856 459808 335908 459814
-rect 294468 459734 295034 459762
-rect 297688 459734 298254 459762
-rect 298608 459734 299266 459762
-rect 302932 459734 303498 459762
-rect 311028 459734 311870 459762
-rect 319952 459746 320334 459762
-rect 335908 459756 336066 459762
-rect 335856 459750 336066 459756
-rect 319940 459740 320334 459746
-rect 319992 459734 320334 459740
-rect 335868 459734 336066 459750
-rect 319940 459682 319992 459688
-rect 234288 459672 234340 459678
-rect 232170 459640 232226 459649
-rect 229608 459598 230910 459626
-rect 231922 459598 232170 459626
-rect 228952 343596 229004 343602
-rect 228952 343538 229004 343544
-rect 229504 325100 229556 325106
-rect 229504 325042 229556 325048
-rect 228860 244180 228912 244186
-rect 228860 244122 228912 244128
-rect 227480 143540 227532 143546
-rect 227480 143482 227532 143488
-rect 225364 135380 225416 135386
-rect 225364 135322 225416 135328
-rect 225376 57934 225404 135322
-rect 225180 57928 225232 57934
-rect 225180 57870 225232 57876
-rect 225364 57928 225416 57934
-rect 225364 57870 225416 57876
-rect 225192 48385 225220 57870
-rect 225178 48376 225234 48385
-rect 225178 48311 225234 48320
-rect 225362 48376 225418 48385
-rect 225362 48311 225418 48320
-rect 225376 46918 225404 48311
-rect 225364 46912 225416 46918
-rect 225364 46854 225416 46860
-rect 225456 37324 225508 37330
-rect 225456 37266 225508 37272
-rect 225468 29050 225496 37266
-rect 225376 29022 225496 29050
-rect 225376 27606 225404 29022
-rect 225364 27600 225416 27606
-rect 225364 27542 225416 27548
-rect 225088 18080 225140 18086
-rect 225088 18022 225140 18028
-rect 225100 9761 225128 18022
-rect 225454 10704 225510 10713
-rect 225454 10639 225510 10648
-rect 225468 10198 225496 10639
-rect 225638 10432 225694 10441
-rect 225638 10367 225694 10376
-rect 225652 10266 225680 10367
-rect 225640 10260 225692 10266
-rect 225640 10202 225692 10208
-rect 225456 10192 225508 10198
-rect 225456 10134 225508 10140
-rect 225640 10124 225692 10130
-rect 225640 10066 225692 10072
-rect 225652 10033 225680 10066
-rect 225638 10024 225694 10033
-rect 225638 9959 225694 9968
-rect 225086 9752 225142 9761
-rect 225086 9687 225142 9696
-rect 225270 9752 225326 9761
-rect 225270 9687 225326 9696
-rect 222512 8424 222564 8430
-rect 222512 8366 222564 8372
-rect 222524 626 222552 8366
-rect 225284 7993 225312 9687
-rect 229410 8936 229466 8945
-rect 229410 8871 229466 8880
-rect 225822 8800 225878 8809
-rect 225822 8735 225878 8744
-rect 224626 7984 224682 7993
-rect 224626 7919 224682 7928
-rect 225270 7984 225326 7993
-rect 225270 7919 225326 7928
-rect 225454 7984 225510 7993
-rect 225454 7919 225510 7928
-rect 224536 3664 224588 3670
-rect 224536 3606 224588 3612
-rect 224548 3233 224576 3606
-rect 224534 3224 224590 3233
-rect 224534 3159 224590 3168
-rect 223432 2644 223484 2650
-rect 223432 2586 223484 2592
-rect 221040 604 221092 610
-rect 221040 546 221092 552
-rect 221224 604 221276 610
-rect 221224 546 221276 552
-rect 222248 598 222552 626
-rect 221052 480 221080 546
-rect 222248 480 222276 598
-rect 223444 480 223472 2586
-rect 224640 480 224668 7919
-rect 225468 7886 225496 7919
-rect 225272 7880 225324 7886
-rect 225272 7822 225324 7828
-rect 225364 7880 225416 7886
-rect 225364 7822 225416 7828
-rect 225456 7880 225508 7886
-rect 225456 7822 225508 7828
-rect 225548 7880 225600 7886
-rect 225548 7822 225600 7828
-rect 225284 7721 225312 7822
-rect 225270 7712 225326 7721
-rect 225270 7647 225326 7656
-rect 225376 7585 225404 7822
-rect 225560 7721 225588 7822
-rect 225546 7712 225602 7721
-rect 225546 7647 225602 7656
-rect 225362 7576 225418 7585
-rect 225362 7511 225418 7520
-rect 225454 6488 225510 6497
-rect 225454 6423 225510 6432
-rect 225178 6352 225234 6361
-rect 225178 6287 225234 6296
-rect 225192 6254 225220 6287
-rect 225180 6248 225232 6254
-rect 225180 6190 225232 6196
-rect 225272 6248 225324 6254
-rect 225272 6190 225324 6196
-rect 225284 5817 225312 6190
-rect 225468 5953 225496 6423
-rect 225454 5944 225510 5953
-rect 225454 5879 225510 5888
-rect 225270 5808 225326 5817
-rect 225270 5743 225326 5752
-rect 225270 5264 225326 5273
-rect 225270 5199 225326 5208
-rect 225284 4826 225312 5199
-rect 225272 4820 225324 4826
-rect 225272 4762 225324 4768
-rect 225364 4820 225416 4826
-rect 225364 4762 225416 4768
-rect 225270 4720 225326 4729
-rect 225376 4706 225404 4762
-rect 225326 4678 225404 4706
-rect 225270 4655 225326 4664
-rect 225454 4312 225510 4321
-rect 225454 4247 225510 4256
-rect 225468 3670 225496 4247
-rect 225180 3664 225232 3670
-rect 225180 3606 225232 3612
-rect 225456 3664 225508 3670
-rect 225456 3606 225508 3612
-rect 225192 3097 225220 3606
-rect 225640 3596 225692 3602
-rect 225640 3538 225692 3544
-rect 225652 3233 225680 3538
-rect 225638 3224 225694 3233
-rect 225638 3159 225694 3168
-rect 225178 3088 225234 3097
-rect 225178 3023 225234 3032
-rect 225836 480 225864 8735
-rect 226926 5264 226982 5273
-rect 226926 5199 226982 5208
-rect 226940 5166 226968 5199
-rect 226928 5160 226980 5166
-rect 226928 5102 226980 5108
-rect 227020 5160 227072 5166
-rect 227020 5102 227072 5108
-rect 227032 480 227060 5102
-rect 228124 4072 228176 4078
-rect 228124 4014 228176 4020
-rect 228216 4072 228268 4078
-rect 228216 4014 228268 4020
-rect 228136 3641 228164 4014
-rect 228122 3632 228178 3641
-rect 228122 3567 228178 3576
-rect 228228 480 228256 4014
-rect 229424 480 229452 8871
-rect 229516 4078 229544 325042
-rect 229608 7177 229636 459598
-rect 233182 459640 233238 459649
-rect 232934 459598 233182 459626
-rect 232170 459575 232226 459584
-rect 234038 459620 234288 459626
-rect 250112 459672 250164 459678
-rect 236218 459640 236274 459649
-rect 234038 459614 234340 459620
-rect 234038 459598 234328 459614
-rect 236154 459598 236218 459626
-rect 233182 459575 233238 459584
-rect 237414 459640 237470 459649
-rect 237166 459598 237414 459626
-rect 236218 459575 236274 459584
-rect 238518 459640 238574 459649
-rect 238270 459598 238518 459626
-rect 237414 459575 237470 459584
-rect 239622 459640 239678 459649
-rect 239282 459598 239622 459626
-rect 238518 459575 238574 459584
-rect 241646 459640 241702 459649
-rect 241398 459598 241646 459626
-rect 239622 459575 239678 459584
-rect 242750 459640 242806 459649
-rect 242410 459598 242750 459626
-rect 241646 459575 241702 459584
-rect 244590 459640 244646 459649
-rect 244526 459598 244590 459626
-rect 242750 459575 242806 459584
-rect 245878 459640 245934 459649
-rect 245630 459598 245878 459626
-rect 244590 459575 244646 459584
-rect 246982 459640 247038 459649
-rect 246642 459598 246982 459626
-rect 245878 459575 245934 459584
-rect 248822 459640 248878 459649
-rect 248758 459598 248822 459626
-rect 246982 459575 247038 459584
-rect 249770 459620 250112 459626
-rect 256368 459672 256420 459678
-rect 251214 459640 251270 459649
-rect 249770 459614 250164 459620
-rect 249770 459598 250152 459614
-rect 250874 459598 251214 459626
-rect 248822 459575 248878 459584
-rect 252134 459640 252190 459649
-rect 251886 459598 252134 459626
-rect 251214 459575 251270 459584
-rect 256118 459620 256368 459626
-rect 323528 459672 323580 459678
-rect 256118 459614 256420 459620
-rect 323462 459620 323528 459626
-rect 323462 459614 323580 459620
-rect 327484 459672 327536 459678
-rect 329508 459672 329560 459678
-rect 327536 459620 327694 459626
-rect 327484 459614 327694 459620
-rect 331716 459672 331768 459678
-rect 329560 459620 329810 459626
-rect 329508 459614 329810 459620
-rect 337788 459672 337840 459678
-rect 331768 459620 331926 459626
-rect 331716 459614 331926 459620
-rect 341192 459672 341244 459678
-rect 337840 459620 338182 459626
-rect 337788 459614 338182 459620
-rect 345608 459672 345660 459678
-rect 343122 459640 343178 459649
-rect 341244 459620 341402 459626
-rect 341192 459614 341402 459620
-rect 256118 459598 256408 459614
-rect 323462 459598 323568 459614
-rect 327496 459598 327694 459614
-rect 329520 459598 329810 459614
-rect 331728 459598 331926 459614
-rect 337800 459598 338182 459614
-rect 341204 459598 341402 459614
-rect 252134 459575 252190 459584
-rect 344226 459640 344282 459649
-rect 343178 459598 343426 459626
-rect 343122 459575 343178 459584
-rect 344282 459598 344530 459626
-rect 345542 459620 345608 459626
-rect 345542 459614 345660 459620
-rect 346250 459640 346306 459649
-rect 345542 459598 345648 459614
-rect 344226 459575 344282 459584
-rect 347354 459640 347410 459649
-rect 346306 459598 346646 459626
-rect 346250 459575 346306 459584
-rect 348458 459640 348514 459649
-rect 347410 459598 347658 459626
-rect 347354 459575 347410 459584
-rect 348514 459598 348762 459626
-rect 349774 459598 350064 459626
-rect 348458 459575 348514 459584
-rect 273400 340190 273598 340218
-rect 319676 340190 319874 340218
-rect 229700 340054 230542 340082
-rect 229594 7168 229650 7177
-rect 229594 7103 229650 7112
-rect 229700 5030 229728 340054
-rect 230056 337680 230108 337686
-rect 230056 337622 230108 337628
-rect 230068 337482 230096 337622
-rect 230056 337476 230108 337482
-rect 230056 337418 230108 337424
-rect 230712 335578 230740 340068
-rect 231002 340054 231108 340082
-rect 231186 340054 231292 340082
-rect 231080 335782 231108 340054
-rect 231068 335776 231120 335782
-rect 231068 335718 231120 335724
-rect 229964 335572 230016 335578
-rect 229964 335514 230016 335520
-rect 230700 335572 230752 335578
-rect 230700 335514 230752 335520
-rect 229976 328438 230004 335514
-rect 231068 335504 231120 335510
-rect 231068 335446 231120 335452
-rect 229964 328432 230016 328438
-rect 229964 328374 230016 328380
-rect 229872 321428 229924 321434
-rect 229872 321370 229924 321376
-rect 229884 311930 229912 321370
-rect 229884 311902 230004 311930
-rect 229976 307766 230004 311902
-rect 229872 307760 229924 307766
-rect 229872 307702 229924 307708
-rect 229964 307760 230016 307766
-rect 229964 307702 230016 307708
-rect 229884 298042 229912 307702
-rect 229872 298036 229924 298042
-rect 229872 297978 229924 297984
-rect 230240 298036 230292 298042
-rect 230240 297978 230292 297984
-rect 230252 296698 230280 297978
-rect 230160 296670 230280 296698
-rect 230160 287094 230188 296670
-rect 229964 287088 230016 287094
-rect 229964 287030 230016 287036
-rect 230148 287088 230200 287094
-rect 230148 287030 230200 287036
-rect 229976 278798 230004 287030
-rect 229964 278792 230016 278798
-rect 229964 278734 230016 278740
-rect 230056 278792 230108 278798
-rect 230056 278734 230108 278740
-rect 230068 273306 230096 278734
-rect 229884 273278 230096 273306
-rect 229884 269142 229912 273278
-rect 229780 269136 229832 269142
-rect 229780 269078 229832 269084
-rect 229872 269136 229924 269142
-rect 229872 269078 229924 269084
-rect 229792 265690 229820 269078
-rect 229792 265662 229912 265690
-rect 229884 253994 229912 265662
-rect 229884 253966 230004 253994
-rect 229976 251190 230004 253966
-rect 229964 251184 230016 251190
-rect 229964 251126 230016 251132
-rect 229964 244180 230016 244186
-rect 229964 244122 230016 244128
-rect 229976 225010 230004 244122
-rect 229780 225004 229832 225010
-rect 229780 224946 229832 224952
-rect 229964 225004 230016 225010
-rect 229964 224946 230016 224952
-rect 229792 224890 229820 224946
-rect 229792 224862 229912 224890
-rect 229884 215370 229912 224862
-rect 229884 215342 230004 215370
-rect 229976 212514 230004 215342
-rect 229976 212486 230096 212514
-rect 230068 205834 230096 212486
-rect 230056 205828 230108 205834
-rect 230056 205770 230108 205776
-rect 229964 205556 230016 205562
-rect 229964 205498 230016 205504
-rect 229976 186386 230004 205498
-rect 229780 186380 229832 186386
-rect 229780 186322 229832 186328
-rect 229964 186380 230016 186386
-rect 229964 186322 230016 186328
-rect 229792 186266 229820 186322
-rect 229792 186238 229912 186266
-rect 229884 182170 229912 186238
-rect 229872 182164 229924 182170
-rect 229872 182106 229924 182112
-rect 229872 176588 229924 176594
-rect 229872 176530 229924 176536
-rect 229884 166954 229912 176530
-rect 229884 166926 230004 166954
-rect 229976 164234 230004 166926
-rect 229976 164206 230096 164234
-rect 230068 162858 230096 164206
-rect 230056 162852 230108 162858
-rect 230056 162794 230108 162800
-rect 230056 157140 230108 157146
-rect 230056 157082 230108 157088
-rect 230068 144922 230096 157082
-rect 229884 144894 230096 144922
-rect 229884 138038 229912 144894
-rect 229872 138032 229924 138038
-rect 229872 137974 229924 137980
-rect 229780 137964 229832 137970
-rect 229780 137906 229832 137912
-rect 229792 135289 229820 137906
-rect 229778 135280 229834 135289
-rect 229778 135215 229834 135224
-rect 229962 135280 230018 135289
-rect 229962 135215 230018 135224
-rect 229976 128330 230004 135215
-rect 229884 128302 230004 128330
-rect 229884 118794 229912 128302
-rect 229872 118788 229924 118794
-rect 229872 118730 229924 118736
-rect 229780 114708 229832 114714
-rect 229780 114650 229832 114656
-rect 229792 109070 229820 114650
-rect 229780 109064 229832 109070
-rect 229780 109006 229832 109012
-rect 229872 108996 229924 109002
-rect 229872 108938 229924 108944
-rect 229884 103494 229912 108938
-rect 229872 103488 229924 103494
-rect 229872 103430 229924 103436
-rect 230056 85604 230108 85610
-rect 230056 85546 230108 85552
-rect 230068 80170 230096 85546
-rect 230056 80164 230108 80170
-rect 230056 80106 230108 80112
-rect 229964 79892 230016 79898
-rect 229964 79834 230016 79840
-rect 229976 66298 230004 79834
-rect 229872 66292 229924 66298
-rect 229872 66234 229924 66240
-rect 229964 66292 230016 66298
-rect 229964 66234 230016 66240
-rect 229884 60858 229912 66234
-rect 229872 60852 229924 60858
-rect 229872 60794 229924 60800
-rect 229780 60716 229832 60722
-rect 229780 60658 229832 60664
-rect 229792 53122 229820 60658
-rect 229792 53094 229912 53122
-rect 229884 41426 229912 53094
-rect 229884 41398 230004 41426
-rect 229976 31822 230004 41398
-rect 229964 31816 230016 31822
-rect 229964 31758 230016 31764
-rect 229964 31680 230016 31686
-rect 229964 31622 230016 31628
-rect 229976 24206 230004 31622
-rect 229964 24200 230016 24206
-rect 229964 24142 230016 24148
-rect 230148 24200 230200 24206
-rect 230148 24142 230200 24148
-rect 230160 5030 230188 24142
-rect 231080 5273 231108 335446
-rect 231264 7886 231292 340054
-rect 231448 337385 231476 340068
-rect 231540 340054 231738 340082
-rect 231816 340054 231922 340082
-rect 232000 340054 232198 340082
-rect 232368 340054 232474 340082
-rect 231434 337376 231490 337385
-rect 231434 337311 231490 337320
-rect 231344 335572 231396 335578
-rect 231344 335514 231396 335520
-rect 231356 8974 231384 335514
-rect 231344 8968 231396 8974
-rect 231344 8910 231396 8916
-rect 231252 7880 231304 7886
-rect 231344 7880 231396 7886
-rect 231252 7822 231304 7828
-rect 231342 7848 231344 7857
-rect 231396 7848 231398 7857
-rect 231342 7783 231398 7792
-rect 231540 6089 231568 340054
-rect 231620 335776 231672 335782
-rect 231620 335718 231672 335724
-rect 231526 6080 231582 6089
-rect 231526 6015 231582 6024
-rect 231632 5409 231660 335718
-rect 231816 335510 231844 340054
-rect 232000 335578 232028 340054
-rect 232368 338162 232396 340054
-rect 232356 338156 232408 338162
-rect 232356 338098 232408 338104
-rect 232644 337754 232672 340068
-rect 232736 340054 232934 340082
-rect 233104 340054 233210 340082
-rect 233288 340054 233394 340082
-rect 233472 340054 233670 340082
-rect 233946 340054 234052 340082
-rect 232632 337748 232684 337754
-rect 232632 337690 232684 337696
-rect 232736 335594 232764 340054
-rect 232814 337784 232870 337793
-rect 232814 337719 232816 337728
-rect 232868 337719 232870 337728
-rect 232816 337690 232868 337696
-rect 231988 335572 232040 335578
-rect 231988 335514 232040 335520
-rect 232552 335566 232764 335594
-rect 233000 335572 233052 335578
-rect 231804 335504 231856 335510
-rect 231804 335446 231856 335452
-rect 232172 17264 232224 17270
-rect 232172 17206 232224 17212
-rect 232184 9761 232212 17206
-rect 232448 10260 232500 10266
-rect 232448 10202 232500 10208
-rect 232460 10033 232488 10202
-rect 232446 10024 232502 10033
-rect 232446 9959 232502 9968
-rect 231894 9752 231950 9761
-rect 231894 9687 231950 9696
-rect 232170 9752 232226 9761
-rect 232170 9687 232226 9696
-rect 231618 5400 231674 5409
-rect 231618 5335 231674 5344
-rect 231066 5264 231122 5273
-rect 231066 5199 231122 5208
-rect 229688 5024 229740 5030
-rect 229688 4966 229740 4972
-rect 230148 5024 230200 5030
-rect 230148 4966 230200 4972
-rect 230608 5024 230660 5030
-rect 230608 4966 230660 4972
-rect 231908 4978 231936 9687
-rect 232552 7886 232580 335566
-rect 233000 335514 233052 335520
-rect 232724 335504 232776 335510
-rect 232724 335446 232776 335452
-rect 232540 7880 232592 7886
-rect 232540 7822 232592 7828
-rect 232446 7440 232502 7449
-rect 232446 7375 232502 7384
-rect 232354 7168 232410 7177
-rect 232354 7103 232410 7112
-rect 232368 7018 232396 7103
-rect 232460 7018 232488 7375
-rect 232368 6990 232488 7018
-rect 230146 4176 230202 4185
-rect 230146 4111 230202 4120
-rect 230160 4078 230188 4111
-rect 229504 4072 229556 4078
-rect 229504 4014 229556 4020
-rect 230148 4072 230200 4078
-rect 230148 4014 230200 4020
-rect 230424 3732 230476 3738
-rect 230424 3674 230476 3680
-rect 230436 3641 230464 3674
-rect 230422 3632 230478 3641
-rect 230422 3567 230478 3576
-rect 230620 480 230648 4966
-rect 231908 4950 232028 4978
-rect 232000 610 232028 4950
-rect 232736 3369 232764 335446
-rect 233012 9058 233040 335514
-rect 233104 312594 233132 340054
-rect 233288 335510 233316 340054
-rect 233472 335578 233500 340054
-rect 233460 335572 233512 335578
-rect 233460 335514 233512 335520
-rect 233828 335572 233880 335578
-rect 233828 335514 233880 335520
-rect 233276 335504 233328 335510
-rect 233276 335446 233328 335452
-rect 233092 312588 233144 312594
-rect 233092 312530 233144 312536
-rect 232920 9030 233040 9058
-rect 233840 9042 233868 335514
-rect 233828 9036 233880 9042
-rect 232920 3505 232948 9030
-rect 233828 8978 233880 8984
-rect 233000 8968 233052 8974
-rect 233000 8910 233052 8916
-rect 232906 3496 232962 3505
-rect 232906 3431 232962 3440
-rect 232722 3360 232778 3369
-rect 232722 3295 232778 3304
-rect 231804 604 231856 610
-rect 231804 546 231856 552
-rect 231988 604 232040 610
-rect 231988 546 232040 552
-rect 231816 480 231844 546
-rect 233012 480 233040 8910
-rect 234024 7993 234052 340054
-rect 234116 273970 234144 340068
-rect 234208 340054 234406 340082
-rect 234208 338230 234236 340054
-rect 234196 338224 234248 338230
-rect 234196 338166 234248 338172
-rect 234668 337754 234696 340068
-rect 234760 340054 234866 340082
-rect 234656 337748 234708 337754
-rect 234656 337690 234708 337696
-rect 234760 335578 234788 340054
-rect 234748 335572 234800 335578
-rect 234748 335514 234800 335520
-rect 235128 330546 235156 340068
-rect 235208 335776 235260 335782
-rect 235208 335718 235260 335724
-rect 235116 330540 235168 330546
-rect 235116 330482 235168 330488
-rect 234104 273964 234156 273970
-rect 234104 273906 234156 273912
-rect 234932 11076 234984 11082
-rect 234932 11018 234984 11024
-rect 234944 10962 234972 11018
-rect 234852 10934 234972 10962
-rect 234656 10804 234708 10810
-rect 234656 10746 234708 10752
-rect 234668 10577 234696 10746
-rect 234852 10742 234880 10934
-rect 235024 10804 235076 10810
-rect 235220 10792 235248 335718
-rect 235300 335572 235352 335578
-rect 235300 335514 235352 335520
-rect 235312 11286 235340 335514
-rect 235404 335458 235432 340068
-rect 235496 340054 235602 340082
-rect 235680 340054 235878 340082
-rect 236048 340054 236154 340082
-rect 235496 335782 235524 340054
-rect 235484 335776 235536 335782
-rect 235484 335718 235536 335724
-rect 235680 335578 235708 340054
-rect 236048 335594 236076 340054
-rect 236324 338026 236352 340068
-rect 236312 338020 236364 338026
-rect 236312 337962 236364 337968
-rect 235668 335572 235720 335578
-rect 235668 335514 235720 335520
-rect 235772 335566 236076 335594
-rect 235404 335430 235616 335458
-rect 235588 328438 235616 335430
-rect 235576 328432 235628 328438
-rect 235576 328374 235628 328380
-rect 235772 321978 235800 335566
-rect 235760 321972 235812 321978
-rect 235760 321914 235812 321920
-rect 235484 318912 235536 318918
-rect 235484 318854 235536 318860
-rect 235668 318912 235720 318918
-rect 235668 318854 235720 318860
-rect 235496 318714 235524 318854
-rect 235680 318714 235708 318854
-rect 235484 318708 235536 318714
-rect 235484 318650 235536 318656
-rect 235668 318708 235720 318714
-rect 235668 318650 235720 318656
-rect 235944 318708 235996 318714
-rect 235944 318650 235996 318656
-rect 235576 311840 235628 311846
-rect 235576 311782 235628 311788
-rect 235588 289882 235616 311782
-rect 235956 309210 235984 318650
-rect 235772 309182 235984 309210
-rect 235772 302326 235800 309182
-rect 235760 302320 235812 302326
-rect 235760 302262 235812 302268
-rect 235668 302184 235720 302190
-rect 235668 302126 235720 302132
-rect 235680 299470 235708 302126
-rect 235668 299464 235720 299470
-rect 235668 299406 235720 299412
-rect 235484 289876 235536 289882
-rect 235484 289818 235536 289824
-rect 235576 289876 235628 289882
-rect 235576 289818 235628 289824
-rect 235496 285326 235524 289818
-rect 235484 285320 235536 285326
-rect 235484 285262 235536 285268
-rect 235576 282804 235628 282810
-rect 235576 282746 235628 282752
-rect 235588 278769 235616 282746
-rect 235390 278760 235446 278769
-rect 235390 278695 235446 278704
-rect 235574 278760 235630 278769
-rect 235574 278695 235630 278704
-rect 235404 269142 235432 278695
-rect 235392 269136 235444 269142
-rect 235392 269078 235444 269084
-rect 235576 269136 235628 269142
-rect 235576 269078 235628 269084
-rect 235588 263702 235616 269078
-rect 235576 263696 235628 263702
-rect 235576 263638 235628 263644
-rect 235576 263492 235628 263498
-rect 235576 263434 235628 263440
-rect 235588 260846 235616 263434
-rect 235576 260840 235628 260846
-rect 235576 260782 235628 260788
-rect 235576 253836 235628 253842
-rect 235576 253778 235628 253784
-rect 235588 244361 235616 253778
-rect 235574 244352 235630 244361
-rect 235574 244287 235630 244296
-rect 235482 241632 235538 241641
-rect 235482 241567 235538 241576
-rect 235496 240106 235524 241567
-rect 235484 240100 235536 240106
-rect 235484 240042 235536 240048
-rect 235668 230512 235720 230518
-rect 235668 230454 235720 230460
-rect 235680 221105 235708 230454
-rect 235666 221096 235722 221105
-rect 235666 221031 235722 221040
-rect 235574 220960 235630 220969
-rect 235574 220895 235630 220904
-rect 235588 220833 235616 220895
-rect 235390 220824 235446 220833
-rect 235390 220759 235446 220768
-rect 235574 220824 235630 220833
-rect 235574 220759 235630 220768
-rect 235404 211206 235432 220759
-rect 235392 211200 235444 211206
-rect 235392 211142 235444 211148
-rect 235484 211200 235536 211206
-rect 235484 211142 235536 211148
-rect 235496 205766 235524 211142
-rect 235484 205760 235536 205766
-rect 235484 205702 235536 205708
-rect 235484 203040 235536 203046
-rect 235484 202982 235536 202988
-rect 235496 202858 235524 202982
-rect 235496 202842 235616 202858
-rect 235496 202836 235628 202842
-rect 235496 202830 235576 202836
-rect 235576 202778 235628 202784
-rect 235576 195764 235628 195770
-rect 235576 195706 235628 195712
-rect 235588 191826 235616 195706
-rect 235576 191820 235628 191826
-rect 235576 191762 235628 191768
-rect 235760 191820 235812 191826
-rect 235760 191762 235812 191768
-rect 235772 182209 235800 191762
-rect 235574 182200 235630 182209
-rect 235496 182158 235574 182186
-rect 235496 176730 235524 182158
-rect 235574 182135 235630 182144
-rect 235758 182200 235814 182209
-rect 235758 182135 235814 182144
-rect 235484 176724 235536 176730
-rect 235484 176666 235536 176672
-rect 235576 176588 235628 176594
-rect 235576 176530 235628 176536
-rect 235588 167210 235616 176530
-rect 235576 167204 235628 167210
-rect 235576 167146 235628 167152
-rect 235484 166932 235536 166938
-rect 235484 166874 235536 166880
-rect 235496 159322 235524 166874
-rect 235484 159316 235536 159322
-rect 235484 159258 235536 159264
-rect 235576 157140 235628 157146
-rect 235576 157082 235628 157088
-rect 235588 154465 235616 157082
-rect 235574 154456 235630 154465
-rect 235574 154391 235630 154400
-rect 235850 154456 235906 154465
-rect 235850 154391 235906 154400
-rect 235864 144945 235892 154391
-rect 235482 144936 235538 144945
-rect 235482 144871 235538 144880
-rect 235850 144936 235906 144945
-rect 235850 144871 235906 144880
-rect 235496 138038 235524 144871
-rect 235484 138032 235536 138038
-rect 235484 137974 235536 137980
-rect 235576 137964 235628 137970
-rect 235576 137906 235628 137912
-rect 235588 135250 235616 137906
-rect 235576 135244 235628 135250
-rect 235576 135186 235628 135192
-rect 235760 135244 235812 135250
-rect 235760 135186 235812 135192
-rect 235772 125633 235800 135186
-rect 235574 125624 235630 125633
-rect 235496 125582 235574 125610
-rect 235496 118538 235524 125582
-rect 235574 125559 235630 125568
-rect 235758 125624 235814 125633
-rect 235758 125559 235814 125568
-rect 235496 118510 235616 118538
-rect 235588 115802 235616 118510
-rect 235576 115796 235628 115802
-rect 235576 115738 235628 115744
-rect 235576 108996 235628 109002
-rect 235576 108938 235628 108944
-rect 235588 106298 235616 108938
-rect 235496 106282 235616 106298
-rect 235484 106276 235616 106282
-rect 235536 106270 235616 106276
-rect 235484 106218 235536 106224
-rect 235484 96688 235536 96694
-rect 235536 96636 235616 96642
-rect 235484 96630 235616 96636
-rect 235496 96626 235616 96630
-rect 235496 96620 235628 96626
-rect 235496 96614 235576 96620
-rect 235576 96562 235628 96568
-rect 235588 96531 235616 96562
-rect 235576 89684 235628 89690
-rect 235576 89626 235628 89632
-rect 235588 86986 235616 89626
-rect 235496 86958 235616 86986
-rect 235496 79914 235524 86958
-rect 235496 79886 235616 79914
-rect 235588 77178 235616 79886
-rect 235576 77172 235628 77178
-rect 235576 77114 235628 77120
-rect 235484 67652 235536 67658
-rect 235484 67594 235536 67600
-rect 235496 60790 235524 67594
-rect 235484 60784 235536 60790
-rect 235484 60726 235536 60732
-rect 235576 60648 235628 60654
-rect 235576 60590 235628 60596
-rect 235588 57934 235616 60590
-rect 235576 57928 235628 57934
-rect 235576 57870 235628 57876
-rect 235392 48340 235444 48346
-rect 235392 48282 235444 48288
-rect 235404 48249 235432 48282
-rect 235390 48240 235446 48249
-rect 235390 48175 235446 48184
-rect 235666 48104 235722 48113
-rect 235666 48039 235722 48048
-rect 235680 38706 235708 48039
-rect 235588 38678 235708 38706
-rect 235588 38570 235616 38678
-rect 235496 38542 235616 38570
-rect 235496 31822 235524 38542
-rect 235484 31816 235536 31822
-rect 235484 31758 235536 31764
-rect 235484 29028 235536 29034
-rect 235484 28970 235536 28976
-rect 235496 22166 235524 28970
-rect 235484 22160 235536 22166
-rect 235484 22102 235536 22108
-rect 235576 22024 235628 22030
-rect 235576 21966 235628 21972
-rect 235300 11280 235352 11286
-rect 235300 11222 235352 11228
-rect 235220 10764 235340 10792
-rect 235024 10746 235076 10752
-rect 234840 10736 234892 10742
-rect 235036 10713 235064 10746
-rect 234840 10678 234892 10684
-rect 235022 10704 235078 10713
-rect 235022 10639 235078 10648
-rect 234654 10568 234710 10577
-rect 234654 10503 234710 10512
-rect 235022 10160 235078 10169
-rect 235022 10095 235024 10104
-rect 235076 10095 235078 10104
-rect 235024 10066 235076 10072
-rect 234932 9036 234984 9042
-rect 234932 8978 234984 8984
-rect 234944 8945 234972 8978
-rect 235024 8968 235076 8974
-rect 234930 8936 234986 8945
-rect 235024 8910 235076 8916
-rect 234930 8871 234986 8880
-rect 235036 8809 235064 8910
-rect 235022 8800 235078 8809
-rect 235022 8735 235078 8744
-rect 234010 7984 234066 7993
-rect 234010 7919 234066 7928
-rect 235114 6624 235170 6633
-rect 235114 6559 235170 6568
-rect 235128 6322 235156 6559
-rect 235116 6316 235168 6322
-rect 235116 6258 235168 6264
-rect 235312 4298 235340 10764
-rect 235392 10668 235444 10674
-rect 235392 10610 235444 10616
-rect 235404 10577 235432 10610
-rect 235390 10568 235446 10577
-rect 235390 10503 235446 10512
-rect 235220 4270 235340 4298
-rect 234930 4176 234986 4185
-rect 234930 4111 234986 4120
-rect 235114 4176 235170 4185
-rect 235114 4111 235116 4120
-rect 234944 3670 234972 4111
-rect 235168 4111 235170 4120
-rect 235116 4082 235168 4088
-rect 235220 3913 235248 4270
-rect 235300 4140 235352 4146
-rect 235300 4082 235352 4088
-rect 235206 3904 235262 3913
-rect 235206 3839 235262 3848
-rect 235208 3732 235260 3738
-rect 235208 3674 235260 3680
-rect 234840 3664 234892 3670
-rect 234840 3606 234892 3612
-rect 234932 3664 234984 3670
-rect 235220 3641 235248 3674
-rect 234932 3606 234984 3612
-rect 235206 3632 235262 3641
-rect 234748 3596 234800 3602
-rect 234748 3538 234800 3544
-rect 234760 3233 234788 3538
-rect 234852 3369 234880 3606
-rect 235206 3567 235262 3576
-rect 235114 3496 235170 3505
-rect 235114 3431 235170 3440
-rect 235128 3398 235156 3431
-rect 235116 3392 235168 3398
-rect 234838 3360 234894 3369
-rect 235116 3334 235168 3340
-rect 235208 3392 235260 3398
-rect 235208 3334 235260 3340
-rect 234838 3295 234894 3304
-rect 235220 3233 235248 3334
-rect 234746 3224 234802 3233
-rect 234746 3159 234802 3168
-rect 235206 3224 235262 3233
-rect 235206 3159 235262 3168
-rect 234196 604 234248 610
-rect 234196 546 234248 552
-rect 234208 480 234236 546
-rect 235312 480 235340 4082
-rect 235588 3777 235616 21966
-rect 236404 18624 236456 18630
-rect 236404 18566 236456 18572
-rect 235666 4312 235722 4321
-rect 235666 4247 235722 4256
-rect 235680 4078 235708 4247
-rect 236416 4146 236444 18566
-rect 236600 10305 236628 340068
-rect 236890 340054 236996 340082
-rect 236772 335572 236824 335578
-rect 236772 335514 236824 335520
-rect 236680 333600 236732 333606
-rect 236680 333542 236732 333548
-rect 236586 10296 236642 10305
-rect 236586 10231 236642 10240
-rect 236404 4140 236456 4146
-rect 236404 4082 236456 4088
-rect 236496 4140 236548 4146
-rect 236496 4082 236548 4088
-rect 235668 4072 235720 4078
-rect 235668 4014 235720 4020
-rect 235574 3768 235630 3777
-rect 235574 3703 235630 3712
-rect 235392 3596 235444 3602
-rect 235392 3538 235444 3544
-rect 235404 3369 235432 3538
-rect 235390 3360 235446 3369
-rect 235390 3295 235446 3304
-rect 236508 480 236536 4082
-rect 236692 3534 236720 333542
-rect 236784 11014 236812 335514
-rect 236772 11008 236824 11014
-rect 236772 10950 236824 10956
-rect 236968 4049 236996 340054
-rect 236954 4040 237010 4049
-rect 236954 3975 237010 3984
-rect 236770 3632 236826 3641
-rect 236770 3567 236826 3576
-rect 236784 3534 236812 3567
-rect 236680 3528 236732 3534
-rect 236680 3470 236732 3476
-rect 236772 3528 236824 3534
-rect 236772 3470 236824 3476
-rect 237060 3398 237088 340068
-rect 237152 340054 237350 340082
-rect 237428 340054 237626 340082
-rect 237152 335578 237180 340054
-rect 237140 335572 237192 335578
-rect 237140 335514 237192 335520
-rect 237428 333606 237456 340054
-rect 237796 337958 237824 340068
-rect 238086 340054 238284 340082
-rect 237784 337952 237836 337958
-rect 237784 337894 237836 337900
-rect 237968 335776 238020 335782
-rect 237968 335718 238020 335724
-rect 237876 335504 237928 335510
-rect 237876 335446 237928 335452
-rect 237416 333600 237468 333606
-rect 237416 333542 237468 333548
-rect 237782 9208 237838 9217
-rect 237782 9143 237838 9152
-rect 237690 5264 237746 5273
-rect 237690 5199 237746 5208
-rect 237138 3496 237194 3505
-rect 237138 3431 237194 3440
-rect 237152 3398 237180 3431
-rect 237048 3392 237100 3398
-rect 237048 3334 237100 3340
-rect 237140 3392 237192 3398
-rect 237140 3334 237192 3340
-rect 237704 480 237732 5199
-rect 237796 4146 237824 9143
-rect 237888 7886 237916 335446
-rect 237876 7880 237928 7886
-rect 237876 7822 237928 7828
-rect 237784 4140 237836 4146
-rect 237784 4082 237836 4088
-rect 237980 4078 238008 335718
-rect 238152 335572 238204 335578
-rect 238152 335514 238204 335520
-rect 238164 10810 238192 335514
-rect 238152 10804 238204 10810
-rect 238152 10746 238204 10752
-rect 238256 10674 238284 340054
-rect 238348 10792 238376 340068
-rect 238440 340054 238546 340082
-rect 238624 340054 238822 340082
-rect 238900 340054 239098 340082
-rect 238440 335782 238468 340054
-rect 238428 335776 238480 335782
-rect 238428 335718 238480 335724
-rect 238624 335578 238652 340054
-rect 238612 335572 238664 335578
-rect 238612 335514 238664 335520
-rect 238900 335510 238928 340054
-rect 239268 337618 239296 340068
-rect 239256 337612 239308 337618
-rect 239256 337554 239308 337560
-rect 239348 335776 239400 335782
-rect 239348 335718 239400 335724
-rect 238888 335504 238940 335510
-rect 238888 335446 238940 335452
-rect 239256 321632 239308 321638
-rect 239256 321574 239308 321580
-rect 239268 302326 239296 321574
-rect 239360 302326 239388 335718
-rect 239440 335504 239492 335510
-rect 239440 335446 239492 335452
-rect 239452 321638 239480 335446
-rect 239440 321632 239492 321638
-rect 239440 321574 239492 321580
-rect 239256 302320 239308 302326
-rect 239256 302262 239308 302268
-rect 239348 302320 239400 302326
-rect 239348 302262 239400 302268
-rect 239256 302184 239308 302190
-rect 239256 302126 239308 302132
-rect 239348 302184 239400 302190
-rect 239348 302126 239400 302132
-rect 239268 299470 239296 302126
-rect 239256 299464 239308 299470
-rect 239256 299406 239308 299412
-rect 239256 273284 239308 273290
-rect 239256 273226 239308 273232
-rect 239268 263634 239296 273226
-rect 239256 263628 239308 263634
-rect 239256 263570 239308 263576
-rect 239256 244384 239308 244390
-rect 239256 244326 239308 244332
-rect 239268 244186 239296 244326
-rect 239256 244180 239308 244186
-rect 239256 244122 239308 244128
-rect 239256 225684 239308 225690
-rect 239256 225626 239308 225632
-rect 239268 205562 239296 225626
-rect 239360 222290 239388 302126
-rect 239440 299464 239492 299470
-rect 239440 299406 239492 299412
-rect 239452 273290 239480 299406
-rect 239440 273284 239492 273290
-rect 239440 273226 239492 273232
-rect 239440 263628 239492 263634
-rect 239440 263570 239492 263576
-rect 239452 244390 239480 263570
-rect 239440 244384 239492 244390
-rect 239440 244326 239492 244332
-rect 239440 244180 239492 244186
-rect 239440 244122 239492 244128
-rect 239452 225690 239480 244122
-rect 239440 225684 239492 225690
-rect 239440 225626 239492 225632
-rect 239348 222284 239400 222290
-rect 239348 222226 239400 222232
-rect 239348 222148 239400 222154
-rect 239348 222090 239400 222096
-rect 239256 205556 239308 205562
-rect 239256 205498 239308 205504
-rect 239256 167136 239308 167142
-rect 239256 167078 239308 167084
-rect 239268 166938 239296 167078
-rect 239256 166932 239308 166938
-rect 239256 166874 239308 166880
-rect 239256 128512 239308 128518
-rect 239256 128454 239308 128460
-rect 239268 128314 239296 128454
-rect 239256 128308 239308 128314
-rect 239256 128250 239308 128256
-rect 239256 106276 239308 106282
-rect 239256 106218 239308 106224
-rect 239268 96665 239296 106218
-rect 239254 96656 239310 96665
-rect 239254 96591 239310 96600
-rect 239254 51096 239310 51105
-rect 239254 51031 239256 51040
-rect 239308 51031 239310 51040
-rect 239256 51002 239308 51008
-rect 239256 17332 239308 17338
-rect 239256 17274 239308 17280
-rect 238520 10804 238572 10810
-rect 238348 10764 238468 10792
-rect 238244 10668 238296 10674
-rect 238244 10610 238296 10616
-rect 238058 4176 238114 4185
-rect 238058 4111 238060 4120
-rect 238112 4111 238114 4120
-rect 238060 4082 238112 4088
-rect 237968 4072 238020 4078
-rect 237968 4014 238020 4020
-rect 238440 3602 238468 10764
-rect 238520 10746 238572 10752
-rect 238532 10169 238560 10746
-rect 239268 10742 239296 17274
-rect 239256 10736 239308 10742
-rect 239256 10678 239308 10684
-rect 238518 10160 238574 10169
-rect 238518 10095 238574 10104
-rect 238888 7880 238940 7886
-rect 238888 7822 238940 7828
-rect 238428 3596 238480 3602
-rect 238428 3538 238480 3544
-rect 238900 480 238928 7822
-rect 239360 4010 239388 222090
-rect 239440 205556 239492 205562
-rect 239440 205498 239492 205504
-rect 239452 167142 239480 205498
-rect 239440 167136 239492 167142
-rect 239440 167078 239492 167084
-rect 239440 166932 239492 166938
-rect 239440 166874 239492 166880
-rect 239452 128518 239480 166874
-rect 239440 128512 239492 128518
-rect 239440 128454 239492 128460
-rect 239440 128308 239492 128314
-rect 239440 128250 239492 128256
-rect 239452 106282 239480 128250
-rect 239440 106276 239492 106282
-rect 239440 106218 239492 106224
-rect 239438 96656 239494 96665
-rect 239438 96591 239494 96600
-rect 239452 51105 239480 96591
-rect 239438 51096 239494 51105
-rect 239438 51031 239440 51040
-rect 239492 51031 239494 51040
-rect 239440 51002 239492 51008
-rect 239452 6225 239480 51002
-rect 239544 17338 239572 340068
-rect 239728 340054 239834 340082
-rect 239912 340054 240018 340082
-rect 240096 340054 240294 340082
-rect 240372 340054 240570 340082
-rect 240754 340054 240860 340082
-rect 239624 335572 239676 335578
-rect 239624 335514 239676 335520
-rect 239532 17332 239584 17338
-rect 239532 17274 239584 17280
-rect 239636 10266 239664 335514
-rect 239624 10260 239676 10266
-rect 239624 10202 239676 10208
-rect 239438 6216 239494 6225
-rect 239438 6151 239494 6160
-rect 239348 4004 239400 4010
-rect 239348 3946 239400 3952
-rect 239728 3738 239756 340054
-rect 239912 335782 239940 340054
-rect 239900 335776 239952 335782
-rect 239900 335718 239952 335724
-rect 240096 335510 240124 340054
-rect 240372 335578 240400 340054
-rect 240832 335578 240860 340054
-rect 240912 339108 240964 339114
-rect 240912 339050 240964 339056
-rect 240360 335572 240412 335578
-rect 240360 335514 240412 335520
-rect 240820 335572 240872 335578
-rect 240820 335514 240872 335520
-rect 240084 335504 240136 335510
-rect 240084 335446 240136 335452
-rect 240820 335436 240872 335442
-rect 240820 335378 240872 335384
-rect 240082 9344 240138 9353
-rect 240082 9279 240138 9288
-rect 239716 3732 239768 3738
-rect 239716 3674 239768 3680
-rect 240096 480 240124 9279
-rect 240832 6361 240860 335378
-rect 240924 10198 240952 339050
-rect 240912 10192 240964 10198
-rect 240912 10134 240964 10140
-rect 241016 6633 241044 340068
-rect 241292 339114 241320 340068
-rect 241280 339108 241332 339114
-rect 241280 339050 241332 339056
-rect 241476 337754 241504 340068
-rect 241568 340054 241766 340082
-rect 241464 337748 241516 337754
-rect 241464 337690 241516 337696
-rect 241096 335572 241148 335578
-rect 241096 335514 241148 335520
-rect 241002 6624 241058 6633
-rect 241002 6559 241058 6568
-rect 240818 6352 240874 6361
-rect 240818 6287 240874 6296
-rect 241108 3534 241136 335514
-rect 241568 335442 241596 340054
-rect 242028 335510 242056 340068
-rect 242226 340054 242424 340082
-rect 242108 339108 242160 339114
-rect 242108 339050 242160 339056
-rect 242016 335504 242068 335510
-rect 242016 335446 242068 335452
-rect 241556 335436 241608 335442
-rect 241556 335378 241608 335384
-rect 242120 331242 242148 339050
-rect 242396 335730 242424 340054
-rect 242488 339114 242516 340068
-rect 242580 340054 242778 340082
-rect 242476 339108 242528 339114
-rect 242476 339050 242528 339056
-rect 242396 335702 242516 335730
-rect 242384 335572 242436 335578
-rect 242384 335514 242436 335520
-rect 242292 335504 242344 335510
-rect 242292 335446 242344 335452
-rect 242120 331214 242240 331242
-rect 242212 319025 242240 331214
-rect 242198 319016 242254 319025
-rect 242198 318951 242254 318960
-rect 242198 318880 242254 318889
-rect 242198 318815 242254 318824
-rect 242212 285682 242240 318815
-rect 242120 285654 242240 285682
-rect 242120 278866 242148 285654
-rect 242108 278860 242160 278866
-rect 242108 278802 242160 278808
-rect 242108 277364 242160 277370
-rect 242108 277306 242160 277312
-rect 242120 254946 242148 277306
-rect 242120 254918 242240 254946
-rect 242212 246378 242240 254918
-rect 242120 246350 242240 246378
-rect 242120 231878 242148 246350
-rect 242108 231872 242160 231878
-rect 242108 231814 242160 231820
-rect 242200 231872 242252 231878
-rect 242200 231814 242252 231820
-rect 242212 222290 242240 231814
-rect 242200 222284 242252 222290
-rect 242200 222226 242252 222232
-rect 242108 222148 242160 222154
-rect 242108 222090 242160 222096
-rect 242120 213194 242148 222090
-rect 242120 213166 242240 213194
-rect 242212 203402 242240 213166
-rect 242120 203374 242240 203402
-rect 242120 193882 242148 203374
-rect 242120 193854 242240 193882
-rect 242212 182170 242240 193854
-rect 242108 182164 242160 182170
-rect 242108 182106 242160 182112
-rect 242200 182164 242252 182170
-rect 242200 182106 242252 182112
-rect 242120 164218 242148 182106
-rect 242108 164212 242160 164218
-rect 242108 164154 242160 164160
-rect 242200 164144 242252 164150
-rect 242200 164086 242252 164092
-rect 242212 162858 242240 164086
-rect 242016 162852 242068 162858
-rect 242016 162794 242068 162800
-rect 242200 162852 242252 162858
-rect 242200 162794 242252 162800
-rect 242028 153241 242056 162794
-rect 242014 153232 242070 153241
-rect 242014 153167 242070 153176
-rect 242198 153232 242254 153241
-rect 242198 153167 242254 153176
-rect 242212 149682 242240 153167
-rect 242120 149654 242240 149682
-rect 242120 144906 242148 149654
-rect 242108 144900 242160 144906
-rect 242108 144842 242160 144848
-rect 242200 144900 242252 144906
-rect 242200 144842 242252 144848
-rect 242212 143546 242240 144842
-rect 242016 143540 242068 143546
-rect 242016 143482 242068 143488
-rect 242200 143540 242252 143546
-rect 242200 143482 242252 143488
-rect 241830 134056 241886 134065
-rect 241830 133991 241886 134000
-rect 241844 132841 241872 133991
-rect 242028 133929 242056 143482
-rect 242014 133920 242070 133929
-rect 242014 133855 242070 133864
-rect 242198 133920 242254 133929
-rect 242198 133855 242254 133864
-rect 241830 132832 241886 132841
-rect 241830 132767 241886 132776
-rect 242212 125769 242240 133855
-rect 242198 125760 242254 125769
-rect 242198 125695 242254 125704
-rect 242106 125624 242162 125633
-rect 242106 125559 242162 125568
-rect 242120 115818 242148 125559
-rect 242120 115790 242240 115818
-rect 242212 106457 242240 115790
-rect 242198 106448 242254 106457
-rect 242198 106383 242254 106392
-rect 242106 106312 242162 106321
-rect 242106 106247 242162 106256
-rect 242120 101454 242148 106247
-rect 242108 101448 242160 101454
-rect 242108 101390 242160 101396
-rect 242016 93900 242068 93906
-rect 242016 93842 242068 93848
-rect 242028 86850 242056 93842
-rect 242028 86822 242240 86850
-rect 242212 80730 242240 86822
-rect 242028 80702 242240 80730
-rect 242028 67658 242056 80702
-rect 242016 67652 242068 67658
-rect 242016 67594 242068 67600
-rect 242108 67652 242160 67658
-rect 242108 67594 242160 67600
-rect 242120 46918 242148 67594
-rect 242016 46912 242068 46918
-rect 242016 46854 242068 46860
-rect 242108 46912 242160 46918
-rect 242108 46854 242160 46860
-rect 242028 37262 242056 46854
-rect 242016 37256 242068 37262
-rect 242016 37198 242068 37204
-rect 242108 37256 242160 37262
-rect 242108 37198 242160 37204
-rect 242120 22794 242148 37198
-rect 242120 22766 242240 22794
-rect 241924 10124 241976 10130
-rect 241924 10066 241976 10072
-rect 241936 10033 241964 10066
-rect 241922 10024 241978 10033
-rect 241922 9959 241978 9968
-rect 242212 6497 242240 22766
-rect 242304 11014 242332 335446
-rect 242292 11008 242344 11014
-rect 242292 10950 242344 10956
-rect 242396 10130 242424 335514
-rect 242488 321450 242516 335702
-rect 242580 335578 242608 340054
-rect 242948 338094 242976 340068
-rect 243132 340054 243238 340082
-rect 243514 340054 243620 340082
-rect 243698 340054 243896 340082
-rect 242936 338088 242988 338094
-rect 242936 338030 242988 338036
-rect 243132 335594 243160 340054
-rect 242568 335572 242620 335578
-rect 242568 335514 242620 335520
-rect 242764 335566 243160 335594
-rect 243592 335594 243620 340054
-rect 243592 335566 243804 335594
-rect 242764 321722 242792 335566
-rect 243580 335504 243632 335510
-rect 243580 335446 243632 335452
-rect 242672 321694 242792 321722
-rect 242488 321422 242608 321450
-rect 242580 309194 242608 321422
-rect 242672 314022 242700 321694
-rect 242660 314016 242712 314022
-rect 242660 313958 242712 313964
-rect 242844 314016 242896 314022
-rect 242844 313958 242896 313964
-rect 242476 309188 242528 309194
-rect 242476 309130 242528 309136
-rect 242568 309188 242620 309194
-rect 242568 309130 242620 309136
-rect 242488 299538 242516 309130
-rect 242856 304314 242884 313958
-rect 242764 304286 242884 304314
-rect 242476 299532 242528 299538
-rect 242476 299474 242528 299480
-rect 242568 299532 242620 299538
-rect 242568 299474 242620 299480
-rect 242580 267850 242608 299474
-rect 242764 285682 242792 304286
-rect 242672 285654 242792 285682
-rect 242672 276185 242700 285654
-rect 242658 276176 242714 276185
-rect 242658 276111 242714 276120
-rect 242750 276040 242806 276049
-rect 242750 275975 242806 275984
-rect 242764 269754 242792 275975
-rect 242752 269748 242804 269754
-rect 242752 269690 242804 269696
-rect 242568 267844 242620 267850
-rect 242568 267786 242620 267792
-rect 242476 267776 242528 267782
-rect 242476 267718 242528 267724
-rect 242488 264738 242516 267718
-rect 242936 267572 242988 267578
-rect 242936 267514 242988 267520
-rect 242948 264858 242976 267514
-rect 242936 264852 242988 264858
-rect 242936 264794 242988 264800
-rect 242488 264710 242608 264738
-rect 242580 255270 242608 264710
-rect 242844 255332 242896 255338
-rect 242844 255274 242896 255280
-rect 242568 255264 242620 255270
-rect 242856 255218 242884 255274
-rect 242568 255206 242620 255212
-rect 242764 255190 242884 255218
-rect 242764 246838 242792 255190
-rect 242752 246832 242804 246838
-rect 242752 246774 242804 246780
-rect 242660 245676 242712 245682
-rect 242660 245618 242712 245624
-rect 242672 242026 242700 245618
-rect 242488 241998 242700 242026
-rect 242752 242004 242804 242010
-rect 242488 237386 242516 241998
-rect 242752 241946 242804 241952
-rect 242476 237380 242528 237386
-rect 242476 237322 242528 237328
-rect 242764 229106 242792 241946
-rect 242672 229078 242792 229106
-rect 242672 228834 242700 229078
-rect 242672 228806 242792 228834
-rect 242474 218104 242530 218113
-rect 242474 218039 242530 218048
-rect 242488 216646 242516 218039
-rect 242476 216640 242528 216646
-rect 242476 216582 242528 216588
-rect 242476 207052 242528 207058
-rect 242476 206994 242528 207000
-rect 242488 201550 242516 206994
-rect 242476 201544 242528 201550
-rect 242476 201486 242528 201492
-rect 242568 201408 242620 201414
-rect 242568 201350 242620 201356
-rect 242580 198694 242608 201350
-rect 242568 198688 242620 198694
-rect 242568 198630 242620 198636
-rect 242764 191842 242792 228806
-rect 242844 227792 242896 227798
-rect 242844 227734 242896 227740
-rect 242856 218249 242884 227734
-rect 242842 218240 242898 218249
-rect 242842 218175 242898 218184
-rect 242764 191814 242884 191842
-rect 242476 189100 242528 189106
-rect 242476 189042 242528 189048
-rect 242488 182209 242516 189042
-rect 242856 189038 242884 191814
-rect 242844 189032 242896 189038
-rect 242844 188974 242896 188980
-rect 242474 182200 242530 182209
-rect 242474 182135 242530 182144
-rect 242844 182028 242896 182034
-rect 242844 181970 242896 181976
-rect 242856 164257 242884 181970
-rect 242658 164248 242714 164257
-rect 242658 164183 242660 164192
-rect 242712 164183 242714 164192
-rect 242842 164248 242898 164257
-rect 242842 164183 242898 164192
-rect 242660 164154 242712 164160
-rect 242566 163024 242622 163033
-rect 242566 162959 242622 162968
-rect 242580 162926 242608 162959
-rect 242568 162920 242620 162926
-rect 242568 162862 242620 162868
-rect 242476 157956 242528 157962
-rect 242476 157898 242528 157904
-rect 242488 157865 242516 157898
-rect 242474 157856 242530 157865
-rect 242474 157791 242530 157800
-rect 242660 157140 242712 157146
-rect 242660 157082 242712 157088
-rect 242474 144936 242530 144945
-rect 242474 144871 242530 144880
-rect 242488 143546 242516 144871
-rect 242672 144838 242700 157082
-rect 242660 144832 242712 144838
-rect 242660 144774 242712 144780
-rect 242752 144832 242804 144838
-rect 242752 144774 242804 144780
-rect 242476 143540 242528 143546
-rect 242476 143482 242528 143488
-rect 242568 143540 242620 143546
-rect 242568 143482 242620 143488
-rect 242580 119406 242608 143482
-rect 242764 130370 242792 144774
-rect 242764 130342 242884 130370
-rect 242856 125594 242884 130342
-rect 242844 125588 242896 125594
-rect 242844 125530 242896 125536
-rect 242568 119400 242620 119406
-rect 242568 119342 242620 119348
-rect 242936 119400 242988 119406
-rect 242936 119342 242988 119348
-rect 242844 116748 242896 116754
-rect 242844 116690 242896 116696
-rect 242856 114510 242884 116690
-rect 242844 114504 242896 114510
-rect 242844 114446 242896 114452
-rect 242948 111178 242976 119342
-rect 242936 111172 242988 111178
-rect 242936 111114 242988 111120
-rect 242936 110560 242988 110566
-rect 242936 110502 242988 110508
-rect 242660 104916 242712 104922
-rect 242660 104858 242712 104864
-rect 242672 96665 242700 104858
-rect 242948 101402 242976 110502
-rect 242856 101374 242976 101402
-rect 242474 96656 242530 96665
-rect 242474 96591 242530 96600
-rect 242658 96656 242714 96665
-rect 242658 96591 242714 96600
-rect 242488 87242 242516 96591
-rect 242476 87236 242528 87242
-rect 242476 87178 242528 87184
-rect 242856 86970 242884 101374
-rect 242844 86964 242896 86970
-rect 242844 86906 242896 86912
-rect 242936 86964 242988 86970
-rect 242936 86906 242988 86912
-rect 242476 86896 242528 86902
-rect 242476 86838 242528 86844
-rect 242488 85542 242516 86838
-rect 242476 85536 242528 85542
-rect 242476 85478 242528 85484
-rect 242568 85332 242620 85338
-rect 242568 85274 242620 85280
-rect 242580 65006 242608 85274
-rect 242948 77353 242976 86906
-rect 242750 77344 242806 77353
-rect 242750 77279 242806 77288
-rect 242934 77344 242990 77353
-rect 242934 77279 242990 77288
-rect 242764 72434 242792 77279
-rect 242672 72406 242792 72434
-rect 242568 65000 242620 65006
-rect 242568 64942 242620 64948
-rect 242476 64932 242528 64938
-rect 242476 64874 242528 64880
-rect 242488 63510 242516 64874
-rect 242476 63504 242528 63510
-rect 242476 63446 242528 63452
-rect 242672 57882 242700 72406
-rect 242672 57854 242792 57882
-rect 242764 48346 242792 57854
-rect 242752 48340 242804 48346
-rect 242752 48282 242804 48288
-rect 242844 48340 242896 48346
-rect 242844 48282 242896 48288
-rect 242568 45620 242620 45626
-rect 242568 45562 242620 45568
-rect 242580 41834 242608 45562
-rect 242580 41806 242700 41834
-rect 242672 29102 242700 41806
-rect 242660 29096 242712 29102
-rect 242660 29038 242712 29044
-rect 242856 29034 242884 48282
-rect 242752 29028 242804 29034
-rect 242752 28970 242804 28976
-rect 242844 29028 242896 29034
-rect 242844 28970 242896 28976
-rect 242568 28960 242620 28966
-rect 242568 28902 242620 28908
-rect 242580 27606 242608 28902
-rect 242568 27600 242620 27606
-rect 242568 27542 242620 27548
-rect 242568 22704 242620 22710
-rect 242568 22646 242620 22652
-rect 242580 18057 242608 22646
-rect 242764 18154 242792 28970
-rect 242752 18148 242804 18154
-rect 242752 18090 242804 18096
-rect 242660 18080 242712 18086
-rect 242566 18048 242622 18057
-rect 242660 18022 242712 18028
-rect 242750 18048 242806 18057
-rect 242566 17983 242622 17992
-rect 242672 17338 242700 18022
-rect 242750 17983 242806 17992
-rect 242476 17332 242528 17338
-rect 242476 17274 242528 17280
-rect 242660 17332 242712 17338
-rect 242660 17274 242712 17280
-rect 242384 10124 242436 10130
-rect 242384 10066 242436 10072
-rect 242488 8106 242516 17274
-rect 242396 8078 242516 8106
-rect 242396 7954 242424 8078
-rect 242384 7948 242436 7954
-rect 242384 7890 242436 7896
-rect 242476 7948 242528 7954
-rect 242476 7890 242528 7896
-rect 242198 6488 242254 6497
-rect 242198 6423 242254 6432
-rect 241280 4072 241332 4078
-rect 241280 4014 241332 4020
-rect 241096 3528 241148 3534
-rect 241096 3470 241148 3476
-rect 241292 480 241320 4014
-rect 242488 480 242516 7890
-rect 242764 4146 242792 17983
-rect 243592 8022 243620 335446
-rect 243776 10674 243804 335566
-rect 243764 10668 243816 10674
-rect 243764 10610 243816 10616
-rect 243580 8016 243632 8022
-rect 243580 7958 243632 7964
-rect 242752 4140 242804 4146
-rect 242752 4082 242804 4088
-rect 243672 3664 243724 3670
-rect 243672 3606 243724 3612
-rect 243684 480 243712 3606
-rect 243868 3398 243896 340054
-rect 243960 335510 243988 340068
-rect 244144 340054 244250 340082
-rect 244040 335572 244092 335578
-rect 244040 335514 244092 335520
-rect 243948 335504 244000 335510
-rect 243948 335446 244000 335452
-rect 244052 8090 244080 335514
-rect 244144 10810 244172 340054
-rect 244420 337210 244448 340068
-rect 244512 340054 244710 340082
-rect 244408 337204 244460 337210
-rect 244408 337146 244460 337152
-rect 244512 335578 244540 340054
-rect 244500 335572 244552 335578
-rect 244500 335514 244552 335520
-rect 244868 335572 244920 335578
-rect 244868 335514 244920 335520
-rect 244880 11830 244908 335514
-rect 244868 11824 244920 11830
-rect 244868 11766 244920 11772
-rect 244972 11762 245000 340068
-rect 245052 335776 245104 335782
-rect 245052 335718 245104 335724
-rect 245064 324970 245092 335718
-rect 245052 324964 245104 324970
-rect 245052 324906 245104 324912
-rect 244960 11756 245012 11762
-rect 244960 11698 245012 11704
-rect 244132 10804 244184 10810
-rect 244132 10746 244184 10752
-rect 244776 10124 244828 10130
-rect 244776 10066 244828 10072
-rect 244788 9178 244816 10066
-rect 244868 9376 244920 9382
-rect 244866 9344 244868 9353
-rect 244920 9344 244922 9353
-rect 244866 9279 244922 9288
-rect 244866 9208 244922 9217
-rect 244776 9172 244828 9178
-rect 244866 9143 244868 9152
-rect 244776 9114 244828 9120
-rect 244920 9143 244922 9152
-rect 244868 9114 244920 9120
-rect 244040 8084 244092 8090
-rect 244040 8026 244092 8032
-rect 244774 5536 244830 5545
-rect 244774 5471 244830 5480
-rect 244788 5302 244816 5471
-rect 244866 5400 244922 5409
-rect 244866 5335 244922 5344
-rect 244776 5296 244828 5302
-rect 244776 5238 244828 5244
-rect 244880 5234 244908 5335
-rect 244958 5264 245014 5273
-rect 244868 5228 244920 5234
-rect 244958 5199 244960 5208
-rect 244868 5170 244920 5176
-rect 245012 5199 245014 5208
-rect 244960 5170 245012 5176
-rect 244868 4140 244920 4146
-rect 244868 4082 244920 4088
-rect 243856 3392 243908 3398
-rect 243856 3334 243908 3340
-rect 244880 480 244908 4082
-rect 245156 3194 245184 340068
-rect 245248 340054 245446 340082
-rect 245524 340054 245630 340082
-rect 245248 335782 245276 340054
-rect 245420 336864 245472 336870
-rect 245420 336806 245472 336812
-rect 245236 335776 245288 335782
-rect 245236 335718 245288 335724
-rect 245432 291854 245460 336806
-rect 245524 335578 245552 340054
-rect 245892 337142 245920 340068
-rect 245880 337136 245932 337142
-rect 245880 337078 245932 337084
-rect 245512 335572 245564 335578
-rect 245512 335514 245564 335520
-rect 246168 327758 246196 340068
-rect 246260 340054 246366 340082
-rect 246156 327752 246208 327758
-rect 246156 327694 246208 327700
-rect 245420 291848 245472 291854
-rect 245420 291790 245472 291796
-rect 246064 14544 246116 14550
-rect 246064 14486 246116 14492
-rect 245972 8016 246024 8022
-rect 245972 7958 246024 7964
-rect 245984 4026 246012 7958
-rect 246076 4146 246104 14486
-rect 246260 11898 246288 340054
-rect 246628 338314 246656 340068
-rect 246536 338286 246656 338314
-rect 246720 340054 246918 340082
-rect 246996 340054 247102 340082
-rect 246536 338178 246564 338286
-rect 246536 338150 246656 338178
-rect 246432 331084 246484 331090
-rect 246432 331026 246484 331032
-rect 246444 294642 246472 331026
-rect 246628 328522 246656 338150
-rect 246720 331090 246748 340054
-rect 246996 333282 247024 340054
-rect 247364 337074 247392 340068
-rect 247352 337068 247404 337074
-rect 247352 337010 247404 337016
-rect 247640 336870 247668 340068
-rect 247838 340054 248036 340082
-rect 247628 336864 247680 336870
-rect 247628 336806 247680 336812
-rect 247904 335776 247956 335782
-rect 247904 335718 247956 335724
-rect 247720 335572 247772 335578
-rect 247720 335514 247772 335520
-rect 246812 333254 247024 333282
-rect 246708 331084 246760 331090
-rect 246708 331026 246760 331032
-rect 246628 328494 246748 328522
-rect 246720 327146 246748 328494
-rect 246524 327140 246576 327146
-rect 246524 327082 246576 327088
-rect 246708 327140 246760 327146
-rect 246708 327082 246760 327088
-rect 246432 294636 246484 294642
-rect 246432 294578 246484 294584
-rect 246536 285841 246564 327082
-rect 246522 285832 246578 285841
-rect 246522 285767 246578 285776
-rect 246614 285696 246670 285705
-rect 246614 285631 246670 285640
-rect 246628 278866 246656 285631
-rect 246616 278860 246668 278866
-rect 246616 278802 246668 278808
-rect 246616 277364 246668 277370
-rect 246616 277306 246668 277312
-rect 246628 254182 246656 277306
-rect 246812 273358 246840 333254
-rect 247732 276690 247760 335514
-rect 247720 276684 247772 276690
-rect 247720 276626 247772 276632
-rect 246800 273352 246852 273358
-rect 246800 273294 246852 273300
-rect 246708 273216 246760 273222
-rect 246708 273158 246760 273164
-rect 246720 263514 246748 273158
-rect 246720 263486 246840 263514
-rect 246616 254176 246668 254182
-rect 246616 254118 246668 254124
-rect 246524 249824 246576 249830
-rect 246444 249772 246524 249778
-rect 246444 249766 246576 249772
-rect 246444 249750 246564 249766
-rect 246444 241466 246472 249750
-rect 246432 241460 246484 241466
-rect 246432 241402 246484 241408
-rect 246708 241460 246760 241466
-rect 246708 241402 246760 241408
-rect 246720 231878 246748 241402
-rect 246616 231872 246668 231878
-rect 246616 231814 246668 231820
-rect 246708 231872 246760 231878
-rect 246708 231814 246760 231820
-rect 246628 222290 246656 231814
-rect 246616 222284 246668 222290
-rect 246616 222226 246668 222232
-rect 246812 222222 246840 263486
-rect 246800 222216 246852 222222
-rect 246800 222158 246852 222164
-rect 246524 222148 246576 222154
-rect 246524 222090 246576 222096
-rect 246536 213058 246564 222090
-rect 246800 222080 246852 222086
-rect 246800 222022 246852 222028
-rect 246444 213030 246564 213058
-rect 246444 203538 246472 213030
-rect 246812 208434 246840 222022
-rect 246352 203510 246472 203538
-rect 246720 208406 246840 208434
-rect 246352 198801 246380 203510
-rect 246338 198792 246394 198801
-rect 246338 198727 246394 198736
-rect 246430 198520 246486 198529
-rect 246430 198455 246486 198464
-rect 246444 189106 246472 198455
-rect 246720 193934 246748 208406
-rect 246708 193928 246760 193934
-rect 246708 193870 246760 193876
-rect 246984 193928 247036 193934
-rect 246984 193870 247036 193876
-rect 246432 189100 246484 189106
-rect 246432 189042 246484 189048
-rect 246616 189100 246668 189106
-rect 246616 189042 246668 189048
-rect 246628 182170 246656 189042
-rect 246524 182164 246576 182170
-rect 246524 182106 246576 182112
-rect 246616 182164 246668 182170
-rect 246616 182106 246668 182112
-rect 246536 164218 246564 182106
-rect 246996 169318 247024 193870
-rect 246984 169312 247036 169318
-rect 246984 169254 247036 169260
-rect 246524 164212 246576 164218
-rect 246524 164154 246576 164160
-rect 246616 164144 246668 164150
-rect 246616 164086 246668 164092
-rect 246628 162858 246656 164086
-rect 246616 162852 246668 162858
-rect 246616 162794 246668 162800
-rect 246892 161492 246944 161498
-rect 246892 161434 246944 161440
-rect 246904 159526 246932 161434
-rect 246892 159520 246944 159526
-rect 246892 159462 246944 159468
-rect 246800 157140 246852 157146
-rect 246800 157082 246852 157088
-rect 246616 153264 246668 153270
-rect 246616 153206 246668 153212
-rect 246628 147762 246656 153206
-rect 246812 147778 246840 157082
-rect 246616 147756 246668 147762
-rect 246616 147698 246668 147704
-rect 246720 147750 246840 147778
-rect 246720 147642 246748 147750
-rect 246524 147620 246576 147626
-rect 246720 147614 246932 147642
-rect 246524 147562 246576 147568
-rect 246536 135318 246564 147562
-rect 246904 138106 246932 147614
-rect 246892 138100 246944 138106
-rect 246892 138042 246944 138048
-rect 246800 137964 246852 137970
-rect 246800 137906 246852 137912
-rect 246524 135312 246576 135318
-rect 246524 135254 246576 135260
-rect 246524 135176 246576 135182
-rect 246524 135118 246576 135124
-rect 246536 125594 246564 135118
-rect 246812 128466 246840 137906
-rect 246720 128438 246840 128466
-rect 246720 128330 246748 128438
-rect 246720 128302 246840 128330
-rect 246812 128194 246840 128302
-rect 246812 128166 246932 128194
-rect 246524 125588 246576 125594
-rect 246524 125530 246576 125536
-rect 246616 125520 246668 125526
-rect 246616 125462 246668 125468
-rect 246628 109154 246656 125462
-rect 246628 109126 246748 109154
-rect 246720 109018 246748 109126
-rect 246628 108990 246748 109018
-rect 246628 99482 246656 108990
-rect 246616 99476 246668 99482
-rect 246616 99418 246668 99424
-rect 246616 99340 246668 99346
-rect 246616 99282 246668 99288
-rect 246628 90098 246656 99282
-rect 246904 96801 246932 128166
-rect 246890 96792 246946 96801
-rect 246890 96727 246946 96736
-rect 246798 96656 246854 96665
-rect 246798 96591 246854 96600
-rect 246616 90092 246668 90098
-rect 246616 90034 246668 90040
-rect 246524 88460 246576 88466
-rect 246524 88402 246576 88408
-rect 246536 82498 246564 88402
-rect 246536 82470 246748 82498
-rect 246720 70514 246748 82470
-rect 246708 70508 246760 70514
-rect 246708 70450 246760 70456
-rect 246812 70394 246840 96591
-rect 246720 70366 246840 70394
-rect 246720 70258 246748 70366
-rect 246720 70230 246840 70258
-rect 246708 66292 246760 66298
-rect 246708 66234 246760 66240
-rect 246720 57746 246748 66234
-rect 246812 60330 246840 70230
-rect 246812 60302 247024 60330
-rect 246996 60058 247024 60302
-rect 246536 57718 246748 57746
-rect 246904 60030 247024 60058
-rect 246536 46866 246564 57718
-rect 246904 55214 246932 60030
-rect 246892 55208 246944 55214
-rect 246892 55150 246944 55156
-rect 246536 46838 246656 46866
-rect 246628 29034 246656 46838
-rect 246892 45620 246944 45626
-rect 246892 45562 246944 45568
-rect 246904 29102 246932 45562
-rect 246892 29096 246944 29102
-rect 246892 29038 246944 29044
-rect 246524 29028 246576 29034
-rect 246524 28970 246576 28976
-rect 246616 29028 246668 29034
-rect 246616 28970 246668 28976
-rect 246708 29028 246760 29034
-rect 246708 28970 246760 28976
-rect 246536 27606 246564 28970
-rect 246524 27600 246576 27606
-rect 246524 27542 246576 27548
-rect 246616 27600 246668 27606
-rect 246616 27542 246668 27548
-rect 246248 11892 246300 11898
-rect 246248 11834 246300 11840
-rect 246064 4140 246116 4146
-rect 246064 4082 246116 4088
-rect 245984 3998 246104 4026
-rect 245144 3188 245196 3194
-rect 245144 3130 245196 3136
-rect 246076 480 246104 3998
-rect 246628 3126 246656 27542
-rect 246720 21842 246748 28970
-rect 246720 21814 246840 21842
-rect 246812 12458 246840 21814
-rect 246720 12430 246840 12458
-rect 246720 12102 246748 12430
-rect 246708 12096 246760 12102
-rect 246708 12038 246760 12044
-rect 247260 3664 247312 3670
-rect 247260 3606 247312 3612
-rect 246616 3120 246668 3126
-rect 246616 3062 246668 3068
-rect 247272 480 247300 3606
-rect 247916 3058 247944 335718
-rect 248008 335594 248036 340054
-rect 248100 337006 248128 340068
-rect 248284 340054 248390 340082
-rect 248468 340054 248574 340082
-rect 248652 340054 248850 340082
-rect 249126 340054 249232 340082
-rect 248088 337000 248140 337006
-rect 248088 336942 248140 336948
-rect 248008 335566 248220 335594
-rect 248192 12170 248220 335566
-rect 248284 289134 248312 340054
-rect 248468 335578 248496 340054
-rect 248652 335782 248680 340054
-rect 248640 335776 248692 335782
-rect 248640 335718 248692 335724
-rect 248456 335572 248508 335578
-rect 248456 335514 248508 335520
-rect 249008 335572 249060 335578
-rect 249008 335514 249060 335520
-rect 248272 289128 248324 289134
-rect 248272 289070 248324 289076
-rect 249020 89010 249048 335514
-rect 249204 286346 249232 340054
-rect 249192 286340 249244 286346
-rect 249192 286282 249244 286288
-rect 249008 89004 249060 89010
-rect 249008 88946 249060 88952
-rect 249296 12238 249324 340068
-rect 249572 336938 249600 340068
-rect 249664 340054 249862 340082
-rect 249940 340054 250046 340082
-rect 250322 340054 250428 340082
-rect 249560 336932 249612 336938
-rect 249560 336874 249612 336880
-rect 249664 335594 249692 340054
-rect 249744 336864 249796 336870
-rect 249744 336806 249796 336812
-rect 249480 335566 249692 335594
-rect 249480 311846 249508 335566
-rect 249756 335458 249784 336806
-rect 249940 335578 249968 340054
-rect 249928 335572 249980 335578
-rect 249928 335514 249980 335520
-rect 249572 335430 249784 335458
-rect 250400 335458 250428 340054
-rect 250584 336870 250612 340068
-rect 250572 336864 250624 336870
-rect 250572 336806 250624 336812
-rect 250400 335430 250704 335458
-rect 249468 311840 249520 311846
-rect 249468 311782 249520 311788
-rect 249376 295316 249428 295322
-rect 249376 295258 249428 295264
-rect 249388 283626 249416 295258
-rect 249376 283620 249428 283626
-rect 249376 283562 249428 283568
-rect 249572 279478 249600 335430
-rect 250388 335368 250440 335374
-rect 250388 335310 250440 335316
-rect 249652 311840 249704 311846
-rect 249652 311782 249704 311788
-rect 249664 295322 249692 311782
-rect 249652 295316 249704 295322
-rect 249652 295258 249704 295264
-rect 249560 279472 249612 279478
-rect 249560 279414 249612 279420
-rect 249284 12232 249336 12238
-rect 249284 12174 249336 12180
-rect 248180 12164 248232 12170
-rect 248180 12106 248232 12112
-rect 248824 11756 248876 11762
-rect 248824 11698 248876 11704
-rect 247904 3052 247956 3058
-rect 247904 2994 247956 3000
-rect 248836 610 248864 11698
-rect 250400 10062 250428 335310
-rect 250480 335300 250532 335306
-rect 250480 335242 250532 335248
-rect 250492 12306 250520 335242
-rect 250480 12300 250532 12306
-rect 250480 12242 250532 12248
-rect 250388 10056 250440 10062
-rect 250388 9998 250440 10004
-rect 249652 8084 249704 8090
-rect 249652 8026 249704 8032
-rect 248456 604 248508 610
-rect 248456 546 248508 552
-rect 248824 604 248876 610
-rect 248824 546 248876 552
-rect 248468 480 248496 546
-rect 249664 480 249692 8026
-rect 250676 2990 250704 335430
-rect 250768 335306 250796 340068
-rect 251044 337210 251072 340068
-rect 251136 340054 251334 340082
-rect 251412 340054 251518 340082
-rect 251794 340054 251992 340082
-rect 252070 340054 252176 340082
-rect 252254 340054 252452 340082
-rect 251032 337204 251084 337210
-rect 251032 337146 251084 337152
-rect 251136 335374 251164 340054
-rect 251124 335368 251176 335374
-rect 251124 335310 251176 335316
-rect 250756 335300 250808 335306
-rect 250756 335242 250808 335248
-rect 251412 335186 251440 340054
-rect 251584 337612 251636 337618
-rect 251584 337554 251636 337560
-rect 250952 335158 251440 335186
-rect 250952 307086 250980 335158
-rect 250940 307080 250992 307086
-rect 250940 307022 250992 307028
-rect 251596 3058 251624 337554
-rect 251964 335458 251992 340054
-rect 252148 335594 252176 340054
-rect 252148 335566 252360 335594
-rect 251964 335430 252176 335458
-rect 251860 335368 251912 335374
-rect 251860 335310 251912 335316
-rect 251676 241800 251728 241806
-rect 251674 241768 251676 241777
-rect 251728 241768 251730 241777
-rect 251674 241703 251730 241712
-rect 251674 179616 251730 179625
-rect 251674 179551 251730 179560
-rect 251688 179450 251716 179551
-rect 251676 179444 251728 179450
-rect 251676 179386 251728 179392
-rect 251766 132968 251822 132977
-rect 251766 132903 251822 132912
-rect 251780 132705 251808 132903
-rect 251766 132696 251822 132705
-rect 251766 132631 251822 132640
-rect 251676 100904 251728 100910
-rect 251674 100872 251676 100881
-rect 251728 100872 251730 100881
-rect 251674 100807 251730 100816
-rect 251872 9926 251900 335310
-rect 251952 335300 252004 335306
-rect 251952 335242 252004 335248
-rect 251964 12374 251992 335242
-rect 251952 12368 252004 12374
-rect 251952 12310 252004 12316
-rect 251860 9920 251912 9926
-rect 251860 9862 251912 9868
-rect 251952 4140 252004 4146
-rect 251952 4082 252004 4088
-rect 250848 3052 250900 3058
-rect 250848 2994 250900 3000
-rect 251584 3052 251636 3058
-rect 251584 2994 251636 3000
-rect 250664 2984 250716 2990
-rect 250664 2926 250716 2932
-rect 250860 480 250888 2994
-rect 251964 480 251992 4082
-rect 252148 2922 252176 335430
-rect 252332 9994 252360 335566
-rect 252424 335306 252452 340054
-rect 252516 337414 252544 340068
-rect 252608 340054 252806 340082
-rect 252884 340054 252990 340082
-rect 253266 340054 253464 340082
-rect 252504 337408 252556 337414
-rect 252504 337350 252556 337356
-rect 252608 335374 252636 340054
-rect 252596 335368 252648 335374
-rect 252596 335310 252648 335316
-rect 252412 335300 252464 335306
-rect 252412 335242 252464 335248
-rect 252884 328506 252912 340054
-rect 253148 335776 253200 335782
-rect 253148 335718 253200 335724
-rect 252504 328500 252556 328506
-rect 252504 328442 252556 328448
-rect 252872 328500 252924 328506
-rect 252872 328442 252924 328448
-rect 252516 320890 252544 328442
-rect 252504 320884 252556 320890
-rect 252504 320826 252556 320832
-rect 252964 14476 253016 14482
-rect 252964 14418 253016 14424
-rect 252320 9988 252372 9994
-rect 252320 9930 252372 9936
-rect 252976 4146 253004 14418
-rect 253160 10470 253188 335718
-rect 253332 335572 253384 335578
-rect 253332 335514 253384 335520
-rect 253344 12442 253372 335514
-rect 253332 12436 253384 12442
-rect 253332 12378 253384 12384
-rect 253148 10464 253200 10470
-rect 253148 10406 253200 10412
-rect 252964 4140 253016 4146
-rect 252964 4082 253016 4088
-rect 253148 3188 253200 3194
-rect 253148 3130 253200 3136
-rect 252136 2916 252188 2922
-rect 252136 2858 252188 2864
-rect 253160 480 253188 3130
-rect 253436 2854 253464 340054
-rect 253528 10198 253556 340068
-rect 253620 340054 253726 340082
-rect 253620 335578 253648 340054
-rect 253988 337550 254016 340068
-rect 254080 340054 254278 340082
-rect 253976 337544 254028 337550
-rect 253976 337486 254028 337492
-rect 254080 335782 254108 340054
-rect 254344 337544 254396 337550
-rect 254344 337486 254396 337492
-rect 254252 337408 254304 337414
-rect 254252 337350 254304 337356
-rect 254068 335776 254120 335782
-rect 254068 335718 254120 335724
-rect 253608 335572 253660 335578
-rect 253608 335514 253660 335520
-rect 254160 320544 254212 320550
-rect 254158 320512 254160 320521
-rect 254212 320512 254214 320521
-rect 254158 320447 254214 320456
-rect 253516 10192 253568 10198
-rect 253516 10134 253568 10140
-rect 254264 9330 254292 337350
-rect 254172 9302 254292 9330
-rect 254172 3194 254200 9302
-rect 254250 9208 254306 9217
-rect 254250 9143 254252 9152
-rect 254304 9143 254306 9152
-rect 254252 9114 254304 9120
-rect 254160 3188 254212 3194
-rect 254160 3130 254212 3136
-rect 253424 2848 253476 2854
-rect 253424 2790 253476 2796
-rect 254356 480 254384 337486
-rect 254448 331974 254476 340068
-rect 254540 340054 254738 340082
-rect 254436 331968 254488 331974
-rect 254436 331910 254488 331916
-rect 254540 9330 254568 340054
-rect 254804 338564 254856 338570
-rect 254804 338506 254856 338512
-rect 254620 335776 254672 335782
-rect 254620 335718 254672 335724
-rect 254632 10538 254660 335718
-rect 254712 335572 254764 335578
-rect 254712 335514 254764 335520
-rect 254724 331226 254752 335514
-rect 254712 331220 254764 331226
-rect 254712 331162 254764 331168
-rect 254816 316742 254844 338506
-rect 254896 331220 254948 331226
-rect 254896 331162 254948 331168
-rect 254804 316736 254856 316742
-rect 254804 316678 254856 316684
-rect 254908 273306 254936 331162
-rect 254816 273278 254936 273306
-rect 254816 195922 254844 273278
-rect 254816 195894 254936 195922
-rect 254908 167090 254936 195894
-rect 254816 167062 254936 167090
-rect 254816 157162 254844 167062
-rect 254816 157134 254936 157162
-rect 254908 128466 254936 157134
-rect 254816 128438 254936 128466
-rect 254816 109018 254844 128438
-rect 254816 108990 254936 109018
-rect 254908 89842 254936 108990
-rect 254816 89814 254936 89842
-rect 254816 79914 254844 89814
-rect 254816 79886 254936 79914
-rect 254908 60738 254936 79886
-rect 254816 60710 254936 60738
-rect 254816 60602 254844 60710
-rect 254816 60574 254936 60602
-rect 254908 28966 254936 60574
-rect 254896 28960 254948 28966
-rect 254896 28902 254948 28908
-rect 254804 21412 254856 21418
-rect 254804 21354 254856 21360
-rect 254620 10532 254672 10538
-rect 254620 10474 254672 10480
-rect 254540 9302 254752 9330
-rect 254526 9208 254582 9217
-rect 254436 9172 254488 9178
-rect 254526 9143 254528 9152
-rect 254436 9114 254488 9120
-rect 254580 9143 254582 9152
-rect 254528 9114 254580 9120
-rect 254448 8945 254476 9114
-rect 254434 8936 254490 8945
-rect 254434 8871 254490 8880
-rect 254724 3466 254752 9302
-rect 254816 3738 254844 21354
-rect 255000 10606 255028 340068
-rect 255184 338570 255212 340068
-rect 255276 340054 255474 340082
-rect 255552 340054 255750 340082
-rect 255172 338564 255224 338570
-rect 255172 338506 255224 338512
-rect 255276 335578 255304 340054
-rect 255552 335782 255580 340054
-rect 255540 335776 255592 335782
-rect 255540 335718 255592 335724
-rect 255264 335572 255316 335578
-rect 255264 335514 255316 335520
-rect 255920 271182 255948 340068
-rect 256196 337482 256224 340068
-rect 256184 337476 256236 337482
-rect 256184 337418 256236 337424
-rect 256472 336054 256500 340068
-rect 256564 340054 256670 340082
-rect 256748 340054 256946 340082
-rect 257222 340054 257328 340082
-rect 256460 336048 256512 336054
-rect 256460 335990 256512 335996
-rect 256564 335730 256592 340054
-rect 256012 335702 256592 335730
-rect 256012 304298 256040 335702
-rect 256748 335594 256776 340054
-rect 257104 337476 257156 337482
-rect 257104 337418 257156 337424
-rect 256828 336864 256880 336870
-rect 256828 336806 256880 336812
-rect 256104 335566 256776 335594
-rect 256000 304292 256052 304298
-rect 256000 304234 256052 304240
-rect 255908 271176 255960 271182
-rect 255908 271118 255960 271124
-rect 254988 10600 255040 10606
-rect 254988 10542 255040 10548
-rect 255724 10464 255776 10470
-rect 255724 10406 255776 10412
-rect 254894 8936 254950 8945
-rect 254894 8871 254950 8880
-rect 254908 8838 254936 8871
-rect 254896 8832 254948 8838
-rect 254896 8774 254948 8780
-rect 255078 7576 255134 7585
-rect 255078 7511 255134 7520
-rect 255092 7177 255120 7511
-rect 255078 7168 255134 7177
-rect 255078 7103 255134 7112
-rect 254804 3732 254856 3738
-rect 254804 3674 254856 3680
-rect 254712 3460 254764 3466
-rect 254712 3402 254764 3408
-rect 255736 626 255764 10406
-rect 256104 8294 256132 335566
-rect 256840 335458 256868 336806
-rect 256472 335430 256868 335458
-rect 256472 318102 256500 335430
-rect 256460 318096 256512 318102
-rect 256460 318038 256512 318044
-rect 256092 8288 256144 8294
-rect 256092 8230 256144 8236
-rect 255552 598 255764 626
-rect 257116 610 257144 337418
-rect 257300 5409 257328 340054
-rect 257392 6390 257420 340068
-rect 257576 340054 257682 340082
-rect 257760 340054 257958 340082
-rect 257472 335572 257524 335578
-rect 257472 335514 257524 335520
-rect 257484 9654 257512 335514
-rect 257576 319462 257604 340054
-rect 257564 319456 257616 319462
-rect 257564 319398 257616 319404
-rect 257472 9648 257524 9654
-rect 257472 9590 257524 9596
-rect 257380 6384 257432 6390
-rect 257380 6326 257432 6332
-rect 257760 5545 257788 340054
-rect 258128 332058 258156 340068
-rect 258220 340054 258418 340082
-rect 258220 335578 258248 340054
-rect 258680 336870 258708 340068
-rect 258668 336864 258720 336870
-rect 258668 336806 258720 336812
-rect 258208 335572 258260 335578
-rect 258208 335514 258260 335520
-rect 258760 335572 258812 335578
-rect 258760 335514 258812 335520
-rect 257944 332030 258156 332058
-rect 257944 322250 257972 332030
-rect 257932 322244 257984 322250
-rect 257932 322186 257984 322192
-rect 258772 300150 258800 335514
-rect 258864 301510 258892 340068
-rect 259140 331430 259168 340068
-rect 259232 340054 259430 340082
-rect 259508 340054 259614 340082
-rect 259784 340054 259890 340082
-rect 259232 338094 259260 340054
-rect 259220 338088 259272 338094
-rect 259220 338030 259272 338036
-rect 259508 335578 259536 340054
-rect 259496 335572 259548 335578
-rect 259496 335514 259548 335520
-rect 259128 331424 259180 331430
-rect 259128 331366 259180 331372
-rect 259036 331220 259088 331226
-rect 259036 331162 259088 331168
-rect 258944 321632 258996 321638
-rect 258944 321574 258996 321580
-rect 258956 315314 258984 321574
-rect 258944 315308 258996 315314
-rect 258944 315250 258996 315256
-rect 258852 301504 258904 301510
-rect 258852 301446 258904 301452
-rect 258760 300144 258812 300150
-rect 258760 300086 258812 300092
-rect 259048 9518 259076 331162
-rect 259128 331152 259180 331158
-rect 259128 331094 259180 331100
-rect 259140 321638 259168 331094
-rect 259784 328506 259812 340054
-rect 260048 335776 260100 335782
-rect 260048 335718 260100 335724
-rect 259312 328500 259364 328506
-rect 259312 328442 259364 328448
-rect 259772 328500 259824 328506
-rect 259772 328442 259824 328448
-rect 259128 321632 259180 321638
-rect 259128 321574 259180 321580
-rect 259324 309126 259352 328442
-rect 259312 309120 259364 309126
-rect 259312 309062 259364 309068
-rect 259404 299532 259456 299538
-rect 259404 299474 259456 299480
-rect 259416 289814 259444 299474
-rect 259404 289808 259456 289814
-rect 259404 289750 259456 289756
-rect 259404 280288 259456 280294
-rect 259404 280230 259456 280236
-rect 259416 273358 259444 280230
-rect 259404 273352 259456 273358
-rect 259404 273294 259456 273300
-rect 259312 270564 259364 270570
-rect 259312 270506 259364 270512
-rect 259324 263650 259352 270506
-rect 259232 263622 259352 263650
-rect 259232 263514 259260 263622
-rect 259232 263486 259352 263514
-rect 259324 244338 259352 263486
-rect 259232 244310 259352 244338
-rect 259232 244202 259260 244310
-rect 259232 244174 259352 244202
-rect 259324 225026 259352 244174
-rect 259232 224998 259352 225026
-rect 259232 224890 259260 224998
-rect 259232 224862 259352 224890
-rect 259324 205714 259352 224862
-rect 259232 205686 259352 205714
-rect 259232 205578 259260 205686
-rect 259232 205550 259352 205578
-rect 259324 186402 259352 205550
-rect 259232 186374 259352 186402
-rect 259232 186266 259260 186374
-rect 259232 186238 259352 186266
-rect 259324 167090 259352 186238
-rect 259864 179444 259916 179450
-rect 259864 179386 259916 179392
-rect 259876 179353 259904 179386
-rect 259862 179344 259918 179353
-rect 259862 179279 259918 179288
-rect 259232 167062 259352 167090
-rect 259232 166954 259260 167062
-rect 259232 166926 259352 166954
-rect 259324 164218 259352 166926
-rect 259312 164212 259364 164218
-rect 259312 164154 259364 164160
-rect 259312 157344 259364 157350
-rect 259312 157286 259364 157292
-rect 259324 154578 259352 157286
-rect 259324 154550 259444 154578
-rect 259416 147642 259444 154550
-rect 259324 147614 259444 147642
-rect 259324 138038 259352 147614
-rect 259312 138032 259364 138038
-rect 259312 137974 259364 137980
-rect 259404 137828 259456 137834
-rect 259404 137770 259456 137776
-rect 259416 135250 259444 137770
-rect 259404 135244 259456 135250
-rect 259404 135186 259456 135192
-rect 259404 128308 259456 128314
-rect 259404 128250 259456 128256
-rect 259416 125610 259444 128250
-rect 259416 125582 259536 125610
-rect 259508 116006 259536 125582
-rect 259312 116000 259364 116006
-rect 259312 115942 259364 115948
-rect 259496 116000 259548 116006
-rect 259496 115942 259548 115948
-rect 259324 89842 259352 115942
-rect 259232 89814 259352 89842
-rect 259232 89706 259260 89814
-rect 259232 89678 259352 89706
-rect 259324 70394 259352 89678
-rect 259232 70366 259352 70394
-rect 259232 70258 259260 70366
-rect 259232 70230 259352 70258
-rect 259324 51082 259352 70230
-rect 259232 51066 259352 51082
-rect 259220 51060 259352 51066
-rect 259272 51054 259352 51060
-rect 259404 51060 259456 51066
-rect 259220 51002 259272 51008
-rect 259404 51002 259456 51008
-rect 259232 50971 259260 51002
-rect 259416 48278 259444 51002
-rect 259404 48272 259456 48278
-rect 259404 48214 259456 48220
-rect 259312 38752 259364 38758
-rect 259312 38694 259364 38700
-rect 259324 31770 259352 38694
-rect 259232 31742 259352 31770
-rect 259232 31634 259260 31742
-rect 259232 31606 259352 31634
-rect 259324 12458 259352 31606
-rect 259232 12430 259352 12458
-rect 259036 9512 259088 9518
-rect 259036 9454 259088 9460
-rect 259232 9450 259260 12430
-rect 259220 9444 259272 9450
-rect 259220 9386 259272 9392
-rect 260060 7478 260088 335718
-rect 260152 333266 260180 340068
-rect 260232 335572 260284 335578
-rect 260232 335514 260284 335520
-rect 260140 333260 260192 333266
-rect 260140 333202 260192 333208
-rect 260244 312662 260272 335514
-rect 260232 312656 260284 312662
-rect 260232 312598 260284 312604
-rect 260336 7546 260364 340068
-rect 260428 340054 260626 340082
-rect 260704 340054 260810 340082
-rect 260888 340054 261086 340082
-rect 260428 8838 260456 340054
-rect 260704 335578 260732 340054
-rect 260888 335782 260916 340054
-rect 261244 337068 261296 337074
-rect 261244 337010 261296 337016
-rect 260876 335776 260928 335782
-rect 260876 335718 260928 335724
-rect 260692 335572 260744 335578
-rect 260692 335514 260744 335520
-rect 261256 320686 261284 337010
-rect 261348 334506 261376 340068
-rect 261532 334694 261560 340068
-rect 261704 335572 261756 335578
-rect 261704 335514 261756 335520
-rect 261520 334688 261572 334694
-rect 261520 334630 261572 334636
-rect 261348 334478 261652 334506
-rect 261244 320680 261296 320686
-rect 261244 320622 261296 320628
-rect 261152 320544 261204 320550
-rect 261152 320486 261204 320492
-rect 261164 320362 261192 320486
-rect 261242 320376 261298 320385
-rect 261164 320334 261242 320362
-rect 261242 320311 261298 320320
-rect 261244 320272 261296 320278
-rect 261244 320214 261296 320220
-rect 261150 242040 261206 242049
-rect 261150 241975 261206 241984
-rect 261164 241806 261192 241975
-rect 261152 241800 261204 241806
-rect 261152 241742 261204 241748
-rect 261150 179752 261206 179761
-rect 261150 179687 261206 179696
-rect 261164 179353 261192 179687
-rect 261150 179344 261206 179353
-rect 261150 179279 261206 179288
-rect 261150 101144 261206 101153
-rect 261150 101079 261206 101088
-rect 261164 100910 261192 101079
-rect 261152 100904 261204 100910
-rect 261152 100846 261204 100852
-rect 261060 38752 261112 38758
-rect 261058 38720 261060 38729
-rect 261112 38720 261114 38729
-rect 261058 38655 261114 38664
-rect 260416 8832 260468 8838
-rect 260416 8774 260468 8780
-rect 260324 7540 260376 7546
-rect 260324 7482 260376 7488
-rect 260048 7472 260100 7478
-rect 260048 7414 260100 7420
-rect 259954 7168 260010 7177
-rect 259954 7103 260010 7112
-rect 259968 6769 259996 7103
-rect 259954 6760 260010 6769
-rect 259954 6695 260010 6704
-rect 257746 5536 257802 5545
-rect 257746 5471 257802 5480
-rect 257286 5400 257342 5409
-rect 257286 5335 257342 5344
-rect 259128 4752 259180 4758
-rect 259128 4694 259180 4700
-rect 257932 3392 257984 3398
-rect 257932 3334 257984 3340
-rect 256736 604 256788 610
-rect 255552 480 255580 598
-rect 256736 546 256788 552
-rect 257104 604 257156 610
-rect 257104 546 257156 552
-rect 256748 480 256776 546
-rect 257944 480 257972 3334
-rect 259140 480 259168 4694
-rect 261256 4146 261284 320214
-rect 261624 9586 261652 334478
-rect 261612 9580 261664 9586
-rect 261612 9522 261664 9528
-rect 261716 7342 261744 335514
-rect 261808 7410 261836 340068
-rect 261900 340054 262098 340082
-rect 261900 8906 261928 340054
-rect 262268 335594 262296 340068
-rect 262084 335566 262296 335594
-rect 262360 340054 262558 340082
-rect 262360 335578 262388 340054
-rect 262348 335572 262400 335578
-rect 262084 330614 262112 335566
-rect 262348 335514 262400 335520
-rect 262624 333260 262676 333266
-rect 262624 333202 262676 333208
-rect 262072 330608 262124 330614
-rect 262072 330550 262124 330556
-rect 261888 8900 261940 8906
-rect 261888 8842 261940 8848
-rect 261796 7404 261848 7410
-rect 261796 7346 261848 7352
-rect 261704 7336 261756 7342
-rect 261704 7278 261756 7284
-rect 260324 4140 260376 4146
-rect 260324 4082 260376 4088
-rect 261244 4140 261296 4146
-rect 261244 4082 261296 4088
-rect 260336 480 260364 4082
-rect 262636 3466 262664 333202
-rect 262820 9654 262848 340068
-rect 263018 340054 263124 340082
-rect 262900 335572 262952 335578
-rect 262900 335514 262952 335520
-rect 262808 9648 262860 9654
-rect 262808 9590 262860 9596
-rect 262912 9518 262940 335514
-rect 263096 307154 263124 340054
-rect 263176 334960 263228 334966
-rect 263176 334902 263228 334908
-rect 263084 307148 263136 307154
-rect 263084 307090 263136 307096
-rect 262900 9512 262952 9518
-rect 262900 9454 262952 9460
-rect 263188 6458 263216 334902
-rect 263280 7274 263308 340068
-rect 263372 340054 263570 340082
-rect 263372 335578 263400 340054
-rect 263360 335572 263412 335578
-rect 263360 335514 263412 335520
-rect 263740 333334 263768 340068
-rect 263832 340054 264030 340082
-rect 263832 334966 263860 340054
-rect 264188 335776 264240 335782
-rect 264188 335718 264240 335724
-rect 264096 335572 264148 335578
-rect 264096 335514 264148 335520
-rect 263820 334960 263872 334966
-rect 263820 334902 263872 334908
-rect 263728 333328 263780 333334
-rect 263728 333270 263780 333276
-rect 264108 330682 264136 335514
-rect 264096 330676 264148 330682
-rect 264096 330618 264148 330624
-rect 263268 7268 263320 7274
-rect 263268 7210 263320 7216
-rect 264200 7002 264228 335718
-rect 264292 7206 264320 340068
-rect 264384 340054 264490 340082
-rect 264568 340054 264766 340082
-rect 264844 340054 265042 340082
-rect 265120 340054 265226 340082
-rect 265502 340054 265608 340082
-rect 264384 335578 264412 340054
-rect 264372 335572 264424 335578
-rect 264372 335514 264424 335520
-rect 264372 335436 264424 335442
-rect 264372 335378 264424 335384
-rect 264384 327826 264412 335378
-rect 264372 327820 264424 327826
-rect 264372 327762 264424 327768
-rect 264280 7200 264332 7206
-rect 264280 7142 264332 7148
-rect 264188 6996 264240 7002
-rect 264188 6938 264240 6944
-rect 264568 6662 264596 340054
-rect 264740 337748 264792 337754
-rect 264740 337690 264792 337696
-rect 264752 14550 264780 337690
-rect 264844 335782 264872 340054
-rect 264832 335776 264884 335782
-rect 264832 335718 264884 335724
-rect 265120 335442 265148 340054
-rect 265108 335436 265160 335442
-rect 265108 335378 265160 335384
-rect 264740 14544 264792 14550
-rect 264740 14486 264792 14492
-rect 265580 6730 265608 340054
-rect 265660 335776 265712 335782
-rect 265660 335718 265712 335724
-rect 265672 7750 265700 335718
-rect 265660 7744 265712 7750
-rect 265660 7686 265712 7692
-rect 265764 6934 265792 340068
-rect 265844 335572 265896 335578
-rect 265844 335514 265896 335520
-rect 265856 322318 265884 335514
-rect 265948 325038 265976 340068
-rect 266040 340054 266238 340082
-rect 266316 340054 266514 340082
-rect 266592 340054 266698 340082
-rect 265936 325032 265988 325038
-rect 265936 324974 265988 324980
-rect 265844 322312 265896 322318
-rect 265844 322254 265896 322260
-rect 265752 6928 265804 6934
-rect 265752 6870 265804 6876
-rect 266040 6798 266068 340054
-rect 266316 335782 266344 340054
-rect 266304 335776 266356 335782
-rect 266304 335718 266356 335724
-rect 266592 335578 266620 340054
-rect 266580 335572 266632 335578
-rect 266580 335514 266632 335520
-rect 266960 6866 266988 340068
-rect 267052 340054 267250 340082
-rect 267052 7818 267080 340054
-rect 267420 336122 267448 340068
-rect 267604 340054 267710 340082
-rect 267788 340054 267986 340082
-rect 267408 336116 267460 336122
-rect 267408 336058 267460 336064
-rect 267604 335594 267632 340054
-rect 267132 335572 267184 335578
-rect 267132 335514 267184 335520
-rect 267328 335566 267632 335594
-rect 267788 335578 267816 340054
-rect 267776 335572 267828 335578
-rect 267144 297498 267172 335514
-rect 267132 297492 267184 297498
-rect 267132 297434 267184 297440
-rect 267040 7812 267092 7818
-rect 267040 7754 267092 7760
-rect 266948 6860 267000 6866
-rect 266948 6802 267000 6808
-rect 266028 6792 266080 6798
-rect 266028 6734 266080 6740
-rect 265568 6724 265620 6730
-rect 265568 6666 265620 6672
-rect 264556 6656 264608 6662
-rect 264556 6598 264608 6604
-rect 263176 6452 263228 6458
-rect 263176 6394 263228 6400
-rect 264004 6316 264056 6322
-rect 264004 6258 264056 6264
-rect 264016 5302 264044 6258
-rect 267328 6118 267356 335566
-rect 267776 335514 267828 335520
-rect 268156 335458 268184 340068
-rect 268236 339108 268288 339114
-rect 268236 339050 268288 339056
-rect 267604 335430 268184 335458
-rect 267604 319530 267632 335430
-rect 268248 326534 268276 339050
-rect 268432 336002 268460 340068
-rect 268708 339114 268736 340068
-rect 268696 339108 268748 339114
-rect 268696 339050 268748 339056
-rect 268432 335974 268644 336002
-rect 268328 335776 268380 335782
-rect 268616 335730 268644 335974
-rect 268328 335718 268380 335724
-rect 268236 326528 268288 326534
-rect 268236 326470 268288 326476
-rect 267592 319524 267644 319530
-rect 267592 319466 267644 319472
-rect 268144 38752 268196 38758
-rect 268142 38720 268144 38729
-rect 268196 38720 268198 38729
-rect 268142 38655 268198 38664
-rect 267316 6112 267368 6118
-rect 267316 6054 267368 6060
-rect 268340 5846 268368 335718
-rect 268432 335702 268644 335730
-rect 268432 5914 268460 335702
-rect 268512 335572 268564 335578
-rect 268512 335514 268564 335520
-rect 268524 309806 268552 335514
-rect 268892 333282 268920 340068
-rect 268984 340054 269182 340082
-rect 269260 340054 269458 340082
-rect 269642 340054 269748 340082
-rect 268984 335782 269012 340054
-rect 268972 335776 269024 335782
-rect 268972 335718 269024 335724
-rect 269260 335578 269288 340054
-rect 269248 335572 269300 335578
-rect 269248 335514 269300 335520
-rect 268616 333254 268920 333282
-rect 268616 328438 268644 333254
-rect 268604 328432 268656 328438
-rect 268604 328374 268656 328380
-rect 268696 321428 268748 321434
-rect 268696 321370 268748 321376
-rect 268708 311982 268736 321370
-rect 268696 311976 268748 311982
-rect 268696 311918 268748 311924
-rect 268696 311636 268748 311642
-rect 268696 311578 268748 311584
-rect 268512 309800 268564 309806
-rect 268512 309742 268564 309748
-rect 268708 292534 268736 311578
-rect 268696 292528 268748 292534
-rect 268696 292470 268748 292476
-rect 268696 292392 268748 292398
-rect 268696 292334 268748 292340
-rect 268708 284986 268736 292334
-rect 268696 284980 268748 284986
-rect 268696 284922 268748 284928
-rect 268788 282804 268840 282810
-rect 268788 282746 268840 282752
-rect 268800 278746 268828 282746
-rect 268708 278718 268828 278746
-rect 268708 269142 268736 278718
-rect 268604 269136 268656 269142
-rect 268604 269078 268656 269084
-rect 268696 269136 268748 269142
-rect 268696 269078 268748 269084
-rect 268616 263514 268644 269078
-rect 268616 263486 268828 263514
-rect 268800 253722 268828 263486
-rect 268708 253694 268828 253722
-rect 268708 227050 268736 253694
-rect 268696 227044 268748 227050
-rect 268696 226986 268748 226992
-rect 268788 222216 268840 222222
-rect 268788 222158 268840 222164
-rect 268800 212650 268828 222158
-rect 268708 212622 268828 212650
-rect 268708 207738 268736 212622
-rect 268696 207732 268748 207738
-rect 268696 207674 268748 207680
-rect 268788 205556 268840 205562
-rect 268788 205498 268840 205504
-rect 268800 201482 268828 205498
-rect 268512 201476 268564 201482
-rect 268512 201418 268564 201424
-rect 268788 201476 268840 201482
-rect 268788 201418 268840 201424
-rect 268524 191865 268552 201418
-rect 268510 191856 268566 191865
-rect 268510 191791 268566 191800
-rect 268694 191856 268750 191865
-rect 268694 191791 268750 191800
-rect 268708 183569 268736 191791
-rect 268510 183560 268566 183569
-rect 268510 183495 268566 183504
-rect 268694 183560 268750 183569
-rect 268694 183495 268750 183504
-rect 268524 173942 268552 183495
-rect 268512 173936 268564 173942
-rect 268512 173878 268564 173884
-rect 268696 173936 268748 173942
-rect 268696 173878 268748 173884
-rect 268708 166954 268736 173878
-rect 268524 166926 268736 166954
-rect 268524 161294 268552 166926
-rect 268512 161288 268564 161294
-rect 268512 161230 268564 161236
-rect 268696 157140 268748 157146
-rect 268696 157082 268748 157088
-rect 268708 154426 268736 157082
-rect 268696 154420 268748 154426
-rect 268696 154362 268748 154368
-rect 268696 147620 268748 147626
-rect 268696 147562 268748 147568
-rect 268708 144922 268736 147562
-rect 268708 144894 268828 144922
-rect 268800 138038 268828 144894
-rect 268788 138032 268840 138038
-rect 268788 137974 268840 137980
-rect 268696 137964 268748 137970
-rect 268696 137906 268748 137912
-rect 268708 135250 268736 137906
-rect 268696 135244 268748 135250
-rect 268696 135186 268748 135192
-rect 268696 128308 268748 128314
-rect 268696 128250 268748 128256
-rect 268708 125610 268736 128250
-rect 268708 125582 268828 125610
-rect 268800 115954 268828 125582
-rect 268708 115926 268828 115954
-rect 268708 99414 268736 115926
-rect 268696 99408 268748 99414
-rect 268696 99350 268748 99356
-rect 268696 99272 268748 99278
-rect 268696 99214 268748 99220
-rect 268708 96626 268736 99214
-rect 268696 96620 268748 96626
-rect 268696 96562 268748 96568
-rect 268696 89684 268748 89690
-rect 268696 89626 268748 89632
-rect 268708 86986 268736 89626
-rect 268708 86958 268828 86986
-rect 268800 79914 268828 86958
-rect 268708 79886 268828 79914
-rect 268708 52562 268736 79886
-rect 268604 52556 268656 52562
-rect 268604 52498 268656 52504
-rect 268696 52556 268748 52562
-rect 268696 52498 268748 52504
-rect 268616 42786 268644 52498
-rect 268616 42758 268828 42786
-rect 268800 41290 268828 42758
-rect 268708 41262 268828 41290
-rect 268420 5908 268472 5914
-rect 268420 5850 268472 5856
-rect 268328 5840 268380 5846
-rect 268328 5782 268380 5788
-rect 264004 5296 264056 5302
-rect 264004 5238 264056 5244
-rect 268708 4865 268736 41262
-rect 269522 7032 269578 7041
-rect 269522 6967 269578 6976
-rect 269536 6769 269564 6967
-rect 269522 6760 269578 6769
-rect 269522 6695 269578 6704
-rect 268694 4856 268750 4865
-rect 268694 4791 268750 4800
-rect 264280 4616 264332 4622
-rect 264280 4558 264332 4564
-rect 264370 4584 264426 4593
-rect 264292 4486 264320 4558
-rect 269720 4554 269748 340054
-rect 269800 335776 269852 335782
-rect 269800 335718 269852 335724
-rect 269812 5710 269840 335718
-rect 269904 5778 269932 340068
-rect 269984 335572 270036 335578
-rect 269984 335514 270036 335520
-rect 269996 318170 270024 335514
-rect 270076 335504 270128 335510
-rect 270076 335446 270128 335452
-rect 269984 318164 270036 318170
-rect 269984 318106 270036 318112
-rect 270088 6390 270116 335446
-rect 270180 323610 270208 340068
-rect 270272 340054 270378 340082
-rect 270456 340054 270654 340082
-rect 270732 340054 270930 340082
-rect 271008 340054 271114 340082
-rect 271284 340054 271390 340082
-rect 270272 335510 270300 340054
-rect 270456 335782 270484 340054
-rect 270444 335776 270496 335782
-rect 270444 335718 270496 335724
-rect 270732 335578 270760 340054
-rect 270720 335572 270772 335578
-rect 270720 335514 270772 335520
-rect 270260 335504 270312 335510
-rect 270260 335446 270312 335452
-rect 270168 323604 270220 323610
-rect 270168 323546 270220 323552
-rect 270902 226672 270958 226681
-rect 270902 226607 270958 226616
-rect 270916 226273 270944 226607
-rect 270902 226264 270958 226273
-rect 270902 226199 270958 226208
-rect 270076 6384 270128 6390
-rect 270076 6326 270128 6332
-rect 269892 5772 269944 5778
-rect 269892 5714 269944 5720
-rect 269800 5704 269852 5710
-rect 269800 5646 269852 5652
-rect 269798 4584 269854 4593
-rect 264370 4519 264372 4528
-rect 264424 4519 264426 4528
-rect 269708 4548 269760 4554
-rect 264372 4490 264424 4496
-rect 269798 4519 269800 4528
-rect 269708 4490 269760 4496
-rect 269852 4519 269854 4528
-rect 269800 4490 269852 4496
-rect 271008 4486 271036 340054
-rect 271180 335776 271232 335782
-rect 271180 335718 271232 335724
-rect 271192 5574 271220 335718
-rect 271284 5642 271312 340054
-rect 271364 331084 271416 331090
-rect 271364 331026 271416 331032
-rect 271376 316010 271404 331026
-rect 271376 315982 271496 316010
-rect 271468 304994 271496 315982
-rect 271652 315382 271680 340068
-rect 271744 340054 271850 340082
-rect 271928 340054 272126 340082
-rect 271744 331090 271772 340054
-rect 271928 335782 271956 340054
-rect 271916 335776 271968 335782
-rect 271916 335718 271968 335724
-rect 272388 333402 272416 340068
-rect 272480 340054 272586 340082
-rect 272862 340054 272968 340082
-rect 272376 333396 272428 333402
-rect 272376 333338 272428 333344
-rect 271732 331084 271784 331090
-rect 271732 331026 271784 331032
-rect 271640 315376 271692 315382
-rect 271640 315318 271692 315324
-rect 271376 304966 271496 304994
-rect 271376 299538 271404 304966
-rect 271364 299532 271416 299538
-rect 271364 299474 271416 299480
-rect 271456 299396 271508 299402
-rect 271456 299338 271508 299344
-rect 271468 283082 271496 299338
-rect 271456 283076 271508 283082
-rect 271456 283018 271508 283024
-rect 271456 282804 271508 282810
-rect 271456 282746 271508 282752
-rect 271468 270450 271496 282746
-rect 271468 270422 271588 270450
-rect 271560 256034 271588 270422
-rect 271468 256006 271588 256034
-rect 271468 244338 271496 256006
-rect 271376 244310 271496 244338
-rect 271376 244202 271404 244310
-rect 271376 244174 271496 244202
-rect 271468 225026 271496 244174
-rect 271376 224998 271496 225026
-rect 271376 224942 271404 224998
-rect 271364 224936 271416 224942
-rect 271364 224878 271416 224884
-rect 271548 224936 271600 224942
-rect 271548 224878 271600 224884
-rect 271560 217410 271588 224878
-rect 271468 217382 271588 217410
-rect 271468 205766 271496 217382
-rect 271456 205760 271508 205766
-rect 271456 205702 271508 205708
-rect 271456 205556 271508 205562
-rect 271456 205498 271508 205504
-rect 271468 167090 271496 205498
-rect 271376 167062 271496 167090
-rect 271376 166954 271404 167062
-rect 271376 166926 271588 166954
-rect 271560 149190 271588 166926
-rect 271456 149184 271508 149190
-rect 271456 149126 271508 149132
-rect 271548 149184 271600 149190
-rect 271548 149126 271600 149132
-rect 271468 149002 271496 149126
-rect 271468 148974 271588 149002
-rect 271560 139466 271588 148974
-rect 271456 139460 271508 139466
-rect 271456 139402 271508 139408
-rect 271548 139460 271600 139466
-rect 271548 139402 271600 139408
-rect 271468 137970 271496 139402
-rect 271456 137964 271508 137970
-rect 271456 137906 271508 137912
-rect 271456 128512 271508 128518
-rect 271456 128454 271508 128460
-rect 271468 125746 271496 128454
-rect 271468 125718 271588 125746
-rect 271560 124930 271588 125718
-rect 271376 124902 271588 124930
-rect 271376 109041 271404 124902
-rect 271362 109032 271418 109041
-rect 271362 108967 271418 108976
-rect 271638 109032 271694 109041
-rect 271638 108967 271694 108976
-rect 271652 99414 271680 108967
-rect 271456 99408 271508 99414
-rect 271456 99350 271508 99356
-rect 271640 99408 271692 99414
-rect 271640 99350 271692 99356
-rect 271468 81530 271496 99350
-rect 271456 81524 271508 81530
-rect 271456 81466 271508 81472
-rect 271364 80096 271416 80102
-rect 271364 80038 271416 80044
-rect 271376 76634 271404 80038
-rect 271364 76628 271416 76634
-rect 271364 76570 271416 76576
-rect 271456 63572 271508 63578
-rect 271456 63514 271508 63520
-rect 271468 51082 271496 63514
-rect 271376 51066 271496 51082
-rect 271364 51060 271496 51066
-rect 271416 51054 271496 51060
-rect 271548 51060 271600 51066
-rect 271364 51002 271416 51008
-rect 271548 51002 271600 51008
-rect 271376 50971 271404 51002
-rect 271560 37330 271588 51002
-rect 271456 37324 271508 37330
-rect 271456 37266 271508 37272
-rect 271548 37324 271600 37330
-rect 271548 37266 271600 37272
-rect 271468 18034 271496 37266
-rect 271376 18006 271496 18034
-rect 271376 9738 271404 18006
-rect 271376 9710 271588 9738
-rect 271560 9602 271588 9710
-rect 271376 9574 271588 9602
-rect 271272 5636 271324 5642
-rect 271272 5578 271324 5584
-rect 271180 5568 271232 5574
-rect 271180 5510 271232 5516
-rect 264188 4480 264240 4486
-rect 264186 4448 264188 4457
-rect 264280 4480 264332 4486
-rect 264240 4448 264242 4457
-rect 264280 4422 264332 4428
-rect 270996 4480 271048 4486
-rect 270996 4422 271048 4428
-rect 264186 4383 264242 4392
-rect 271376 4214 271404 9574
-rect 272480 4554 272508 340054
-rect 272652 338496 272704 338502
-rect 272652 338438 272704 338444
-rect 272664 335594 272692 338438
-rect 272940 336818 272968 340054
-rect 273124 338502 273152 340068
-rect 273112 338496 273164 338502
-rect 273112 338438 273164 338444
-rect 273308 336977 273336 340068
-rect 273294 336968 273350 336977
-rect 273294 336903 273350 336912
-rect 272572 335566 272692 335594
-rect 272848 336790 272968 336818
-rect 273294 336832 273350 336841
-rect 272572 321638 272600 335566
-rect 272652 335504 272704 335510
-rect 272652 335446 272704 335452
-rect 272560 321632 272612 321638
-rect 272560 321574 272612 321580
-rect 272560 304972 272612 304978
-rect 272560 304914 272612 304920
-rect 272572 298790 272600 304914
-rect 272560 298784 272612 298790
-rect 272560 298726 272612 298732
-rect 272664 295594 272692 335446
-rect 272744 321632 272796 321638
-rect 272744 321574 272796 321580
-rect 272652 295588 272704 295594
-rect 272652 295530 272704 295536
-rect 272756 295526 272784 321574
-rect 272848 316010 272876 336790
-rect 273294 336767 273350 336776
-rect 273308 333985 273336 336767
-rect 273400 335510 273428 340190
-rect 273874 340054 273980 340082
-rect 274058 340054 274256 340082
-rect 273756 335776 273808 335782
-rect 273756 335718 273808 335724
-rect 273388 335504 273440 335510
-rect 273388 335446 273440 335452
-rect 272926 333976 272982 333985
-rect 272926 333911 272982 333920
-rect 273294 333976 273350 333985
-rect 273294 333911 273350 333920
-rect 272940 324358 272968 333911
-rect 273768 327894 273796 335718
-rect 273756 327888 273808 327894
-rect 273756 327830 273808 327836
-rect 272928 324352 272980 324358
-rect 272928 324294 272980 324300
-rect 273020 324352 273072 324358
-rect 273020 324294 273072 324300
-rect 273032 316010 273060 324294
-rect 272848 315982 272968 316010
-rect 273032 315982 273152 316010
-rect 272940 304994 272968 315982
-rect 273124 304994 273152 315982
-rect 272848 304978 272968 304994
-rect 273032 304978 273152 304994
-rect 272836 304972 272968 304978
-rect 272888 304966 272968 304972
-rect 273020 304972 273152 304978
-rect 272836 304914 272888 304920
-rect 273072 304966 273152 304972
-rect 273204 304972 273256 304978
-rect 273020 304914 273072 304920
-rect 273204 304914 273256 304920
-rect 272848 304883 272876 304914
-rect 273032 304883 273060 304914
-rect 272928 298784 272980 298790
-rect 272928 298726 272980 298732
-rect 272744 295520 272796 295526
-rect 272744 295462 272796 295468
-rect 272744 295316 272796 295322
-rect 272744 295258 272796 295264
-rect 272652 295248 272704 295254
-rect 272652 295190 272704 295196
-rect 272664 6254 272692 295190
-rect 272756 8634 272784 295258
-rect 272940 295202 272968 298726
-rect 273216 295361 273244 304914
-rect 273018 295352 273074 295361
-rect 273018 295287 273074 295296
-rect 273202 295352 273258 295361
-rect 273202 295287 273258 295296
-rect 272848 295174 272968 295202
-rect 272848 284306 272876 295174
-rect 272836 284300 272888 284306
-rect 272836 284242 272888 284248
-rect 272928 284300 272980 284306
-rect 272928 284242 272980 284248
-rect 272940 274825 272968 284242
-rect 273032 276010 273060 295287
-rect 273020 276004 273072 276010
-rect 273020 275946 273072 275952
-rect 273112 276004 273164 276010
-rect 273112 275946 273164 275952
-rect 272926 274816 272982 274825
-rect 272926 274751 272982 274760
-rect 272834 274680 272890 274689
-rect 272834 274615 272836 274624
-rect 272888 274615 272890 274624
-rect 273020 274644 273072 274650
-rect 272836 274586 272888 274592
-rect 273020 274586 273072 274592
-rect 273032 265033 273060 274586
-rect 272834 265024 272890 265033
-rect 272834 264959 272890 264968
-rect 273018 265024 273074 265033
-rect 273018 264959 273074 264968
-rect 272848 235958 272876 264959
-rect 273124 264874 273152 275946
-rect 273032 264846 273152 264874
-rect 273032 256902 273060 264846
-rect 273020 256896 273072 256902
-rect 273020 256838 273072 256844
-rect 273020 255332 273072 255338
-rect 273020 255274 273072 255280
-rect 273032 253910 273060 255274
-rect 273020 253904 273072 253910
-rect 273020 253846 273072 253852
-rect 273020 236020 273072 236026
-rect 273020 235962 273072 235968
-rect 272836 235952 272888 235958
-rect 272836 235894 272888 235900
-rect 272928 231600 272980 231606
-rect 272928 231542 272980 231548
-rect 272940 224942 272968 231542
-rect 273032 227066 273060 235962
-rect 273032 227038 273244 227066
-rect 272928 224936 272980 224942
-rect 273216 224890 273244 227038
-rect 272928 224878 272980 224884
-rect 273124 224862 273244 224890
-rect 272928 218000 272980 218006
-rect 272928 217942 272980 217948
-rect 272940 215370 272968 217942
-rect 272848 215342 272968 215370
-rect 272848 215286 272876 215342
-rect 272836 215280 272888 215286
-rect 272836 215222 272888 215228
-rect 273124 211154 273152 224862
-rect 273032 211126 273152 211154
-rect 272836 210452 272888 210458
-rect 272836 210394 272888 210400
-rect 272848 197282 272876 210394
-rect 273032 206990 273060 211126
-rect 273020 206984 273072 206990
-rect 273020 206926 273072 206932
-rect 273112 206916 273164 206922
-rect 273112 206858 273164 206864
-rect 272848 197254 272968 197282
-rect 272940 189106 272968 197254
-rect 272928 189100 272980 189106
-rect 272928 189042 272980 189048
-rect 272928 188964 272980 188970
-rect 272928 188906 272980 188912
-rect 272940 179330 272968 188906
-rect 273124 187762 273152 206858
-rect 273032 187734 273152 187762
-rect 273032 180810 273060 187734
-rect 273020 180804 273072 180810
-rect 273020 180746 273072 180752
-rect 273112 180804 273164 180810
-rect 273112 180746 273164 180752
-rect 272848 179302 272968 179330
-rect 272848 171034 272876 179302
-rect 272848 171006 273060 171034
-rect 273032 167770 273060 171006
-rect 273124 168366 273152 180746
-rect 273112 168360 273164 168366
-rect 273112 168302 273164 168308
-rect 272940 167742 273060 167770
-rect 272940 166326 272968 167742
-rect 272928 166320 272980 166326
-rect 272928 166262 272980 166268
-rect 272836 166252 272888 166258
-rect 272836 166194 272888 166200
-rect 272848 121446 272876 166194
-rect 273020 163532 273072 163538
-rect 273020 163474 273072 163480
-rect 273032 158710 273060 163474
-rect 273020 158704 273072 158710
-rect 273020 158646 273072 158652
-rect 273112 158704 273164 158710
-rect 273112 158646 273164 158652
-rect 273124 157350 273152 158646
-rect 273112 157344 273164 157350
-rect 273112 157286 273164 157292
-rect 273020 147688 273072 147694
-rect 273020 147630 273072 147636
-rect 273032 143750 273060 147630
-rect 273020 143744 273072 143750
-rect 273020 143686 273072 143692
-rect 273020 139460 273072 139466
-rect 273020 139402 273072 139408
-rect 273032 134586 273060 139402
-rect 273032 134558 273152 134586
-rect 273124 121446 273152 134558
-rect 272836 121440 272888 121446
-rect 272836 121382 272888 121388
-rect 273020 121440 273072 121446
-rect 273020 121382 273072 121388
-rect 273112 121440 273164 121446
-rect 273112 121382 273164 121388
-rect 272836 111852 272888 111858
-rect 272836 111794 272888 111800
-rect 272848 57934 272876 111794
-rect 273032 98682 273060 121382
-rect 273032 98654 273152 98682
-rect 273124 62150 273152 98654
-rect 273020 62144 273072 62150
-rect 273020 62086 273072 62092
-rect 273112 62144 273164 62150
-rect 273112 62086 273164 62092
-rect 272836 57928 272888 57934
-rect 272836 57870 272888 57876
-rect 273032 57338 273060 62086
-rect 272940 57310 273060 57338
-rect 272940 52465 272968 57310
-rect 272926 52456 272982 52465
-rect 272926 52391 272982 52400
-rect 273294 52456 273350 52465
-rect 273294 52391 273350 52400
-rect 272836 48340 272888 48346
-rect 272836 48282 272888 48288
-rect 272848 46918 272876 48282
-rect 272836 46912 272888 46918
-rect 272836 46854 272888 46860
-rect 273308 42838 273336 52391
-rect 273112 42832 273164 42838
-rect 273112 42774 273164 42780
-rect 273296 42832 273348 42838
-rect 273296 42774 273348 42780
-rect 273124 42702 273152 42774
-rect 273112 42696 273164 42702
-rect 273112 42638 273164 42644
-rect 273020 42628 273072 42634
-rect 273020 42570 273072 42576
-rect 272836 37324 272888 37330
-rect 272836 37266 272888 37272
-rect 272848 27606 272876 37266
-rect 273032 33289 273060 42570
-rect 273018 33280 273074 33289
-rect 273018 33215 273074 33224
-rect 273202 33280 273258 33289
-rect 273202 33215 273258 33224
-rect 272836 27600 272888 27606
-rect 272836 27542 272888 27548
-rect 273216 18834 273244 33215
-rect 273020 18828 273072 18834
-rect 273020 18770 273072 18776
-rect 273204 18828 273256 18834
-rect 273204 18770 273256 18776
-rect 272836 18080 272888 18086
-rect 272836 18022 272888 18028
-rect 272744 8628 272796 8634
-rect 272744 8570 272796 8576
-rect 272848 8294 272876 18022
-rect 273032 11370 273060 18770
-rect 272940 11342 273060 11370
-rect 272836 8288 272888 8294
-rect 272836 8230 272888 8236
-rect 272652 6248 272704 6254
-rect 272652 6190 272704 6196
-rect 272468 4548 272520 4554
-rect 272468 4490 272520 4496
-rect 272940 4457 272968 11342
-rect 273952 8566 273980 340054
-rect 274124 335572 274176 335578
-rect 274124 335514 274176 335520
-rect 273940 8560 273992 8566
-rect 273940 8502 273992 8508
-rect 274136 4622 274164 335514
-rect 274124 4616 274176 4622
-rect 274124 4558 274176 4564
-rect 272926 4448 272982 4457
-rect 272926 4383 272982 4392
-rect 274228 4282 274256 340054
-rect 274320 332042 274348 340068
-rect 274412 340054 274610 340082
-rect 274688 340054 274794 340082
-rect 274872 340054 275070 340082
-rect 274308 332036 274360 332042
-rect 274308 331978 274360 331984
-rect 274412 8498 274440 340054
-rect 274688 335578 274716 340054
-rect 274872 335782 274900 340054
-rect 275228 335912 275280 335918
-rect 275228 335854 275280 335860
-rect 275136 335844 275188 335850
-rect 275136 335786 275188 335792
-rect 274860 335776 274912 335782
-rect 274860 335718 274912 335724
-rect 274676 335572 274728 335578
-rect 274676 335514 274728 335520
-rect 275148 329254 275176 335786
-rect 275136 329248 275188 329254
-rect 275136 329190 275188 329196
-rect 274400 8492 274452 8498
-rect 274400 8434 274452 8440
-rect 275240 4826 275268 335854
-rect 275332 8430 275360 340068
-rect 275424 340054 275530 340082
-rect 275608 340054 275806 340082
-rect 275884 340054 275990 340082
-rect 276068 340054 276266 340082
-rect 275424 335918 275452 340054
-rect 275412 335912 275464 335918
-rect 275412 335854 275464 335860
-rect 275608 335850 275636 340054
-rect 275596 335844 275648 335850
-rect 275596 335786 275648 335792
-rect 275884 335730 275912 340054
-rect 275424 335702 275912 335730
-rect 275320 8424 275372 8430
-rect 275320 8366 275372 8372
-rect 275424 8362 275452 335702
-rect 276068 333962 276096 340054
-rect 276148 336864 276200 336870
-rect 276148 336806 276200 336812
-rect 275976 333934 276096 333962
-rect 275780 324420 275832 324426
-rect 275780 324362 275832 324368
-rect 275688 324352 275740 324358
-rect 275502 324320 275558 324329
-rect 275502 324255 275558 324264
-rect 275686 324320 275688 324329
-rect 275740 324320 275742 324329
-rect 275686 324255 275742 324264
-rect 275516 314702 275544 324255
-rect 275504 314696 275556 314702
-rect 275504 314638 275556 314644
-rect 275688 314696 275740 314702
-rect 275688 314638 275740 314644
-rect 275700 305130 275728 314638
-rect 275608 305102 275728 305130
-rect 275608 304994 275636 305102
-rect 275608 304966 275728 304994
-rect 275700 299538 275728 304966
-rect 275688 299532 275740 299538
-rect 275688 299474 275740 299480
-rect 275504 296948 275556 296954
-rect 275504 296890 275556 296896
-rect 275516 285274 275544 296890
-rect 275516 285246 275636 285274
-rect 275608 275346 275636 285246
-rect 275608 275318 275728 275346
-rect 275700 265690 275728 275318
-rect 275608 265662 275728 265690
-rect 275608 256034 275636 265662
-rect 275608 256006 275728 256034
-rect 275700 255270 275728 256006
-rect 275688 255264 275740 255270
-rect 275688 255206 275740 255212
-rect 275596 245676 275648 245682
-rect 275596 245618 275648 245624
-rect 275608 236042 275636 245618
-rect 275608 236014 275728 236042
-rect 275700 234598 275728 236014
-rect 275688 234592 275740 234598
-rect 275688 234534 275740 234540
-rect 275688 225004 275740 225010
-rect 275688 224946 275740 224952
-rect 275700 224874 275728 224946
-rect 275688 224868 275740 224874
-rect 275688 224810 275740 224816
-rect 275596 215348 275648 215354
-rect 275596 215290 275648 215296
-rect 275608 211274 275636 215290
-rect 275596 211268 275648 211274
-rect 275596 211210 275648 211216
-rect 275596 210452 275648 210458
-rect 275596 210394 275648 210400
-rect 275608 197606 275636 210394
-rect 275596 197600 275648 197606
-rect 275596 197542 275648 197548
-rect 275688 197396 275740 197402
-rect 275688 197338 275740 197344
-rect 275700 197282 275728 197338
-rect 275608 197254 275728 197282
-rect 275608 187921 275636 197254
-rect 275594 187912 275650 187921
-rect 275594 187847 275650 187856
-rect 275686 187776 275742 187785
-rect 275686 187711 275742 187720
-rect 275700 177970 275728 187711
-rect 275608 177942 275728 177970
-rect 275608 173262 275636 177942
-rect 275596 173256 275648 173262
-rect 275596 173198 275648 173204
-rect 275596 163532 275648 163538
-rect 275596 163474 275648 163480
-rect 275608 157350 275636 163474
-rect 275596 157344 275648 157350
-rect 275596 157286 275648 157292
-rect 275688 147688 275740 147694
-rect 275688 147630 275740 147636
-rect 275700 139602 275728 147630
-rect 275504 139596 275556 139602
-rect 275504 139538 275556 139544
-rect 275688 139596 275740 139602
-rect 275688 139538 275740 139544
-rect 275516 129878 275544 139538
-rect 275504 129872 275556 129878
-rect 275504 129814 275556 129820
-rect 275688 129872 275740 129878
-rect 275688 129814 275740 129820
-rect 275700 129742 275728 129814
-rect 275596 129736 275648 129742
-rect 275596 129678 275648 129684
-rect 275688 129736 275740 129742
-rect 275688 129678 275740 129684
-rect 275608 120170 275636 129678
-rect 275608 120142 275728 120170
-rect 275700 118697 275728 120142
-rect 275502 118688 275558 118697
-rect 275502 118623 275558 118632
-rect 275686 118688 275742 118697
-rect 275686 118623 275742 118632
-rect 275516 109070 275544 118623
-rect 275504 109064 275556 109070
-rect 275504 109006 275556 109012
-rect 275596 109064 275648 109070
-rect 275596 109006 275648 109012
-rect 275608 106350 275636 109006
-rect 275596 106344 275648 106350
-rect 275596 106286 275648 106292
-rect 275504 100768 275556 100774
-rect 275504 100710 275556 100716
-rect 275516 91118 275544 100710
-rect 275504 91112 275556 91118
-rect 275504 91054 275556 91060
-rect 275688 91112 275740 91118
-rect 275688 91054 275740 91060
-rect 275700 73166 275728 91054
-rect 275688 73160 275740 73166
-rect 275688 73102 275740 73108
-rect 275596 63572 275648 63578
-rect 275596 63514 275648 63520
-rect 275608 62778 275636 63514
-rect 275516 62750 275636 62778
-rect 275516 62506 275544 62750
-rect 275516 62478 275728 62506
-rect 275700 62098 275728 62478
-rect 275608 62070 275728 62098
-rect 275608 58002 275636 62070
-rect 275596 57996 275648 58002
-rect 275596 57938 275648 57944
-rect 275596 52488 275648 52494
-rect 275596 52430 275648 52436
-rect 275608 46986 275636 52430
-rect 275596 46980 275648 46986
-rect 275596 46922 275648 46928
-rect 275608 42838 275636 42869
-rect 275596 42832 275648 42838
-rect 275516 42780 275596 42786
-rect 275516 42774 275648 42780
-rect 275516 42758 275636 42774
-rect 275516 38010 275544 42758
-rect 275504 38004 275556 38010
-rect 275504 37946 275556 37952
-rect 275688 24880 275740 24886
-rect 275688 24822 275740 24828
-rect 275412 8356 275464 8362
-rect 275412 8298 275464 8304
-rect 275700 5098 275728 24822
-rect 275792 18630 275820 324362
-rect 275976 324358 276004 333934
-rect 276160 324426 276188 336806
-rect 276528 336326 276556 340068
-rect 276620 340054 276726 340082
-rect 276804 340054 277002 340082
-rect 277080 340054 277278 340082
-rect 277356 340054 277462 340082
-rect 277540 340054 277738 340082
-rect 277908 340054 278014 340082
-rect 276516 336320 276568 336326
-rect 276516 336262 276568 336268
-rect 276516 335776 276568 335782
-rect 276516 335718 276568 335724
-rect 276528 333946 276556 335718
-rect 276516 333940 276568 333946
-rect 276516 333882 276568 333888
-rect 276620 329474 276648 340054
-rect 276804 335782 276832 340054
-rect 276792 335776 276844 335782
-rect 276792 335718 276844 335724
-rect 277080 335594 277108 340054
-rect 276792 335572 276844 335578
-rect 276792 335514 276844 335520
-rect 276896 335566 277108 335594
-rect 277356 335578 277384 340054
-rect 277344 335572 277396 335578
-rect 276620 329446 276740 329474
-rect 276148 324420 276200 324426
-rect 276148 324362 276200 324368
-rect 276608 324420 276660 324426
-rect 276608 324362 276660 324368
-rect 275964 324352 276016 324358
-rect 275964 324294 276016 324300
-rect 276620 304978 276648 324362
-rect 276712 304978 276740 329446
-rect 276608 304972 276660 304978
-rect 276608 304914 276660 304920
-rect 276700 304972 276752 304978
-rect 276700 304914 276752 304920
-rect 276514 295352 276570 295361
-rect 276698 295352 276754 295361
-rect 276570 295310 276648 295338
-rect 276514 295287 276570 295296
-rect 276620 295254 276648 295310
-rect 276698 295287 276700 295296
-rect 276752 295287 276754 295296
-rect 276700 295258 276752 295264
-rect 276608 295248 276660 295254
-rect 276608 295190 276660 295196
-rect 276608 285728 276660 285734
-rect 276608 285670 276660 285676
-rect 276620 232626 276648 285670
-rect 276804 280498 276832 335514
-rect 276896 325106 276924 335566
-rect 277344 335514 277396 335520
-rect 277540 335306 277568 340054
-rect 277908 336818 277936 340054
-rect 277632 336790 277936 336818
-rect 277068 335300 277120 335306
-rect 277068 335242 277120 335248
-rect 277528 335300 277580 335306
-rect 277528 335242 277580 335248
-rect 276884 325100 276936 325106
-rect 276884 325042 276936 325048
-rect 277080 318918 277108 335242
-rect 277632 335186 277660 336790
-rect 278080 335572 278132 335578
-rect 278080 335514 278132 335520
-rect 277172 335158 277660 335186
-rect 277068 318912 277120 318918
-rect 277068 318854 277120 318860
-rect 276976 318708 277028 318714
-rect 276976 318650 277028 318656
-rect 276988 311250 277016 318650
-rect 276988 311222 277108 311250
-rect 276976 304972 277028 304978
-rect 276976 304914 277028 304920
-rect 276988 295361 277016 304914
-rect 276974 295352 277030 295361
-rect 276974 295287 277030 295296
-rect 276976 285728 277028 285734
-rect 276976 285670 277028 285676
-rect 276988 285190 277016 285670
-rect 276976 285184 277028 285190
-rect 276976 285126 277028 285132
-rect 277080 285002 277108 311222
-rect 276988 284974 277108 285002
-rect 276792 280492 276844 280498
-rect 276792 280434 276844 280440
-rect 276792 280084 276844 280090
-rect 276792 280026 276844 280032
-rect 276700 280016 276752 280022
-rect 276700 279958 276752 279964
-rect 276712 237386 276740 279958
-rect 276700 237380 276752 237386
-rect 276700 237322 276752 237328
-rect 276608 232620 276660 232626
-rect 276608 232562 276660 232568
-rect 276804 227905 276832 280026
-rect 276988 275346 277016 284974
-rect 276988 275318 277108 275346
-rect 277080 265690 277108 275318
-rect 276988 265662 277108 265690
-rect 276988 256034 277016 265662
-rect 276988 256006 277108 256034
-rect 277080 246378 277108 256006
-rect 276988 246350 277108 246378
-rect 276988 241482 277016 246350
-rect 276988 241454 277108 241482
-rect 276884 237380 276936 237386
-rect 276884 237322 276936 237328
-rect 276790 227896 276846 227905
-rect 276790 227831 276846 227840
-rect 276516 227792 276568 227798
-rect 276516 227734 276568 227740
-rect 276790 227760 276846 227769
-rect 276528 221513 276556 227734
-rect 276790 227695 276846 227704
-rect 276700 222216 276752 222222
-rect 276700 222158 276752 222164
-rect 276514 221504 276570 221513
-rect 276514 221439 276570 221448
-rect 276712 220794 276740 222158
-rect 276700 220788 276752 220794
-rect 276700 220730 276752 220736
-rect 276700 211200 276752 211206
-rect 276700 211142 276752 211148
-rect 276514 208448 276570 208457
-rect 276514 208383 276570 208392
-rect 276528 208298 276556 208383
-rect 276528 208270 276648 208298
-rect 276620 198098 276648 208270
-rect 276528 198070 276648 198098
-rect 276528 193202 276556 198070
-rect 276528 193174 276648 193202
-rect 276620 178786 276648 193174
-rect 276528 178758 276648 178786
-rect 276528 173890 276556 178758
-rect 276436 173862 276556 173890
-rect 276436 164234 276464 173862
-rect 276712 169538 276740 211142
-rect 276620 169510 276740 169538
-rect 276620 164370 276648 169510
-rect 276804 169402 276832 227695
-rect 276896 222222 276924 237322
-rect 277080 232558 277108 241454
-rect 277068 232552 277120 232558
-rect 277068 232494 277120 232500
-rect 276974 227760 277030 227769
-rect 276974 227695 277030 227704
-rect 276884 222216 276936 222222
-rect 276884 222158 276936 222164
-rect 276988 217410 277016 227695
-rect 276988 217382 277108 217410
-rect 277080 207754 277108 217382
-rect 276988 207726 277108 207754
-rect 276988 198150 277016 207726
-rect 276976 198144 277028 198150
-rect 276976 198086 277028 198092
-rect 276976 189100 277028 189106
-rect 276976 189042 277028 189048
-rect 276988 183546 277016 189042
-rect 276988 183518 277108 183546
-rect 276712 169374 276832 169402
-rect 276712 164529 276740 169374
-rect 276698 164520 276754 164529
-rect 276698 164455 276754 164464
-rect 276790 164384 276846 164393
-rect 276620 164342 276740 164370
-rect 276436 164206 276648 164234
-rect 276620 157434 276648 164206
-rect 276528 157406 276648 157434
-rect 276528 149682 276556 157406
-rect 276528 149654 276648 149682
-rect 276620 138122 276648 149654
-rect 276712 143546 276740 164342
-rect 276790 164319 276846 164328
-rect 276700 143540 276752 143546
-rect 276700 143482 276752 143488
-rect 276528 138094 276648 138122
-rect 276528 130370 276556 138094
-rect 276698 133920 276754 133929
-rect 276698 133855 276754 133864
-rect 276528 130342 276648 130370
-rect 276620 114730 276648 130342
-rect 276528 114702 276648 114730
-rect 276528 102218 276556 114702
-rect 276528 102190 276648 102218
-rect 276620 100722 276648 102190
-rect 276528 100694 276648 100722
-rect 276528 93906 276556 100694
-rect 276516 93900 276568 93906
-rect 276516 93842 276568 93848
-rect 276608 93832 276660 93838
-rect 276608 93774 276660 93780
-rect 276620 82822 276648 93774
-rect 276608 82816 276660 82822
-rect 276608 82758 276660 82764
-rect 276608 73228 276660 73234
-rect 276608 73170 276660 73176
-rect 276620 62121 276648 73170
-rect 276422 62112 276478 62121
-rect 276422 62047 276478 62056
-rect 276606 62112 276662 62121
-rect 276606 62047 276662 62056
-rect 276436 57322 276464 62047
-rect 276424 57316 276476 57322
-rect 276424 57258 276476 57264
-rect 276608 44192 276660 44198
-rect 276608 44134 276660 44140
-rect 276620 38010 276648 44134
-rect 276240 38004 276292 38010
-rect 276240 37946 276292 37952
-rect 276608 38004 276660 38010
-rect 276608 37946 276660 37952
-rect 276252 27674 276280 37946
-rect 276240 27668 276292 27674
-rect 276240 27610 276292 27616
-rect 276516 27668 276568 27674
-rect 276516 27610 276568 27616
-rect 275780 18624 275832 18630
-rect 275780 18566 275832 18572
-rect 276528 5166 276556 27610
-rect 276712 8974 276740 133855
-rect 276804 9042 276832 164319
-rect 276884 143540 276936 143546
-rect 276884 143482 276936 143488
-rect 276896 133929 276924 143482
-rect 276882 133920 276938 133929
-rect 276882 133855 276938 133864
-rect 277080 93820 277108 183518
-rect 276988 93792 277108 93820
-rect 276988 82958 277016 93792
-rect 276976 82952 277028 82958
-rect 276976 82894 277028 82900
-rect 277068 82884 277120 82890
-rect 277068 82826 277120 82832
-rect 277080 71754 277108 82826
-rect 276988 71726 277108 71754
-rect 276988 67658 277016 71726
-rect 276976 67652 277028 67658
-rect 276976 67594 277028 67600
-rect 277068 62756 277120 62762
-rect 277068 62698 277120 62704
-rect 277080 51048 277108 62698
-rect 276988 51020 277108 51048
-rect 276988 37330 277016 51020
-rect 276976 37324 277028 37330
-rect 276976 37266 277028 37272
-rect 277068 37324 277120 37330
-rect 277068 37266 277120 37272
-rect 277080 22166 277108 37266
-rect 277068 22160 277120 22166
-rect 277068 22102 277120 22108
-rect 276976 22092 277028 22098
-rect 276976 22034 277028 22040
-rect 276792 9036 276844 9042
-rect 276792 8978 276844 8984
-rect 276700 8968 276752 8974
-rect 276700 8910 276752 8916
-rect 276516 5160 276568 5166
-rect 276516 5102 276568 5108
-rect 275688 5092 275740 5098
-rect 275688 5034 275740 5040
-rect 276988 5030 277016 22034
-rect 277172 17270 277200 335158
-rect 277252 304904 277304 304910
-rect 277252 304846 277304 304852
-rect 277264 295497 277292 304846
-rect 277250 295488 277306 295497
-rect 277250 295423 277306 295432
-rect 277252 232552 277304 232558
-rect 277252 232494 277304 232500
-rect 277264 227769 277292 232494
-rect 277250 227760 277306 227769
-rect 277250 227695 277306 227704
-rect 277160 17264 277212 17270
-rect 277160 17206 277212 17212
-rect 278092 9178 278120 335514
-rect 278080 9172 278132 9178
-rect 278080 9114 278132 9120
-rect 278184 9110 278212 340068
-rect 278276 340054 278474 340082
-rect 278172 9104 278224 9110
-rect 278172 9046 278224 9052
-rect 278276 5302 278304 340054
-rect 278540 337136 278592 337142
-rect 278540 337078 278592 337084
-rect 278448 295316 278500 295322
-rect 278448 295258 278500 295264
-rect 278460 277545 278488 295258
-rect 278446 277536 278502 277545
-rect 278446 277471 278502 277480
-rect 278446 277400 278502 277409
-rect 278446 277335 278502 277344
-rect 278460 270298 278488 277335
-rect 278448 270292 278500 270298
-rect 278448 270234 278500 270240
-rect 278446 16552 278502 16561
-rect 278446 16487 278502 16496
-rect 278460 6934 278488 16487
-rect 278448 6928 278500 6934
-rect 278448 6870 278500 6876
-rect 278264 5296 278316 5302
-rect 278264 5238 278316 5244
-rect 276976 5024 277028 5030
-rect 276976 4966 277028 4972
-rect 275228 4820 275280 4826
-rect 275228 4762 275280 4768
-rect 274216 4276 274268 4282
-rect 274216 4218 274268 4224
-rect 271364 4208 271416 4214
-rect 271364 4150 271416 4156
-rect 269800 4140 269852 4146
-rect 269800 4082 269852 4088
-rect 268604 4072 268656 4078
-rect 268604 4014 268656 4020
-rect 267500 4004 267552 4010
-rect 267500 3946 267552 3952
-rect 266304 3936 266356 3942
-rect 266304 3878 266356 3884
-rect 263912 3732 263964 3738
-rect 263912 3674 263964 3680
-rect 261520 3460 261572 3466
-rect 261520 3402 261572 3408
-rect 262624 3460 262676 3466
-rect 262624 3402 262676 3408
-rect 262728 3454 262940 3482
-rect 261532 480 261560 3402
-rect 262728 480 262756 3454
-rect 262912 3398 262940 3454
-rect 262900 3392 262952 3398
-rect 262900 3334 262952 3340
-rect 263924 480 263952 3674
-rect 265108 3528 265160 3534
-rect 265108 3470 265160 3476
-rect 265120 480 265148 3470
-rect 266316 480 266344 3878
-rect 267512 480 267540 3946
-rect 268616 480 268644 4014
-rect 269812 480 269840 4082
-rect 273388 3596 273440 3602
-rect 273388 3538 273440 3544
-rect 278448 3596 278500 3602
-rect 278552 3584 278580 337078
-rect 278736 336870 278764 340068
-rect 278828 340054 278934 340082
-rect 278724 336864 278776 336870
-rect 278724 336806 278776 336812
-rect 278828 335578 278856 340054
-rect 279196 338502 279224 340068
-rect 279486 340054 279592 340082
-rect 278908 338496 278960 338502
-rect 278908 338438 278960 338444
-rect 279184 338496 279236 338502
-rect 279184 338438 279236 338444
-rect 278816 335572 278868 335578
-rect 278816 335514 278868 335520
-rect 278920 335322 278948 338438
-rect 279276 335572 279328 335578
-rect 279276 335514 279328 335520
-rect 278828 335294 278948 335322
-rect 278828 331974 278856 335294
-rect 278816 331968 278868 331974
-rect 278816 331910 278868 331916
-rect 278724 326868 278776 326874
-rect 278724 326810 278776 326816
-rect 278736 316282 278764 326810
-rect 278736 316254 278856 316282
-rect 278828 316146 278856 316254
-rect 278736 316118 278856 316146
-rect 278736 306377 278764 316118
-rect 278722 306368 278778 306377
-rect 278722 306303 278778 306312
-rect 278906 306368 278962 306377
-rect 278906 306303 278962 306312
-rect 278920 296750 278948 306303
-rect 278724 296744 278776 296750
-rect 278724 296686 278776 296692
-rect 278908 296744 278960 296750
-rect 278908 296686 278960 296692
-rect 278736 295322 278764 296686
-rect 278724 295316 278776 295322
-rect 278724 295258 278776 295264
-rect 278630 277536 278686 277545
-rect 278630 277471 278686 277480
-rect 278644 277409 278672 277471
-rect 278630 277400 278686 277409
-rect 278630 277335 278686 277344
-rect 278816 270292 278868 270298
-rect 278816 270234 278868 270240
-rect 278828 267753 278856 270234
-rect 278814 267744 278870 267753
-rect 278814 267679 278870 267688
-rect 278998 267744 279054 267753
-rect 278998 267679 279054 267688
-rect 279012 260794 279040 267679
-rect 278828 260766 279040 260794
-rect 278828 251258 278856 260766
-rect 278816 251252 278868 251258
-rect 278816 251194 278868 251200
-rect 278816 251116 278868 251122
-rect 278816 251058 278868 251064
-rect 278828 236722 278856 251058
-rect 278736 236694 278856 236722
-rect 278736 231826 278764 236694
-rect 278736 231798 278856 231826
-rect 278828 211018 278856 231798
-rect 278828 210990 278948 211018
-rect 278920 206122 278948 210990
-rect 278828 206094 278948 206122
-rect 278828 180810 278856 206094
-rect 278816 180804 278868 180810
-rect 278816 180746 278868 180752
-rect 278816 171148 278868 171154
-rect 278816 171090 278868 171096
-rect 278828 163033 278856 171090
-rect 278814 163024 278870 163033
-rect 278814 162959 278870 162968
-rect 278722 162888 278778 162897
-rect 278722 162823 278778 162832
-rect 278736 149870 278764 162823
-rect 278724 149864 278776 149870
-rect 278724 149806 278776 149812
-rect 278816 142180 278868 142186
-rect 278816 142122 278868 142128
-rect 278828 93974 278856 142122
-rect 278816 93968 278868 93974
-rect 278816 93910 278868 93916
-rect 278724 93900 278776 93906
-rect 278724 93842 278776 93848
-rect 278736 67726 278764 93842
-rect 278724 67720 278776 67726
-rect 278724 67662 278776 67668
-rect 278632 67584 278684 67590
-rect 278632 67526 278684 67532
-rect 278644 64870 278672 67526
-rect 278632 64864 278684 64870
-rect 278632 64806 278684 64812
-rect 278724 55276 278776 55282
-rect 278724 55218 278776 55224
-rect 278736 55162 278764 55218
-rect 278736 55134 278856 55162
-rect 278828 48346 278856 55134
-rect 278816 48340 278868 48346
-rect 278816 48282 278868 48288
-rect 278724 45620 278776 45626
-rect 278724 45562 278776 45568
-rect 278736 37262 278764 45562
-rect 278724 37256 278776 37262
-rect 278724 37198 278776 37204
-rect 278908 37256 278960 37262
-rect 278908 37198 278960 37204
-rect 278920 35850 278948 37198
-rect 278828 35822 278948 35850
-rect 278828 27674 278856 35822
-rect 278816 27668 278868 27674
-rect 278816 27610 278868 27616
-rect 278816 26308 278868 26314
-rect 278816 26250 278868 26256
-rect 278828 16658 278856 26250
-rect 278632 16652 278684 16658
-rect 278632 16594 278684 16600
-rect 278816 16652 278868 16658
-rect 278816 16594 278868 16600
-rect 278644 16561 278672 16594
-rect 278630 16552 278686 16561
-rect 278630 16487 278686 16496
-rect 278724 6928 278776 6934
-rect 278724 6870 278776 6876
-rect 278736 5234 278764 6870
-rect 278724 5228 278776 5234
-rect 278724 5170 278776 5176
-rect 278500 3556 278580 3584
-rect 278448 3538 278500 3544
-rect 272192 3392 272244 3398
-rect 272192 3334 272244 3340
-rect 270996 3188 271048 3194
-rect 270996 3130 271048 3136
-rect 271008 480 271036 3130
-rect 272204 480 272232 3334
-rect 273400 480 273428 3538
-rect 275780 3460 275832 3466
-rect 275780 3402 275832 3408
-rect 274584 2984 274636 2990
-rect 274584 2926 274636 2932
-rect 274596 480 274624 2926
-rect 275792 480 275820 3402
-rect 278172 3188 278224 3194
-rect 278172 3130 278224 3136
-rect 276976 2916 277028 2922
-rect 276976 2858 277028 2864
-rect 276988 480 277016 2858
-rect 278184 480 278212 3130
-rect 279288 2854 279316 335514
-rect 279368 332852 279420 332858
-rect 279368 332794 279420 332800
-rect 279380 4758 279408 332794
-rect 279458 249792 279514 249801
-rect 279458 249727 279514 249736
-rect 279472 240174 279500 249727
-rect 279460 240168 279512 240174
-rect 279460 240110 279512 240116
-rect 279564 7886 279592 340054
-rect 279656 9382 279684 340068
-rect 279748 340054 279946 340082
-rect 280024 340054 280222 340082
-rect 280300 340054 280406 340082
-rect 279748 332858 279776 340054
-rect 280024 334354 280052 340054
-rect 280104 337204 280156 337210
-rect 280104 337146 280156 337152
-rect 280012 334348 280064 334354
-rect 280012 334290 280064 334296
-rect 280116 334234 280144 337146
-rect 280300 335578 280328 340054
-rect 280668 337754 280696 340068
-rect 280852 340054 280958 340082
-rect 281036 340054 281142 340082
-rect 281312 340054 281418 340082
-rect 281496 340054 281694 340082
-rect 280656 337748 280708 337754
-rect 280656 337690 280708 337696
-rect 280288 335572 280340 335578
-rect 280288 335514 280340 335520
-rect 280748 335572 280800 335578
-rect 280748 335514 280800 335520
-rect 279932 334206 280144 334234
-rect 279736 332852 279788 332858
-rect 279736 332794 279788 332800
-rect 279828 327140 279880 327146
-rect 279828 327082 279880 327088
-rect 279840 285002 279868 327082
-rect 279748 284974 279868 285002
-rect 279748 278769 279776 284974
-rect 279734 278760 279790 278769
-rect 279734 278695 279790 278704
-rect 279828 269136 279880 269142
-rect 279828 269078 279880 269084
-rect 279840 260914 279868 269078
-rect 279736 260908 279788 260914
-rect 279736 260850 279788 260856
-rect 279828 260908 279880 260914
-rect 279828 260850 279880 260856
-rect 279748 256086 279776 260850
-rect 279736 256080 279788 256086
-rect 279736 256022 279788 256028
-rect 279828 256012 279880 256018
-rect 279828 255954 279880 255960
-rect 279840 249801 279868 255954
-rect 279826 249792 279882 249801
-rect 279826 249727 279882 249736
-rect 279736 240168 279788 240174
-rect 279736 240110 279788 240116
-rect 279748 236722 279776 240110
-rect 279748 236694 279868 236722
-rect 279840 219502 279868 236694
-rect 279736 219496 279788 219502
-rect 279736 219438 279788 219444
-rect 279828 219496 279880 219502
-rect 279828 219438 279880 219444
-rect 279748 212498 279776 219438
-rect 279736 212492 279788 212498
-rect 279736 212434 279788 212440
-rect 279828 212492 279880 212498
-rect 279828 212434 279880 212440
-rect 279840 200122 279868 212434
-rect 279828 200116 279880 200122
-rect 279828 200058 279880 200064
-rect 279828 190528 279880 190534
-rect 279828 190470 279880 190476
-rect 279840 183666 279868 190470
-rect 279828 183660 279880 183666
-rect 279828 183602 279880 183608
-rect 279736 183524 279788 183530
-rect 279736 183466 279788 183472
-rect 279748 164234 279776 183466
-rect 279748 164206 279868 164234
-rect 279840 139482 279868 164206
-rect 279748 139454 279868 139482
-rect 279748 139398 279776 139454
-rect 279736 139392 279788 139398
-rect 279736 139334 279788 139340
-rect 279828 129804 279880 129810
-rect 279828 129746 279880 129752
-rect 279840 121446 279868 129746
-rect 279736 121440 279788 121446
-rect 279736 121382 279788 121388
-rect 279828 121440 279880 121446
-rect 279828 121382 279880 121388
-rect 279748 100774 279776 121382
-rect 279736 100768 279788 100774
-rect 279736 100710 279788 100716
-rect 279826 100736 279882 100745
-rect 279826 100671 279882 100680
-rect 279840 91118 279868 100671
-rect 279828 91112 279880 91118
-rect 279828 91054 279880 91060
-rect 279828 86828 279880 86834
-rect 279828 86770 279880 86776
-rect 279840 82822 279868 86770
-rect 279932 84266 279960 334206
-rect 280010 278760 280066 278769
-rect 280010 278695 280066 278704
-rect 280024 269142 280052 278695
-rect 280012 269136 280064 269142
-rect 280012 269078 280064 269084
-rect 280012 100768 280064 100774
-rect 280010 100736 280012 100745
-rect 280064 100736 280066 100745
-rect 280010 100671 280066 100680
-rect 280012 91112 280064 91118
-rect 280012 91054 280064 91060
-rect 280024 86834 280052 91054
-rect 280012 86828 280064 86834
-rect 280012 86770 280064 86776
-rect 279932 84250 280052 84266
-rect 279932 84244 280064 84250
-rect 279932 84238 280012 84244
-rect 280012 84186 280064 84192
-rect 279920 84176 279972 84182
-rect 279920 84118 279972 84124
-rect 279828 82816 279880 82822
-rect 279828 82758 279880 82764
-rect 279932 74662 279960 84118
-rect 280104 82816 280156 82822
-rect 280104 82758 280156 82764
-rect 279920 74656 279972 74662
-rect 279920 74598 279972 74604
-rect 280116 74474 280144 82758
-rect 279920 74452 279972 74458
-rect 279920 74394 279972 74400
-rect 280024 74446 280144 74474
-rect 279734 64968 279790 64977
-rect 279734 64903 279790 64912
-rect 279748 64870 279776 64903
-rect 279736 64864 279788 64870
-rect 279736 64806 279788 64812
-rect 279736 55276 279788 55282
-rect 279736 55218 279788 55224
-rect 279748 51814 279776 55218
-rect 279736 51808 279788 51814
-rect 279736 51750 279788 51756
-rect 279828 37256 279880 37262
-rect 279828 37198 279880 37204
-rect 279644 9376 279696 9382
-rect 279644 9318 279696 9324
-rect 279840 7954 279868 37198
-rect 279828 7948 279880 7954
-rect 279828 7890 279880 7896
-rect 279552 7880 279604 7886
-rect 279552 7822 279604 7828
-rect 279368 4752 279420 4758
-rect 279368 4694 279420 4700
-rect 279932 2922 279960 74394
-rect 280024 64977 280052 74446
-rect 280010 64968 280066 64977
-rect 280010 64903 280066 64912
-rect 280012 51808 280064 51814
-rect 280012 51750 280064 51756
-rect 280024 37330 280052 51750
-rect 280012 37324 280064 37330
-rect 280012 37266 280064 37272
-rect 280760 8090 280788 335514
-rect 280748 8084 280800 8090
-rect 280748 8026 280800 8032
-rect 280852 8022 280880 340054
-rect 280840 8016 280892 8022
-rect 280840 7958 280892 7964
-rect 281036 3602 281064 340054
-rect 281312 11762 281340 340054
-rect 281496 335578 281524 340054
-rect 281864 337618 281892 340068
-rect 282154 340054 282260 340082
-rect 281852 337612 281904 337618
-rect 281852 337554 281904 337560
-rect 281484 335572 281536 335578
-rect 281484 335514 281536 335520
-rect 282232 14482 282260 340054
-rect 282416 337414 282444 340068
-rect 282600 337550 282628 340068
-rect 282692 340054 282890 340082
-rect 282588 337544 282640 337550
-rect 282588 337486 282640 337492
-rect 282404 337408 282456 337414
-rect 282404 337350 282456 337356
-rect 282692 335594 282720 340054
-rect 283152 337482 283180 340068
-rect 283244 340054 283350 340082
-rect 283140 337476 283192 337482
-rect 283140 337418 283192 337424
-rect 282508 335566 282720 335594
-rect 282312 331628 282364 331634
-rect 282312 331570 282364 331576
-rect 282220 14476 282272 14482
-rect 282220 14418 282272 14424
-rect 281300 11756 281352 11762
-rect 281300 11698 281352 11704
-rect 281024 3596 281076 3602
-rect 281024 3538 281076 3544
-rect 282324 3534 282352 331570
-rect 282508 10470 282536 335566
-rect 283244 331634 283272 340054
-rect 283508 337068 283560 337074
-rect 283508 337010 283560 337016
-rect 283232 331628 283284 331634
-rect 283232 331570 283284 331576
-rect 282496 10464 282548 10470
-rect 282496 10406 282548 10412
-rect 283520 4690 283548 337010
-rect 283612 5370 283640 340068
-rect 283888 338094 283916 340068
-rect 283876 338088 283928 338094
-rect 283876 338030 283928 338036
-rect 284072 337754 284100 340068
-rect 284164 340054 284362 340082
-rect 284440 340054 284638 340082
-rect 284822 340054 285020 340082
-rect 284060 337748 284112 337754
-rect 284060 337690 284112 337696
-rect 284164 337074 284192 340054
-rect 284152 337068 284204 337074
-rect 284152 337010 284204 337016
-rect 284440 336954 284468 340054
-rect 283704 336926 284468 336954
-rect 283600 5364 283652 5370
-rect 283600 5306 283652 5312
-rect 283508 4684 283560 4690
-rect 283508 4626 283560 4632
-rect 283704 3738 283732 336926
-rect 284060 336864 284112 336870
-rect 284060 336806 284112 336812
-rect 284152 336864 284204 336870
-rect 284152 336806 284204 336812
-rect 284072 4434 284100 336806
-rect 283980 4406 284100 4434
-rect 283692 3732 283744 3738
-rect 283692 3674 283744 3680
-rect 282956 3596 283008 3602
-rect 282956 3538 283008 3544
-rect 282312 3528 282364 3534
-rect 282312 3470 282364 3476
-rect 280104 3460 280156 3466
-rect 280104 3402 280156 3408
-rect 279920 2916 279972 2922
-rect 279920 2858 279972 2864
-rect 279276 2848 279328 2854
-rect 279276 2790 279328 2796
-rect 280116 1850 280144 3402
-rect 280564 2916 280616 2922
-rect 280564 2858 280616 2864
-rect 279380 1822 280144 1850
-rect 279380 480 279408 1822
-rect 280576 480 280604 2858
-rect 281760 2848 281812 2854
-rect 281760 2790 281812 2796
-rect 281772 480 281800 2790
-rect 282968 480 282996 3538
-rect 283980 2922 284008 4406
-rect 284164 4298 284192 336806
-rect 284992 336462 285020 340054
-rect 285084 336870 285112 340068
-rect 285176 340054 285374 340082
-rect 285452 340054 285558 340082
-rect 285636 340054 285834 340082
-rect 286004 340054 286110 340082
-rect 286294 340054 286400 340082
-rect 285072 336864 285124 336870
-rect 285072 336806 285124 336812
-rect 284980 336456 285032 336462
-rect 284980 336398 285032 336404
-rect 284980 335504 285032 335510
-rect 284980 335446 285032 335452
-rect 284888 333328 284940 333334
-rect 284888 333270 284940 333276
-rect 284796 164416 284848 164422
-rect 284796 164358 284848 164364
-rect 284808 164257 284836 164358
-rect 284794 164248 284850 164257
-rect 284794 164183 284850 164192
-rect 284072 4270 284192 4298
-rect 284072 3942 284100 4270
-rect 284152 4140 284204 4146
-rect 284152 4082 284204 4088
-rect 284060 3936 284112 3942
-rect 284060 3878 284112 3884
-rect 283968 2916 284020 2922
-rect 283968 2858 284020 2864
-rect 284164 480 284192 4082
-rect 284900 4010 284928 333270
-rect 284992 4078 285020 335446
-rect 285176 333334 285204 340054
-rect 285164 333328 285216 333334
-rect 285164 333270 285216 333276
-rect 285452 331498 285480 340054
-rect 285636 335510 285664 340054
-rect 285624 335504 285676 335510
-rect 285624 335446 285676 335452
-rect 285440 331492 285492 331498
-rect 285440 331434 285492 331440
-rect 285164 331152 285216 331158
-rect 285164 331094 285216 331100
-rect 285440 331152 285492 331158
-rect 285440 331094 285492 331100
-rect 285176 318594 285204 331094
-rect 285348 328500 285400 328506
-rect 285348 328442 285400 328448
-rect 285360 321586 285388 328442
-rect 285268 321558 285388 321586
-rect 285268 318714 285296 321558
-rect 285256 318708 285308 318714
-rect 285256 318650 285308 318656
-rect 285084 318566 285204 318594
-rect 285084 313698 285112 318566
-rect 285084 313670 285204 313698
-rect 285176 279886 285204 313670
-rect 285452 309330 285480 331094
-rect 286004 328506 286032 340054
-rect 285716 328500 285768 328506
-rect 285716 328442 285768 328448
-rect 285992 328500 286044 328506
-rect 285992 328442 286044 328448
-rect 285728 323626 285756 328442
-rect 285636 323598 285756 323626
-rect 285636 318714 285664 323598
-rect 285624 318708 285676 318714
-rect 285624 318650 285676 318656
-rect 285440 309324 285492 309330
-rect 285440 309266 285492 309272
-rect 285348 309188 285400 309194
-rect 285348 309130 285400 309136
-rect 285440 309188 285492 309194
-rect 285440 309130 285492 309136
-rect 285716 309188 285768 309194
-rect 285716 309130 285768 309136
-rect 285360 302326 285388 309130
-rect 285348 302320 285400 302326
-rect 285348 302262 285400 302268
-rect 285256 302184 285308 302190
-rect 285256 302126 285308 302132
-rect 285268 279886 285296 302126
-rect 285164 279880 285216 279886
-rect 285164 279822 285216 279828
-rect 285256 279880 285308 279886
-rect 285256 279822 285308 279828
-rect 285348 270564 285400 270570
-rect 285348 270506 285400 270512
-rect 285164 269136 285216 269142
-rect 285164 269078 285216 269084
-rect 285072 198756 285124 198762
-rect 285072 198698 285124 198704
-rect 285084 186318 285112 198698
-rect 285072 186312 285124 186318
-rect 285072 186254 285124 186260
-rect 285176 182170 285204 269078
-rect 285360 263702 285388 270506
-rect 285348 263696 285400 263702
-rect 285348 263638 285400 263644
-rect 285256 263560 285308 263566
-rect 285256 263502 285308 263508
-rect 285268 260778 285296 263502
-rect 285256 260772 285308 260778
-rect 285256 260714 285308 260720
-rect 285348 251252 285400 251258
-rect 285348 251194 285400 251200
-rect 285360 244390 285388 251194
-rect 285348 244384 285400 244390
-rect 285348 244326 285400 244332
-rect 285348 244180 285400 244186
-rect 285348 244122 285400 244128
-rect 285360 236774 285388 244122
-rect 285348 236768 285400 236774
-rect 285348 236710 285400 236716
-rect 285348 231940 285400 231946
-rect 285348 231882 285400 231888
-rect 285360 225010 285388 231882
-rect 285348 225004 285400 225010
-rect 285348 224946 285400 224952
-rect 285256 219496 285308 219502
-rect 285256 219438 285308 219444
-rect 285268 208622 285296 219438
-rect 285256 208616 285308 208622
-rect 285256 208558 285308 208564
-rect 285256 208480 285308 208486
-rect 285256 208422 285308 208428
-rect 285268 208282 285296 208422
-rect 285256 208276 285308 208282
-rect 285256 208218 285308 208224
-rect 285256 186312 285308 186318
-rect 285256 186254 285308 186260
-rect 285164 182164 285216 182170
-rect 285164 182106 285216 182112
-rect 285268 177313 285296 186254
-rect 285254 177304 285310 177313
-rect 285254 177239 285310 177248
-rect 285164 172576 285216 172582
-rect 285164 172518 285216 172524
-rect 285176 164529 285204 172518
-rect 285162 164520 285218 164529
-rect 285162 164455 285218 164464
-rect 285162 164384 285218 164393
-rect 285162 164319 285218 164328
-rect 285176 156806 285204 164319
-rect 285346 164112 285402 164121
-rect 285346 164047 285402 164056
-rect 285360 161498 285388 164047
-rect 285256 161492 285308 161498
-rect 285256 161434 285308 161440
-rect 285348 161492 285400 161498
-rect 285348 161434 285400 161440
-rect 285268 161378 285296 161434
-rect 285268 161350 285388 161378
-rect 285360 157418 285388 161350
-rect 285348 157412 285400 157418
-rect 285348 157354 285400 157360
-rect 285164 156800 285216 156806
-rect 285164 156742 285216 156748
-rect 285348 138100 285400 138106
-rect 285348 138042 285400 138048
-rect 285164 137896 285216 137902
-rect 285164 137838 285216 137844
-rect 285072 46912 285124 46918
-rect 285072 46854 285124 46860
-rect 285084 29034 285112 46854
-rect 285072 29028 285124 29034
-rect 285072 28970 285124 28976
-rect 285176 28966 285204 137838
-rect 285360 128466 285388 138042
-rect 285452 135590 285480 309130
-rect 285728 302326 285756 309130
-rect 285716 302320 285768 302326
-rect 285716 302262 285768 302268
-rect 285808 302116 285860 302122
-rect 285808 302058 285860 302064
-rect 285820 278730 285848 302058
-rect 285716 278724 285768 278730
-rect 285716 278666 285768 278672
-rect 285808 278724 285860 278730
-rect 285808 278666 285860 278672
-rect 285728 260930 285756 278666
-rect 285636 260902 285756 260930
-rect 285636 260846 285664 260902
-rect 285624 260840 285676 260846
-rect 285624 260782 285676 260788
-rect 285716 251252 285768 251258
-rect 285716 251194 285768 251200
-rect 285728 246378 285756 251194
-rect 285728 246350 285940 246378
-rect 285912 244202 285940 246350
-rect 285820 244174 285940 244202
-rect 285820 231878 285848 244174
-rect 285716 231872 285768 231878
-rect 285716 231814 285768 231820
-rect 285808 231872 285860 231878
-rect 285808 231814 285860 231820
-rect 285728 225010 285756 231814
-rect 285532 225004 285584 225010
-rect 285532 224946 285584 224952
-rect 285716 225004 285768 225010
-rect 285716 224946 285768 224952
-rect 285544 224890 285572 224946
-rect 285544 224862 285664 224890
-rect 285636 215370 285664 224862
-rect 285636 215342 285756 215370
-rect 285728 201550 285756 215342
-rect 285624 201544 285676 201550
-rect 285624 201486 285676 201492
-rect 285716 201544 285768 201550
-rect 285716 201486 285768 201492
-rect 285636 196058 285664 201486
-rect 285544 196030 285664 196058
-rect 285544 186386 285572 196030
-rect 285532 186380 285584 186386
-rect 285532 186322 285584 186328
-rect 285624 186244 285676 186250
-rect 285624 186186 285676 186192
-rect 285636 182170 285664 186186
-rect 285624 182164 285676 182170
-rect 285624 182106 285676 182112
-rect 285716 182164 285768 182170
-rect 285716 182106 285768 182112
-rect 285728 166870 285756 182106
-rect 285716 166864 285768 166870
-rect 285716 166806 285768 166812
-rect 285716 166728 285768 166734
-rect 285716 166670 285768 166676
-rect 285624 164416 285676 164422
-rect 285624 164358 285676 164364
-rect 285636 164257 285664 164358
-rect 285622 164248 285678 164257
-rect 285622 164183 285678 164192
-rect 285728 147694 285756 166670
-rect 285532 147688 285584 147694
-rect 285716 147688 285768 147694
-rect 285584 147636 285664 147642
-rect 285532 147630 285664 147636
-rect 285716 147630 285768 147636
-rect 285544 147614 285664 147630
-rect 285636 144906 285664 147614
-rect 285624 144900 285676 144906
-rect 285624 144842 285676 144848
-rect 285624 137964 285676 137970
-rect 285624 137906 285676 137912
-rect 285440 135584 285492 135590
-rect 285440 135526 285492 135532
-rect 285532 135312 285584 135318
-rect 285268 128438 285388 128466
-rect 285452 135260 285532 135266
-rect 285452 135254 285584 135260
-rect 285636 135266 285664 137906
-rect 285452 135238 285572 135254
-rect 285636 135238 285756 135266
-rect 285268 111858 285296 128438
-rect 285256 111852 285308 111858
-rect 285256 111794 285308 111800
-rect 285348 111852 285400 111858
-rect 285348 111794 285400 111800
-rect 285360 102406 285388 111794
-rect 285348 102400 285400 102406
-rect 285348 102342 285400 102348
-rect 285256 102196 285308 102202
-rect 285256 102138 285308 102144
-rect 285268 93906 285296 102138
-rect 285256 93900 285308 93906
-rect 285256 93842 285308 93848
-rect 285348 93900 285400 93906
-rect 285348 93842 285400 93848
-rect 285360 84266 285388 93842
-rect 285268 84238 285388 84266
-rect 285268 82822 285296 84238
-rect 285256 82816 285308 82822
-rect 285256 82758 285308 82764
-rect 285256 77988 285308 77994
-rect 285256 77930 285308 77936
-rect 285268 64870 285296 77930
-rect 285256 64864 285308 64870
-rect 285256 64806 285308 64812
-rect 285256 56500 285308 56506
-rect 285256 56442 285308 56448
-rect 285268 46918 285296 56442
-rect 285256 46912 285308 46918
-rect 285256 46854 285308 46860
-rect 285256 29028 285308 29034
-rect 285256 28970 285308 28976
-rect 285164 28960 285216 28966
-rect 285070 28928 285126 28937
-rect 285268 28937 285296 28970
-rect 285164 28902 285216 28908
-rect 285254 28928 285310 28937
-rect 285070 28863 285126 28872
-rect 285254 28863 285310 28872
-rect 285084 19446 285112 28863
-rect 285072 19440 285124 19446
-rect 285072 19382 285124 19388
-rect 285164 19372 285216 19378
-rect 285164 19314 285216 19320
-rect 285348 19372 285400 19378
-rect 285348 19314 285400 19320
-rect 285070 19272 285126 19281
-rect 285070 19207 285126 19216
-rect 285084 9722 285112 19207
-rect 285072 9716 285124 9722
-rect 285072 9658 285124 9664
-rect 284980 4072 285032 4078
-rect 284980 4014 285032 4020
-rect 284888 4004 284940 4010
-rect 284888 3946 284940 3952
-rect 285176 3670 285204 19314
-rect 285360 19281 285388 19314
-rect 285346 19272 285402 19281
-rect 285346 19207 285402 19216
-rect 285256 9716 285308 9722
-rect 285256 9658 285308 9664
-rect 285268 3942 285296 9658
-rect 285452 4146 285480 135238
-rect 285728 124114 285756 135238
-rect 285636 124086 285756 124114
-rect 285636 120086 285664 124086
-rect 285624 120080 285676 120086
-rect 285624 120022 285676 120028
-rect 285624 111308 285676 111314
-rect 285624 111250 285676 111256
-rect 285636 106978 285664 111250
-rect 285636 106950 285756 106978
-rect 285728 66450 285756 106950
-rect 285728 66422 285848 66450
-rect 285820 66298 285848 66422
-rect 285624 66292 285676 66298
-rect 285624 66234 285676 66240
-rect 285808 66292 285860 66298
-rect 285808 66234 285860 66240
-rect 285636 60874 285664 66234
-rect 285636 60846 285756 60874
-rect 285728 60058 285756 60846
-rect 285636 60030 285756 60058
-rect 285636 42090 285664 60030
-rect 285624 42084 285676 42090
-rect 285624 42026 285676 42032
-rect 285808 29028 285860 29034
-rect 285808 28970 285860 28976
-rect 285820 24206 285848 28970
-rect 285808 24200 285860 24206
-rect 285808 24142 285860 24148
-rect 285716 19372 285768 19378
-rect 285716 19314 285768 19320
-rect 285728 12458 285756 19314
-rect 285544 12430 285756 12458
-rect 285440 4140 285492 4146
-rect 285440 4082 285492 4088
-rect 285256 3936 285308 3942
-rect 285256 3878 285308 3884
-rect 285164 3664 285216 3670
-rect 285164 3606 285216 3612
-rect 285256 3664 285308 3670
-rect 285256 3606 285308 3612
-rect 285268 480 285296 3606
-rect 285544 3126 285572 12430
-rect 285532 3120 285584 3126
-rect 285532 3062 285584 3068
-rect 286372 3058 286400 340054
-rect 286556 337142 286584 340068
-rect 286648 340054 286846 340082
-rect 286924 340054 287030 340082
-rect 286544 337136 286596 337142
-rect 286544 337078 286596 337084
-rect 286452 4004 286504 4010
-rect 286452 3946 286504 3952
-rect 286360 3052 286412 3058
-rect 286360 2994 286412 3000
-rect 286464 480 286492 3946
-rect 286648 2990 286676 340054
-rect 286924 333010 286952 340054
-rect 287292 337210 287320 340068
-rect 287280 337204 287332 337210
-rect 287280 337146 287332 337152
-rect 287568 336870 287596 340068
-rect 287766 340054 287964 340082
-rect 287096 336864 287148 336870
-rect 287096 336806 287148 336812
-rect 287556 336864 287608 336870
-rect 287556 336806 287608 336812
-rect 286740 332982 286952 333010
-rect 286740 3398 286768 332982
-rect 287108 332874 287136 336806
-rect 287936 335594 287964 340054
-rect 288028 337006 288056 340068
-rect 288212 340054 288318 340082
-rect 288396 340054 288502 340082
-rect 288016 337000 288068 337006
-rect 288016 336942 288068 336948
-rect 287936 335566 288148 335594
-rect 286832 332846 287136 332874
-rect 286728 3392 286780 3398
-rect 286728 3334 286780 3340
-rect 286832 3194 286860 332846
-rect 287924 332036 287976 332042
-rect 287924 331978 287976 331984
-rect 287648 4140 287700 4146
-rect 287648 4082 287700 4088
-rect 286820 3188 286872 3194
-rect 286820 3130 286872 3136
-rect 286636 2984 286688 2990
-rect 286636 2926 286688 2932
-rect 287660 480 287688 4082
-rect 287936 3602 287964 331978
-rect 287924 3596 287976 3602
-rect 287924 3538 287976 3544
-rect 288120 3466 288148 335566
-rect 288108 3460 288160 3466
-rect 288108 3402 288160 3408
-rect 288212 2854 288240 340054
-rect 288396 332042 288424 340054
-rect 288384 332036 288436 332042
-rect 288384 331978 288436 331984
-rect 288764 331158 288792 340068
-rect 288844 336864 288896 336870
-rect 288844 336806 288896 336812
-rect 288752 331152 288804 331158
-rect 288752 331094 288804 331100
-rect 288856 4282 288884 336806
-rect 289040 335510 289068 340068
-rect 289132 340054 289238 340082
-rect 289028 335504 289080 335510
-rect 289028 335446 289080 335452
-rect 288844 4276 288896 4282
-rect 288844 4218 288896 4224
-rect 288844 4140 288896 4146
-rect 288844 4082 288896 4088
-rect 288200 2848 288252 2854
-rect 288200 2790 288252 2796
-rect 288856 480 288884 4082
-rect 289132 4010 289160 340054
-rect 289500 336870 289528 340068
-rect 289592 340054 289790 340082
-rect 289868 340054 289974 340082
-rect 289488 336864 289540 336870
-rect 289488 336806 289540 336812
-rect 289592 335730 289620 340054
-rect 289408 335702 289620 335730
-rect 289408 4146 289436 335702
-rect 289868 335594 289896 340054
-rect 290236 336818 290264 340068
-rect 290512 337006 290540 340068
-rect 290500 337000 290552 337006
-rect 290500 336942 290552 336948
-rect 290696 336870 290724 340068
-rect 290972 336938 291000 340068
-rect 291156 337074 291184 340068
-rect 291432 337142 291460 340068
-rect 291420 337136 291472 337142
-rect 291420 337078 291472 337084
-rect 291144 337068 291196 337074
-rect 291144 337010 291196 337016
-rect 291512 337000 291564 337006
-rect 291512 336942 291564 336948
-rect 290960 336932 291012 336938
-rect 290960 336874 291012 336880
-rect 290684 336864 290736 336870
-rect 290236 336790 290632 336818
-rect 290684 336806 290736 336812
-rect 291420 336864 291472 336870
-rect 291420 336806 291472 336812
-rect 289592 335566 289896 335594
-rect 289592 4146 289620 335566
-rect 289672 335504 289724 335510
-rect 289672 335446 289724 335452
-rect 289396 4140 289448 4146
-rect 289396 4082 289448 4088
-rect 289580 4140 289632 4146
-rect 289580 4082 289632 4088
-rect 289120 4004 289172 4010
-rect 289120 3946 289172 3952
-rect 289684 3670 289712 335446
-rect 290316 320272 290368 320278
-rect 290314 320240 290316 320249
-rect 290368 320240 290370 320249
-rect 290314 320175 290370 320184
-rect 289946 241768 290002 241777
-rect 290002 241726 290080 241754
-rect 289946 241703 290002 241712
-rect 290052 241641 290080 241726
-rect 290316 241664 290368 241670
-rect 290038 241632 290094 241641
-rect 290038 241567 290094 241576
-rect 290314 241632 290316 241641
-rect 290368 241632 290370 241641
-rect 290314 241567 290370 241576
-rect 290316 132864 290368 132870
-rect 290314 132832 290316 132841
-rect 290368 132832 290370 132841
-rect 290314 132767 290370 132776
-rect 290222 101144 290278 101153
-rect 290406 101144 290462 101153
-rect 290278 101102 290406 101130
-rect 290222 101079 290278 101088
-rect 290406 101079 290462 101088
-rect 290040 4140 290092 4146
-rect 290040 4082 290092 4088
-rect 289672 3664 289724 3670
-rect 289672 3606 289724 3612
-rect 290052 480 290080 4082
-rect 290604 610 290632 336790
-rect 291432 3466 291460 336806
-rect 291524 4146 291552 336942
-rect 291708 336938 291736 340068
-rect 291892 337006 291920 340068
-rect 292182 340054 292380 340082
-rect 292248 337068 292300 337074
-rect 292248 337010 292300 337016
-rect 291880 337000 291932 337006
-rect 291880 336942 291932 336948
-rect 291604 336932 291656 336938
-rect 291604 336874 291656 336880
-rect 291696 336932 291748 336938
-rect 291696 336874 291748 336880
-rect 291512 4140 291564 4146
-rect 291512 4082 291564 4088
-rect 291420 3460 291472 3466
-rect 291420 3402 291472 3408
-rect 291616 2990 291644 336874
-rect 292260 335458 292288 337010
-rect 292352 335594 292380 340054
-rect 292444 336870 292472 340068
-rect 292628 338026 292656 340068
-rect 292616 338020 292668 338026
-rect 292616 337962 292668 337968
-rect 292904 337686 292932 340068
-rect 292892 337680 292944 337686
-rect 292892 337622 292944 337628
-rect 293180 337210 293208 340068
-rect 293364 337754 293392 340068
-rect 293352 337748 293404 337754
-rect 293352 337690 293404 337696
-rect 293640 337618 293668 340068
-rect 293812 338020 293864 338026
-rect 293812 337962 293864 337968
-rect 293720 337680 293772 337686
-rect 293720 337622 293772 337628
-rect 293628 337612 293680 337618
-rect 293628 337554 293680 337560
-rect 293168 337204 293220 337210
-rect 293168 337146 293220 337152
-rect 292892 337000 292944 337006
-rect 292892 336942 292944 336948
-rect 292800 336932 292852 336938
-rect 292800 336874 292852 336880
-rect 292432 336864 292484 336870
-rect 292432 336806 292484 336812
-rect 292352 335566 292748 335594
-rect 292260 335430 292380 335458
-rect 292352 3126 292380 335430
-rect 292432 4140 292484 4146
-rect 292432 4082 292484 4088
-rect 292340 3120 292392 3126
-rect 292340 3062 292392 3068
-rect 291604 2984 291656 2990
-rect 291604 2926 291656 2932
-rect 290592 604 290644 610
-rect 290592 546 290644 552
-rect 291236 604 291288 610
-rect 291236 546 291288 552
-rect 291248 480 291276 546
-rect 292444 480 292472 4082
-rect 292720 3534 292748 335566
-rect 292812 3942 292840 336874
-rect 292800 3936 292852 3942
-rect 292800 3878 292852 3884
-rect 292904 3738 292932 336942
-rect 292984 336864 293036 336870
-rect 292984 336806 293036 336812
-rect 292892 3732 292944 3738
-rect 292892 3674 292944 3680
-rect 292708 3528 292760 3534
-rect 292708 3470 292760 3476
-rect 292996 2922 293024 336806
-rect 293626 180024 293682 180033
-rect 293626 179959 293682 179968
-rect 293640 179761 293668 179959
-rect 293626 179752 293682 179761
-rect 293626 179687 293682 179696
-rect 293260 132864 293312 132870
-rect 293260 132806 293312 132812
-rect 293272 132705 293300 132806
-rect 293258 132696 293314 132705
-rect 293258 132631 293314 132640
-rect 293628 3460 293680 3466
-rect 293628 3402 293680 3408
-rect 292984 2916 293036 2922
-rect 292984 2858 293036 2864
-rect 293640 480 293668 3402
-rect 293732 3398 293760 337622
-rect 293720 3392 293772 3398
-rect 293720 3334 293772 3340
-rect 293824 2854 293852 337962
-rect 293916 337686 293944 340068
-rect 293904 337680 293956 337686
-rect 293904 337622 293956 337628
-rect 293904 337136 293956 337142
-rect 293904 337078 293956 337084
-rect 293916 4146 293944 337078
-rect 293904 4140 293956 4146
-rect 293904 4082 293956 4088
-rect 294100 3194 294128 340068
-rect 294284 340054 294390 340082
-rect 294180 337748 294232 337754
-rect 294180 337690 294232 337696
-rect 294088 3188 294140 3194
-rect 294088 3130 294140 3136
-rect 294192 3058 294220 337690
-rect 294284 4078 294312 340054
-rect 294364 337612 294416 337618
-rect 294364 337554 294416 337560
-rect 294272 4072 294324 4078
-rect 294272 4014 294324 4020
-rect 294376 3466 294404 337554
-rect 294652 337482 294680 340068
-rect 294836 337754 294864 340068
-rect 294824 337748 294876 337754
-rect 294824 337690 294876 337696
-rect 294640 337476 294692 337482
-rect 294640 337418 294692 337424
-rect 295112 337414 295140 340068
-rect 295388 337686 295416 340068
-rect 295586 340054 295692 340082
-rect 295192 337680 295244 337686
-rect 295192 337622 295244 337628
-rect 295376 337680 295428 337686
-rect 295376 337622 295428 337628
-rect 295560 337680 295612 337686
-rect 295560 337622 295612 337628
-rect 295100 337408 295152 337414
-rect 295100 337350 295152 337356
-rect 295100 337204 295152 337210
-rect 295100 337146 295152 337152
-rect 294638 320512 294694 320521
-rect 294638 320447 294694 320456
-rect 294652 320278 294680 320447
-rect 294640 320272 294692 320278
-rect 294640 320214 294692 320220
-rect 294822 241904 294878 241913
-rect 294822 241839 294878 241848
-rect 294836 241670 294864 241839
-rect 294824 241664 294876 241670
-rect 294824 241606 294876 241612
-rect 295112 4010 295140 337146
-rect 295204 181490 295232 337622
-rect 295192 181484 295244 181490
-rect 295192 181426 295244 181432
-rect 295572 11830 295600 337622
-rect 295560 11824 295612 11830
-rect 295560 11766 295612 11772
-rect 295664 5098 295692 340054
-rect 295744 337748 295796 337754
-rect 295744 337690 295796 337696
-rect 295652 5092 295704 5098
-rect 295652 5034 295704 5040
-rect 295100 4004 295152 4010
-rect 295100 3946 295152 3952
-rect 295756 3670 295784 337690
-rect 295848 337550 295876 340068
-rect 296124 337618 296152 340068
-rect 296308 337754 296336 340068
-rect 296296 337748 296348 337754
-rect 296296 337690 296348 337696
-rect 296584 337686 296612 340068
-rect 296874 340054 296980 340082
-rect 296756 337748 296808 337754
-rect 296756 337690 296808 337696
-rect 296848 337748 296900 337754
-rect 296848 337690 296900 337696
-rect 296572 337680 296624 337686
-rect 296572 337622 296624 337628
-rect 296112 337612 296164 337618
-rect 296112 337554 296164 337560
-rect 295836 337544 295888 337550
-rect 295836 337486 295888 337492
-rect 296768 5234 296796 337690
-rect 296756 5228 296808 5234
-rect 296756 5170 296808 5176
-rect 296860 5166 296888 337690
-rect 296848 5160 296900 5166
-rect 296848 5102 296900 5108
-rect 296952 4826 296980 340054
-rect 297044 337754 297072 340068
-rect 297320 338026 297348 340068
-rect 297308 338020 297360 338026
-rect 297308 337962 297360 337968
-rect 297596 337754 297624 340068
-rect 297032 337748 297084 337754
-rect 297032 337690 297084 337696
-rect 297584 337748 297636 337754
-rect 297584 337690 297636 337696
-rect 297780 337618 297808 340068
-rect 297032 337612 297084 337618
-rect 297032 337554 297084 337560
-rect 297768 337612 297820 337618
-rect 297768 337554 297820 337560
-rect 296940 4820 296992 4826
-rect 296940 4762 296992 4768
-rect 297044 4758 297072 337554
-rect 297124 337544 297176 337550
-rect 297124 337486 297176 337492
-rect 297032 4752 297084 4758
-rect 297032 4694 297084 4700
-rect 295744 3664 295796 3670
-rect 295744 3606 295796 3612
-rect 297136 3602 297164 337486
-rect 298056 337006 298084 340068
-rect 298346 340054 298452 340082
-rect 298228 337952 298280 337958
-rect 298228 337894 298280 337900
-rect 298044 337000 298096 337006
-rect 298044 336942 298096 336948
-rect 298240 4282 298268 337894
-rect 298320 337748 298372 337754
-rect 298424 337736 298452 340054
-rect 298516 337958 298544 340068
-rect 298504 337952 298556 337958
-rect 298504 337894 298556 337900
-rect 298792 337754 298820 340068
-rect 298780 337748 298832 337754
-rect 298424 337708 298544 337736
-rect 298320 337690 298372 337696
-rect 298228 4276 298280 4282
-rect 298228 4218 298280 4224
-rect 298332 4214 298360 337690
-rect 298412 337612 298464 337618
-rect 298412 337554 298464 337560
-rect 298424 4554 298452 337554
-rect 298412 4548 298464 4554
-rect 298412 4490 298464 4496
-rect 298516 4486 298544 337708
-rect 298780 337690 298832 337696
-rect 299068 337618 299096 340068
-rect 299252 337686 299280 340068
-rect 299542 340054 299648 340082
-rect 299516 337748 299568 337754
-rect 299516 337690 299568 337696
-rect 299240 337680 299292 337686
-rect 299240 337622 299292 337628
-rect 299056 337612 299108 337618
-rect 299056 337554 299108 337560
-rect 299528 322250 299556 337690
-rect 299516 322244 299568 322250
-rect 299516 322186 299568 322192
-rect 298594 38856 298650 38865
-rect 298594 38791 298596 38800
-rect 298648 38791 298650 38800
-rect 298596 38762 298648 38768
-rect 299620 18630 299648 340054
-rect 299712 340054 299818 340082
-rect 299608 18624 299660 18630
-rect 299608 18566 299660 18572
-rect 299712 4622 299740 340054
-rect 299988 337686 300016 340068
-rect 300264 337958 300292 340068
-rect 300252 337952 300304 337958
-rect 300252 337894 300304 337900
-rect 299884 337680 299936 337686
-rect 299884 337622 299936 337628
-rect 299976 337680 300028 337686
-rect 299976 337622 300028 337628
-rect 299792 337612 299844 337618
-rect 299792 337554 299844 337560
-rect 299804 5302 299832 337554
-rect 299792 5296 299844 5302
-rect 299792 5238 299844 5244
-rect 299896 4690 299924 337622
-rect 300540 337074 300568 340068
-rect 300724 337754 300752 340068
-rect 300908 340054 301014 340082
-rect 301092 340054 301290 340082
-rect 300712 337748 300764 337754
-rect 300712 337690 300764 337696
-rect 300528 337068 300580 337074
-rect 300528 337010 300580 337016
-rect 300908 265674 300936 340054
-rect 300988 337068 301040 337074
-rect 300988 337010 301040 337016
-rect 300896 265668 300948 265674
-rect 300896 265610 300948 265616
-rect 300434 226672 300490 226681
-rect 300434 226607 300436 226616
-rect 300488 226607 300490 226616
-rect 300436 226578 300488 226584
-rect 301000 5030 301028 337010
-rect 301092 5370 301120 340054
-rect 301172 337748 301224 337754
-rect 301172 337690 301224 337696
-rect 301080 5364 301132 5370
-rect 301080 5306 301132 5312
-rect 300988 5024 301040 5030
-rect 300988 4966 301040 4972
-rect 301184 4826 301212 337690
-rect 301264 337680 301316 337686
-rect 301264 337622 301316 337628
-rect 301276 5166 301304 337622
-rect 301460 337618 301488 340068
-rect 301448 337612 301500 337618
-rect 301448 337554 301500 337560
-rect 301736 337210 301764 340068
-rect 302012 337686 302040 340068
-rect 302196 337754 302224 340068
-rect 302380 340054 302486 340082
-rect 302184 337748 302236 337754
-rect 302184 337690 302236 337696
-rect 302000 337680 302052 337686
-rect 302000 337622 302052 337628
-rect 301724 337204 301776 337210
-rect 301724 337146 301776 337152
-rect 302380 253230 302408 340054
-rect 302460 337748 302512 337754
-rect 302460 337690 302512 337696
-rect 302368 253224 302420 253230
-rect 302368 253166 302420 253172
-rect 302472 6254 302500 337690
-rect 302552 337680 302604 337686
-rect 302552 337622 302604 337628
-rect 302460 6248 302512 6254
-rect 302460 6190 302512 6196
-rect 301264 5160 301316 5166
-rect 301264 5102 301316 5108
-rect 302564 4865 302592 337622
-rect 302644 337612 302696 337618
-rect 302644 337554 302696 337560
-rect 302656 5098 302684 337554
-rect 302748 336326 302776 340068
-rect 302932 337754 302960 340068
-rect 303116 340054 303222 340082
-rect 303498 340054 303604 340082
-rect 303682 340054 303788 340082
-rect 302920 337748 302972 337754
-rect 302920 337690 302972 337696
-rect 302736 336320 302788 336326
-rect 302736 336262 302788 336268
-rect 303116 333402 303144 340054
-rect 303472 337952 303524 337958
-rect 303472 337894 303524 337900
-rect 303380 337544 303432 337550
-rect 303380 337486 303432 337492
-rect 303104 333396 303156 333402
-rect 303104 333338 303156 333344
-rect 303392 14482 303420 337486
-rect 303484 294642 303512 337894
-rect 303576 330682 303604 340054
-rect 303564 330676 303616 330682
-rect 303564 330618 303616 330624
-rect 303472 294636 303524 294642
-rect 303472 294578 303524 294584
-rect 303380 14476 303432 14482
-rect 303380 14418 303432 14424
-rect 303760 9042 303788 340054
-rect 303944 338094 303972 340068
-rect 303932 338088 303984 338094
-rect 303932 338030 303984 338036
-rect 303932 337748 303984 337754
-rect 303932 337690 303984 337696
-rect 303748 9036 303800 9042
-rect 303748 8978 303800 8984
-rect 303944 8974 303972 337690
-rect 304220 336122 304248 340068
-rect 304404 337686 304432 340068
-rect 304680 337754 304708 340068
-rect 304970 340054 305076 340082
-rect 304760 338020 304812 338026
-rect 304760 337962 304812 337968
-rect 304668 337748 304720 337754
-rect 304668 337690 304720 337696
-rect 304392 337680 304444 337686
-rect 304392 337622 304444 337628
-rect 304208 336116 304260 336122
-rect 304208 336058 304260 336064
-rect 304772 11762 304800 337962
-rect 304944 337952 304996 337958
-rect 304944 337894 304996 337900
-rect 304956 335322 304984 337894
-rect 305048 337736 305076 340054
-rect 305140 337958 305168 340068
-rect 305416 338026 305444 340068
-rect 305404 338020 305456 338026
-rect 305404 337962 305456 337968
-rect 305128 337952 305180 337958
-rect 305128 337894 305180 337900
-rect 305220 337748 305272 337754
-rect 305048 337708 305168 337736
-rect 304956 335294 305076 335322
-rect 305048 321586 305076 335294
-rect 305140 327894 305168 337708
-rect 305220 337690 305272 337696
-rect 305128 327888 305180 327894
-rect 305128 327830 305180 327836
-rect 305048 321558 305168 321586
-rect 305140 302274 305168 321558
-rect 305232 314022 305260 337690
-rect 305312 337680 305364 337686
-rect 305312 337622 305364 337628
-rect 305220 314016 305272 314022
-rect 305220 313958 305272 313964
-rect 305048 302246 305168 302274
-rect 305048 302138 305076 302246
-rect 305048 302110 305168 302138
-rect 305140 282962 305168 302110
-rect 305048 282934 305168 282962
-rect 305048 282826 305076 282934
-rect 305048 282798 305168 282826
-rect 305140 263650 305168 282798
-rect 305048 263622 305168 263650
-rect 305048 259418 305076 263622
-rect 305036 259412 305088 259418
-rect 305036 259354 305088 259360
-rect 305128 249824 305180 249830
-rect 305128 249766 305180 249772
-rect 305140 230489 305168 249766
-rect 304942 230480 304998 230489
-rect 304942 230415 304998 230424
-rect 305126 230480 305182 230489
-rect 305126 230415 305182 230424
-rect 304956 225010 304984 230415
-rect 304944 225004 304996 225010
-rect 304944 224946 304996 224952
-rect 304944 224868 304996 224874
-rect 304944 224810 304996 224816
-rect 304956 207754 304984 224810
-rect 304956 207726 305168 207754
-rect 305140 205578 305168 207726
-rect 305048 205550 305168 205578
-rect 305048 196058 305076 205550
-rect 305048 196030 305260 196058
-rect 305232 186386 305260 196030
-rect 305036 186380 305088 186386
-rect 305036 186322 305088 186328
-rect 305220 186380 305272 186386
-rect 305220 186322 305272 186328
-rect 305048 186266 305076 186322
-rect 305048 186238 305168 186266
-rect 305140 178770 305168 186238
-rect 304852 178764 304904 178770
-rect 304852 178706 304904 178712
-rect 305128 178764 305180 178770
-rect 305128 178706 305180 178712
-rect 304864 173913 304892 178706
-rect 304850 173904 304906 173913
-rect 304850 173839 304906 173848
-rect 305126 173904 305182 173913
-rect 305126 173839 305182 173848
-rect 305140 164218 305168 173839
-rect 305128 164212 305180 164218
-rect 305128 164154 305180 164160
-rect 305128 157344 305180 157350
-rect 305128 157286 305180 157292
-rect 305140 154578 305168 157286
-rect 305140 154550 305260 154578
-rect 305232 147694 305260 154550
-rect 305036 147688 305088 147694
-rect 305036 147630 305088 147636
-rect 305220 147688 305272 147694
-rect 305220 147630 305272 147636
-rect 305048 138038 305076 147630
-rect 305036 138032 305088 138038
-rect 305036 137974 305088 137980
-rect 305128 137964 305180 137970
-rect 305128 137906 305180 137912
-rect 305140 125594 305168 137906
-rect 305128 125588 305180 125594
-rect 305128 125530 305180 125536
-rect 305128 118516 305180 118522
-rect 305128 118458 305180 118464
-rect 305140 115954 305168 118458
-rect 305140 115926 305260 115954
-rect 305232 109070 305260 115926
-rect 305036 109064 305088 109070
-rect 305036 109006 305088 109012
-rect 305220 109064 305272 109070
-rect 305220 109006 305272 109012
-rect 305048 104854 305076 109006
-rect 305036 104848 305088 104854
-rect 305036 104790 305088 104796
-rect 305128 99340 305180 99346
-rect 305128 99282 305180 99288
-rect 305140 86970 305168 99282
-rect 305128 86964 305180 86970
-rect 305128 86906 305180 86912
-rect 305128 79892 305180 79898
-rect 305128 79834 305180 79840
-rect 305140 77330 305168 79834
-rect 305140 77302 305260 77330
-rect 305232 53122 305260 77302
-rect 305140 53094 305260 53122
-rect 305140 41426 305168 53094
-rect 305140 41398 305260 41426
-rect 305232 29050 305260 41398
-rect 305140 29022 305260 29050
-rect 305140 27606 305168 29022
-rect 305128 27600 305180 27606
-rect 305128 27542 305180 27548
-rect 305128 18080 305180 18086
-rect 305128 18022 305180 18028
-rect 304760 11756 304812 11762
-rect 304760 11698 304812 11704
-rect 305140 9722 305168 18022
-rect 305036 9716 305088 9722
-rect 305036 9658 305088 9664
-rect 305128 9716 305180 9722
-rect 305128 9658 305180 9664
-rect 303932 8968 303984 8974
-rect 303932 8910 303984 8916
-rect 305048 8430 305076 9658
-rect 305036 8424 305088 8430
-rect 305036 8366 305088 8372
-rect 305324 8362 305352 337622
-rect 305600 334762 305628 340068
-rect 305876 337686 305904 340068
-rect 305864 337680 305916 337686
-rect 305864 337622 305916 337628
-rect 306152 337618 306180 340068
-rect 306350 340054 306548 340082
-rect 306416 337748 306468 337754
-rect 306416 337690 306468 337696
-rect 306140 337612 306192 337618
-rect 306140 337554 306192 337560
-rect 306140 337000 306192 337006
-rect 306140 336942 306192 336948
-rect 305588 334756 305640 334762
-rect 305588 334698 305640 334704
-rect 306152 17270 306180 336942
-rect 306140 17264 306192 17270
-rect 306140 17206 306192 17212
-rect 306428 8566 306456 337690
-rect 306520 325106 306548 340054
-rect 306612 337754 306640 340068
-rect 306600 337748 306652 337754
-rect 306600 337690 306652 337696
-rect 306692 337680 306744 337686
-rect 306692 337622 306744 337628
-rect 306600 337612 306652 337618
-rect 306600 337554 306652 337560
-rect 306508 325100 306560 325106
-rect 306508 325042 306560 325048
-rect 306612 311302 306640 337554
-rect 306600 311296 306652 311302
-rect 306600 311238 306652 311244
-rect 306416 8560 306468 8566
-rect 306416 8502 306468 8508
-rect 306704 8498 306732 337622
-rect 306888 337550 306916 340068
-rect 306876 337544 306928 337550
-rect 306876 337486 306928 337492
-rect 307072 332042 307100 340068
-rect 307348 337754 307376 340068
-rect 307336 337748 307388 337754
-rect 307336 337690 307388 337696
-rect 307624 336938 307652 340068
-rect 307612 336932 307664 336938
-rect 307612 336874 307664 336880
-rect 307060 332036 307112 332042
-rect 307060 331978 307112 331984
-rect 306692 8492 306744 8498
-rect 306692 8434 306744 8440
-rect 305312 8356 305364 8362
-rect 305312 8298 305364 8304
-rect 307808 6186 307836 340068
-rect 307980 337748 308032 337754
-rect 307980 337690 308032 337696
-rect 307888 336932 307940 336938
-rect 307888 336874 307940 336880
-rect 307900 297498 307928 336874
-rect 307888 297492 307940 297498
-rect 307888 297434 307940 297440
-rect 307888 96620 307940 96626
-rect 307888 96562 307940 96568
-rect 307900 87009 307928 96562
-rect 307886 87000 307942 87009
-rect 307886 86935 307942 86944
-rect 307992 8634 308020 337690
-rect 308084 8838 308112 340068
-rect 308360 337006 308388 340068
-rect 308544 337414 308572 340068
-rect 308834 340054 309032 340082
-rect 308900 338156 308952 338162
-rect 308900 338098 308952 338104
-rect 308532 337408 308584 337414
-rect 308532 337350 308584 337356
-rect 308348 337000 308400 337006
-rect 308348 336942 308400 336948
-rect 308912 335322 308940 338098
-rect 309004 337770 309032 340054
-rect 309096 337958 309124 340068
-rect 309294 340054 309492 340082
-rect 309084 337952 309136 337958
-rect 309084 337894 309136 337900
-rect 309004 337742 309308 337770
-rect 308912 335294 309032 335322
-rect 309004 335186 309032 335294
-rect 309004 335158 309216 335186
-rect 309188 311846 309216 335158
-rect 309176 311840 309228 311846
-rect 309176 311782 309228 311788
-rect 309176 309188 309228 309194
-rect 309176 309130 309228 309136
-rect 309188 299470 309216 309130
-rect 309176 299464 309228 299470
-rect 309176 299406 309228 299412
-rect 309176 289876 309228 289882
-rect 309176 289818 309228 289824
-rect 309188 280090 309216 289818
-rect 309176 280084 309228 280090
-rect 309176 280026 309228 280032
-rect 309176 270564 309228 270570
-rect 309176 270506 309228 270512
-rect 309188 259434 309216 270506
-rect 309004 259406 309216 259434
-rect 309004 253978 309032 259406
-rect 308992 253972 309044 253978
-rect 308992 253914 309044 253920
-rect 309084 253904 309136 253910
-rect 309084 253846 309136 253852
-rect 309096 241482 309124 253846
-rect 309096 241466 309216 241482
-rect 309096 241460 309228 241466
-rect 309096 241454 309176 241460
-rect 309176 241402 309228 241408
-rect 309176 234388 309228 234394
-rect 309176 234330 309228 234336
-rect 308164 226636 308216 226642
-rect 308164 226578 308216 226584
-rect 308176 226545 308204 226578
-rect 308162 226536 308218 226545
-rect 308162 226471 308218 226480
-rect 309188 224890 309216 234330
-rect 309096 224862 309216 224890
-rect 309096 205766 309124 224862
-rect 309084 205760 309136 205766
-rect 309084 205702 309136 205708
-rect 309084 205556 309136 205562
-rect 309084 205498 309136 205504
-rect 309096 196058 309124 205498
-rect 309096 196030 309216 196058
-rect 309188 193202 309216 196030
-rect 309096 193174 309216 193202
-rect 309096 186386 309124 193174
-rect 309084 186380 309136 186386
-rect 309084 186322 309136 186328
-rect 308992 183592 309044 183598
-rect 308992 183534 309044 183540
-rect 308256 181484 308308 181490
-rect 308256 181426 308308 181432
-rect 308268 96626 308296 181426
-rect 309004 173942 309032 183534
-rect 308992 173936 309044 173942
-rect 308992 173878 309044 173884
-rect 309084 173936 309136 173942
-rect 309084 173878 309136 173884
-rect 309096 169130 309124 173878
-rect 308912 169102 309124 169130
-rect 308912 166954 308940 169102
-rect 308912 166926 309032 166954
-rect 309004 164218 309032 166926
-rect 308992 164212 309044 164218
-rect 308992 164154 309044 164160
-rect 309084 164212 309136 164218
-rect 309084 164154 309136 164160
-rect 309096 157162 309124 164154
-rect 309096 157134 309216 157162
-rect 309188 151745 309216 157134
-rect 309174 151736 309230 151745
-rect 309174 151671 309230 151680
-rect 309174 142216 309230 142225
-rect 309096 142174 309174 142202
-rect 309096 140758 309124 142174
-rect 309174 142151 309230 142160
-rect 309084 140752 309136 140758
-rect 309084 140694 309136 140700
-rect 309084 134700 309136 134706
-rect 309084 134642 309136 134648
-rect 309096 128466 309124 134642
-rect 309004 128438 309124 128466
-rect 309004 125594 309032 128438
-rect 308992 125588 309044 125594
-rect 308992 125530 309044 125536
-rect 309176 119400 309228 119406
-rect 309176 119342 309228 119348
-rect 309188 106350 309216 119342
-rect 309176 106344 309228 106350
-rect 309176 106286 309228 106292
-rect 309084 106276 309136 106282
-rect 309084 106218 309136 106224
-rect 309096 103494 309124 106218
-rect 309084 103488 309136 103494
-rect 309084 103430 309136 103436
-rect 308256 96620 308308 96626
-rect 308256 96562 308308 96568
-rect 308900 93900 308952 93906
-rect 308900 93842 308952 93848
-rect 308912 87009 308940 93842
-rect 308162 87000 308218 87009
-rect 308898 87000 308954 87009
-rect 308218 86958 308296 86986
-rect 308162 86935 308218 86944
-rect 308164 38820 308216 38826
-rect 308164 38762 308216 38768
-rect 308176 38729 308204 38762
-rect 308162 38720 308218 38729
-rect 308162 38655 308218 38664
-rect 308268 19310 308296 86958
-rect 308898 86935 308954 86944
-rect 309082 87000 309138 87009
-rect 309082 86935 309138 86944
-rect 309096 80050 309124 86935
-rect 309004 80022 309124 80050
-rect 309004 70258 309032 80022
-rect 309004 70230 309124 70258
-rect 309096 66230 309124 70230
-rect 309084 66224 309136 66230
-rect 309084 66166 309136 66172
-rect 308900 56704 308952 56710
-rect 308900 56646 308952 56652
-rect 308912 48362 308940 56646
-rect 308912 48334 309032 48362
-rect 309004 46918 309032 48334
-rect 308992 46912 309044 46918
-rect 308992 46854 309044 46860
-rect 309176 46912 309228 46918
-rect 309176 46854 309228 46860
-rect 309188 29034 309216 46854
-rect 308992 29028 309044 29034
-rect 308992 28970 309044 28976
-rect 309176 29028 309228 29034
-rect 309176 28970 309228 28976
-rect 309004 22114 309032 28970
-rect 309004 22086 309216 22114
-rect 308256 19304 308308 19310
-rect 308256 19246 308308 19252
-rect 308256 9716 308308 9722
-rect 308256 9658 308308 9664
-rect 308072 8832 308124 8838
-rect 308072 8774 308124 8780
-rect 307980 8628 308032 8634
-rect 307980 8570 308032 8576
-rect 307796 6180 307848 6186
-rect 307796 6122 307848 6128
-rect 302736 5160 302788 5166
-rect 302736 5102 302788 5108
-rect 307520 5160 307572 5166
-rect 307520 5102 307572 5108
-rect 302644 5092 302696 5098
-rect 302644 5034 302696 5040
-rect 302550 4856 302606 4865
-rect 301172 4820 301224 4826
-rect 302550 4791 302606 4800
-rect 301172 4762 301224 4768
-rect 299884 4684 299936 4690
-rect 299884 4626 299936 4632
-rect 299700 4616 299752 4622
-rect 299700 4558 299752 4564
-rect 302550 4584 302606 4593
-rect 302550 4519 302552 4528
-rect 302604 4519 302606 4528
-rect 302552 4490 302604 4496
-rect 298504 4480 298556 4486
-rect 298504 4422 298556 4428
-rect 302458 4448 302514 4457
-rect 302458 4383 302514 4392
-rect 302472 4214 302500 4383
-rect 302644 4276 302696 4282
-rect 302644 4218 302696 4224
-rect 298320 4208 298372 4214
-rect 298320 4150 298372 4156
-rect 302460 4208 302512 4214
-rect 302460 4150 302512 4156
-rect 297216 4140 297268 4146
-rect 297216 4082 297268 4088
-rect 297124 3596 297176 3602
-rect 297124 3538 297176 3544
-rect 294364 3460 294416 3466
-rect 294364 3402 294416 3408
-rect 296020 3120 296072 3126
-rect 296020 3062 296072 3068
-rect 294180 3052 294232 3058
-rect 294180 2994 294232 3000
-rect 294824 2984 294876 2990
-rect 294824 2926 294876 2932
-rect 293812 2848 293864 2854
-rect 293812 2790 293864 2796
-rect 294836 480 294864 2926
-rect 296032 480 296060 3062
-rect 297228 480 297256 4082
-rect 302552 4072 302604 4078
-rect 302656 4060 302684 4218
-rect 302748 4078 302776 5102
-rect 307532 5030 307560 5102
-rect 307520 5024 307572 5030
-rect 302826 4992 302882 5001
-rect 307520 4966 307572 4972
-rect 302826 4927 302882 4936
-rect 302840 4554 302868 4927
-rect 303102 4584 303158 4593
-rect 302828 4548 302880 4554
-rect 303102 4519 303104 4528
-rect 302828 4490 302880 4496
-rect 303156 4519 303158 4528
-rect 303104 4490 303156 4496
-rect 303012 4480 303064 4486
-rect 303010 4448 303012 4457
-rect 303064 4448 303066 4457
-rect 303010 4383 303066 4392
-rect 305496 4140 305548 4146
-rect 305496 4082 305548 4088
-rect 302604 4032 302684 4060
-rect 302736 4072 302788 4078
-rect 302552 4014 302604 4020
-rect 302736 4014 302788 4020
-rect 304300 4004 304352 4010
-rect 304300 3946 304352 3952
-rect 298412 3936 298464 3942
-rect 298412 3878 298464 3884
-rect 298424 480 298452 3878
-rect 299608 3732 299660 3738
-rect 299608 3674 299660 3680
-rect 299620 480 299648 3674
-rect 300804 3528 300856 3534
-rect 300804 3470 300856 3476
-rect 300816 480 300844 3470
-rect 303104 3392 303156 3398
-rect 303104 3334 303156 3340
-rect 301908 2916 301960 2922
-rect 301908 2858 301960 2864
-rect 301920 480 301948 2858
-rect 303116 480 303144 3334
-rect 304312 480 304340 3946
-rect 305508 480 305536 4082
-rect 307888 3596 307940 3602
-rect 307888 3538 307940 3544
-rect 306692 2916 306744 2922
-rect 306692 2858 306744 2864
-rect 306704 480 306732 2858
-rect 307900 480 307928 3538
-rect 308268 2854 308296 9658
-rect 309188 9654 309216 22086
-rect 309176 9648 309228 9654
-rect 309176 9590 309228 9596
-rect 309280 8906 309308 337742
-rect 309360 337408 309412 337414
-rect 309360 337350 309412 337356
-rect 309268 8900 309320 8906
-rect 309268 8842 309320 8848
-rect 309372 6118 309400 337350
-rect 309360 6112 309412 6118
-rect 309360 6054 309412 6060
-rect 309464 5574 309492 340054
-rect 309556 338162 309584 340068
-rect 309544 338156 309596 338162
-rect 309544 338098 309596 338104
-rect 309544 337952 309596 337958
-rect 309544 337894 309596 337900
-rect 309452 5568 309504 5574
-rect 309452 5510 309504 5516
-rect 309556 3602 309584 337894
-rect 309832 337074 309860 340068
-rect 310016 337754 310044 340068
-rect 310004 337748 310056 337754
-rect 310004 337690 310056 337696
-rect 310292 337686 310320 340068
-rect 310280 337680 310332 337686
-rect 310280 337622 310332 337628
-rect 310568 337550 310596 340068
-rect 310648 337680 310700 337686
-rect 310648 337622 310700 337628
-rect 310556 337544 310608 337550
-rect 310556 337486 310608 337492
-rect 310280 337476 310332 337482
-rect 310280 337418 310332 337424
-rect 309820 337068 309872 337074
-rect 309820 337010 309872 337016
-rect 309634 242448 309690 242457
-rect 309634 242383 309690 242392
-rect 309648 241777 309676 242383
-rect 309634 241768 309690 241777
-rect 309634 241703 309690 241712
-rect 309634 227216 309690 227225
-rect 309634 227151 309690 227160
-rect 309648 226545 309676 227151
-rect 309634 226536 309690 226545
-rect 309634 226471 309690 226480
-rect 310292 8294 310320 337418
-rect 310660 9586 310688 337622
-rect 310648 9580 310700 9586
-rect 310648 9522 310700 9528
-rect 310280 8288 310332 8294
-rect 310280 8230 310332 8236
-rect 310752 6390 310780 340068
-rect 310832 337748 310884 337754
-rect 310832 337690 310884 337696
-rect 310740 6384 310792 6390
-rect 310740 6326 310792 6332
-rect 310844 6322 310872 337690
-rect 311028 337618 311056 340068
-rect 311016 337612 311068 337618
-rect 311016 337554 311068 337560
-rect 311304 337550 311332 340068
-rect 311488 337686 311516 340068
-rect 311672 340054 311778 340082
-rect 311476 337680 311528 337686
-rect 311476 337622 311528 337628
-rect 310924 337544 310976 337550
-rect 310924 337486 310976 337492
-rect 311292 337544 311344 337550
-rect 311292 337486 311344 337492
-rect 310832 6316 310884 6322
-rect 310832 6258 310884 6264
-rect 309544 3596 309596 3602
-rect 309544 3538 309596 3544
-rect 310936 3466 310964 337486
-rect 311672 335322 311700 340054
-rect 312040 337754 312068 340068
-rect 312028 337748 312080 337754
-rect 312028 337690 312080 337696
-rect 312120 337680 312172 337686
-rect 312120 337622 312172 337628
-rect 312028 337612 312080 337618
-rect 312028 337554 312080 337560
-rect 311672 335294 311792 335322
-rect 311764 335186 311792 335294
-rect 311764 335158 311976 335186
-rect 311948 319598 311976 335158
-rect 311936 319592 311988 319598
-rect 311936 319534 311988 319540
-rect 312040 9518 312068 337554
-rect 312028 9512 312080 9518
-rect 312028 9454 312080 9460
-rect 312132 5914 312160 337622
-rect 312224 5914 312252 340068
-rect 312304 337748 312356 337754
-rect 312304 337690 312356 337696
-rect 312120 5908 312172 5914
-rect 312120 5850 312172 5856
-rect 312212 5908 312264 5914
-rect 312212 5850 312264 5856
-rect 312316 5794 312344 337690
-rect 312500 336870 312528 340068
-rect 312776 337414 312804 340068
-rect 312974 340054 313172 340082
-rect 313250 340054 313448 340082
-rect 312764 337408 312816 337414
-rect 312764 337350 312816 337356
-rect 313040 337204 313092 337210
-rect 313040 337146 313092 337152
-rect 312488 336864 312540 336870
-rect 312488 336806 312540 336812
-rect 313052 21418 313080 337146
-rect 313144 335510 313172 340054
-rect 313316 336864 313368 336870
-rect 313316 336806 313368 336812
-rect 313132 335504 313184 335510
-rect 313132 335446 313184 335452
-rect 313328 323610 313356 336806
-rect 313316 323604 313368 323610
-rect 313316 323546 313368 323552
-rect 313420 312662 313448 340054
-rect 313512 336870 313540 340068
-rect 313604 340054 313710 340082
-rect 313500 336864 313552 336870
-rect 313500 336806 313552 336812
-rect 313604 335594 313632 340054
-rect 313684 337408 313736 337414
-rect 313684 337350 313736 337356
-rect 313696 337210 313724 337350
-rect 313684 337204 313736 337210
-rect 313684 337146 313736 337152
-rect 313972 336938 314000 340068
-rect 314248 337550 314276 340068
-rect 314236 337544 314288 337550
-rect 314236 337486 314288 337492
-rect 314144 337136 314196 337142
-rect 314144 337078 314196 337084
-rect 313960 336932 314012 336938
-rect 313960 336874 314012 336880
-rect 313684 336864 313736 336870
-rect 313684 336806 313736 336812
-rect 313512 335566 313632 335594
-rect 313408 312656 313460 312662
-rect 313408 312598 313460 312604
-rect 313040 21412 313092 21418
-rect 313040 21354 313092 21360
-rect 312672 8288 312724 8294
-rect 312672 8230 312724 8236
-rect 311948 5766 312344 5794
-rect 311476 3732 311528 3738
-rect 311476 3674 311528 3680
-rect 310280 3460 310332 3466
-rect 310280 3402 310332 3408
-rect 310924 3460 310976 3466
-rect 310924 3402 310976 3408
-rect 308256 2848 308308 2854
-rect 308256 2790 308308 2796
-rect 309084 604 309136 610
-rect 309084 546 309136 552
-rect 309096 480 309124 546
-rect 310292 480 310320 3402
-rect 311488 480 311516 3674
-rect 311948 2854 311976 5766
-rect 312028 5636 312080 5642
-rect 312028 5578 312080 5584
-rect 312040 5386 312068 5578
-rect 312040 5358 312344 5386
-rect 312212 5092 312264 5098
-rect 312212 5034 312264 5040
-rect 312224 5001 312252 5034
-rect 312210 4992 312266 5001
-rect 312210 4927 312266 4936
-rect 312316 4690 312344 5358
-rect 312580 5296 312632 5302
-rect 312578 5264 312580 5273
-rect 312632 5264 312634 5273
-rect 312578 5199 312634 5208
-rect 312304 4684 312356 4690
-rect 312304 4626 312356 4632
-rect 311936 2848 311988 2854
-rect 311936 2790 311988 2796
-rect 312684 480 312712 8230
-rect 313512 6866 313540 335566
-rect 313592 335504 313644 335510
-rect 313592 335446 313644 335452
-rect 313500 6860 313552 6866
-rect 313500 6802 313552 6808
-rect 313604 6458 313632 335446
-rect 313592 6452 313644 6458
-rect 313592 6394 313644 6400
-rect 313696 2922 313724 336806
-rect 313868 3664 313920 3670
-rect 313868 3606 313920 3612
-rect 313684 2916 313736 2922
-rect 313684 2858 313736 2864
-rect 313880 480 313908 3606
-rect 314156 2836 314184 337078
-rect 314432 336870 314460 340068
-rect 314722 340054 314920 340082
-rect 314998 340054 315104 340082
-rect 314788 336932 314840 336938
-rect 314788 336874 314840 336880
-rect 314420 336864 314472 336870
-rect 314420 336806 314472 336812
-rect 314800 318170 314828 336874
-rect 314788 318164 314840 318170
-rect 314788 318106 314840 318112
-rect 314892 286414 314920 340054
-rect 314972 336864 315024 336870
-rect 314972 336806 315024 336812
-rect 314880 286408 314932 286414
-rect 314880 286350 314932 286356
-rect 314984 6798 315012 336806
-rect 314972 6792 315024 6798
-rect 314972 6734 315024 6740
-rect 315076 2990 315104 340054
-rect 315168 337006 315196 340068
-rect 315156 337000 315208 337006
-rect 315156 336942 315208 336948
-rect 315444 336870 315472 340068
-rect 315720 337414 315748 340068
-rect 315708 337408 315760 337414
-rect 315708 337350 315760 337356
-rect 315904 336938 315932 340068
-rect 315892 336932 315944 336938
-rect 315892 336874 315944 336880
-rect 315432 336864 315484 336870
-rect 315432 336806 315484 336812
-rect 316076 336864 316128 336870
-rect 316076 336806 316128 336812
-rect 316088 315382 316116 336806
-rect 316076 315376 316128 315382
-rect 316076 315318 316128 315324
-rect 316180 283694 316208 340068
-rect 316352 337000 316404 337006
-rect 316352 336942 316404 336948
-rect 316260 336932 316312 336938
-rect 316260 336874 316312 336880
-rect 316168 283688 316220 283694
-rect 316168 283630 316220 283636
-rect 316168 11824 316220 11830
-rect 316168 11766 316220 11772
-rect 316180 6474 316208 11766
-rect 316272 6662 316300 336874
-rect 316364 6730 316392 336942
-rect 316352 6724 316404 6730
-rect 316352 6666 316404 6672
-rect 316260 6656 316312 6662
-rect 316260 6598 316312 6604
-rect 316180 6446 316300 6474
-rect 315064 2984 315116 2990
-rect 315064 2926 315116 2932
-rect 314156 2808 315104 2836
-rect 315076 480 315104 2808
-rect 316272 480 316300 6446
-rect 316456 3058 316484 340068
-rect 316640 337006 316668 340068
-rect 316628 337000 316680 337006
-rect 316628 336942 316680 336948
-rect 316916 336870 316944 340068
-rect 317192 336938 317220 340068
-rect 317180 336932 317232 336938
-rect 317180 336874 317232 336880
-rect 317376 336870 317404 340068
-rect 317560 340054 317666 340082
-rect 317942 340054 318048 340082
-rect 316904 336864 316956 336870
-rect 316904 336806 316956 336812
-rect 317272 336864 317324 336870
-rect 317272 336806 317324 336812
-rect 317364 336864 317416 336870
-rect 317364 336806 317416 336812
-rect 317284 335594 317312 336806
-rect 317284 335566 317496 335594
-rect 317468 316742 317496 335566
-rect 317456 316736 317508 316742
-rect 317456 316678 317508 316684
-rect 317560 10538 317588 340054
-rect 317640 337000 317692 337006
-rect 317640 336942 317692 336948
-rect 317548 10532 317600 10538
-rect 317548 10474 317600 10480
-rect 317652 7274 317680 336942
-rect 317824 336932 317876 336938
-rect 317824 336874 317876 336880
-rect 317732 336864 317784 336870
-rect 317732 336806 317784 336812
-rect 317640 7268 317692 7274
-rect 317640 7210 317692 7216
-rect 317744 6458 317772 336806
-rect 317732 6452 317784 6458
-rect 317732 6394 317784 6400
-rect 317454 5264 317510 5273
-rect 317454 5199 317510 5208
-rect 316444 3052 316496 3058
-rect 316444 2994 316496 3000
-rect 317468 480 317496 5199
-rect 317836 3126 317864 336874
-rect 318020 335510 318048 340054
-rect 318112 336870 318140 340068
-rect 318388 337074 318416 340068
-rect 318376 337068 318428 337074
-rect 318376 337010 318428 337016
-rect 318664 337006 318692 340068
-rect 318862 340054 319060 340082
-rect 318836 337068 318888 337074
-rect 318836 337010 318888 337016
-rect 318652 337000 318704 337006
-rect 318652 336942 318704 336948
-rect 318100 336864 318152 336870
-rect 318100 336806 318152 336812
-rect 318650 335744 318706 335753
-rect 318650 335679 318706 335688
-rect 318008 335504 318060 335510
-rect 318008 335446 318060 335452
-rect 318664 328438 318692 335679
-rect 318652 328432 318704 328438
-rect 318652 328374 318704 328380
-rect 318652 321428 318704 321434
-rect 318652 321370 318704 321376
-rect 318664 318866 318692 321370
-rect 318664 318838 318784 318866
-rect 318756 311982 318784 318838
-rect 318744 311976 318796 311982
-rect 318744 311918 318796 311924
-rect 318744 311840 318796 311846
-rect 318744 311782 318796 311788
-rect 318756 282962 318784 311782
-rect 318664 282934 318784 282962
-rect 318664 282826 318692 282934
-rect 318664 282798 318784 282826
-rect 318756 263650 318784 282798
-rect 318664 263622 318784 263650
-rect 318664 263514 318692 263622
-rect 318664 263486 318784 263514
-rect 318756 244338 318784 263486
-rect 318664 244310 318784 244338
-rect 318664 244202 318692 244310
-rect 318664 244174 318784 244202
-rect 318756 225026 318784 244174
-rect 318664 224998 318784 225026
-rect 318664 224890 318692 224998
-rect 318664 224862 318784 224890
-rect 318756 205714 318784 224862
-rect 318664 205686 318784 205714
-rect 318664 205578 318692 205686
-rect 318664 205550 318784 205578
-rect 318756 186402 318784 205550
-rect 318664 186374 318784 186402
-rect 318664 186266 318692 186374
-rect 318664 186238 318784 186266
-rect 318756 167090 318784 186238
-rect 318664 167062 318784 167090
-rect 318664 166954 318692 167062
-rect 318664 166926 318784 166954
-rect 318756 147778 318784 166926
-rect 318664 147750 318784 147778
-rect 318664 147642 318692 147750
-rect 318664 147614 318784 147642
-rect 318756 128466 318784 147614
-rect 318664 128438 318784 128466
-rect 318664 128330 318692 128438
-rect 318664 128302 318784 128330
-rect 318756 109154 318784 128302
-rect 318664 109126 318784 109154
-rect 318664 109018 318692 109126
-rect 318664 108990 318784 109018
-rect 318756 89842 318784 108990
-rect 318664 89814 318784 89842
-rect 318664 89706 318692 89814
-rect 318664 89678 318784 89706
-rect 318756 70394 318784 89678
-rect 318664 70366 318784 70394
-rect 318664 70258 318692 70366
-rect 318664 70230 318784 70258
-rect 318756 51082 318784 70230
-rect 318664 51054 318784 51082
-rect 318664 50946 318692 51054
-rect 318664 50918 318784 50946
-rect 318756 31770 318784 50918
-rect 318664 31742 318784 31770
-rect 318664 31634 318692 31742
-rect 318664 31606 318784 31634
-rect 318756 12458 318784 31606
-rect 318664 12430 318784 12458
-rect 318664 10470 318692 12430
-rect 318848 10606 318876 337010
-rect 318928 336864 318980 336870
-rect 318928 336806 318980 336812
-rect 318940 318714 318968 336806
-rect 319032 318753 319060 340054
-rect 319124 335753 319152 340068
-rect 319414 340054 319520 340082
-rect 319204 337000 319256 337006
-rect 319204 336942 319256 336948
-rect 319110 335744 319166 335753
-rect 319110 335679 319166 335688
-rect 319216 335594 319244 336942
-rect 319124 335566 319244 335594
-rect 319018 318744 319074 318753
-rect 318928 318708 318980 318714
-rect 319124 318714 319152 335566
-rect 319492 335510 319520 340054
-rect 319584 336938 319612 340068
-rect 319572 336932 319624 336938
-rect 319572 336874 319624 336880
-rect 319676 336870 319704 340190
-rect 320136 337006 320164 340068
-rect 320334 340054 320440 340082
-rect 320124 337000 320176 337006
-rect 320124 336942 320176 336948
-rect 320308 336932 320360 336938
-rect 320308 336874 320360 336880
-rect 319664 336864 319716 336870
-rect 320032 336864 320084 336870
-rect 319664 336806 319716 336812
-rect 319952 336824 320032 336852
-rect 319204 335504 319256 335510
-rect 319204 335446 319256 335452
-rect 319480 335504 319532 335510
-rect 319480 335446 319532 335452
-rect 319018 318679 319074 318688
-rect 319112 318708 319164 318714
-rect 318928 318650 318980 318656
-rect 319112 318650 319164 318656
-rect 319216 318646 319244 335446
-rect 319952 335306 319980 336824
-rect 320032 336806 320084 336812
-rect 320216 336864 320268 336870
-rect 320216 336806 320268 336812
-rect 319940 335300 319992 335306
-rect 319940 335242 319992 335248
-rect 319204 318640 319256 318646
-rect 319204 318582 319256 318588
-rect 319204 318504 319256 318510
-rect 318926 318472 318982 318481
-rect 319204 318446 319256 318452
-rect 318926 318407 318982 318416
-rect 318940 314242 318968 318407
-rect 318940 314214 319060 314242
-rect 318928 314084 318980 314090
-rect 318928 314026 318980 314032
-rect 318836 10600 318888 10606
-rect 318836 10542 318888 10548
-rect 318652 10464 318704 10470
-rect 318652 10406 318704 10412
-rect 318940 6497 318968 314026
-rect 318926 6488 318982 6497
-rect 318926 6423 318982 6432
-rect 318836 6384 318888 6390
-rect 318834 6352 318836 6361
-rect 318888 6352 318890 6361
-rect 319032 6322 319060 314214
-rect 319112 309868 319164 309874
-rect 319112 309810 319164 309816
-rect 318834 6287 318890 6296
-rect 319020 6316 319072 6322
-rect 319020 6258 319072 6264
-rect 318560 3528 318612 3534
-rect 318560 3470 318612 3476
-rect 317824 3120 317876 3126
-rect 317824 3062 317876 3068
-rect 318572 480 318600 3470
-rect 319124 3398 319152 309810
-rect 319112 3392 319164 3398
-rect 319112 3334 319164 3340
-rect 319216 3194 319244 318446
-rect 320124 317484 320176 317490
-rect 320124 317426 320176 317432
-rect 320136 307834 320164 317426
-rect 319940 307828 319992 307834
-rect 319940 307770 319992 307776
-rect 320124 307828 320176 307834
-rect 320124 307770 320176 307776
-rect 319952 298042 319980 307770
-rect 319940 298036 319992 298042
-rect 319940 297978 319992 297984
-rect 320124 292460 320176 292466
-rect 320124 292402 320176 292408
-rect 320136 282985 320164 292402
-rect 320122 282976 320178 282985
-rect 320122 282911 320178 282920
-rect 320030 278896 320086 278905
-rect 320030 278831 320086 278840
-rect 320044 273306 320072 278831
-rect 319952 273278 320072 273306
-rect 319952 272762 319980 273278
-rect 319952 272734 320164 272762
-rect 320136 267730 320164 272734
-rect 320044 267702 320164 267730
-rect 320044 263634 320072 267702
-rect 320032 263628 320084 263634
-rect 320032 263570 320084 263576
-rect 320032 258120 320084 258126
-rect 320032 258062 320084 258068
-rect 320044 251161 320072 258062
-rect 320030 251152 320086 251161
-rect 320030 251087 320086 251096
-rect 320030 241632 320086 241641
-rect 320030 241567 320086 241576
-rect 320044 235362 320072 241567
-rect 319952 235334 320072 235362
-rect 319952 234394 319980 235334
-rect 319940 234388 319992 234394
-rect 319940 234330 319992 234336
-rect 320124 234388 320176 234394
-rect 320124 234330 320176 234336
-rect 320136 230466 320164 234330
-rect 320044 230438 320164 230466
-rect 320044 225010 320072 230438
-rect 320032 225004 320084 225010
-rect 320032 224946 320084 224952
-rect 320032 220992 320084 220998
-rect 320032 220934 320084 220940
-rect 320044 216073 320072 220934
-rect 320030 216064 320086 216073
-rect 320030 215999 320086 216008
-rect 320030 206272 320086 206281
-rect 320030 206207 320086 206216
-rect 320044 200122 320072 206207
-rect 320032 200116 320084 200122
-rect 320032 200058 320084 200064
-rect 320032 195968 320084 195974
-rect 320032 195910 320084 195916
-rect 320044 190482 320072 195910
-rect 320044 190454 320164 190482
-rect 320136 186946 320164 190454
-rect 319952 186918 320164 186946
-rect 319952 186266 319980 186918
-rect 319952 186238 320072 186266
-rect 320044 177274 320072 186238
-rect 319756 177268 319808 177274
-rect 319756 177210 319808 177216
-rect 320032 177268 320084 177274
-rect 320032 177210 320084 177216
-rect 319768 172553 319796 177210
-rect 319754 172544 319810 172553
-rect 319754 172479 319810 172488
-rect 319938 172544 319994 172553
-rect 319938 172479 319994 172488
-rect 319952 168994 319980 172479
-rect 319952 168966 320072 168994
-rect 320044 164150 320072 168966
-rect 320032 164144 320084 164150
-rect 320032 164086 320084 164092
-rect 320124 164144 320176 164150
-rect 320124 164086 320176 164092
-rect 320136 158030 320164 164086
-rect 319848 158024 319900 158030
-rect 319848 157966 319900 157972
-rect 320124 158024 320176 158030
-rect 320124 157966 320176 157972
-rect 319860 153241 319888 157966
-rect 319846 153232 319902 153241
-rect 319846 153167 319902 153176
-rect 320030 153232 320086 153241
-rect 320030 153167 320086 153176
-rect 320044 145042 320072 153167
-rect 320032 145036 320084 145042
-rect 320032 144978 320084 144984
-rect 320124 145036 320176 145042
-rect 320124 144978 320176 144984
-rect 320136 143546 320164 144978
-rect 320124 143540 320176 143546
-rect 320124 143482 320176 143488
-rect 319940 133952 319992 133958
-rect 319940 133894 319992 133900
-rect 319952 114578 319980 133894
-rect 319940 114572 319992 114578
-rect 319940 114514 319992 114520
-rect 320124 114572 320176 114578
-rect 320124 114514 320176 114520
-rect 320136 109070 320164 114514
-rect 320124 109064 320176 109070
-rect 320124 109006 320176 109012
-rect 320124 104916 320176 104922
-rect 320124 104858 320176 104864
-rect 320136 103494 320164 104858
-rect 320124 103488 320176 103494
-rect 320124 103430 320176 103436
-rect 319940 93900 319992 93906
-rect 319940 93842 319992 93848
-rect 319952 85542 319980 93842
-rect 319940 85536 319992 85542
-rect 319940 85478 319992 85484
-rect 320124 75948 320176 75954
-rect 320124 75890 320176 75896
-rect 320136 66230 320164 75890
-rect 320124 66224 320176 66230
-rect 320124 66166 320176 66172
-rect 320124 56704 320176 56710
-rect 320124 56646 320176 56652
-rect 320136 56545 320164 56646
-rect 319846 56536 319902 56545
-rect 319846 56471 319902 56480
-rect 320122 56536 320178 56545
-rect 320122 56471 320178 56480
-rect 319860 47054 319888 56471
-rect 319848 47048 319900 47054
-rect 319848 46990 319900 46996
-rect 320032 47048 320084 47054
-rect 320032 46990 320084 46996
-rect 320044 46918 320072 46990
-rect 320032 46912 320084 46918
-rect 320032 46854 320084 46860
-rect 319940 37324 319992 37330
-rect 319940 37266 319992 37272
-rect 319952 24206 319980 37266
-rect 319940 24200 319992 24206
-rect 319940 24142 319992 24148
-rect 320124 24200 320176 24206
-rect 320124 24142 320176 24148
-rect 320136 9722 320164 24142
-rect 320228 9926 320256 336806
-rect 320320 38554 320348 336874
-rect 320308 38548 320360 38554
-rect 320308 38490 320360 38496
-rect 320308 31884 320360 31890
-rect 320308 31826 320360 31832
-rect 320216 9920 320268 9926
-rect 320216 9862 320268 9868
-rect 320124 9716 320176 9722
-rect 320124 9658 320176 9664
-rect 320320 7206 320348 31826
-rect 320308 7200 320360 7206
-rect 320308 7142 320360 7148
-rect 320412 6225 320440 340054
-rect 320492 337000 320544 337006
-rect 320492 336942 320544 336948
-rect 320504 335594 320532 336942
-rect 320596 336870 320624 340068
-rect 320780 337006 320808 340068
-rect 321056 337074 321084 340068
-rect 321044 337068 321096 337074
-rect 321044 337010 321096 337016
-rect 320768 337000 320820 337006
-rect 320768 336942 320820 336948
-rect 321332 336938 321360 340068
-rect 321320 336932 321372 336938
-rect 321320 336874 321372 336880
-rect 321516 336870 321544 340068
-rect 321700 340054 321806 340082
-rect 322082 340054 322188 340082
-rect 321596 337068 321648 337074
-rect 321596 337010 321648 337016
-rect 320584 336864 320636 336870
-rect 320584 336806 320636 336812
-rect 321504 336864 321556 336870
-rect 321504 336806 321556 336812
-rect 320504 335566 320624 335594
-rect 320492 335504 320544 335510
-rect 320492 335446 320544 335452
-rect 320398 6216 320454 6225
-rect 320398 6151 320454 6160
-rect 319756 4140 319808 4146
-rect 319756 4082 319808 4088
-rect 319204 3188 319256 3194
-rect 319204 3130 319256 3136
-rect 319768 480 319796 4082
-rect 320504 3942 320532 335446
-rect 320596 4146 320624 335566
-rect 321608 309874 321636 337010
-rect 321596 309868 321648 309874
-rect 321596 309810 321648 309816
-rect 321700 307154 321728 340054
-rect 321964 337000 322016 337006
-rect 321964 336942 322016 336948
-rect 321780 336932 321832 336938
-rect 321780 336874 321832 336880
-rect 321688 307148 321740 307154
-rect 321688 307090 321740 307096
-rect 321792 9994 321820 336874
-rect 321872 336864 321924 336870
-rect 321872 336806 321924 336812
-rect 321780 9988 321832 9994
-rect 321780 9930 321832 9936
-rect 321884 8378 321912 336806
-rect 321792 8350 321912 8378
-rect 320584 4140 320636 4146
-rect 320584 4082 320636 4088
-rect 320952 4072 321004 4078
-rect 320952 4014 321004 4020
-rect 320492 3936 320544 3942
-rect 320492 3878 320544 3884
-rect 320964 480 320992 4014
-rect 321792 3534 321820 8350
-rect 321976 8242 322004 336942
-rect 322160 335510 322188 340054
-rect 322252 336870 322280 340068
-rect 322240 336864 322292 336870
-rect 322240 336806 322292 336812
-rect 322148 335504 322200 335510
-rect 322148 335446 322200 335452
-rect 322528 329254 322556 340068
-rect 322804 336938 322832 340068
-rect 322792 336932 322844 336938
-rect 322792 336874 322844 336880
-rect 322988 336870 323016 340068
-rect 323080 340054 323278 340082
-rect 322884 336864 322936 336870
-rect 322884 336806 322936 336812
-rect 322976 336864 323028 336870
-rect 322976 336806 323028 336812
-rect 322516 329248 322568 329254
-rect 322516 329190 322568 329196
-rect 322056 14476 322108 14482
-rect 322056 14418 322108 14424
-rect 321884 8214 322004 8242
-rect 321884 4010 321912 8214
-rect 321962 6352 322018 6361
-rect 321962 6287 322018 6296
-rect 321976 6118 322004 6287
-rect 321964 6112 322016 6118
-rect 321964 6054 322016 6060
-rect 321964 4820 322016 4826
-rect 321964 4762 322016 4768
-rect 321976 4729 322004 4762
-rect 321962 4720 322018 4729
-rect 321962 4655 322018 4664
-rect 321872 4004 321924 4010
-rect 321872 3946 321924 3952
-rect 321780 3528 321832 3534
-rect 321780 3470 321832 3476
-rect 322068 626 322096 14418
-rect 322896 8106 322924 336806
-rect 323080 335594 323108 340054
-rect 323540 336938 323568 340068
-rect 323160 336932 323212 336938
-rect 323160 336874 323212 336880
-rect 323528 336932 323580 336938
-rect 323528 336874 323580 336880
-rect 322988 335566 323108 335594
-rect 322988 304366 323016 335566
-rect 323068 335504 323120 335510
-rect 323068 335446 323120 335452
-rect 322976 304360 323028 304366
-rect 322976 304302 323028 304308
-rect 323080 10742 323108 335446
-rect 323172 11014 323200 336874
-rect 323724 336870 323752 340068
-rect 323252 336864 323304 336870
-rect 323252 336806 323304 336812
-rect 323712 336864 323764 336870
-rect 323712 336806 323764 336812
-rect 323160 11008 323212 11014
-rect 323160 10950 323212 10956
-rect 323068 10736 323120 10742
-rect 323068 10678 323120 10684
-rect 322896 8078 323016 8106
-rect 322240 6928 322292 6934
-rect 322240 6870 322292 6876
-rect 322252 6361 322280 6870
-rect 322238 6352 322294 6361
-rect 322238 6287 322294 6296
-rect 322148 4820 322200 4826
-rect 322148 4762 322200 4768
-rect 322160 4729 322188 4762
-rect 322146 4720 322202 4729
-rect 322146 4655 322202 4664
-rect 322988 3942 323016 8078
-rect 322976 3936 323028 3942
-rect 322976 3878 323028 3884
-rect 323264 3670 323292 336806
-rect 324000 336054 324028 340068
-rect 324290 340054 324396 340082
-rect 324474 340054 324672 340082
-rect 324368 337056 324396 340054
-rect 324368 337028 324580 337056
-rect 324448 336932 324500 336938
-rect 324448 336874 324500 336880
-rect 324172 336864 324224 336870
-rect 324172 336806 324224 336812
-rect 324264 336864 324316 336870
-rect 324264 336806 324316 336812
-rect 323988 336048 324040 336054
-rect 323988 335990 324040 335996
-rect 324184 331242 324212 336806
-rect 324276 333010 324304 336806
-rect 324276 332982 324396 333010
-rect 324184 331214 324304 331242
-rect 323344 4208 323396 4214
-rect 323344 4150 323396 4156
-rect 323252 3664 323304 3670
-rect 323252 3606 323304 3612
-rect 322068 598 322188 626
-rect 322160 480 322188 598
-rect 323356 480 323384 4150
-rect 324276 3942 324304 331214
-rect 324368 301578 324396 332982
-rect 324356 301572 324408 301578
-rect 324356 301514 324408 301520
-rect 324460 10674 324488 336874
-rect 324448 10668 324500 10674
-rect 324448 10610 324500 10616
-rect 324552 10062 324580 337028
-rect 324540 10056 324592 10062
-rect 324540 9998 324592 10004
-rect 324540 4276 324592 4282
-rect 324540 4218 324592 4224
-rect 324264 3936 324316 3942
-rect 324264 3878 324316 3884
-rect 324552 480 324580 4218
-rect 324644 3738 324672 340054
-rect 324736 336870 324764 340068
-rect 325012 336870 325040 340068
-rect 325196 336938 325224 340068
-rect 325184 336932 325236 336938
-rect 325184 336874 325236 336880
-rect 324724 336864 324776 336870
-rect 324724 336806 324776 336812
-rect 325000 336864 325052 336870
-rect 325000 336806 325052 336812
-rect 325472 335322 325500 340068
-rect 325762 340054 325868 340082
-rect 325946 340054 326052 340082
-rect 325736 336864 325788 336870
-rect 325736 336806 325788 336812
-rect 325748 335458 325776 336806
-rect 325840 335594 325868 340054
-rect 325840 335566 325960 335594
-rect 325748 335430 325868 335458
-rect 325472 335294 325776 335322
-rect 325748 300218 325776 335294
-rect 325736 300212 325788 300218
-rect 325736 300154 325788 300160
-rect 324816 11756 324868 11762
-rect 324816 11698 324868 11704
-rect 324632 3732 324684 3738
-rect 324632 3674 324684 3680
-rect 324828 610 324856 11698
-rect 325840 10198 325868 335430
-rect 325828 10192 325880 10198
-rect 325828 10134 325880 10140
-rect 325932 10130 325960 335566
-rect 325920 10124 325972 10130
-rect 325920 10066 325972 10072
-rect 326024 4049 326052 340054
-rect 326104 336932 326156 336938
-rect 326104 336874 326156 336880
-rect 326010 4040 326066 4049
-rect 326010 3975 326066 3984
-rect 326116 3534 326144 336874
-rect 326208 336870 326236 340068
-rect 326484 337006 326512 340068
-rect 326668 337074 326696 340068
-rect 326656 337068 326708 337074
-rect 326656 337010 326708 337016
-rect 326472 337000 326524 337006
-rect 326472 336942 326524 336948
-rect 326944 336938 326972 340068
-rect 327128 340054 327234 340082
-rect 327418 340054 327524 340082
-rect 327694 340054 327892 340082
-rect 326932 336932 326984 336938
-rect 326932 336874 326984 336880
-rect 326196 336864 326248 336870
-rect 326196 336806 326248 336812
-rect 327024 336864 327076 336870
-rect 327024 336806 327076 336812
-rect 327036 326534 327064 336806
-rect 327024 326528 327076 326534
-rect 327024 326470 327076 326476
-rect 327128 10266 327156 340054
-rect 327392 337068 327444 337074
-rect 327392 337010 327444 337016
-rect 327208 337000 327260 337006
-rect 327208 336942 327260 336948
-rect 327220 10810 327248 336942
-rect 327300 336932 327352 336938
-rect 327300 336874 327352 336880
-rect 327208 10804 327260 10810
-rect 327208 10746 327260 10752
-rect 327116 10260 327168 10266
-rect 327116 10202 327168 10208
-rect 327312 7818 327340 336874
-rect 327300 7812 327352 7818
-rect 327300 7754 327352 7760
-rect 326932 4480 326984 4486
-rect 326932 4422 326984 4428
-rect 326104 3528 326156 3534
-rect 326104 3470 326156 3476
-rect 324816 604 324868 610
-rect 324816 546 324868 552
-rect 325736 604 325788 610
-rect 325736 546 325788 552
-rect 325748 480 325776 546
-rect 326944 480 326972 4422
-rect 327404 3913 327432 337010
-rect 327390 3904 327446 3913
-rect 327390 3839 327446 3848
-rect 327496 3777 327524 340054
-rect 327864 335578 327892 340054
-rect 327956 336870 327984 340068
-rect 328140 337006 328168 340068
-rect 328430 340054 328628 340082
-rect 328128 337000 328180 337006
-rect 328128 336942 328180 336948
-rect 327944 336864 327996 336870
-rect 327944 336806 327996 336812
-rect 328496 336864 328548 336870
-rect 328496 336806 328548 336812
-rect 328220 335776 328272 335782
-rect 328220 335718 328272 335724
-rect 327852 335572 327904 335578
-rect 327852 335514 327904 335520
-rect 328232 328438 328260 335718
-rect 328128 328432 328180 328438
-rect 328128 328374 328180 328380
-rect 328220 328432 328272 328438
-rect 328220 328374 328272 328380
-rect 328140 327078 328168 328374
-rect 328128 327072 328180 327078
-rect 328128 327014 328180 327020
-rect 328404 317484 328456 317490
-rect 328404 317426 328456 317432
-rect 328416 317370 328444 317426
-rect 328232 317342 328444 317370
-rect 328232 288561 328260 317342
-rect 328218 288552 328274 288561
-rect 328218 288487 328274 288496
-rect 328402 288552 328458 288561
-rect 328402 288487 328458 288496
-rect 328416 288402 328444 288487
-rect 328324 288374 328444 288402
-rect 328324 277386 328352 288374
-rect 328324 277358 328444 277386
-rect 328416 276010 328444 277358
-rect 328220 276004 328272 276010
-rect 328220 275946 328272 275952
-rect 328404 276004 328456 276010
-rect 328404 275946 328456 275952
-rect 328232 266393 328260 275946
-rect 328218 266384 328274 266393
-rect 328218 266319 328274 266328
-rect 328402 266384 328458 266393
-rect 328402 266319 328458 266328
-rect 328416 263702 328444 266319
-rect 328404 263696 328456 263702
-rect 328404 263638 328456 263644
-rect 328312 263560 328364 263566
-rect 328312 263502 328364 263508
-rect 328324 256698 328352 263502
-rect 328312 256692 328364 256698
-rect 328312 256634 328364 256640
-rect 328312 253904 328364 253910
-rect 328312 253846 328364 253852
-rect 328324 247058 328352 253846
-rect 328324 247030 328444 247058
-rect 328416 243506 328444 247030
-rect 328312 243500 328364 243506
-rect 328312 243442 328364 243448
-rect 328404 243500 328456 243506
-rect 328404 243442 328456 243448
-rect 328324 235958 328352 243442
-rect 328312 235952 328364 235958
-rect 328312 235894 328364 235900
-rect 328312 211200 328364 211206
-rect 328364 211148 328444 211154
-rect 328312 211142 328444 211148
-rect 328324 211126 328444 211142
-rect 328416 209778 328444 211126
-rect 328404 209772 328456 209778
-rect 328404 209714 328456 209720
-rect 328404 204944 328456 204950
-rect 328404 204886 328456 204892
-rect 328416 200054 328444 204886
-rect 328404 200048 328456 200054
-rect 328404 199990 328456 199996
-rect 328404 195764 328456 195770
-rect 328404 195706 328456 195712
-rect 328416 186946 328444 195706
-rect 328232 186918 328444 186946
-rect 328232 186266 328260 186918
-rect 328232 186238 328352 186266
-rect 328324 182170 328352 186238
-rect 328312 182164 328364 182170
-rect 328312 182106 328364 182112
-rect 328404 176588 328456 176594
-rect 328404 176530 328456 176536
-rect 328416 164234 328444 176530
-rect 328324 164206 328444 164234
-rect 328324 164098 328352 164206
-rect 328324 164070 328444 164098
-rect 328416 147744 328444 164070
-rect 328324 147716 328444 147744
-rect 328324 145042 328352 147716
-rect 328312 145036 328364 145042
-rect 328312 144978 328364 144984
-rect 328404 145036 328456 145042
-rect 328404 144978 328456 144984
-rect 328416 143546 328444 144978
-rect 328404 143540 328456 143546
-rect 328404 143482 328456 143488
-rect 328404 133952 328456 133958
-rect 328404 133894 328456 133900
-rect 328416 130234 328444 133894
-rect 328232 130206 328444 130234
-rect 328232 115977 328260 130206
-rect 328218 115968 328274 115977
-rect 328218 115903 328274 115912
-rect 328402 115968 328458 115977
-rect 328402 115903 328458 115912
-rect 328416 109070 328444 115903
-rect 328404 109064 328456 109070
-rect 328404 109006 328456 109012
-rect 328404 104916 328456 104922
-rect 328404 104858 328456 104864
-rect 328416 87122 328444 104858
-rect 328508 95169 328536 336806
-rect 328494 95160 328550 95169
-rect 328600 95130 328628 340054
-rect 328692 335782 328720 340068
-rect 328772 337000 328824 337006
-rect 328772 336942 328824 336948
-rect 328680 335776 328732 335782
-rect 328680 335718 328732 335724
-rect 328680 335572 328732 335578
-rect 328680 335514 328732 335520
-rect 328494 95095 328550 95104
-rect 328588 95124 328640 95130
-rect 328588 95066 328640 95072
-rect 328494 95024 328550 95033
-rect 328494 94959 328550 94968
-rect 328588 94988 328640 94994
-rect 328232 87094 328444 87122
-rect 328232 77353 328260 87094
-rect 328218 77344 328274 77353
-rect 328218 77279 328274 77288
-rect 328402 77344 328458 77353
-rect 328402 77279 328458 77288
-rect 328416 58177 328444 77279
-rect 328402 58168 328458 58177
-rect 328402 58103 328458 58112
-rect 328402 58032 328458 58041
-rect 328402 57967 328458 57976
-rect 328416 46918 328444 57967
-rect 328404 46912 328456 46918
-rect 328404 46854 328456 46860
-rect 328220 37324 328272 37330
-rect 328220 37266 328272 37272
-rect 328232 19258 328260 37266
-rect 328232 19230 328444 19258
-rect 328416 11014 328444 19230
-rect 328404 11008 328456 11014
-rect 328404 10950 328456 10956
-rect 328508 10742 328536 94959
-rect 328588 94930 328640 94936
-rect 328404 10736 328456 10742
-rect 328404 10678 328456 10684
-rect 328496 10736 328548 10742
-rect 328496 10678 328548 10684
-rect 328416 10033 328444 10678
-rect 328402 10024 328458 10033
-rect 328402 9959 328458 9968
-rect 328600 6934 328628 94930
-rect 328692 7750 328720 335514
-rect 328680 7744 328732 7750
-rect 328680 7686 328732 7692
-rect 328588 6928 328640 6934
-rect 328588 6870 328640 6876
-rect 328678 6488 328734 6497
-rect 328678 6423 328734 6432
-rect 328692 6322 328720 6423
-rect 328680 6316 328732 6322
-rect 328680 6258 328732 6264
-rect 328128 4548 328180 4554
-rect 328128 4490 328180 4496
-rect 327482 3768 327538 3777
-rect 327482 3703 327538 3712
-rect 328140 480 328168 4490
-rect 328784 3641 328812 336942
-rect 328770 3632 328826 3641
-rect 328770 3567 328826 3576
-rect 328876 3505 328904 340068
-rect 329152 336938 329180 340068
-rect 329140 336932 329192 336938
-rect 329140 336874 329192 336880
-rect 329428 336870 329456 340068
-rect 329626 340054 329732 340082
-rect 329416 336864 329468 336870
-rect 329416 336806 329468 336812
-rect 329704 331242 329732 340054
-rect 329888 333334 329916 340068
-rect 330060 336932 330112 336938
-rect 330060 336874 330112 336880
-rect 329968 336864 330020 336870
-rect 329968 336806 330020 336812
-rect 329876 333328 329928 333334
-rect 329876 333270 329928 333276
-rect 329704 331214 329916 331242
-rect 328956 17264 329008 17270
-rect 328956 17206 329008 17212
-rect 328862 3496 328918 3505
-rect 328862 3431 328918 3440
-rect 328968 2802 328996 17206
-rect 329888 10826 329916 331214
-rect 329796 10798 329916 10826
-rect 329796 2961 329824 10798
-rect 329980 10674 330008 336806
-rect 329876 10668 329928 10674
-rect 329876 10610 329928 10616
-rect 329968 10668 330020 10674
-rect 329968 10610 330020 10616
-rect 329888 10577 329916 10610
-rect 329874 10568 329930 10577
-rect 329874 10503 329930 10512
-rect 330072 7886 330100 336874
-rect 330060 7880 330112 7886
-rect 330060 7822 330112 7828
-rect 330164 7206 330192 340068
-rect 330348 336938 330376 340068
-rect 330532 340054 330638 340082
-rect 330336 336932 330388 336938
-rect 330336 336874 330388 336880
-rect 330532 330614 330560 340054
-rect 330900 337006 330928 340068
-rect 330888 337000 330940 337006
-rect 330888 336942 330940 336948
-rect 331084 336870 331112 340068
-rect 331268 340054 331374 340082
-rect 331544 340054 331650 340082
-rect 331164 337000 331216 337006
-rect 331164 336942 331216 336948
-rect 331072 336864 331124 336870
-rect 331072 336806 331124 336812
-rect 330520 330608 330572 330614
-rect 330520 330550 330572 330556
-rect 331176 326346 331204 336942
-rect 331268 327826 331296 340054
-rect 331440 336932 331492 336938
-rect 331440 336874 331492 336880
-rect 331348 336864 331400 336870
-rect 331348 336806 331400 336812
-rect 331256 327820 331308 327826
-rect 331256 327762 331308 327768
-rect 331176 326318 331296 326346
-rect 331268 318730 331296 326318
-rect 331176 318702 331296 318730
-rect 331176 311982 331204 318702
-rect 331164 311976 331216 311982
-rect 331164 311918 331216 311924
-rect 331256 309256 331308 309262
-rect 331176 309204 331256 309210
-rect 331176 309198 331308 309204
-rect 331176 309182 331296 309198
-rect 331176 302258 331204 309182
-rect 331164 302252 331216 302258
-rect 331164 302194 331216 302200
-rect 331256 302184 331308 302190
-rect 331256 302126 331308 302132
-rect 331268 298058 331296 302126
-rect 331176 298030 331296 298058
-rect 331176 292602 331204 298030
-rect 331164 292596 331216 292602
-rect 331164 292538 331216 292544
-rect 331256 292528 331308 292534
-rect 331256 292470 331308 292476
-rect 331268 280090 331296 292470
-rect 331256 280084 331308 280090
-rect 331256 280026 331308 280032
-rect 331256 270564 331308 270570
-rect 331256 270506 331308 270512
-rect 331268 260846 331296 270506
-rect 331256 260840 331308 260846
-rect 331256 260782 331308 260788
-rect 331256 251252 331308 251258
-rect 331256 251194 331308 251200
-rect 331268 241505 331296 251194
-rect 331070 241496 331126 241505
-rect 331070 241431 331126 241440
-rect 331254 241496 331310 241505
-rect 331254 241431 331310 241440
-rect 331084 231878 331112 241431
-rect 331072 231872 331124 231878
-rect 331072 231814 331124 231820
-rect 331256 231872 331308 231878
-rect 331256 231814 331308 231820
-rect 331268 222193 331296 231814
-rect 331070 222184 331126 222193
-rect 331070 222119 331126 222128
-rect 331254 222184 331310 222193
-rect 331254 222119 331310 222128
-rect 331084 212566 331112 222119
-rect 331072 212560 331124 212566
-rect 331072 212502 331124 212508
-rect 331256 212560 331308 212566
-rect 331256 212502 331308 212508
-rect 331268 202881 331296 212502
-rect 331070 202872 331126 202881
-rect 331070 202807 331126 202816
-rect 331254 202872 331310 202881
-rect 331254 202807 331310 202816
-rect 331084 193254 331112 202807
-rect 331072 193248 331124 193254
-rect 331072 193190 331124 193196
-rect 331256 193248 331308 193254
-rect 331256 193190 331308 193196
-rect 331268 183569 331296 193190
-rect 331070 183560 331126 183569
-rect 331070 183495 331126 183504
-rect 331254 183560 331310 183569
-rect 331254 183495 331310 183504
-rect 331084 173942 331112 183495
-rect 331072 173936 331124 173942
-rect 331072 173878 331124 173884
-rect 331256 173936 331308 173942
-rect 331256 173878 331308 173884
-rect 331268 164218 331296 173878
-rect 331072 164212 331124 164218
-rect 331072 164154 331124 164160
-rect 331256 164212 331308 164218
-rect 331256 164154 331308 164160
-rect 331084 154601 331112 164154
-rect 331070 154592 331126 154601
-rect 331070 154527 331126 154536
-rect 331254 154592 331310 154601
-rect 331254 154527 331310 154536
-rect 331268 144906 331296 154527
-rect 331072 144900 331124 144906
-rect 331072 144842 331124 144848
-rect 331256 144900 331308 144906
-rect 331256 144842 331308 144848
-rect 331084 135289 331112 144842
-rect 331070 135280 331126 135289
-rect 331070 135215 331126 135224
-rect 331254 135280 331310 135289
-rect 331254 135215 331310 135224
-rect 331268 125594 331296 135215
-rect 331072 125588 331124 125594
-rect 331072 125530 331124 125536
-rect 331256 125588 331308 125594
-rect 331256 125530 331308 125536
-rect 331084 115977 331112 125530
-rect 331070 115968 331126 115977
-rect 331070 115903 331126 115912
-rect 331254 115968 331310 115977
-rect 331254 115903 331310 115912
-rect 331268 106282 331296 115903
-rect 331072 106276 331124 106282
-rect 331072 106218 331124 106224
-rect 331256 106276 331308 106282
-rect 331256 106218 331308 106224
-rect 330978 100872 331034 100881
-rect 330978 100807 331034 100816
-rect 330992 100609 331020 100807
-rect 330978 100600 331034 100609
-rect 330978 100535 331034 100544
-rect 331084 96665 331112 106218
-rect 331070 96656 331126 96665
-rect 331070 96591 331126 96600
-rect 331254 96656 331310 96665
-rect 331254 96591 331310 96600
-rect 331268 86970 331296 96591
-rect 331072 86964 331124 86970
-rect 331072 86906 331124 86912
-rect 331256 86964 331308 86970
-rect 331256 86906 331308 86912
-rect 331084 77353 331112 86906
-rect 331070 77344 331126 77353
-rect 331070 77279 331126 77288
-rect 331254 77344 331310 77353
-rect 331254 77279 331310 77288
-rect 331268 67590 331296 77279
-rect 331256 67584 331308 67590
-rect 331256 67526 331308 67532
-rect 331256 62824 331308 62830
-rect 331256 62766 331308 62772
-rect 331268 41426 331296 62766
-rect 331176 41398 331296 41426
-rect 331176 41290 331204 41398
-rect 331176 41262 331296 41290
-rect 331268 12458 331296 41262
-rect 331176 12430 331296 12458
-rect 331176 7274 331204 12430
-rect 331360 11694 331388 336806
-rect 331348 11688 331400 11694
-rect 331348 11630 331400 11636
-rect 331452 11370 331480 336874
-rect 331268 11342 331480 11370
-rect 331268 10305 331296 11342
-rect 331348 11076 331400 11082
-rect 331348 11018 331400 11024
-rect 331254 10296 331310 10305
-rect 331254 10231 331310 10240
-rect 331360 10130 331388 11018
-rect 331544 10826 331572 340054
-rect 331820 337006 331848 340068
-rect 332096 337074 332124 340068
-rect 332084 337068 332136 337074
-rect 332084 337010 332136 337016
-rect 331808 337000 331860 337006
-rect 331808 336942 331860 336948
-rect 332372 336938 332400 340068
-rect 332360 336932 332412 336938
-rect 332360 336874 332412 336880
-rect 332556 336870 332584 340068
-rect 332740 340054 332846 340082
-rect 333122 340054 333228 340082
-rect 332636 337068 332688 337074
-rect 332636 337010 332688 337016
-rect 332544 336864 332596 336870
-rect 332544 336806 332596 336812
-rect 332648 325038 332676 337010
-rect 332636 325032 332688 325038
-rect 332636 324974 332688 324980
-rect 332740 322318 332768 340054
-rect 332912 337000 332964 337006
-rect 332912 336942 332964 336948
-rect 332820 336864 332872 336870
-rect 332820 336806 332872 336812
-rect 332728 322312 332780 322318
-rect 332728 322254 332780 322260
-rect 331716 322244 331768 322250
-rect 331716 322186 331768 322192
-rect 331452 10798 331572 10826
-rect 331348 10124 331400 10130
-rect 331348 10066 331400 10072
-rect 331452 7342 331480 10798
-rect 331622 10568 331678 10577
-rect 331622 10503 331678 10512
-rect 331636 10198 331664 10503
-rect 331624 10192 331676 10198
-rect 331624 10134 331676 10140
-rect 331624 10056 331676 10062
-rect 331622 10024 331624 10033
-rect 331676 10024 331678 10033
-rect 331622 9959 331678 9968
-rect 331728 7546 331756 322186
-rect 332832 12374 332860 336806
-rect 332924 12442 332952 336942
-rect 333004 336932 333056 336938
-rect 333004 336874 333056 336880
-rect 332912 12436 332964 12442
-rect 332912 12378 332964 12384
-rect 332820 12368 332872 12374
-rect 332820 12310 332872 12316
-rect 333016 8022 333044 336874
-rect 333200 335578 333228 340054
-rect 333292 336938 333320 340068
-rect 333568 338065 333596 340068
-rect 333370 338056 333426 338065
-rect 333370 337991 333426 338000
-rect 333554 338056 333610 338065
-rect 333554 337991 333610 338000
-rect 333280 336932 333332 336938
-rect 333280 336874 333332 336880
-rect 333188 335572 333240 335578
-rect 333188 335514 333240 335520
-rect 333384 328506 333412 337991
-rect 333844 337006 333872 340068
-rect 334042 340054 334148 340082
-rect 333832 337000 333884 337006
-rect 333832 336942 333884 336948
-rect 334016 336864 334068 336870
-rect 334016 336806 334068 336812
-rect 333372 328500 333424 328506
-rect 333372 328442 333424 328448
-rect 333924 328500 333976 328506
-rect 333924 328442 333976 328448
-rect 333738 320648 333794 320657
-rect 333738 320583 333794 320592
-rect 333752 320249 333780 320583
-rect 333738 320240 333794 320249
-rect 333738 320175 333794 320184
-rect 333936 319530 333964 328442
-rect 333924 319524 333976 319530
-rect 333924 319466 333976 319472
-rect 334028 318102 334056 336806
-rect 334016 318096 334068 318102
-rect 334016 318038 334068 318044
-rect 334120 12238 334148 340054
-rect 334200 336932 334252 336938
-rect 334200 336874 334252 336880
-rect 334212 12306 334240 336874
-rect 334304 336870 334332 340068
-rect 334580 337006 334608 340068
-rect 334384 337000 334436 337006
-rect 334384 336942 334436 336948
-rect 334568 337000 334620 337006
-rect 334568 336942 334620 336948
-rect 334292 336864 334344 336870
-rect 334292 336806 334344 336812
-rect 334292 335572 334344 335578
-rect 334292 335514 334344 335520
-rect 334200 12300 334252 12306
-rect 334200 12242 334252 12248
-rect 334108 12232 334160 12238
-rect 334108 12174 334160 12180
-rect 333004 8016 333056 8022
-rect 333004 7958 333056 7964
-rect 334304 7954 334332 335514
-rect 334292 7948 334344 7954
-rect 334292 7890 334344 7896
-rect 331716 7540 331768 7546
-rect 331716 7482 331768 7488
-rect 332912 7540 332964 7546
-rect 332912 7482 332964 7488
-rect 331440 7336 331492 7342
-rect 331440 7278 331492 7284
-rect 331164 7268 331216 7274
-rect 331164 7210 331216 7216
-rect 330152 7200 330204 7206
-rect 330152 7142 330204 7148
-rect 331716 6996 331768 7002
-rect 331716 6938 331768 6944
-rect 331728 6089 331756 6938
-rect 331714 6080 331770 6089
-rect 331714 6015 331770 6024
-rect 331716 5092 331768 5098
-rect 331716 5034 331768 5040
-rect 330520 4616 330572 4622
-rect 330520 4558 330572 4564
-rect 329782 2952 329838 2961
-rect 329782 2887 329838 2896
-rect 328968 2774 329272 2802
-rect 329244 2666 329272 2774
-rect 329244 2638 329364 2666
-rect 329336 480 329364 2638
-rect 330532 480 330560 4558
-rect 331728 480 331756 5034
-rect 332924 480 332952 7482
-rect 334396 7410 334424 336942
-rect 334764 336870 334792 340068
-rect 334752 336864 334804 336870
-rect 334752 336806 334804 336812
-rect 335040 335594 335068 340068
-rect 335316 336938 335344 340068
-rect 335304 336932 335356 336938
-rect 335304 336874 335356 336880
-rect 335396 336864 335448 336870
-rect 335396 336806 335448 336812
-rect 335040 335566 335344 335594
-rect 335316 315314 335344 335566
-rect 335304 315308 335356 315314
-rect 335304 315250 335356 315256
-rect 335408 279478 335436 336806
-rect 335396 279472 335448 279478
-rect 335396 279414 335448 279420
-rect 335500 12170 335528 340068
-rect 335672 337000 335724 337006
-rect 335672 336942 335724 336948
-rect 335580 336932 335632 336938
-rect 335580 336874 335632 336880
-rect 335488 12164 335540 12170
-rect 335488 12106 335540 12112
-rect 335592 7478 335620 336874
-rect 335684 7546 335712 336942
-rect 335672 7540 335724 7546
-rect 335672 7482 335724 7488
-rect 335580 7472 335632 7478
-rect 335580 7414 335632 7420
-rect 334384 7404 334436 7410
-rect 334384 7346 334436 7352
-rect 335776 5030 335804 340068
-rect 335960 337006 335988 340068
-rect 336236 337074 336264 340068
-rect 336224 337068 336276 337074
-rect 336224 337010 336276 337016
-rect 335948 337000 336000 337006
-rect 335948 336942 336000 336948
-rect 336512 336938 336540 340068
-rect 336500 336932 336552 336938
-rect 336500 336874 336552 336880
-rect 336696 336870 336724 340068
-rect 336880 340054 336986 340082
-rect 336776 337068 336828 337074
-rect 336776 337010 336828 337016
-rect 336684 336864 336736 336870
-rect 336684 336806 336736 336812
-rect 336788 276690 336816 337010
-rect 336776 276684 336828 276690
-rect 336776 276626 336828 276632
-rect 336132 18624 336184 18630
-rect 336132 18566 336184 18572
-rect 335212 5024 335264 5030
-rect 335212 4966 335264 4972
-rect 335764 5024 335816 5030
-rect 335764 4966 335816 4972
-rect 334108 4684 334160 4690
-rect 334108 4626 334160 4632
-rect 334120 480 334148 4626
-rect 335224 480 335252 4966
-rect 336144 4842 336172 18566
-rect 336880 12102 336908 340054
-rect 336960 337000 337012 337006
-rect 336960 336942 337012 336948
-rect 336868 12096 336920 12102
-rect 336868 12038 336920 12044
-rect 336972 8090 337000 336942
-rect 337144 336932 337196 336938
-rect 337144 336874 337196 336880
-rect 337052 336864 337104 336870
-rect 337052 336806 337104 336812
-rect 337064 8294 337092 336806
-rect 337052 8288 337104 8294
-rect 337052 8230 337104 8236
-rect 336960 8084 337012 8090
-rect 336960 8026 337012 8032
-rect 336144 4814 336448 4842
-rect 336420 480 336448 4814
-rect 337156 4690 337184 336874
-rect 337248 336870 337276 340068
-rect 337432 336938 337460 340068
-rect 337420 336932 337472 336938
-rect 337420 336874 337472 336880
-rect 337236 336864 337288 336870
-rect 337236 336806 337288 336812
-rect 337708 331974 337736 340068
-rect 337984 335578 338012 340068
-rect 338182 340054 338380 340082
-rect 338248 336932 338300 336938
-rect 338248 336874 338300 336880
-rect 338064 336864 338116 336870
-rect 338064 336806 338116 336812
-rect 338156 336864 338208 336870
-rect 338156 336806 338208 336812
-rect 337972 335572 338024 335578
-rect 337972 335514 338024 335520
-rect 337696 331968 337748 331974
-rect 337696 331910 337748 331916
-rect 337234 39264 337290 39273
-rect 337234 39199 337290 39208
-rect 337248 39001 337276 39199
-rect 337234 38992 337290 39001
-rect 337234 38927 337290 38936
-rect 337234 6488 337290 6497
-rect 337234 6423 337290 6432
-rect 337248 6322 337276 6423
-rect 337236 6316 337288 6322
-rect 337236 6258 337288 6264
-rect 338076 5302 338104 336806
-rect 338168 11898 338196 336806
-rect 338156 11892 338208 11898
-rect 338156 11834 338208 11840
-rect 338156 8016 338208 8022
-rect 338156 7958 338208 7964
-rect 338168 7449 338196 7958
-rect 338260 7886 338288 336874
-rect 338352 8022 338380 340054
-rect 338444 336870 338472 340068
-rect 338734 340054 338840 340082
-rect 338432 336864 338484 336870
-rect 338432 336806 338484 336812
-rect 338432 335572 338484 335578
-rect 338432 335514 338484 335520
-rect 338340 8016 338392 8022
-rect 338340 7958 338392 7964
-rect 338248 7880 338300 7886
-rect 338248 7822 338300 7828
-rect 338154 7440 338210 7449
-rect 338154 7375 338210 7384
-rect 338064 5296 338116 5302
-rect 338064 5238 338116 5244
-rect 337604 4820 337656 4826
-rect 337604 4762 337656 4768
-rect 337144 4684 337196 4690
-rect 337144 4626 337196 4632
-rect 336684 4276 336736 4282
-rect 336684 4218 336736 4224
-rect 336696 3505 336724 4218
-rect 336682 3496 336738 3505
-rect 336682 3431 336738 3440
-rect 337616 480 337644 4762
-rect 338444 4214 338472 335514
-rect 338812 335374 338840 340054
-rect 338904 337006 338932 340068
-rect 338892 337000 338944 337006
-rect 338892 336942 338944 336948
-rect 339180 336870 339208 340068
-rect 339352 336932 339404 336938
-rect 339352 336874 339404 336880
-rect 339168 336864 339220 336870
-rect 339168 336806 339220 336812
-rect 338800 335368 338852 335374
-rect 338800 335310 338852 335316
-rect 339364 330478 339392 336874
-rect 339352 330472 339404 330478
-rect 339352 330414 339404 330420
-rect 338798 6488 338854 6497
-rect 338798 6423 338854 6432
-rect 338812 6322 338840 6423
-rect 338800 6316 338852 6322
-rect 338800 6258 338852 6264
-rect 338800 4752 338852 4758
-rect 338800 4694 338852 4700
-rect 338432 4208 338484 4214
-rect 338432 4150 338484 4156
-rect 338522 3360 338578 3369
-rect 338352 3318 338522 3346
-rect 338352 3233 338380 3318
-rect 338522 3295 338578 3304
-rect 338338 3224 338394 3233
-rect 338338 3159 338394 3168
-rect 338812 480 338840 4694
-rect 339456 4282 339484 340068
-rect 339640 336938 339668 340068
-rect 339732 340054 339930 340082
-rect 339628 336932 339680 336938
-rect 339628 336874 339680 336880
-rect 339536 336864 339588 336870
-rect 339536 336806 339588 336812
-rect 339548 307086 339576 336806
-rect 339732 335594 339760 340054
-rect 340192 337006 340220 340068
-rect 340390 340054 340588 340082
-rect 339812 337000 339864 337006
-rect 339812 336942 339864 336948
-rect 340180 337000 340232 337006
-rect 340180 336942 340232 336948
-rect 339640 335566 339760 335594
-rect 339536 307080 339588 307086
-rect 339536 307022 339588 307028
-rect 339640 11830 339668 335566
-rect 339824 335458 339852 336942
-rect 340560 335594 340588 340054
-rect 340652 336938 340680 340068
-rect 340640 336932 340692 336938
-rect 340640 336874 340692 336880
-rect 340928 336870 340956 340068
-rect 341020 340054 341126 340082
-rect 340916 336864 340968 336870
-rect 340916 336806 340968 336812
-rect 340560 335566 340956 335594
-rect 339732 335430 339852 335458
-rect 339628 11824 339680 11830
-rect 339628 11766 339680 11772
-rect 339732 7954 339760 335430
-rect 339812 335368 339864 335374
-rect 339812 335310 339864 335316
-rect 339720 7948 339772 7954
-rect 339720 7890 339772 7896
-rect 339824 4826 339852 335310
-rect 340824 331220 340876 331226
-rect 340824 331162 340876 331168
-rect 340836 321638 340864 331162
-rect 340824 321632 340876 321638
-rect 340824 321574 340876 321580
-rect 340824 321428 340876 321434
-rect 340824 321370 340876 321376
-rect 340836 309194 340864 321370
-rect 340824 309188 340876 309194
-rect 340824 309130 340876 309136
-rect 340824 302184 340876 302190
-rect 340824 302126 340876 302132
-rect 340836 294982 340864 302126
-rect 340928 297430 340956 335566
-rect 340916 297424 340968 297430
-rect 340916 297366 340968 297372
-rect 340824 294976 340876 294982
-rect 340824 294918 340876 294924
-rect 341020 294642 341048 340054
-rect 341388 337142 341416 340068
-rect 341376 337136 341428 337142
-rect 341376 337078 341428 337084
-rect 341664 337074 341692 340068
-rect 341652 337068 341704 337074
-rect 341652 337010 341704 337016
-rect 341284 337000 341336 337006
-rect 341284 336942 341336 336948
-rect 341100 336932 341152 336938
-rect 341100 336874 341152 336880
-rect 339996 294636 340048 294642
-rect 339996 294578 340048 294584
-rect 341008 294636 341060 294642
-rect 341008 294578 341060 294584
-rect 339812 4820 339864 4826
-rect 339812 4762 339864 4768
-rect 339444 4276 339496 4282
-rect 339444 4218 339496 4224
-rect 340008 480 340036 294578
-rect 341112 290193 341140 336874
-rect 341192 336864 341244 336870
-rect 341192 336806 341244 336812
-rect 341204 331226 341232 336806
-rect 341192 331220 341244 331226
-rect 341192 331162 341244 331168
-rect 341192 321632 341244 321638
-rect 341192 321574 341244 321580
-rect 341204 321434 341232 321574
-rect 341192 321428 341244 321434
-rect 341192 321370 341244 321376
-rect 341192 309188 341244 309194
-rect 341192 309130 341244 309136
-rect 341204 302190 341232 309130
-rect 341192 302184 341244 302190
-rect 341192 302126 341244 302132
-rect 341192 294976 341244 294982
-rect 341192 294918 341244 294924
-rect 341098 290184 341154 290193
-rect 341098 290119 341154 290128
-rect 341098 289912 341154 289921
-rect 341098 289847 341154 289856
-rect 341112 283014 341140 289847
-rect 341204 289814 341232 294918
-rect 341192 289808 341244 289814
-rect 341192 289750 341244 289756
-rect 341100 283008 341152 283014
-rect 341100 282950 341152 282956
-rect 341100 282804 341152 282810
-rect 341100 282746 341152 282752
-rect 340916 280288 340968 280294
-rect 340916 280230 340968 280236
-rect 340928 270881 340956 280230
-rect 341112 271182 341140 282746
-rect 341100 271176 341152 271182
-rect 341100 271118 341152 271124
-rect 340914 270872 340970 270881
-rect 340914 270807 340970 270816
-rect 341190 270600 341246 270609
-rect 341190 270535 341246 270544
-rect 341204 263702 341232 270535
-rect 341192 263696 341244 263702
-rect 341192 263638 341244 263644
-rect 341100 263560 341152 263566
-rect 341100 263502 341152 263508
-rect 341112 260846 341140 263502
-rect 341100 260840 341152 260846
-rect 341100 260782 341152 260788
-rect 341192 251252 341244 251258
-rect 341192 251194 341244 251200
-rect 341204 241482 341232 251194
-rect 341112 241454 341232 241482
-rect 341112 234818 341140 241454
-rect 341020 234790 341140 234818
-rect 341020 231878 341048 234790
-rect 341008 231872 341060 231878
-rect 341008 231814 341060 231820
-rect 341100 231872 341152 231878
-rect 341100 231814 341152 231820
-rect 341112 225078 341140 231814
-rect 341100 225072 341152 225078
-rect 341100 225014 341152 225020
-rect 341008 224936 341060 224942
-rect 341008 224878 341060 224884
-rect 341020 220794 341048 224878
-rect 341008 220788 341060 220794
-rect 341008 220730 341060 220736
-rect 341100 215280 341152 215286
-rect 341100 215222 341152 215228
-rect 341112 211154 341140 215222
-rect 341112 211126 341232 211154
-rect 341204 205834 341232 211126
-rect 341192 205828 341244 205834
-rect 341192 205770 341244 205776
-rect 341100 205556 341152 205562
-rect 341100 205498 341152 205504
-rect 341112 202842 341140 205498
-rect 341100 202836 341152 202842
-rect 341100 202778 341152 202784
-rect 341192 193384 341244 193390
-rect 341192 193326 341244 193332
-rect 341204 193202 341232 193326
-rect 341112 193174 341232 193202
-rect 341112 186386 341140 193174
-rect 341100 186380 341152 186386
-rect 341100 186322 341152 186328
-rect 341100 183660 341152 183666
-rect 341100 183602 341152 183608
-rect 341112 183530 341140 183602
-rect 341100 183524 341152 183530
-rect 341100 183466 341152 183472
-rect 341190 174040 341246 174049
-rect 341190 173975 341246 173984
-rect 341204 173913 341232 173975
-rect 341190 173904 341246 173913
-rect 341190 173839 341246 173848
-rect 341098 164384 341154 164393
-rect 341098 164319 341154 164328
-rect 341112 164218 341140 164319
-rect 341008 164212 341060 164218
-rect 341008 164154 341060 164160
-rect 341100 164212 341152 164218
-rect 341100 164154 341152 164160
-rect 341020 157298 341048 164154
-rect 341020 157270 341140 157298
-rect 341112 154578 341140 157270
-rect 341112 154550 341232 154578
-rect 341204 147762 341232 154550
-rect 341192 147756 341244 147762
-rect 341192 147698 341244 147704
-rect 341100 147620 341152 147626
-rect 341100 147562 341152 147568
-rect 341112 144906 341140 147562
-rect 341008 144900 341060 144906
-rect 341008 144842 341060 144848
-rect 341100 144900 341152 144906
-rect 341100 144842 341152 144848
-rect 341020 137986 341048 144842
-rect 341020 137958 341140 137986
-rect 341112 135266 341140 137958
-rect 341112 135250 341232 135266
-rect 341112 135244 341244 135250
-rect 341112 135238 341192 135244
-rect 341192 135186 341244 135192
-rect 341204 135155 341232 135186
-rect 341190 125624 341246 125633
-rect 341112 125594 341190 125610
-rect 341100 125588 341190 125594
-rect 341152 125582 341190 125588
-rect 341190 125559 341246 125568
-rect 341100 125530 341152 125536
-rect 341192 116068 341244 116074
-rect 341192 116010 341244 116016
-rect 341204 115841 341232 116010
-rect 341190 115832 341246 115841
-rect 341190 115767 341246 115776
-rect 341190 106312 341246 106321
-rect 341112 106282 341190 106298
-rect 341008 106276 341060 106282
-rect 341008 106218 341060 106224
-rect 341100 106276 341190 106282
-rect 341152 106270 341190 106276
-rect 341190 106247 341246 106256
-rect 341100 106218 341152 106224
-rect 341020 99362 341048 106218
-rect 341020 99334 341140 99362
-rect 341112 96642 341140 99334
-rect 341112 96626 341232 96642
-rect 341112 96620 341244 96626
-rect 341112 96614 341192 96620
-rect 341192 96562 341244 96568
-rect 341204 96531 341232 96562
-rect 341190 87000 341246 87009
-rect 341112 86970 341190 86986
-rect 341100 86964 341190 86970
-rect 341152 86958 341190 86964
-rect 341190 86935 341246 86944
-rect 341100 86906 341152 86912
-rect 341192 77444 341244 77450
-rect 341192 77386 341244 77392
-rect 341204 77178 341232 77386
-rect 341192 77172 341244 77178
-rect 341192 77114 341244 77120
-rect 341100 67652 341152 67658
-rect 341100 67594 341152 67600
-rect 341112 67538 341140 67594
-rect 341112 67510 341232 67538
-rect 341204 60790 341232 67510
-rect 341192 60784 341244 60790
-rect 341192 60726 341244 60732
-rect 341100 60716 341152 60722
-rect 341100 60658 341152 60664
-rect 341112 58018 341140 60658
-rect 341112 57990 341232 58018
-rect 341204 57934 341232 57990
-rect 340916 57928 340968 57934
-rect 340916 57870 340968 57876
-rect 341192 57928 341244 57934
-rect 341192 57870 341244 57876
-rect 340928 48385 340956 57870
-rect 340914 48376 340970 48385
-rect 340914 48311 340970 48320
-rect 341098 48376 341154 48385
-rect 341098 48311 341154 48320
-rect 341112 48278 341140 48311
-rect 341008 48272 341060 48278
-rect 341008 48214 341060 48220
-rect 341100 48272 341152 48278
-rect 341100 48214 341152 48220
-rect 341020 38706 341048 48214
-rect 341020 38678 341232 38706
-rect 341204 38570 341232 38678
-rect 341112 38542 341232 38570
-rect 341112 31890 341140 38542
-rect 341100 31884 341152 31890
-rect 341100 31826 341152 31832
-rect 341008 29028 341060 29034
-rect 341008 28970 341060 28976
-rect 341020 22250 341048 28970
-rect 340928 22222 341048 22250
-rect 340928 19394 340956 22222
-rect 340928 19366 341048 19394
-rect 341020 19310 341048 19366
-rect 340732 19304 340784 19310
-rect 340732 19246 340784 19252
-rect 341008 19304 341060 19310
-rect 341008 19246 341060 19252
-rect 340744 9761 340772 19246
-rect 340730 9752 340786 9761
-rect 340730 9687 340786 9696
-rect 340914 9752 340970 9761
-rect 340914 9687 340970 9696
-rect 340822 8256 340878 8265
-rect 340822 8191 340878 8200
-rect 340730 8120 340786 8129
-rect 340730 8055 340786 8064
-rect 340744 7478 340772 8055
-rect 340836 7546 340864 8191
-rect 340824 7540 340876 7546
-rect 340824 7482 340876 7488
-rect 340732 7472 340784 7478
-rect 340732 7414 340784 7420
-rect 340928 4758 340956 9687
-rect 341296 9382 341324 336942
-rect 341848 327758 341876 340068
-rect 342032 340054 342138 340082
-rect 342414 340054 342520 340082
-rect 342598 340054 342704 340082
-rect 342032 330546 342060 340054
-rect 342492 337770 342520 340054
-rect 342492 337742 342612 337770
-rect 342388 337204 342440 337210
-rect 342388 337146 342440 337152
-rect 342400 330698 342428 337146
-rect 342480 337136 342532 337142
-rect 342480 337078 342532 337084
-rect 342308 330670 342428 330698
-rect 342020 330540 342072 330546
-rect 342020 330482 342072 330488
-rect 341836 327752 341888 327758
-rect 341836 327694 341888 327700
-rect 342204 316804 342256 316810
-rect 342204 316746 342256 316752
-rect 342216 306406 342244 316746
-rect 342204 306400 342256 306406
-rect 342204 306342 342256 306348
-rect 342204 296744 342256 296750
-rect 342204 296686 342256 296692
-rect 342216 282962 342244 296686
-rect 342308 291854 342336 330670
-rect 342388 330540 342440 330546
-rect 342388 330482 342440 330488
-rect 342400 316810 342428 330482
-rect 342388 316804 342440 316810
-rect 342388 316746 342440 316752
-rect 342388 306400 342440 306406
-rect 342388 306342 342440 306348
-rect 342400 296750 342428 306342
-rect 342388 296744 342440 296750
-rect 342388 296686 342440 296692
-rect 342296 291848 342348 291854
-rect 342296 291790 342348 291796
-rect 342216 282934 342428 282962
-rect 342400 268394 342428 282934
-rect 342388 268388 342440 268394
-rect 342388 268330 342440 268336
-rect 341468 183524 341520 183530
-rect 341468 183466 341520 183472
-rect 341480 174049 341508 183466
-rect 341466 174040 341522 174049
-rect 341466 173975 341522 173984
-rect 341374 173904 341430 173913
-rect 341374 173839 341430 173848
-rect 341388 164393 341416 173839
-rect 341374 164384 341430 164393
-rect 341374 164319 341430 164328
-rect 341376 135244 341428 135250
-rect 341376 135186 341428 135192
-rect 341388 125633 341416 135186
-rect 341374 125624 341430 125633
-rect 341374 125559 341430 125568
-rect 341466 115832 341522 115841
-rect 341466 115767 341522 115776
-rect 341480 106321 341508 115767
-rect 341466 106312 341522 106321
-rect 341466 106247 341522 106256
-rect 341376 96620 341428 96626
-rect 341376 96562 341428 96568
-rect 341388 87009 341416 96562
-rect 341374 87000 341430 87009
-rect 341374 86935 341430 86944
-rect 342492 11762 342520 337078
-rect 342480 11756 342532 11762
-rect 342480 11698 342532 11704
-rect 341468 11212 341520 11218
-rect 341468 11154 341520 11160
-rect 341480 10441 341508 11154
-rect 341466 10432 341522 10441
-rect 341466 10367 341522 10376
-rect 341284 9376 341336 9382
-rect 341284 9318 341336 9324
-rect 341284 8288 341336 8294
-rect 341282 8256 341284 8265
-rect 341336 8256 341338 8265
-rect 341282 8191 341338 8200
-rect 341374 8120 341430 8129
-rect 341374 8055 341376 8064
-rect 341428 8055 341430 8064
-rect 341376 8026 341428 8032
-rect 341192 5364 341244 5370
-rect 341192 5306 341244 5312
-rect 340916 4752 340968 4758
-rect 340916 4694 340968 4700
-rect 341204 480 341232 5306
-rect 342388 5160 342440 5166
-rect 342388 5102 342440 5108
-rect 341468 3528 341520 3534
-rect 341466 3496 341468 3505
-rect 341520 3496 341522 3505
-rect 341466 3431 341522 3440
-rect 342400 480 342428 5102
-rect 342584 5098 342612 337742
-rect 342676 337210 342704 340054
-rect 342860 337210 342888 340068
-rect 342664 337204 342716 337210
-rect 342664 337146 342716 337152
-rect 342848 337204 342900 337210
-rect 342848 337146 342900 337152
-rect 343136 337074 343164 340068
-rect 342664 337068 342716 337074
-rect 342664 337010 342716 337016
-rect 343124 337068 343176 337074
-rect 343124 337010 343176 337016
-rect 342572 5092 342624 5098
-rect 342572 5034 342624 5040
-rect 342676 4457 342704 337010
-rect 343320 336938 343348 340068
-rect 343610 340054 343808 340082
-rect 343886 340054 343992 340082
-rect 343780 337770 343808 340054
-rect 343780 337742 343900 337770
-rect 343768 337204 343820 337210
-rect 343768 337146 343820 337152
-rect 343584 337068 343636 337074
-rect 343584 337010 343636 337016
-rect 343676 337068 343728 337074
-rect 343676 337010 343728 337016
-rect 342756 336932 342808 336938
-rect 342756 336874 342808 336880
-rect 343308 336932 343360 336938
-rect 343308 336874 343360 336880
-rect 342768 334694 342796 336874
-rect 342756 334688 342808 334694
-rect 342756 334630 342808 334636
-rect 343398 320240 343454 320249
-rect 343398 320175 343454 320184
-rect 343412 319977 343440 320175
-rect 343398 319968 343454 319977
-rect 343398 319903 343454 319912
-rect 342756 265668 342808 265674
-rect 342756 265610 342808 265616
-rect 342768 5114 342796 265610
-rect 343398 7440 343454 7449
-rect 343398 7375 343400 7384
-rect 343452 7375 343454 7384
-rect 343400 7346 343452 7352
-rect 343596 5302 343624 337010
-rect 343688 322250 343716 337010
-rect 343676 322244 343728 322250
-rect 343676 322186 343728 322192
-rect 343780 304298 343808 337146
-rect 343768 304292 343820 304298
-rect 343768 304234 343820 304240
-rect 343872 265674 343900 337742
-rect 343860 265668 343912 265674
-rect 343860 265610 343912 265616
-rect 343584 5296 343636 5302
-rect 343584 5238 343636 5244
-rect 343964 5166 343992 340054
-rect 344056 337074 344084 340068
-rect 344332 337142 344360 340068
-rect 344608 337210 344636 340068
-rect 344806 340054 345004 340082
-rect 345082 340054 345280 340082
-rect 344976 337770 345004 340054
-rect 344976 337742 345188 337770
-rect 344596 337204 344648 337210
-rect 344596 337146 344648 337152
-rect 344320 337136 344372 337142
-rect 344320 337078 344372 337084
-rect 345056 337136 345108 337142
-rect 345056 337078 345108 337084
-rect 344044 337068 344096 337074
-rect 344044 337010 344096 337016
-rect 345068 324970 345096 337078
-rect 345056 324964 345108 324970
-rect 345056 324906 345108 324912
-rect 345160 309806 345188 337742
-rect 345148 309800 345200 309806
-rect 345148 309742 345200 309748
-rect 345252 262886 345280 340054
-rect 345240 262880 345292 262886
-rect 345240 262822 345292 262828
-rect 345344 5273 345372 340068
-rect 345424 337204 345476 337210
-rect 345424 337146 345476 337152
-rect 345436 5302 345464 337146
-rect 345528 337074 345556 340068
-rect 345516 337068 345568 337074
-rect 345516 337010 345568 337016
-rect 345804 337006 345832 340068
-rect 346080 337142 346108 340068
-rect 346264 337210 346292 340068
-rect 346448 340054 346554 340082
-rect 346724 340054 346830 340082
-rect 346252 337204 346304 337210
-rect 346252 337146 346304 337152
-rect 346068 337136 346120 337142
-rect 346068 337078 346120 337084
-rect 345792 337000 345844 337006
-rect 345792 336942 345844 336948
-rect 346344 337000 346396 337006
-rect 346344 336942 346396 336948
-rect 346356 319462 346384 336942
-rect 346344 319456 346396 319462
-rect 346344 319398 346396 319404
-rect 346448 301510 346476 340054
-rect 346528 337204 346580 337210
-rect 346528 337146 346580 337152
-rect 346436 301504 346488 301510
-rect 346436 301446 346488 301452
-rect 346540 11218 346568 337146
-rect 346620 337068 346672 337074
-rect 346620 337010 346672 337016
-rect 346528 11212 346580 11218
-rect 346528 11154 346580 11160
-rect 346632 9382 346660 337010
-rect 346620 9376 346672 9382
-rect 346620 9318 346672 9324
-rect 345424 5296 345476 5302
-rect 345330 5264 345386 5273
-rect 345424 5238 345476 5244
-rect 345330 5199 345386 5208
-rect 345976 5228 346028 5234
-rect 345976 5170 346028 5176
-rect 343952 5160 344004 5166
-rect 342768 5086 343624 5114
-rect 343952 5102 344004 5108
-rect 342662 4448 342718 4457
-rect 342662 4383 342718 4392
-rect 343596 480 343624 5086
-rect 345332 5092 345384 5098
-rect 345332 5034 345384 5040
-rect 344780 4616 344832 4622
-rect 345344 4593 345372 5034
-rect 344780 4558 344832 4564
-rect 345330 4584 345386 4593
-rect 344792 480 344820 4558
-rect 345330 4519 345386 4528
-rect 345988 480 346016 5170
-rect 346724 4690 346752 340054
-rect 347000 337142 347028 340068
-rect 346804 337136 346856 337142
-rect 346804 337078 346856 337084
-rect 346988 337136 347040 337142
-rect 346988 337078 347040 337084
-rect 346816 5166 346844 337078
-rect 347276 337074 347304 340068
-rect 347552 337210 347580 340068
-rect 347540 337204 347592 337210
-rect 347540 337146 347592 337152
-rect 347264 337068 347316 337074
-rect 347264 337010 347316 337016
-rect 347736 337006 347764 340068
-rect 347920 340054 348026 340082
-rect 347816 337068 347868 337074
-rect 347816 337010 347868 337016
-rect 347724 337000 347776 337006
-rect 347724 336942 347776 336948
-rect 347828 300150 347856 337010
-rect 347816 300144 347868 300150
-rect 347816 300086 347868 300092
-rect 347920 286346 347948 340054
-rect 348288 337210 348316 340068
-rect 348184 337204 348236 337210
-rect 348184 337146 348236 337152
-rect 348276 337204 348328 337210
-rect 348276 337146 348328 337152
-rect 348000 337136 348052 337142
-rect 348000 337078 348052 337084
-rect 347908 286340 347960 286346
-rect 347908 286282 347960 286288
-rect 346896 21412 346948 21418
-rect 346896 21354 346948 21360
-rect 346908 19310 346936 21354
-rect 346896 19304 346948 19310
-rect 346896 19246 346948 19252
-rect 347080 19304 347132 19310
-rect 347080 19246 347132 19252
-rect 347092 9761 347120 19246
-rect 347908 16584 347960 16590
-rect 347908 16526 347960 16532
-rect 346894 9752 346950 9761
-rect 346894 9687 346950 9696
-rect 347078 9752 347134 9761
-rect 347078 9687 347134 9696
-rect 346804 5160 346856 5166
-rect 346804 5102 346856 5108
-rect 346712 4684 346764 4690
-rect 346712 4626 346764 4632
-rect 346250 3360 346306 3369
-rect 346250 3295 346306 3304
-rect 346264 2961 346292 3295
-rect 346342 3224 346398 3233
-rect 346342 3159 346398 3168
-rect 346250 2952 346306 2961
-rect 346250 2887 346306 2896
-rect 346356 2650 346384 3159
-rect 346908 2802 346936 9687
-rect 346986 7440 347042 7449
-rect 346986 7375 346988 7384
-rect 347040 7375 347042 7384
-rect 346988 7346 347040 7352
-rect 346986 6488 347042 6497
-rect 346986 6423 347042 6432
-rect 347000 6322 347028 6423
-rect 346988 6316 347040 6322
-rect 346988 6258 347040 6264
-rect 347920 4758 347948 16526
-rect 348012 9489 348040 337078
-rect 348092 337000 348144 337006
-rect 348092 336942 348144 336948
-rect 348104 11286 348132 336942
-rect 348196 16590 348224 337146
-rect 348472 337074 348500 340068
-rect 348748 337142 348776 340068
-rect 348840 340054 349038 340082
-rect 349222 340054 349420 340082
-rect 348736 337136 348788 337142
-rect 348736 337078 348788 337084
-rect 348460 337068 348512 337074
-rect 348460 337010 348512 337016
-rect 348840 333266 348868 340054
-rect 349196 337204 349248 337210
-rect 349196 337146 349248 337152
-rect 349288 337204 349340 337210
-rect 349288 337146 349340 337152
-rect 348828 333260 348880 333266
-rect 348828 333202 348880 333208
-rect 348184 16584 348236 16590
-rect 348184 16526 348236 16532
-rect 348092 11280 348144 11286
-rect 348092 11222 348144 11228
-rect 347998 9480 348054 9489
-rect 347998 9415 348054 9424
-rect 348366 4856 348422 4865
-rect 348366 4791 348422 4800
-rect 347908 4752 347960 4758
-rect 347908 4694 347960 4700
-rect 346908 2774 347120 2802
-rect 347092 2666 347120 2774
-rect 346344 2644 346396 2650
-rect 347092 2638 347212 2666
-rect 346344 2586 346396 2592
-rect 347184 480 347212 2638
-rect 348380 480 348408 4791
-rect 349208 4729 349236 337146
-rect 349300 283626 349328 337146
-rect 349288 283620 349340 283626
-rect 349288 283562 349340 283568
-rect 349392 9450 349420 340054
-rect 349484 337210 349512 340068
-rect 349472 337204 349524 337210
-rect 349472 337146 349524 337152
-rect 349472 337068 349524 337074
-rect 349472 337010 349524 337016
-rect 349380 9444 349432 9450
-rect 349380 9386 349432 9392
-rect 349484 9382 349512 337010
-rect 349760 337006 349788 340068
-rect 349944 337210 349972 340068
-rect 349932 337204 349984 337210
-rect 349932 337146 349984 337152
-rect 349748 337000 349800 337006
-rect 349748 336942 349800 336948
-rect 349656 253224 349708 253230
-rect 349656 253166 349708 253172
-rect 349288 9376 349340 9382
-rect 349288 9318 349340 9324
-rect 349472 9376 349524 9382
-rect 349472 9318 349524 9324
-rect 349300 9217 349328 9318
-rect 349286 9208 349342 9217
-rect 349286 9143 349342 9152
-rect 349562 6352 349618 6361
-rect 349562 6287 349618 6296
-rect 349194 4720 349250 4729
-rect 349194 4655 349250 4664
-rect 349576 480 349604 6287
-rect 349668 2446 349696 253166
-rect 350036 26246 350064 459598
-rect 350234 340054 350892 340082
-rect 350760 337000 350812 337006
-rect 350760 336942 350812 336948
-rect 350772 312594 350800 336942
-rect 350760 312588 350812 312594
-rect 350760 312530 350812 312536
-rect 350864 253230 350892 340054
-rect 350944 337204 350996 337210
-rect 350944 337146 350996 337152
-rect 350852 253224 350904 253230
-rect 350852 253166 350904 253172
-rect 350024 26240 350076 26246
-rect 350024 26182 350076 26188
-rect 350850 9480 350906 9489
-rect 350850 9415 350852 9424
-rect 350904 9415 350906 9424
-rect 350852 9386 350904 9392
-rect 350956 8945 350984 337146
-rect 351036 336320 351088 336326
-rect 351036 336262 351088 336268
-rect 351048 19310 351076 336262
-rect 351692 148986 351720 461382
-rect 353060 460148 353112 460154
-rect 353060 460090 353112 460096
-rect 351772 337136 351824 337142
-rect 351772 337078 351824 337084
-rect 351784 261526 351812 337078
-rect 351772 261520 351824 261526
-rect 351772 261462 351824 261468
-rect 353072 195974 353100 460090
-rect 354440 458924 354492 458930
-rect 354440 458866 354492 458872
-rect 353796 333396 353848 333402
-rect 353796 333338 353848 333344
-rect 353060 195968 353112 195974
-rect 353060 195910 353112 195916
-rect 351680 148980 351732 148986
-rect 351680 148922 351732 148928
-rect 351036 19304 351088 19310
-rect 351036 19246 351088 19252
-rect 351312 19304 351364 19310
-rect 351312 19246 351364 19252
-rect 351324 9761 351352 19246
-rect 351310 9752 351366 9761
-rect 351310 9687 351366 9696
-rect 351586 9752 351642 9761
-rect 351586 9687 351642 9696
-rect 351128 9444 351180 9450
-rect 351128 9386 351180 9392
-rect 351140 9217 351168 9386
-rect 351126 9208 351182 9217
-rect 351126 9143 351182 9152
-rect 351218 9072 351274 9081
-rect 351218 9007 351220 9016
-rect 351272 9007 351274 9016
-rect 351220 8978 351272 8984
-rect 351036 8968 351088 8974
-rect 350942 8936 350998 8945
-rect 351088 8916 351168 8922
-rect 351036 8910 351168 8916
-rect 351048 8894 351168 8910
-rect 350942 8871 350998 8880
-rect 351034 8392 351090 8401
-rect 351140 8362 351168 8894
-rect 351034 8327 351036 8336
-rect 351088 8327 351090 8336
-rect 351128 8356 351180 8362
-rect 351036 8298 351088 8304
-rect 351128 8298 351180 8304
-rect 350852 8016 350904 8022
-rect 350852 7958 350904 7964
-rect 350668 7948 350720 7954
-rect 350668 7890 350720 7896
-rect 350680 7721 350708 7890
-rect 350864 7857 350892 7958
-rect 350850 7848 350906 7857
-rect 350850 7783 350906 7792
-rect 350666 7712 350722 7721
-rect 350666 7647 350722 7656
-rect 350942 5264 350998 5273
-rect 350942 5199 350998 5208
-rect 350956 5166 350984 5199
-rect 350852 5160 350904 5166
-rect 350850 5128 350852 5137
-rect 350944 5160 350996 5166
-rect 350904 5128 350906 5137
-rect 350944 5102 350996 5108
-rect 350850 5063 350906 5072
-rect 350666 4992 350722 5001
-rect 350666 4927 350722 4936
-rect 350574 4856 350630 4865
-rect 350574 4791 350630 4800
-rect 350588 4758 350616 4791
-rect 350576 4752 350628 4758
-rect 350576 4694 350628 4700
-rect 350680 4690 350708 4927
-rect 351600 4842 351628 9687
-rect 353060 8356 353112 8362
-rect 353060 8298 353112 8304
-rect 351600 4814 351904 4842
-rect 350944 4752 350996 4758
-rect 350944 4694 350996 4700
-rect 350668 4684 350720 4690
-rect 350668 4626 350720 4632
-rect 350852 4684 350904 4690
-rect 350852 4626 350904 4632
-rect 350864 4457 350892 4626
-rect 350956 4593 350984 4694
-rect 350942 4584 350998 4593
-rect 350942 4519 350998 4528
-rect 350850 4448 350906 4457
-rect 350850 4383 350906 4392
-rect 351128 3528 351180 3534
-rect 351128 3470 351180 3476
-rect 351140 3233 351168 3470
-rect 351126 3224 351182 3233
-rect 351126 3159 351182 3168
-rect 349656 2440 349708 2446
-rect 349656 2382 349708 2388
-rect 350760 2440 350812 2446
-rect 350760 2382 350812 2388
-rect 350772 480 350800 2382
-rect 351876 480 351904 4814
-rect 353072 480 353100 8298
-rect 353808 1154 353836 333338
-rect 354452 289814 354480 458866
-rect 354544 368490 354572 463286
-rect 355820 459944 355872 459950
-rect 355820 459886 355872 459892
-rect 354532 368484 354584 368490
-rect 354532 368426 354584 368432
-rect 355832 336666 355860 459886
-rect 355924 415410 355952 463558
-rect 358672 463412 358724 463418
-rect 358672 463354 358724 463360
-rect 357200 462868 357252 462874
-rect 357200 462810 357252 462816
-rect 355912 415404 355964 415410
-rect 355912 415346 355964 415352
-rect 357212 353258 357240 462810
-rect 358580 459876 358632 459882
-rect 358580 459818 358632 459824
-rect 357200 353252 357252 353258
-rect 357200 353194 357252 353200
-rect 356556 338088 356608 338094
-rect 356556 338030 356608 338036
-rect 355820 336660 355872 336666
-rect 355820 336602 355872 336608
-rect 355176 330676 355228 330682
-rect 355176 330618 355228 330624
-rect 354440 289808 354492 289814
-rect 354440 289750 354492 289756
-rect 355188 2802 355216 330618
-rect 356188 8424 356240 8430
-rect 356188 8366 356240 8372
-rect 356200 7721 356228 8366
-rect 356186 7712 356242 7721
-rect 356186 7647 356242 7656
-rect 356462 7440 356518 7449
-rect 356462 7375 356464 7384
-rect 356516 7375 356518 7384
-rect 356464 7346 356516 7352
-rect 356462 6488 356518 6497
-rect 356462 6423 356518 6432
-rect 356476 6322 356504 6423
-rect 356464 6316 356516 6322
-rect 356464 6258 356516 6264
-rect 355818 4856 355874 4865
-rect 355818 4791 355874 4800
-rect 355832 4593 355860 4791
-rect 355818 4584 355874 4593
-rect 355818 4519 355874 4528
-rect 355268 2984 355320 2990
-rect 355266 2952 355268 2961
-rect 355320 2952 355322 2961
-rect 355266 2887 355322 2896
-rect 355188 2774 355308 2802
-rect 355280 2666 355308 2774
-rect 355280 2638 355492 2666
-rect 353796 1148 353848 1154
-rect 353796 1090 353848 1096
-rect 354256 1148 354308 1154
-rect 354256 1090 354308 1096
-rect 354268 480 354296 1090
-rect 355464 480 355492 2638
-rect 356568 1426 356596 338030
-rect 357936 336116 357988 336122
-rect 357936 336058 357988 336064
-rect 357948 309126 357976 336058
-rect 357936 309120 357988 309126
-rect 357936 309062 357988 309068
-rect 357936 299532 357988 299538
-rect 357936 299474 357988 299480
-rect 357948 289746 357976 299474
-rect 357936 289740 357988 289746
-rect 357936 289682 357988 289688
-rect 357936 280356 357988 280362
-rect 357936 280298 357988 280304
-rect 357948 270502 357976 280298
-rect 358592 274650 358620 459818
-rect 358684 400178 358712 463354
-rect 359972 447098 360000 463626
-rect 378832 463282 378860 470614
-rect 378820 463276 378872 463282
-rect 378820 463218 378872 463224
-rect 508552 463146 508580 470614
-rect 508540 463140 508592 463146
-rect 508540 463082 508592 463088
-rect 580666 461408 580722 461417
-rect 580666 461343 580722 461352
-rect 361340 461236 361392 461242
-rect 361340 461178 361392 461184
-rect 359960 447092 360012 447098
-rect 359960 447034 360012 447040
-rect 358672 400172 358724 400178
-rect 358672 400114 358724 400120
-rect 360602 320648 360658 320657
-rect 360658 320606 360736 320634
-rect 360602 320583 360658 320592
-rect 360708 320385 360736 320606
-rect 360694 320376 360750 320385
-rect 360694 320311 360750 320320
-rect 360696 314016 360748 314022
-rect 360696 313958 360748 313964
-rect 358580 274644 358632 274650
-rect 358580 274586 358632 274592
-rect 357936 270496 357988 270502
-rect 357936 270438 357988 270444
-rect 357936 260908 357988 260914
-rect 357936 260850 357988 260856
-rect 357948 251190 357976 260850
-rect 357936 251184 357988 251190
-rect 357936 251126 357988 251132
-rect 357936 241664 357988 241670
-rect 357936 241606 357988 241612
-rect 357948 231849 357976 241606
-rect 357934 231840 357990 231849
-rect 357934 231775 357990 231784
-rect 358118 231840 358174 231849
-rect 358118 231775 358174 231784
-rect 358132 222222 358160 231775
-rect 360604 226568 360656 226574
-rect 360602 226536 360604 226545
-rect 360656 226536 360658 226545
-rect 360602 226471 360658 226480
-rect 357936 222216 357988 222222
-rect 357936 222158 357988 222164
-rect 358120 222216 358172 222222
-rect 358120 222158 358172 222164
-rect 357948 212498 357976 222158
-rect 357936 212492 357988 212498
-rect 357936 212434 357988 212440
-rect 357936 203040 357988 203046
-rect 357936 202982 357988 202988
-rect 357948 193225 357976 202982
-rect 357934 193216 357990 193225
-rect 357934 193151 357990 193160
-rect 358118 193216 358174 193225
-rect 358118 193151 358174 193160
-rect 358132 183598 358160 193151
-rect 357936 183592 357988 183598
-rect 357936 183534 357988 183540
-rect 358120 183592 358172 183598
-rect 358120 183534 358172 183540
-rect 357948 173913 357976 183534
-rect 357934 173904 357990 173913
-rect 357934 173839 357990 173848
-rect 357934 164384 357990 164393
-rect 357934 164319 357990 164328
-rect 357948 154465 357976 164319
-rect 357934 154456 357990 154465
-rect 357934 154391 357990 154400
-rect 358210 154456 358266 154465
-rect 358210 154391 358266 154400
-rect 358224 144945 358252 154391
-rect 357934 144936 357990 144945
-rect 357934 144871 357990 144880
-rect 358210 144936 358266 144945
-rect 358210 144871 358266 144880
-rect 357948 115802 357976 144871
-rect 357936 115796 357988 115802
-rect 357936 115738 357988 115744
-rect 357936 106344 357988 106350
-rect 357936 106286 357988 106292
-rect 357948 96626 357976 106286
-rect 360418 101008 360474 101017
-rect 360418 100943 360420 100952
-rect 360472 100943 360474 100952
-rect 360420 100914 360472 100920
-rect 357936 96620 357988 96626
-rect 357936 96562 357988 96568
-rect 358212 96620 358264 96626
-rect 358212 96562 358264 96568
-rect 358224 87145 358252 96562
-rect 358210 87136 358266 87145
-rect 358210 87071 358266 87080
-rect 357934 87000 357990 87009
-rect 357934 86935 357990 86944
-rect 357948 77178 357976 86935
-rect 357936 77172 357988 77178
-rect 357936 77114 357988 77120
-rect 357936 67652 357988 67658
-rect 357936 67594 357988 67600
-rect 357948 57934 357976 67594
-rect 357936 57928 357988 57934
-rect 357936 57870 357988 57876
-rect 357936 48340 357988 48346
-rect 357936 48282 357988 48288
-rect 357948 38554 357976 48282
-rect 357936 38548 357988 38554
-rect 357936 38490 357988 38496
-rect 357936 29028 357988 29034
-rect 357936 28970 357988 28976
-rect 356646 9072 356702 9081
-rect 356646 9007 356702 9016
-rect 356556 1420 356608 1426
-rect 356556 1362 356608 1368
-rect 356660 480 356688 9007
-rect 357948 7562 357976 28970
-rect 360234 8392 360290 8401
-rect 360234 8327 360290 8336
-rect 357948 7534 358068 7562
-rect 358040 2650 358068 7534
-rect 358028 2644 358080 2650
-rect 358028 2586 358080 2592
-rect 359040 2644 359092 2650
-rect 359040 2586 359092 2592
-rect 357844 1420 357896 1426
-rect 357844 1362 357896 1368
-rect 357856 480 357884 1362
-rect 359052 480 359080 2586
-rect 360248 480 360276 8327
-rect 360512 3528 360564 3534
-rect 360512 3470 360564 3476
-rect 360604 3528 360656 3534
-rect 360604 3470 360656 3476
-rect 360524 3233 360552 3470
-rect 360510 3224 360566 3233
-rect 360510 3159 360566 3168
-rect 360616 2961 360644 3470
-rect 360602 2952 360658 2961
-rect 360602 2887 360658 2896
-rect 360708 2650 360736 313958
-rect 361352 86970 361380 461178
-rect 411020 461168 411072 461174
-rect 411020 461110 411072 461116
-rect 406880 458448 406932 458454
-rect 406880 458390 406932 458396
-rect 406892 383654 406920 458390
-rect 411032 430574 411060 461110
-rect 580680 460970 580708 461343
-rect 580668 460964 580720 460970
-rect 580668 460906 580720 460912
-rect 580760 458244 580812 458250
-rect 580760 458186 580812 458192
-rect 580668 447092 580720 447098
-rect 580668 447034 580720 447040
-rect 580680 445777 580708 447034
-rect 580666 445768 580722 445777
-rect 580666 445703 580722 445712
-rect 411020 430568 411072 430574
-rect 411020 430510 411072 430516
-rect 580668 430568 580720 430574
-rect 580668 430510 580720 430516
-rect 580680 430137 580708 430510
-rect 580666 430128 580722 430137
-rect 580666 430063 580722 430072
-rect 580668 415404 580720 415410
-rect 580668 415346 580720 415352
-rect 580680 414497 580708 415346
-rect 580666 414488 580722 414497
-rect 580666 414423 580722 414432
-rect 580668 400172 580720 400178
-rect 580668 400114 580720 400120
-rect 580680 398857 580708 400114
-rect 580666 398848 580722 398857
-rect 580666 398783 580722 398792
-rect 406880 383648 406932 383654
-rect 406880 383590 406932 383596
-rect 580668 383648 580720 383654
-rect 580668 383590 580720 383596
-rect 580680 383217 580708 383590
-rect 580666 383208 580722 383217
-rect 580666 383143 580722 383152
-rect 580668 368484 580720 368490
-rect 580668 368426 580720 368432
-rect 580680 367577 580708 368426
-rect 580666 367568 580722 367577
-rect 580666 367503 580722 367512
-rect 580668 353252 580720 353258
-rect 580668 353194 580720 353200
-rect 580680 351937 580708 353194
-rect 580666 351928 580722 351937
-rect 580666 351863 580722 351872
-rect 364836 338020 364888 338026
-rect 364836 337962 364888 337968
-rect 362076 327888 362128 327894
-rect 362076 327830 362128 327836
-rect 361340 86964 361392 86970
-rect 361340 86906 361392 86912
-rect 361154 8392 361210 8401
-rect 361154 8327 361156 8336
-rect 361208 8327 361210 8336
-rect 361248 8356 361300 8362
-rect 361156 8298 361208 8304
-rect 361248 8298 361300 8304
-rect 361260 7857 361288 8298
-rect 361246 7848 361302 7857
-rect 361246 7783 361302 7792
-rect 360788 3528 360840 3534
-rect 360788 3470 360840 3476
-rect 360800 3233 360828 3470
-rect 360786 3224 360842 3233
-rect 360786 3159 360842 3168
-rect 362088 2650 362116 327830
-rect 362260 226568 362312 226574
-rect 362258 226536 362260 226545
-rect 362312 226536 362314 226545
-rect 362258 226471 362314 226480
-rect 363824 8492 363876 8498
-rect 363824 8434 363876 8440
-rect 363916 8492 363968 8498
-rect 363916 8434 363968 8440
-rect 360696 2644 360748 2650
-rect 360696 2586 360748 2592
-rect 361432 2644 361484 2650
-rect 361432 2586 361484 2592
-rect 362076 2644 362128 2650
-rect 362076 2586 362128 2592
-rect 362628 2644 362680 2650
-rect 362628 2586 362680 2592
-rect 361444 480 361472 2586
-rect 362640 480 362668 2586
-rect 363836 480 363864 8434
-rect 363928 8401 363956 8434
-rect 363914 8392 363970 8401
-rect 363914 8327 363970 8336
-rect 364848 7562 364876 337962
-rect 378636 337748 378688 337754
-rect 378636 337690 378688 337696
-rect 366216 334756 366268 334762
-rect 366216 334698 366268 334704
-rect 366122 179616 366178 179625
-rect 366122 179551 366178 179560
-rect 366136 179353 366164 179551
-rect 366122 179344 366178 179353
-rect 366122 179279 366178 179288
-rect 366124 100972 366176 100978
-rect 366124 100914 366176 100920
-rect 366136 100881 366164 100914
-rect 366122 100872 366178 100881
-rect 366122 100807 366178 100816
-rect 366122 38856 366178 38865
-rect 366122 38791 366178 38800
-rect 366136 38593 366164 38791
-rect 366122 38584 366178 38593
-rect 366122 38519 366178 38528
-rect 364848 7534 365060 7562
-rect 364926 3496 364982 3505
-rect 364926 3431 364982 3440
-rect 364742 2952 364798 2961
-rect 364742 2887 364798 2896
-rect 364756 2854 364784 2887
-rect 364940 2854 364968 3431
-rect 364652 2848 364704 2854
-rect 364650 2816 364652 2825
-rect 364744 2848 364796 2854
-rect 364704 2816 364706 2825
-rect 364744 2790 364796 2796
-rect 364928 2848 364980 2854
-rect 364928 2790 364980 2796
-rect 364650 2751 364706 2760
-rect 365032 480 365060 7534
-rect 366122 7032 366178 7041
-rect 366122 6967 366178 6976
-rect 366136 6633 366164 6967
-rect 366122 6624 366178 6633
-rect 366122 6559 366178 6568
-rect 365294 3360 365350 3369
-rect 365294 3295 365350 3304
-rect 365308 2938 365336 3295
-rect 365754 2952 365810 2961
-rect 365308 2910 365754 2938
-rect 365754 2887 365810 2896
-rect 365112 2848 365164 2854
-rect 365110 2816 365112 2825
-rect 365164 2816 365166 2825
-rect 365110 2751 365166 2760
-rect 366228 480 366256 334698
-rect 373024 332036 373076 332042
-rect 373024 331978 373076 331984
-rect 371920 331152 371972 331158
-rect 371920 331094 371972 331100
-rect 371932 328438 371960 331094
-rect 371920 328432 371972 328438
-rect 371920 328374 371972 328380
-rect 373036 327078 373064 331978
-rect 373024 327072 373076 327078
-rect 373024 327014 373076 327020
-rect 368976 325100 369028 325106
-rect 368976 325042 369028 325048
-rect 367596 311296 367648 311302
-rect 367596 311238 367648 311244
-rect 367608 22098 367636 311238
-rect 368988 22098 369016 325042
-rect 371920 321428 371972 321434
-rect 371920 321370 371972 321376
-rect 371932 318866 371960 321370
-rect 371932 318838 372052 318866
-rect 372024 311982 372052 318838
-rect 373116 318708 373168 318714
-rect 373116 318650 373168 318656
-rect 372012 311976 372064 311982
-rect 372012 311918 372064 311924
-rect 371920 311840 371972 311846
-rect 371920 311782 371972 311788
-rect 371932 309126 371960 311782
-rect 373128 309126 373156 318650
-rect 371920 309120 371972 309126
-rect 371920 309062 371972 309068
-rect 373116 309120 373168 309126
-rect 373116 309062 373168 309068
-rect 373208 309120 373260 309126
-rect 373208 309062 373260 309068
-rect 373220 307766 373248 309062
-rect 372932 307760 372984 307766
-rect 372932 307702 372984 307708
-rect 373208 307760 373260 307766
-rect 373208 307702 373260 307708
-rect 371828 302184 371880 302190
-rect 371828 302126 371880 302132
-rect 371840 292618 371868 302126
-rect 372944 298217 372972 307702
-rect 372930 298208 372986 298217
-rect 372930 298143 372986 298152
-rect 373114 298208 373170 298217
-rect 373114 298143 373170 298152
-rect 373128 298058 373156 298143
-rect 373036 298030 373156 298058
-rect 373036 296721 373064 298030
-rect 374496 297492 374548 297498
-rect 374496 297434 374548 297440
-rect 372838 296712 372894 296721
-rect 372838 296647 372894 296656
-rect 373022 296712 373078 296721
-rect 373022 296647 373078 296656
-rect 371840 292590 371960 292618
-rect 371932 289746 371960 292590
-rect 371920 289740 371972 289746
-rect 371920 289682 371972 289688
-rect 372852 288250 372880 296647
-rect 372840 288244 372892 288250
-rect 372840 288186 372892 288192
-rect 373116 288244 373168 288250
-rect 373116 288186 373168 288192
-rect 371920 282804 371972 282810
-rect 371920 282746 371972 282752
-rect 371932 280242 371960 282746
-rect 373128 280294 373156 288186
-rect 373116 280288 373168 280294
-rect 371932 280214 372052 280242
-rect 373116 280230 373168 280236
-rect 372024 273358 372052 280214
-rect 372932 278792 372984 278798
-rect 372932 278734 372984 278740
-rect 372012 273352 372064 273358
-rect 372012 273294 372064 273300
-rect 371920 273216 371972 273222
-rect 371920 273158 371972 273164
-rect 371932 264330 371960 273158
-rect 372944 269142 372972 278734
-rect 372932 269136 372984 269142
-rect 372932 269078 372984 269084
-rect 373116 269136 373168 269142
-rect 373116 269078 373168 269084
-rect 371932 264302 372144 264330
-rect 372116 253978 372144 264302
-rect 373128 264194 373156 269078
-rect 372944 264166 373156 264194
-rect 371920 253972 371972 253978
-rect 371920 253914 371972 253920
-rect 372104 253972 372156 253978
-rect 372104 253914 372156 253920
-rect 371932 244202 371960 253914
-rect 372944 249830 372972 264166
-rect 372932 249824 372984 249830
-rect 372932 249766 372984 249772
-rect 373116 249824 373168 249830
-rect 373116 249766 373168 249772
-rect 371840 244174 371960 244202
-rect 371840 234734 371868 244174
-rect 371828 234728 371880 234734
-rect 371828 234670 371880 234676
-rect 371736 234592 371788 234598
-rect 371736 234534 371788 234540
-rect 371748 231849 371776 234534
-rect 373128 231849 373156 249766
-rect 371550 231840 371606 231849
-rect 371550 231775 371606 231784
-rect 371734 231840 371790 231849
-rect 371734 231775 371790 231784
-rect 373114 231840 373170 231849
-rect 373114 231775 373170 231784
-rect 373298 231840 373354 231849
-rect 373298 231775 373354 231784
-rect 371564 222222 371592 231775
-rect 371552 222216 371604 222222
-rect 371552 222158 371604 222164
-rect 371828 222216 371880 222222
-rect 373312 222170 373340 231775
-rect 371828 222158 371880 222164
-rect 371840 217274 371868 222158
-rect 373220 222142 373340 222170
-rect 373220 220794 373248 222142
-rect 373208 220788 373260 220794
-rect 373208 220730 373260 220736
-rect 371840 217246 371960 217274
-rect 371932 206258 371960 217246
-rect 373208 212492 373260 212498
-rect 373208 212434 373260 212440
-rect 371840 206230 371960 206258
-rect 371840 201498 371868 206230
-rect 373220 203538 373248 212434
-rect 373128 203510 373248 203538
-rect 371840 201470 372052 201498
-rect 372024 200122 372052 201470
-rect 373128 200122 373156 203510
-rect 372012 200116 372064 200122
-rect 372012 200058 372064 200064
-rect 373116 200116 373168 200122
-rect 373116 200058 373168 200064
-rect 372012 191276 372064 191282
-rect 372012 191218 372064 191224
-rect 372024 180810 372052 191218
-rect 373024 190596 373076 190602
-rect 373024 190538 373076 190544
-rect 373036 185586 373064 190538
-rect 373036 185558 373248 185586
-rect 373220 180826 373248 185558
-rect 371920 180804 371972 180810
-rect 371920 180746 371972 180752
-rect 372012 180804 372064 180810
-rect 373220 180798 373340 180826
-rect 372012 180746 372064 180752
-rect 370262 179616 370318 179625
-rect 370318 179574 370488 179602
-rect 370262 179551 370318 179560
-rect 370460 179489 370488 179574
-rect 370446 179480 370502 179489
-rect 370446 179415 370502 179424
-rect 371932 179382 371960 180746
-rect 371920 179376 371972 179382
-rect 371920 179318 371972 179324
-rect 373312 172553 373340 180798
-rect 373114 172544 373170 172553
-rect 373114 172479 373170 172488
-rect 373298 172544 373354 172553
-rect 373298 172479 373354 172488
-rect 372012 161492 372064 161498
-rect 372012 161434 372064 161440
-rect 372024 153270 372052 161434
-rect 372012 153264 372064 153270
-rect 372012 153206 372064 153212
-rect 373128 153202 373156 172479
-rect 371920 153196 371972 153202
-rect 371920 153138 371972 153144
-rect 373116 153196 373168 153202
-rect 373116 153138 373168 153144
-rect 371932 148322 371960 153138
-rect 371840 148294 371960 148322
-rect 371840 138038 371868 148294
-rect 373116 145172 373168 145178
-rect 373116 145114 373168 145120
-rect 373128 143546 373156 145114
-rect 373024 143540 373076 143546
-rect 373024 143482 373076 143488
-rect 373116 143540 373168 143546
-rect 373116 143482 373168 143488
-rect 371828 138032 371880 138038
-rect 371828 137974 371880 137980
-rect 371920 137964 371972 137970
-rect 371920 137906 371972 137912
-rect 371932 135250 371960 137906
-rect 371920 135244 371972 135250
-rect 371920 135186 371972 135192
-rect 373036 133929 373064 143482
-rect 373022 133920 373078 133929
-rect 373022 133855 373078 133864
-rect 373298 133920 373354 133929
-rect 373298 133855 373354 133864
-rect 371920 128308 371972 128314
-rect 371920 128250 371972 128256
-rect 371932 125610 371960 128250
-rect 373312 125769 373340 133855
-rect 373298 125760 373354 125769
-rect 373298 125695 373354 125704
-rect 373114 125624 373170 125633
-rect 371932 125582 372052 125610
-rect 372024 120578 372052 125582
-rect 373114 125559 373170 125568
-rect 373128 124166 373156 125559
-rect 373116 124160 373168 124166
-rect 373116 124102 373168 124108
-rect 371932 120550 372052 120578
-rect 371932 104922 371960 120550
-rect 373116 114572 373168 114578
-rect 373116 114514 373168 114520
-rect 371828 104916 371880 104922
-rect 371828 104858 371880 104864
-rect 371920 104916 371972 104922
-rect 371920 104858 371972 104864
-rect 371840 104802 371868 104858
-rect 373128 104854 373156 114514
-rect 373116 104848 373168 104854
-rect 371840 104774 372052 104802
-rect 373116 104790 373168 104796
-rect 372024 95334 372052 104774
-rect 371920 95328 371972 95334
-rect 371920 95270 371972 95276
-rect 372012 95328 372064 95334
-rect 372012 95270 372064 95276
-rect 373116 95328 373168 95334
-rect 373116 95270 373168 95276
-rect 371932 95130 371960 95270
-rect 371920 95124 371972 95130
-rect 371920 95066 371972 95072
-rect 372012 85604 372064 85610
-rect 372012 85546 372064 85552
-rect 372024 85513 372052 85546
-rect 373128 85542 373156 95270
-rect 373116 85536 373168 85542
-rect 371826 85504 371882 85513
-rect 371826 85439 371882 85448
-rect 372010 85504 372066 85513
-rect 373116 85478 373168 85484
-rect 372010 85439 372066 85448
-rect 371840 80050 371868 85439
-rect 371840 80022 371960 80050
-rect 371932 72214 371960 80022
-rect 373116 75948 373168 75954
-rect 373116 75890 373168 75896
-rect 371920 72208 371972 72214
-rect 371920 72150 371972 72156
-rect 372104 72208 372156 72214
-rect 372104 72150 372156 72156
-rect 372116 66314 372144 72150
-rect 373128 71482 373156 75890
-rect 373128 71454 373248 71482
-rect 372024 66286 372144 66314
-rect 373220 66298 373248 71454
-rect 373208 66292 373260 66298
-rect 372024 64870 372052 66286
-rect 373208 66234 373260 66240
-rect 373300 66292 373352 66298
-rect 373300 66234 373352 66240
-rect 372012 64864 372064 64870
-rect 372012 64806 372064 64812
-rect 373312 56710 373340 66234
-rect 373116 56704 373168 56710
-rect 373116 56646 373168 56652
-rect 373300 56704 373352 56710
-rect 373300 56646 373352 56652
-rect 371920 55276 371972 55282
-rect 371920 55218 371972 55224
-rect 371932 51762 371960 55218
-rect 373128 51762 373156 56646
-rect 371932 51734 372052 51762
-rect 370262 38856 370318 38865
-rect 370318 38814 370488 38842
-rect 370262 38791 370318 38800
-rect 370460 38729 370488 38814
-rect 370446 38720 370502 38729
-rect 370446 38655 370502 38664
-rect 372024 37330 372052 51734
-rect 373036 51734 373156 51762
-rect 373036 37330 373064 51734
-rect 371920 37324 371972 37330
-rect 371920 37266 371972 37272
-rect 372012 37324 372064 37330
-rect 372012 37266 372064 37272
-rect 373024 37324 373076 37330
-rect 373024 37266 373076 37272
-rect 373116 37324 373168 37330
-rect 373116 37266 373168 37272
-rect 371932 37210 371960 37266
-rect 371932 37182 372052 37210
-rect 372024 22114 372052 37182
-rect 367596 22092 367648 22098
-rect 367596 22034 367648 22040
-rect 368332 22092 368384 22098
-rect 368332 22034 368384 22040
-rect 368976 22092 369028 22098
-rect 368976 22034 369028 22040
-rect 369528 22092 369580 22098
-rect 369528 22034 369580 22040
-rect 371840 22086 372052 22114
-rect 368344 21978 368372 22034
-rect 369540 21978 369568 22034
-rect 371840 21978 371868 22086
-rect 368344 21950 368464 21978
-rect 369540 21950 369660 21978
-rect 371840 21950 372052 21978
-rect 367412 8492 367464 8498
-rect 367412 8434 367464 8440
-rect 367424 480 367452 8434
-rect 368436 4162 368464 21950
-rect 368436 4134 368556 4162
-rect 368528 480 368556 4134
-rect 369632 2530 369660 21950
-rect 370816 8560 370868 8566
-rect 370816 8502 370868 8508
-rect 370356 8424 370408 8430
-rect 370356 8366 370408 8372
-rect 370368 7721 370396 8366
-rect 370354 7712 370410 7721
-rect 370354 7647 370410 7656
-rect 370356 6180 370408 6186
-rect 370356 6122 370408 6128
-rect 370448 6180 370500 6186
-rect 370448 6122 370500 6128
-rect 370368 5953 370396 6122
-rect 370460 6089 370488 6122
-rect 370446 6080 370502 6089
-rect 370446 6015 370502 6024
-rect 370354 5944 370410 5953
-rect 370354 5879 370410 5888
-rect 370828 4978 370856 8502
-rect 371000 5636 371052 5642
-rect 371000 5578 371052 5584
-rect 370908 5568 370960 5574
-rect 370908 5510 370960 5516
-rect 370920 5137 370948 5510
-rect 370906 5128 370962 5137
-rect 370906 5063 370962 5072
-rect 371012 5001 371040 5578
-rect 370998 4992 371054 5001
-rect 370828 4950 370948 4978
-rect 370632 3596 370684 3602
-rect 370632 3538 370684 3544
-rect 370172 3528 370224 3534
-rect 370264 3528 370316 3534
-rect 370172 3470 370224 3476
-rect 370262 3496 370264 3505
-rect 370540 3528 370592 3534
-rect 370316 3496 370318 3505
-rect 370184 3233 370212 3470
-rect 370540 3470 370592 3476
-rect 370262 3431 370318 3440
-rect 370170 3224 370226 3233
-rect 370170 3159 370226 3168
-rect 370552 3097 370580 3470
-rect 370644 3233 370672 3538
-rect 370630 3224 370686 3233
-rect 370630 3159 370686 3168
-rect 370538 3088 370594 3097
-rect 370538 3023 370594 3032
-rect 369632 2502 369752 2530
-rect 369724 480 369752 2502
-rect 370920 480 370948 4950
-rect 370998 4927 371054 4936
-rect 372024 2530 372052 21950
-rect 373128 17882 373156 37266
-rect 373116 17876 373168 17882
-rect 373116 17818 373168 17824
-rect 374508 8430 374536 297434
-rect 375876 132592 375928 132598
-rect 375874 132560 375876 132569
-rect 375928 132560 375930 132569
-rect 375874 132495 375930 132504
-rect 377348 38752 377400 38758
-rect 377346 38720 377348 38729
-rect 377400 38720 377402 38729
-rect 377346 38655 377402 38664
-rect 378084 8832 378136 8838
-rect 378084 8774 378136 8780
-rect 374588 8628 374640 8634
-rect 374588 8570 374640 8576
-rect 373116 8424 373168 8430
-rect 373116 8366 373168 8372
-rect 374496 8424 374548 8430
-rect 374496 8366 374548 8372
-rect 373128 2802 373156 8366
-rect 374600 8242 374628 8570
-rect 375692 8424 375744 8430
-rect 375692 8366 375744 8372
-rect 373036 2774 373156 2802
-rect 374508 8214 374628 8242
-rect 373036 2530 373064 2774
-rect 372024 2502 372144 2530
-rect 373036 2502 373340 2530
-rect 372116 480 372144 2502
-rect 373312 480 373340 2502
-rect 374508 480 374536 8214
-rect 375138 3496 375194 3505
-rect 375138 3431 375194 3440
-rect 375152 2961 375180 3431
-rect 375138 2952 375194 2961
-rect 375138 2887 375194 2896
-rect 375704 480 375732 8366
-rect 376886 5944 376942 5953
-rect 376886 5879 376942 5888
-rect 375782 3360 375838 3369
-rect 375966 3360 376022 3369
-rect 375838 3318 375966 3346
-rect 375782 3295 375838 3304
-rect 375966 3295 376022 3304
-rect 376900 480 376928 5879
-rect 378096 480 378124 8774
-rect 378648 610 378676 337690
-rect 385536 337680 385588 337686
-rect 385536 337622 385588 337628
-rect 385548 319161 385576 337622
-rect 392436 337612 392488 337618
-rect 392436 337554 392488 337560
-rect 392448 336705 392476 337554
-rect 400716 337544 400768 337550
-rect 400716 337486 400768 337492
-rect 392250 336696 392306 336705
-rect 392250 336631 392306 336640
-rect 392434 336696 392490 336705
-rect 392434 336631 392490 336640
-rect 392264 327146 392292 336631
-rect 392252 327140 392304 327146
-rect 392252 327082 392304 327088
-rect 392436 327140 392488 327146
-rect 392436 327082 392488 327088
-rect 385534 319152 385590 319161
-rect 385534 319087 385590 319096
-rect 385534 318880 385590 318889
-rect 385534 318815 385590 318824
-rect 385548 317422 385576 318815
-rect 392448 317422 392476 327082
-rect 399336 323604 399388 323610
-rect 399336 323546 399388 323552
-rect 395196 319592 395248 319598
-rect 395196 319534 395248 319540
-rect 385536 317416 385588 317422
-rect 385536 317358 385588 317364
-rect 392436 317416 392488 317422
-rect 392436 317358 392488 317364
-rect 385536 307828 385588 307834
-rect 385536 307770 385588 307776
-rect 392436 307828 392488 307834
-rect 392436 307770 392488 307776
-rect 385548 298081 385576 307770
-rect 392448 298081 392476 307770
-rect 385534 298072 385590 298081
-rect 385534 298007 385590 298016
-rect 385718 298072 385774 298081
-rect 385718 298007 385774 298016
-rect 392434 298072 392490 298081
-rect 392434 298007 392490 298016
-rect 392618 298072 392674 298081
-rect 392618 298007 392674 298016
-rect 385732 288454 385760 298007
-rect 392632 288454 392660 298007
-rect 385536 288448 385588 288454
-rect 385536 288390 385588 288396
-rect 385720 288448 385772 288454
-rect 385720 288390 385772 288396
-rect 392436 288448 392488 288454
-rect 392436 288390 392488 288396
-rect 392620 288448 392672 288454
-rect 392620 288390 392672 288396
-rect 385548 278769 385576 288390
-rect 392448 278769 392476 288390
-rect 385534 278760 385590 278769
-rect 385534 278695 385590 278704
-rect 385718 278760 385774 278769
-rect 385718 278695 385774 278704
-rect 392434 278760 392490 278769
-rect 392434 278695 392490 278704
-rect 392618 278760 392674 278769
-rect 392618 278695 392674 278704
-rect 385732 269142 385760 278695
-rect 392632 269142 392660 278695
-rect 385536 269136 385588 269142
-rect 385536 269078 385588 269084
-rect 385720 269136 385772 269142
-rect 385720 269078 385772 269084
-rect 392436 269136 392488 269142
-rect 392436 269078 392488 269084
-rect 392620 269136 392672 269142
-rect 392620 269078 392672 269084
-rect 385548 259457 385576 269078
-rect 392448 259457 392476 269078
-rect 385534 259448 385590 259457
-rect 385534 259383 385590 259392
-rect 385718 259448 385774 259457
-rect 385718 259383 385774 259392
-rect 392434 259448 392490 259457
-rect 392434 259383 392490 259392
-rect 392618 259448 392674 259457
-rect 392618 259383 392674 259392
-rect 385732 249830 385760 259383
-rect 392632 249830 392660 259383
-rect 385536 249824 385588 249830
-rect 385536 249766 385588 249772
-rect 385720 249824 385772 249830
-rect 385720 249766 385772 249772
-rect 392436 249824 392488 249830
-rect 392436 249766 392488 249772
-rect 392620 249824 392672 249830
-rect 392620 249766 392672 249772
-rect 384062 242176 384118 242185
-rect 384062 242111 384118 242120
-rect 384076 241913 384104 242111
-rect 384062 241904 384118 241913
-rect 384062 241839 384118 241848
-rect 385548 240145 385576 249766
-rect 392448 240145 392476 249766
-rect 385534 240136 385590 240145
-rect 385534 240071 385590 240080
-rect 385718 240136 385774 240145
-rect 385718 240071 385774 240080
-rect 392434 240136 392490 240145
-rect 392434 240071 392490 240080
-rect 392618 240136 392674 240145
-rect 392618 240071 392674 240080
-rect 385732 230518 385760 240071
-rect 392632 230518 392660 240071
-rect 385536 230512 385588 230518
-rect 385536 230454 385588 230460
-rect 385720 230512 385772 230518
-rect 385720 230454 385772 230460
-rect 392436 230512 392488 230518
-rect 392436 230454 392488 230460
-rect 392620 230512 392672 230518
-rect 392620 230454 392672 230460
-rect 385548 220833 385576 230454
-rect 392448 220833 392476 230454
-rect 385534 220824 385590 220833
-rect 385534 220759 385590 220768
-rect 385718 220824 385774 220833
-rect 385718 220759 385774 220768
-rect 392434 220824 392490 220833
-rect 392434 220759 392490 220768
-rect 392618 220824 392674 220833
-rect 392618 220759 392674 220768
-rect 385732 211206 385760 220759
-rect 392632 211206 392660 220759
-rect 385536 211200 385588 211206
-rect 385536 211142 385588 211148
-rect 385720 211200 385772 211206
-rect 385720 211142 385772 211148
-rect 392436 211200 392488 211206
-rect 392436 211142 392488 211148
-rect 392620 211200 392672 211206
-rect 392620 211142 392672 211148
-rect 385548 201482 385576 211142
-rect 392448 201482 392476 211142
-rect 385536 201476 385588 201482
-rect 385536 201418 385588 201424
-rect 385720 201476 385772 201482
-rect 385720 201418 385772 201424
-rect 392436 201476 392488 201482
-rect 392436 201418 392488 201424
-rect 392620 201476 392672 201482
-rect 392620 201418 392672 201424
-rect 385732 191865 385760 201418
-rect 392632 191865 392660 201418
-rect 385534 191856 385590 191865
-rect 385534 191791 385590 191800
-rect 385718 191856 385774 191865
-rect 385718 191791 385774 191800
-rect 392434 191856 392490 191865
-rect 392434 191791 392490 191800
-rect 392618 191856 392674 191865
-rect 392618 191791 392674 191800
-rect 385548 182170 385576 191791
-rect 392448 182170 392476 191791
-rect 385536 182164 385588 182170
-rect 385536 182106 385588 182112
-rect 385720 182164 385772 182170
-rect 385720 182106 385772 182112
-rect 392436 182164 392488 182170
-rect 392436 182106 392488 182112
-rect 392620 182164 392672 182170
-rect 392620 182106 392672 182112
-rect 380106 179616 380162 179625
-rect 379936 179574 380106 179602
-rect 379936 179489 379964 179574
-rect 380106 179551 380162 179560
-rect 379922 179480 379978 179489
-rect 379922 179415 379978 179424
-rect 385732 172553 385760 182106
-rect 391698 179888 391754 179897
-rect 391698 179823 391754 179832
-rect 391712 179489 391740 179823
-rect 391698 179480 391754 179489
-rect 391698 179415 391754 179424
-rect 392632 172553 392660 182106
-rect 385534 172544 385590 172553
-rect 385534 172479 385590 172488
-rect 385718 172544 385774 172553
-rect 385718 172479 385774 172488
-rect 392434 172544 392490 172553
-rect 392434 172479 392490 172488
-rect 392618 172544 392674 172553
-rect 392618 172479 392674 172488
-rect 385548 164529 385576 172479
-rect 392448 164529 392476 172479
-rect 385534 164520 385590 164529
-rect 385534 164455 385590 164464
-rect 392434 164520 392490 164529
-rect 392434 164455 392490 164464
-rect 382040 164416 382092 164422
-rect 382040 164358 382092 164364
-rect 385812 164416 385864 164422
-rect 385812 164358 385864 164364
-rect 391700 164416 391752 164422
-rect 391700 164358 391752 164364
-rect 392712 164416 392764 164422
-rect 392712 164358 392764 164364
-rect 382052 164257 382080 164358
-rect 385824 164257 385852 164358
-rect 391712 164257 391740 164358
-rect 392724 164257 392752 164358
-rect 382038 164248 382094 164257
-rect 382038 164183 382094 164192
-rect 385534 164248 385590 164257
-rect 385534 164183 385590 164192
-rect 385810 164248 385866 164257
-rect 385810 164183 385866 164192
-rect 391698 164248 391754 164257
-rect 391698 164183 391754 164192
-rect 392434 164248 392490 164257
-rect 392434 164183 392490 164192
-rect 392710 164248 392766 164257
-rect 392710 164183 392766 164192
-rect 385548 162858 385576 164183
-rect 392448 162858 392476 164183
-rect 385536 162852 385588 162858
-rect 385536 162794 385588 162800
-rect 392436 162852 392488 162858
-rect 392436 162794 392488 162800
-rect 385536 153264 385588 153270
-rect 385536 153206 385588 153212
-rect 392436 153264 392488 153270
-rect 392436 153206 392488 153212
-rect 385548 143546 385576 153206
-rect 392448 143546 392476 153206
-rect 385536 143540 385588 143546
-rect 385536 143482 385588 143488
-rect 392436 143540 392488 143546
-rect 392436 143482 392488 143488
-rect 385536 133952 385588 133958
-rect 385536 133894 385588 133900
-rect 392436 133952 392488 133958
-rect 392436 133894 392488 133900
-rect 385442 132696 385498 132705
-rect 385442 132631 385498 132640
-rect 385456 132598 385484 132631
-rect 385444 132592 385496 132598
-rect 385444 132534 385496 132540
-rect 385548 124166 385576 133894
-rect 390318 132968 390374 132977
-rect 390318 132903 390374 132912
-rect 390332 132569 390360 132903
-rect 390318 132560 390374 132569
-rect 390318 132495 390374 132504
-rect 392448 124166 392476 133894
-rect 385536 124160 385588 124166
-rect 385536 124102 385588 124108
-rect 392436 124160 392488 124166
-rect 392436 124102 392488 124108
-rect 385536 114572 385588 114578
-rect 385536 114514 385588 114520
-rect 392436 114572 392488 114578
-rect 392436 114514 392488 114520
-rect 385548 104854 385576 114514
-rect 392448 104854 392476 114514
-rect 385536 104848 385588 104854
-rect 385536 104790 385588 104796
-rect 392436 104848 392488 104854
-rect 392436 104790 392488 104796
-rect 386822 101416 386878 101425
-rect 386822 101351 386878 101360
-rect 386836 101017 386864 101351
-rect 391698 101280 391754 101289
-rect 391698 101215 391754 101224
-rect 386822 101008 386878 101017
-rect 386822 100943 386878 100952
-rect 391712 100881 391740 101215
-rect 391698 100872 391754 100881
-rect 391698 100807 391754 100816
-rect 385536 95328 385588 95334
-rect 385536 95270 385588 95276
-rect 392436 95328 392488 95334
-rect 392436 95270 392488 95276
-rect 385548 85542 385576 95270
-rect 392448 85542 392476 95270
-rect 385536 85536 385588 85542
-rect 385536 85478 385588 85484
-rect 392436 85536 392488 85542
-rect 392436 85478 392488 85484
-rect 385536 75948 385588 75954
-rect 385536 75890 385588 75896
-rect 392436 75948 392488 75954
-rect 392436 75890 392488 75896
-rect 385548 66230 385576 75890
-rect 392448 66230 392476 75890
-rect 385536 66224 385588 66230
-rect 385536 66166 385588 66172
-rect 392436 66224 392488 66230
-rect 392436 66166 392488 66172
-rect 385536 56704 385588 56710
-rect 385536 56646 385588 56652
-rect 392436 56704 392488 56710
-rect 392436 56646 392488 56652
-rect 385548 46918 385576 56646
-rect 392448 46918 392476 56646
-rect 385536 46912 385588 46918
-rect 385536 46854 385588 46860
-rect 392436 46912 392488 46918
-rect 392436 46854 392488 46860
-rect 392528 46708 392580 46714
-rect 392528 46650 392580 46656
-rect 382038 38856 382094 38865
-rect 382038 38791 382094 38800
-rect 389582 38856 389638 38865
-rect 389638 38814 389808 38842
-rect 389582 38791 389638 38800
-rect 382052 38758 382080 38791
-rect 382040 38752 382092 38758
-rect 389780 38729 389808 38814
-rect 382040 38694 382092 38700
-rect 389766 38720 389822 38729
-rect 389766 38655 389822 38664
-rect 385536 37324 385588 37330
-rect 385536 37266 385588 37272
-rect 385548 27606 385576 37266
-rect 392540 29209 392568 46650
-rect 392526 29200 392582 29209
-rect 392526 29135 392582 29144
-rect 392434 29064 392490 29073
-rect 392434 28999 392490 29008
-rect 392448 27606 392476 28999
-rect 385536 27600 385588 27606
-rect 385536 27542 385588 27548
-rect 385996 27600 386048 27606
-rect 385996 27542 386048 27548
-rect 392436 27600 392488 27606
-rect 392436 27542 392488 27548
-rect 392620 27600 392672 27606
-rect 392620 27542 392672 27548
-rect 386008 9761 386036 27542
-rect 392632 18057 392660 27542
-rect 392342 18048 392398 18057
-rect 392342 17983 392398 17992
-rect 392618 18048 392674 18057
-rect 392618 17983 392674 17992
-rect 392356 15502 392384 17983
-rect 392344 15496 392396 15502
-rect 392344 15438 392396 15444
-rect 393540 15496 393592 15502
-rect 393540 15438 393592 15444
-rect 385994 9752 386050 9761
-rect 385994 9687 386050 9696
-rect 386362 9752 386418 9761
-rect 386362 9687 386418 9696
-rect 385168 9648 385220 9654
-rect 385168 9590 385220 9596
-rect 381672 8900 381724 8906
-rect 381672 8842 381724 8848
-rect 380476 2508 380528 2514
-rect 380476 2450 380528 2456
-rect 378636 604 378688 610
-rect 378636 546 378688 552
-rect 379280 604 379332 610
-rect 379280 546 379332 552
-rect 379292 480 379320 546
-rect 380488 480 380516 2450
-rect 381684 480 381712 8842
-rect 382868 2644 382920 2650
-rect 382868 2586 382920 2592
-rect 382880 480 382908 2586
-rect 384064 2440 384116 2446
-rect 384064 2382 384116 2388
-rect 384076 480 384104 2382
-rect 385180 480 385208 9590
-rect 386376 480 386404 9687
-rect 388756 9580 388808 9586
-rect 388756 9522 388808 9528
-rect 387560 5704 387612 5710
-rect 387560 5646 387612 5652
-rect 387572 480 387600 5646
-rect 388768 480 388796 9522
-rect 392344 9512 392396 9518
-rect 392344 9454 392396 9460
-rect 389858 7032 389914 7041
-rect 389858 6967 389914 6976
-rect 389872 6633 389900 6967
-rect 389858 6624 389914 6633
-rect 389858 6559 389914 6568
-rect 391148 5772 391200 5778
-rect 391148 5714 391200 5720
-rect 389952 3460 390004 3466
-rect 389952 3402 390004 3408
-rect 389964 480 389992 3402
-rect 391160 480 391188 5714
-rect 392356 480 392384 9454
-rect 392434 3360 392490 3369
-rect 392434 3295 392490 3304
-rect 392448 2961 392476 3295
-rect 392434 2952 392490 2961
-rect 392434 2887 392490 2896
-rect 393552 480 393580 15438
-rect 394736 5840 394788 5846
-rect 394736 5782 394788 5788
-rect 394748 480 394776 5782
-rect 395208 610 395236 319534
-rect 399244 132864 399296 132870
-rect 399244 132806 399296 132812
-rect 399256 132705 399284 132806
-rect 399242 132696 399298 132705
-rect 399242 132631 399298 132640
-rect 399244 38888 399296 38894
-rect 399242 38856 399244 38865
-rect 399296 38856 399298 38865
-rect 399242 38791 399298 38800
-rect 398324 5908 398376 5914
-rect 398324 5850 398376 5856
-rect 397128 2848 397180 2854
-rect 397128 2790 397180 2796
-rect 395196 604 395248 610
-rect 395196 546 395248 552
-rect 395932 604 395984 610
-rect 395932 546 395984 552
-rect 395944 480 395972 546
-rect 397140 480 397168 2790
-rect 398336 480 398364 5850
-rect 399348 626 399376 323546
-rect 399428 8424 399480 8430
-rect 399428 8366 399480 8372
-rect 399440 7721 399468 8366
-rect 399426 7712 399482 7721
-rect 399426 7647 399482 7656
-rect 399348 598 399560 626
-rect 399532 480 399560 598
-rect 400728 480 400756 337486
-rect 407616 337476 407668 337482
-rect 407616 337418 407668 337424
-rect 406236 318164 406288 318170
-rect 406236 318106 406288 318112
-rect 402096 312656 402148 312662
-rect 402096 312598 402148 312604
-rect 401820 6112 401872 6118
-rect 401820 6054 401872 6060
-rect 401832 480 401860 6054
-rect 402002 3224 402058 3233
-rect 402002 3159 402058 3168
-rect 402016 2961 402044 3159
-rect 402002 2952 402058 2961
-rect 402002 2887 402058 2896
-rect 402108 610 402136 312598
-rect 406144 132864 406196 132870
-rect 406142 132832 406144 132841
-rect 406196 132832 406198 132841
-rect 406142 132767 406198 132776
-rect 406142 38992 406198 39001
-rect 406142 38927 406198 38936
-rect 406156 38894 406184 38927
-rect 406144 38888 406196 38894
-rect 406144 38830 406196 38836
-rect 405408 6860 405460 6866
-rect 405408 6802 405460 6808
-rect 404212 2848 404264 2854
-rect 404212 2790 404264 2796
-rect 402096 604 402148 610
-rect 402096 546 402148 552
-rect 403016 604 403068 610
-rect 403016 546 403068 552
-rect 403028 480 403056 546
-rect 404224 480 404252 2790
-rect 405420 480 405448 6802
-rect 406248 610 406276 318106
-rect 406878 3224 406934 3233
-rect 406878 3159 406934 3168
-rect 406892 2990 406920 3159
-rect 406880 2984 406932 2990
-rect 406880 2926 406932 2932
-rect 407628 626 407656 337418
-rect 414516 337408 414568 337414
-rect 414516 337350 414568 337356
-rect 413136 315376 413188 315382
-rect 413136 315318 413188 315324
-rect 408996 286408 409048 286414
-rect 408996 286350 409048 286356
-rect 409008 11218 409036 286350
-rect 413148 14498 413176 315318
-rect 414528 19310 414556 337350
-rect 580392 336660 580444 336666
-rect 580392 336602 580444 336608
-rect 580404 336297 580432 336602
-rect 580390 336288 580446 336297
-rect 580390 336223 580446 336232
-rect 454536 336048 454588 336054
-rect 454536 335990 454588 335996
-rect 447636 329248 447688 329254
-rect 447636 329190 447688 329196
-rect 425462 320512 425518 320521
-rect 425462 320447 425518 320456
-rect 444782 320512 444838 320521
-rect 444782 320447 444838 320456
-rect 418562 320376 418618 320385
-rect 425476 320346 425504 320447
-rect 437882 320376 437938 320385
-rect 418562 320311 418564 320320
-rect 418616 320311 418618 320320
-rect 425464 320340 425516 320346
-rect 418564 320282 418616 320288
-rect 444796 320346 444824 320447
-rect 437882 320311 437884 320320
-rect 425464 320282 425516 320288
-rect 437936 320311 437938 320320
-rect 444784 320340 444836 320346
-rect 437884 320282 437936 320288
-rect 444784 320282 444836 320288
-rect 420036 316736 420088 316742
-rect 420036 316678 420088 316684
-rect 417368 283688 417420 283694
-rect 417368 283630 417420 283636
-rect 414516 19304 414568 19310
-rect 414516 19246 414568 19252
-rect 414884 19304 414936 19310
-rect 414884 19246 414936 19252
-rect 413148 14470 413268 14498
-rect 408996 11212 409048 11218
-rect 408996 11154 409048 11160
-rect 410192 11212 410244 11218
-rect 410192 11154 410244 11160
-rect 408994 10568 409050 10577
-rect 408994 10503 408996 10512
-rect 409048 10503 409050 10512
-rect 408996 10474 409048 10480
-rect 409180 8424 409232 8430
-rect 409180 8366 409232 8372
-rect 409192 7721 409220 8366
-rect 409178 7712 409234 7721
-rect 409178 7647 409234 7656
-rect 408996 6792 409048 6798
-rect 408996 6734 409048 6740
-rect 406236 604 406288 610
-rect 406236 546 406288 552
-rect 406604 604 406656 610
-rect 407628 598 407840 626
-rect 406604 546 406656 552
-rect 406616 480 406644 546
-rect 407812 480 407840 598
-rect 409008 480 409036 6734
-rect 410204 480 410232 11154
-rect 413240 9761 413268 14470
-rect 414896 12322 414924 19246
-rect 414896 12294 415016 12322
-rect 413226 9752 413282 9761
-rect 413226 9687 413282 9696
-rect 413778 9752 413834 9761
-rect 413778 9687 413834 9696
-rect 411662 7440 411718 7449
-rect 411662 7375 411718 7384
-rect 413042 7440 413098 7449
-rect 413042 7375 413098 7384
-rect 411676 7177 411704 7375
-rect 411662 7168 411718 7177
-rect 411662 7103 411718 7112
-rect 413056 7041 413084 7375
-rect 413042 7032 413098 7041
-rect 413042 6967 413098 6976
-rect 412584 6724 412636 6730
-rect 412584 6666 412636 6672
-rect 411662 3360 411718 3369
-rect 411662 3295 411718 3304
-rect 411676 2990 411704 3295
-rect 411664 2984 411716 2990
-rect 411664 2926 411716 2932
-rect 411388 2916 411440 2922
-rect 411388 2858 411440 2864
-rect 411400 480 411428 2858
-rect 412596 480 412624 6666
-rect 413792 480 413820 9687
-rect 414528 3454 414648 3482
-rect 414528 3369 414556 3454
-rect 414514 3360 414570 3369
-rect 414514 3295 414570 3304
-rect 414620 2990 414648 3454
-rect 414608 2984 414660 2990
-rect 414608 2926 414660 2932
-rect 414988 480 415016 12294
-rect 416172 6656 416224 6662
-rect 416172 6598 416224 6604
-rect 416184 480 416212 6598
-rect 417380 480 417408 283630
-rect 418748 10464 418800 10470
-rect 418746 10432 418748 10441
-rect 418800 10432 418802 10441
-rect 418746 10367 418802 10376
-rect 419668 6452 419720 6458
-rect 419668 6394 419720 6400
-rect 418838 4720 418894 4729
-rect 418838 4655 418894 4664
-rect 418852 4146 418880 4655
-rect 418840 4140 418892 4146
-rect 418840 4082 418892 4088
-rect 418472 3052 418524 3058
-rect 418472 2994 418524 3000
-rect 418484 480 418512 2994
-rect 419680 480 419708 6394
-rect 420048 2854 420076 316678
-rect 440736 309868 440788 309874
-rect 440736 309810 440788 309816
-rect 424174 10568 424230 10577
-rect 424174 10503 424230 10512
-rect 424082 7032 424138 7041
-rect 424082 6967 424138 6976
-rect 424096 6798 424124 6967
-rect 424084 6792 424136 6798
-rect 424084 6734 424136 6740
-rect 423256 6384 423308 6390
-rect 423256 6326 423308 6332
-rect 422060 3120 422112 3126
-rect 422060 3062 422112 3068
-rect 420036 2848 420088 2854
-rect 420036 2790 420088 2796
-rect 420864 604 420916 610
-rect 420864 546 420916 552
-rect 420876 480 420904 546
-rect 422072 480 422100 3062
-rect 423268 480 423296 6326
-rect 424082 3224 424138 3233
-rect 424082 3159 424138 3168
-rect 424096 2990 424124 3159
-rect 424084 2984 424136 2990
-rect 424084 2926 424136 2932
-rect 424188 610 424216 10503
-rect 437976 9920 438028 9926
-rect 437976 9862 438028 9868
-rect 435124 9716 435176 9722
-rect 435124 9658 435176 9664
-rect 431076 9648 431128 9654
-rect 431076 9590 431128 9596
-rect 426936 9580 426988 9586
-rect 426936 9522 426988 9528
-rect 426844 6112 426896 6118
-rect 426844 6054 426896 6060
-rect 425648 3188 425700 3194
-rect 425648 3130 425700 3136
-rect 424176 604 424228 610
-rect 424176 546 424228 552
-rect 424452 604 424504 610
-rect 424452 546 424504 552
-rect 424464 480 424492 546
-rect 425660 480 425688 3130
-rect 426856 480 426884 6054
-rect 426948 610 426976 9522
-rect 428958 7168 429014 7177
-rect 428958 7103 429014 7112
-rect 428972 6798 429000 7103
-rect 428960 6792 429012 6798
-rect 428960 6734 429012 6740
-rect 430432 6248 430484 6254
-rect 430432 6190 430484 6196
-rect 429236 3392 429288 3398
-rect 429236 3334 429288 3340
-rect 426936 604 426988 610
-rect 426936 546 426988 552
-rect 428040 604 428092 610
-rect 428040 546 428092 552
-rect 428052 480 428080 546
-rect 429248 480 429276 3334
-rect 430444 480 430472 6190
-rect 431088 610 431116 9590
-rect 434020 6180 434072 6186
-rect 434020 6122 434072 6128
-rect 433558 3496 433614 3505
-rect 433558 3431 433614 3440
-rect 433742 3496 433798 3505
-rect 433742 3431 433798 3440
-rect 433572 3380 433600 3431
-rect 433756 3380 433784 3431
-rect 433572 3352 433784 3380
-rect 433836 3392 433888 3398
-rect 433834 3360 433836 3369
-rect 433888 3360 433890 3369
-rect 433834 3295 433890 3304
-rect 432824 3120 432876 3126
-rect 432824 3062 432876 3068
-rect 431076 604 431128 610
-rect 431076 546 431128 552
-rect 431628 604 431680 610
-rect 431628 546 431680 552
-rect 431640 480 431668 546
-rect 432836 480 432864 3062
-rect 434032 480 434060 6122
-rect 435136 480 435164 9658
-rect 437514 6216 437570 6225
-rect 437514 6151 437570 6160
-rect 436320 4072 436372 4078
-rect 436320 4014 436372 4020
-rect 436332 480 436360 4014
-rect 437528 480 437556 6151
-rect 437988 626 438016 9862
-rect 438252 8424 438304 8430
-rect 438252 8366 438304 8372
-rect 438264 7721 438292 8366
-rect 438250 7712 438306 7721
-rect 438250 7647 438306 7656
-rect 439908 4004 439960 4010
-rect 439908 3946 439960 3952
-rect 437988 598 438660 626
-rect 438632 592 438660 598
-rect 438632 564 438752 592
-rect 438724 480 438752 564
-rect 439920 480 439948 3946
-rect 440748 626 440776 309810
-rect 443588 307148 443640 307154
-rect 443588 307090 443640 307096
-rect 442116 9988 442168 9994
-rect 442116 9930 442168 9936
-rect 440748 598 441052 626
-rect 442128 610 442156 9930
-rect 443496 3936 443548 3942
-rect 443496 3878 443548 3884
-rect 441024 592 441052 598
-rect 442116 604 442168 610
-rect 441024 564 441144 592
-rect 441116 480 441144 564
-rect 442116 546 442168 552
-rect 442300 604 442352 610
-rect 442300 546 442352 552
-rect 442312 480 442340 546
-rect 443508 480 443536 3878
-rect 443600 610 443628 307090
-rect 444876 10056 444928 10062
-rect 444876 9998 444928 10004
-rect 444888 610 444916 9998
-rect 447084 3732 447136 3738
-rect 447084 3674 447136 3680
-rect 443588 604 443640 610
-rect 443588 546 443640 552
-rect 444692 604 444744 610
-rect 444692 546 444744 552
-rect 444876 604 444928 610
-rect 444876 546 444928 552
-rect 445888 604 445940 610
-rect 445888 546 445940 552
-rect 444704 480 444732 546
-rect 445900 480 445928 546
-rect 447096 480 447124 3674
-rect 447648 610 447676 329190
-rect 451776 304360 451828 304366
-rect 451776 304302 451828 304308
-rect 449016 10124 449068 10130
-rect 449016 10066 449068 10072
-rect 449028 610 449056 10066
-rect 450672 3664 450724 3670
-rect 450672 3606 450724 3612
-rect 447636 604 447688 610
-rect 447636 546 447688 552
-rect 448280 604 448332 610
-rect 448280 546 448332 552
-rect 449016 604 449068 610
-rect 449016 546 449068 552
-rect 449476 604 449528 610
-rect 449476 546 449528 552
-rect 448292 480 448320 546
-rect 449488 480 449516 546
-rect 450684 480 450712 3606
-rect 451684 3392 451736 3398
-rect 451684 3334 451736 3340
-rect 451696 3233 451724 3334
-rect 451682 3224 451738 3233
-rect 451682 3159 451738 3168
-rect 451788 480 451816 304302
-rect 451868 10192 451920 10198
-rect 451868 10134 451920 10140
-rect 451880 610 451908 10134
-rect 453246 7032 453302 7041
-rect 453246 6967 453302 6976
-rect 453260 6730 453288 6967
-rect 453248 6724 453300 6730
-rect 453248 6666 453300 6672
-rect 454168 3596 454220 3602
-rect 454168 3538 454220 3544
-rect 451868 604 451920 610
-rect 451868 546 451920 552
-rect 452972 604 453024 610
-rect 452972 546 453024 552
-rect 452984 480 453012 546
-rect 454180 480 454208 3538
-rect 454548 610 454576 335990
-rect 548376 334688 548428 334694
-rect 548376 334630 548428 334636
-rect 483516 333328 483568 333334
-rect 483516 333270 483568 333276
-rect 465576 326528 465628 326534
-rect 465576 326470 465628 326476
-rect 464102 320512 464158 320521
-rect 464102 320447 464158 320456
-rect 457202 320376 457258 320385
-rect 464116 320346 464144 320447
-rect 457202 320311 457204 320320
-rect 457256 320311 457258 320320
-rect 464104 320340 464156 320346
-rect 457204 320282 457256 320288
-rect 464104 320282 464156 320288
-rect 458676 301572 458728 301578
-rect 458676 301514 458728 301520
-rect 455916 10260 455968 10266
-rect 455916 10202 455968 10208
-rect 455928 626 455956 10202
-rect 457756 3528 457808 3534
-rect 457756 3470 457808 3476
-rect 454536 604 454588 610
-rect 454536 546 454588 552
-rect 455364 604 455416 610
-rect 455928 598 456508 626
-rect 456480 592 456508 598
-rect 456480 564 456600 592
-rect 455364 546 455416 552
-rect 455376 480 455404 546
-rect 456572 480 456600 564
-rect 457768 480 457796 3470
-rect 458688 626 458716 301514
-rect 461436 300212 461488 300218
-rect 461436 300154 461488 300160
-rect 460148 11008 460200 11014
-rect 460148 10950 460200 10956
-rect 458688 598 458900 626
-rect 458872 592 458900 598
-rect 458872 564 458992 592
-rect 458964 480 458992 564
-rect 460160 480 460188 10950
-rect 461344 3460 461396 3466
-rect 461344 3402 461396 3408
-rect 461356 480 461384 3402
-rect 461448 610 461476 300154
-rect 462816 10804 462868 10810
-rect 462816 10746 462868 10752
-rect 462722 7032 462778 7041
-rect 462722 6967 462778 6976
-rect 462736 6730 462764 6967
-rect 462724 6724 462776 6730
-rect 462724 6666 462776 6672
-rect 462722 3224 462778 3233
-rect 462722 3159 462778 3168
-rect 462736 3097 462764 3159
-rect 462722 3088 462778 3097
-rect 462722 3023 462778 3032
-rect 462828 610 462856 10746
-rect 464930 4040 464986 4049
-rect 464930 3975 464986 3984
-rect 461436 604 461488 610
-rect 461436 546 461488 552
-rect 462540 604 462592 610
-rect 462540 546 462592 552
-rect 462816 604 462868 610
-rect 462816 546 462868 552
-rect 463736 604 463788 610
-rect 463736 546 463788 552
-rect 462552 480 462580 546
-rect 463748 480 463776 546
-rect 464944 480 464972 3975
-rect 465588 610 465616 326470
-rect 483422 320512 483478 320521
-rect 483422 320447 483478 320456
-rect 476522 320376 476578 320385
-rect 483436 320346 483464 320447
-rect 476522 320311 476524 320320
-rect 476576 320311 476578 320320
-rect 483424 320340 483476 320346
-rect 476524 320282 476576 320288
-rect 483424 320282 483476 320288
-rect 483528 14618 483556 333270
-rect 520776 331968 520828 331974
-rect 520776 331910 520828 331916
-rect 486276 330608 486328 330614
-rect 486276 330550 486328 330556
-rect 483516 14612 483568 14618
-rect 483516 14554 483568 14560
-rect 483976 14612 484028 14618
-rect 483976 14554 484028 14560
-rect 466956 10736 467008 10742
-rect 466956 10678 467008 10684
-rect 466588 3392 466640 3398
-rect 466588 3334 466640 3340
-rect 466600 3233 466628 3334
-rect 466586 3224 466642 3233
-rect 466586 3159 466642 3168
-rect 466968 610 466996 10678
-rect 469716 10668 469768 10674
-rect 469716 10610 469768 10616
-rect 469624 6860 469676 6866
-rect 469624 6802 469676 6808
-rect 468426 3904 468482 3913
-rect 468426 3839 468482 3848
-rect 465576 604 465628 610
-rect 465576 546 465628 552
-rect 466128 604 466180 610
-rect 466128 546 466180 552
-rect 466956 604 467008 610
-rect 466956 546 467008 552
-rect 467324 604 467376 610
-rect 467324 546 467376 552
-rect 466140 480 466168 546
-rect 467336 480 467364 546
-rect 468440 480 468468 3839
-rect 469636 480 469664 6802
-rect 469728 610 469756 10610
-rect 474408 10600 474460 10606
-rect 474408 10542 474460 10548
-rect 473856 7200 473908 7206
-rect 473854 7168 473856 7177
-rect 474224 7200 474276 7206
-rect 473908 7168 473910 7177
-rect 473854 7103 473910 7112
-rect 474222 7168 474224 7177
-rect 474276 7168 474278 7177
-rect 474222 7103 474278 7112
-rect 473212 6792 473264 6798
-rect 473212 6734 473264 6740
-rect 472014 3768 472070 3777
-rect 472014 3703 472070 3712
-rect 469716 604 469768 610
-rect 469716 546 469768 552
-rect 470820 604 470872 610
-rect 470820 546 470872 552
-rect 470832 480 470860 546
-rect 472028 480 472056 3703
-rect 473224 480 473252 6734
-rect 474420 480 474448 10542
-rect 477996 10532 478048 10538
-rect 477996 10474 478048 10480
-rect 476800 6928 476852 6934
-rect 476800 6870 476852 6876
-rect 475602 3632 475658 3641
-rect 475602 3567 475658 3576
-rect 475616 480 475644 3567
-rect 476812 480 476840 6870
-rect 478008 480 478036 10474
-rect 481584 10464 481636 10470
-rect 481584 10406 481636 10412
-rect 480768 7126 480888 7154
-rect 480768 7041 480796 7126
-rect 480754 7032 480810 7041
-rect 480388 6996 480440 7002
-rect 480860 7002 480888 7126
-rect 480754 6967 480810 6976
-rect 480848 6996 480900 7002
-rect 480388 6938 480440 6944
-rect 480848 6938 480900 6944
-rect 479190 3496 479246 3505
-rect 479190 3431 479246 3440
-rect 479204 480 479232 3431
-rect 480400 480 480428 6938
-rect 480664 3392 480716 3398
-rect 480664 3334 480716 3340
-rect 480676 3233 480704 3334
-rect 480662 3224 480718 3233
-rect 480662 3159 480718 3168
-rect 481596 480 481624 10406
-rect 483514 7032 483570 7041
-rect 483514 6967 483516 6976
-rect 483568 6967 483570 6976
-rect 483516 6938 483568 6944
-rect 482778 3224 482834 3233
-rect 482778 3159 482834 3168
-rect 482792 480 482820 3159
-rect 483988 480 484016 14554
-rect 486288 7206 486316 330550
-rect 490416 327820 490468 327826
-rect 490416 327762 490468 327768
-rect 488300 320544 488352 320550
-rect 488298 320512 488300 320521
-rect 488352 320512 488354 320521
-rect 488298 320447 488354 320456
-rect 489864 11688 489916 11694
-rect 489864 11630 489916 11636
-rect 486458 10296 486514 10305
-rect 486458 10231 486514 10240
-rect 485080 7200 485132 7206
-rect 485080 7142 485132 7148
-rect 486276 7200 486328 7206
-rect 486276 7142 486328 7148
-rect 485092 480 485120 7142
-rect 486472 7018 486500 10231
-rect 488668 7268 488720 7274
-rect 488668 7210 488720 7216
-rect 487472 7200 487524 7206
-rect 487472 7142 487524 7148
-rect 486288 6990 486500 7018
-rect 486288 480 486316 6990
-rect 487484 480 487512 7142
-rect 488680 480 488708 7210
-rect 489876 480 489904 11630
-rect 490428 610 490456 327762
-rect 494556 325032 494608 325038
-rect 494556 324974 494608 324980
-rect 493084 320544 493136 320550
-rect 493082 320512 493084 320521
-rect 493136 320512 493138 320521
-rect 493082 320447 493138 320456
-rect 493176 12436 493228 12442
-rect 493176 12378 493228 12384
-rect 492256 7336 492308 7342
-rect 492256 7278 492308 7284
-rect 490416 604 490468 610
-rect 490416 546 490468 552
-rect 491060 604 491112 610
-rect 491060 546 491112 552
-rect 491072 480 491100 546
-rect 492268 480 492296 7278
-rect 493188 626 493216 12378
-rect 493188 598 493400 626
-rect 493372 592 493400 598
-rect 494568 592 494596 324974
-rect 497316 322312 497368 322318
-rect 497316 322254 497368 322260
-rect 495936 12368 495988 12374
-rect 495936 12310 495988 12316
-rect 495844 7404 495896 7410
-rect 495844 7346 495896 7352
-rect 493372 564 493492 592
-rect 494568 564 494688 592
-rect 493464 480 493492 564
-rect 494660 480 494688 564
-rect 495856 480 495884 7346
-rect 495948 610 495976 12310
-rect 497328 610 497356 322254
-rect 505594 320512 505650 320521
-rect 505650 320470 505728 320498
-rect 505594 320447 505650 320456
-rect 505700 320249 505728 320470
-rect 505686 320240 505742 320249
-rect 505686 320175 505742 320184
-rect 501456 319524 501508 319530
-rect 501456 319466 501508 319472
-rect 500076 12300 500128 12306
-rect 500076 12242 500128 12248
-rect 499432 7472 499484 7478
-rect 499432 7414 499484 7420
-rect 495936 604 495988 610
-rect 495936 546 495988 552
-rect 497040 604 497092 610
-rect 497040 546 497092 552
-rect 497316 604 497368 610
-rect 497316 546 497368 552
-rect 498236 604 498288 610
-rect 498236 546 498288 552
-rect 497052 480 497080 546
-rect 498248 480 498276 546
-rect 499444 480 499472 7414
-rect 500088 610 500116 12242
-rect 501468 610 501496 319466
-rect 504216 318096 504268 318102
-rect 504216 318038 504268 318044
-rect 504124 12232 504176 12238
-rect 504124 12174 504176 12180
-rect 502928 7540 502980 7546
-rect 502928 7482 502980 7488
-rect 500076 604 500128 610
-rect 500076 546 500128 552
-rect 500628 604 500680 610
-rect 500628 546 500680 552
-rect 501456 604 501508 610
-rect 501456 546 501508 552
-rect 501732 604 501784 610
-rect 501732 546 501784 552
-rect 500640 480 500668 546
-rect 501744 480 501772 546
-rect 502940 480 502968 7482
-rect 504136 480 504164 12174
-rect 504228 610 504256 318038
-rect 508356 315308 508408 315314
-rect 508356 315250 508408 315256
-rect 506976 279472 507028 279478
-rect 506976 279414 507028 279420
-rect 506516 8288 506568 8294
-rect 506516 8230 506568 8236
-rect 504216 604 504268 610
-rect 504216 546 504268 552
-rect 505320 604 505372 610
-rect 505320 546 505372 552
-rect 505332 480 505360 546
-rect 506528 480 506556 8230
-rect 506988 610 507016 279414
-rect 508368 610 508396 315250
-rect 513876 276684 513928 276690
-rect 513876 276626 513928 276632
-rect 513888 12442 513916 276626
-rect 513876 12436 513928 12442
-rect 513876 12378 513928 12384
-rect 514888 12436 514940 12442
-rect 514888 12378 514940 12384
-rect 511300 12164 511352 12170
-rect 511300 12106 511352 12112
-rect 510104 8084 510156 8090
-rect 510104 8026 510156 8032
-rect 506976 604 507028 610
-rect 506976 546 507028 552
-rect 507712 604 507764 610
-rect 507712 546 507764 552
-rect 508356 604 508408 610
-rect 508356 546 508408 552
-rect 508908 604 508960 610
-rect 508908 546 508960 552
-rect 507724 480 507752 546
-rect 508920 480 508948 546
-rect 510116 480 510144 8026
-rect 511022 7576 511078 7585
-rect 511022 7511 511078 7520
-rect 511036 7177 511064 7511
-rect 511022 7168 511078 7177
-rect 511022 7103 511078 7112
-rect 511312 480 511340 12106
-rect 513692 8016 513744 8022
-rect 513692 7958 513744 7964
-rect 512496 4072 512548 4078
-rect 512496 4014 512548 4020
-rect 512508 480 512536 4014
-rect 513704 480 513732 7958
-rect 514900 480 514928 12378
-rect 518384 12096 518436 12102
-rect 518384 12038 518436 12044
-rect 517280 7948 517332 7954
-rect 517280 7890 517332 7896
-rect 515256 4820 515308 4826
-rect 515256 4762 515308 4768
-rect 515348 4820 515400 4826
-rect 515348 4762 515400 4768
-rect 515268 4729 515296 4762
-rect 515254 4720 515310 4729
-rect 515254 4655 515310 4664
-rect 515360 4282 515388 4762
-rect 515348 4276 515400 4282
-rect 515348 4218 515400 4224
-rect 516084 4004 516136 4010
-rect 516084 3946 516136 3952
-rect 516096 480 516124 3946
-rect 517292 480 517320 7890
-rect 518396 480 518424 12038
-rect 520788 7546 520816 331910
-rect 530436 330540 530488 330546
-rect 530436 330482 530488 330488
-rect 529056 307080 529108 307086
-rect 529056 307022 529108 307028
-rect 529068 298081 529096 307022
-rect 528870 298072 528926 298081
-rect 528870 298007 528926 298016
-rect 529054 298072 529110 298081
-rect 529054 298007 529110 298016
-rect 528884 288454 528912 298007
-rect 528872 288448 528924 288454
-rect 528872 288390 528924 288396
-rect 529056 288448 529108 288454
-rect 529056 288390 529108 288396
-rect 529068 278769 529096 288390
-rect 528870 278760 528926 278769
-rect 528870 278695 528926 278704
-rect 529054 278760 529110 278769
-rect 529054 278695 529110 278704
-rect 528884 269142 528912 278695
-rect 528872 269136 528924 269142
-rect 528872 269078 528924 269084
-rect 529056 269136 529108 269142
-rect 529056 269078 529108 269084
-rect 529068 259457 529096 269078
-rect 528870 259448 528926 259457
-rect 528870 259383 528926 259392
-rect 529054 259448 529110 259457
-rect 529054 259383 529110 259392
-rect 528884 249830 528912 259383
-rect 528872 249824 528924 249830
-rect 528872 249766 528924 249772
-rect 529056 249824 529108 249830
-rect 529056 249766 529108 249772
-rect 529068 240145 529096 249766
-rect 528870 240136 528926 240145
-rect 528870 240071 528926 240080
-rect 529054 240136 529110 240145
-rect 529054 240071 529110 240080
-rect 528884 230518 528912 240071
-rect 528872 230512 528924 230518
-rect 528872 230454 528924 230460
-rect 529056 230512 529108 230518
-rect 529056 230454 529108 230460
-rect 529068 220833 529096 230454
-rect 528870 220824 528926 220833
-rect 528870 220759 528926 220768
-rect 529054 220824 529110 220833
-rect 529054 220759 529110 220768
-rect 528884 211206 528912 220759
-rect 528872 211200 528924 211206
-rect 528872 211142 528924 211148
-rect 529056 211200 529108 211206
-rect 529056 211142 529108 211148
-rect 529068 201482 529096 211142
-rect 528872 201476 528924 201482
-rect 528872 201418 528924 201424
-rect 529056 201476 529108 201482
-rect 529056 201418 529108 201424
-rect 528884 191865 528912 201418
-rect 528870 191856 528926 191865
-rect 528870 191791 528926 191800
-rect 529054 191856 529110 191865
-rect 529054 191791 529110 191800
-rect 529068 182170 529096 191791
-rect 528872 182164 528924 182170
-rect 528872 182106 528924 182112
-rect 529056 182164 529108 182170
-rect 529056 182106 529108 182112
-rect 528884 172553 528912 182106
-rect 528870 172544 528926 172553
-rect 528870 172479 528926 172488
-rect 529054 172544 529110 172553
-rect 529054 172479 529110 172488
-rect 529068 164529 529096 172479
-rect 529054 164520 529110 164529
-rect 529054 164455 529110 164464
-rect 526940 164416 526992 164422
-rect 526940 164358 526992 164364
-rect 529332 164416 529384 164422
-rect 529332 164358 529384 164364
-rect 526952 164257 526980 164358
-rect 529344 164257 529372 164358
-rect 526938 164248 526994 164257
-rect 526938 164183 526994 164192
-rect 529054 164248 529110 164257
-rect 529054 164183 529110 164192
-rect 529330 164248 529386 164257
-rect 529330 164183 529386 164192
-rect 529068 162858 529096 164183
-rect 529056 162852 529108 162858
-rect 529056 162794 529108 162800
-rect 529056 153264 529108 153270
-rect 529056 153206 529108 153212
-rect 529068 143546 529096 153206
-rect 529056 143540 529108 143546
-rect 529056 143482 529108 143488
-rect 529056 133952 529108 133958
-rect 529056 133894 529108 133900
-rect 529068 124166 529096 133894
-rect 529056 124160 529108 124166
-rect 529056 124102 529108 124108
-rect 529056 114572 529108 114578
-rect 529056 114514 529108 114520
-rect 529068 104854 529096 114514
-rect 529056 104848 529108 104854
-rect 529056 104790 529108 104796
-rect 529056 95328 529108 95334
-rect 529056 95270 529108 95276
-rect 529068 85542 529096 95270
-rect 529056 85536 529108 85542
-rect 529056 85478 529108 85484
-rect 529056 75948 529108 75954
-rect 529056 75890 529108 75896
-rect 529068 66230 529096 75890
-rect 529056 66224 529108 66230
-rect 529056 66166 529108 66172
-rect 529056 56704 529108 56710
-rect 529056 56646 529108 56652
-rect 529068 46918 529096 56646
-rect 529056 46912 529108 46918
-rect 529056 46854 529108 46860
-rect 529056 37324 529108 37330
-rect 529056 37266 529108 37272
-rect 529068 27606 529096 37266
-rect 529056 27600 529108 27606
-rect 529056 27542 529108 27548
-rect 530448 12442 530476 330482
-rect 541476 327752 541528 327758
-rect 541476 327694 541528 327700
-rect 534576 297424 534628 297430
-rect 534576 297366 534628 297372
-rect 534588 12442 534616 297366
-rect 537336 294636 537388 294642
-rect 537336 294578 537388 294584
-rect 535956 269136 536008 269142
-rect 535956 269078 536008 269084
-rect 535968 259457 535996 269078
-rect 535954 259448 536010 259457
-rect 535954 259383 536010 259392
-rect 536138 259448 536194 259457
-rect 536138 259383 536194 259392
-rect 536152 249830 536180 259383
-rect 535956 249824 536008 249830
-rect 535956 249766 536008 249772
-rect 536140 249824 536192 249830
-rect 536140 249766 536192 249772
-rect 535968 240145 535996 249766
-rect 535954 240136 536010 240145
-rect 535954 240071 536010 240080
-rect 536138 240136 536194 240145
-rect 536138 240071 536194 240080
-rect 536152 230518 536180 240071
-rect 535956 230512 536008 230518
-rect 535956 230454 536008 230460
-rect 536140 230512 536192 230518
-rect 536140 230454 536192 230460
-rect 535968 220833 535996 230454
-rect 535954 220824 536010 220833
-rect 535954 220759 536010 220768
-rect 536138 220824 536194 220833
-rect 536138 220759 536194 220768
-rect 536152 211206 536180 220759
-rect 535956 211200 536008 211206
-rect 535956 211142 536008 211148
-rect 536140 211200 536192 211206
-rect 536140 211142 536192 211148
-rect 535968 201482 535996 211142
-rect 535956 201476 536008 201482
-rect 535956 201418 536008 201424
-rect 536140 201476 536192 201482
-rect 536140 201418 536192 201424
-rect 536152 191865 536180 201418
-rect 535954 191856 536010 191865
-rect 535954 191791 536010 191800
-rect 536138 191856 536194 191865
-rect 536138 191791 536194 191800
-rect 535968 182170 535996 191791
-rect 535956 182164 536008 182170
-rect 535956 182106 536008 182112
-rect 536140 182164 536192 182170
-rect 536140 182106 536192 182112
-rect 536152 172553 536180 182106
-rect 535954 172544 536010 172553
-rect 535954 172479 536010 172488
-rect 536138 172544 536194 172553
-rect 536138 172479 536194 172488
-rect 535968 164529 535996 172479
-rect 535954 164520 536010 164529
-rect 535954 164455 536010 164464
-rect 535680 164416 535732 164422
-rect 535680 164358 535732 164364
-rect 536600 164416 536652 164422
-rect 536600 164358 536652 164364
-rect 535692 164257 535720 164358
-rect 536612 164257 536640 164358
-rect 535678 164248 535734 164257
-rect 535678 164183 535734 164192
-rect 535954 164248 536010 164257
-rect 535954 164183 536010 164192
-rect 536598 164248 536654 164257
-rect 536598 164183 536654 164192
-rect 535968 162858 535996 164183
-rect 535956 162852 536008 162858
-rect 535956 162794 536008 162800
-rect 535956 153264 536008 153270
-rect 535956 153206 536008 153212
-rect 535968 143546 535996 153206
-rect 535956 143540 536008 143546
-rect 535956 143482 536008 143488
-rect 535956 133952 536008 133958
-rect 535956 133894 536008 133900
-rect 535968 124166 535996 133894
-rect 535956 124160 536008 124166
-rect 535956 124102 536008 124108
-rect 535956 114572 536008 114578
-rect 535956 114514 536008 114520
-rect 535968 104854 535996 114514
-rect 535956 104848 536008 104854
-rect 535956 104790 536008 104796
-rect 535956 95328 536008 95334
-rect 535956 95270 536008 95276
-rect 535968 85542 535996 95270
-rect 535956 85536 536008 85542
-rect 535956 85478 536008 85484
-rect 535956 75948 536008 75954
-rect 535956 75890 536008 75896
-rect 535968 66230 535996 75890
-rect 535956 66224 536008 66230
-rect 535956 66166 536008 66172
-rect 535956 56704 536008 56710
-rect 535956 56646 536008 56652
-rect 535968 46918 535996 56646
-rect 535956 46912 536008 46918
-rect 535956 46854 536008 46860
-rect 535956 37324 536008 37330
-rect 535956 37266 536008 37272
-rect 535968 27606 535996 37266
-rect 535956 27600 536008 27606
-rect 535956 27542 536008 27548
-rect 530436 12436 530488 12442
-rect 530436 12378 530488 12384
-rect 531540 12436 531592 12442
-rect 531540 12378 531592 12384
-rect 534576 12436 534628 12442
-rect 534576 12378 534628 12384
-rect 535036 12436 535088 12442
-rect 535036 12378 535088 12384
-rect 525560 11892 525612 11898
-rect 525560 11834 525612 11840
-rect 520868 7880 520920 7886
-rect 520868 7822 520920 7828
-rect 520776 7540 520828 7546
-rect 520776 7482 520828 7488
-rect 520682 7032 520738 7041
-rect 520682 6967 520738 6976
-rect 520696 6905 520724 6967
-rect 520682 6896 520738 6905
-rect 520682 6831 520738 6840
-rect 519578 4720 519634 4729
-rect 519578 4655 519634 4664
-rect 519592 480 519620 4655
-rect 520880 1442 520908 7822
-rect 524364 7812 524416 7818
-rect 524364 7754 524416 7760
-rect 521972 7540 522024 7546
-rect 521972 7482 522024 7488
-rect 520788 1414 520908 1442
-rect 520788 480 520816 1414
-rect 521984 480 522012 7482
-rect 523168 4208 523220 4214
-rect 523168 4150 523220 4156
-rect 523180 480 523208 4150
-rect 524376 480 524404 7754
-rect 525572 480 525600 11834
-rect 529148 9716 529200 9722
-rect 529148 9658 529200 9664
-rect 529160 9602 529188 9658
-rect 529160 9574 529280 9602
-rect 527952 7744 528004 7750
-rect 527952 7686 528004 7692
-rect 526756 4820 526808 4826
-rect 526756 4762 526808 4768
-rect 526768 480 526796 4762
-rect 527964 480 527992 7686
-rect 529252 610 529280 9574
-rect 530344 4480 530396 4486
-rect 530344 4422 530396 4428
-rect 529148 604 529200 610
-rect 529148 546 529200 552
-rect 529240 604 529292 610
-rect 529240 546 529292 552
-rect 529160 480 529188 546
-rect 530356 480 530384 4422
-rect 531552 480 531580 12378
-rect 532736 11824 532788 11830
-rect 532736 11766 532788 11772
-rect 532748 480 532776 11766
-rect 534666 7168 534722 7177
-rect 534496 7126 534666 7154
-rect 534496 7041 534524 7126
-rect 534666 7103 534722 7112
-rect 534482 7032 534538 7041
-rect 534482 6967 534538 6976
-rect 533932 4548 533984 4554
-rect 533932 4490 533984 4496
-rect 533944 480 533972 4490
-rect 535048 480 535076 12378
-rect 536232 9716 536284 9722
-rect 536232 9658 536284 9664
-rect 536244 480 536272 9658
-rect 537348 7546 537376 294578
-rect 541488 19310 541516 327694
-rect 541566 320512 541622 320521
-rect 541566 320447 541622 320456
-rect 541580 320346 541608 320447
-rect 541568 320340 541620 320346
-rect 541568 320282 541620 320288
-rect 544328 320340 544380 320346
-rect 544328 320282 544380 320288
-rect 544340 320249 544368 320282
-rect 544326 320240 544382 320249
-rect 544326 320175 544382 320184
-rect 546996 304292 547048 304298
-rect 546996 304234 547048 304240
-rect 545616 291848 545668 291854
-rect 545616 291790 545668 291796
-rect 542856 268388 542908 268394
-rect 542856 268330 542908 268336
-rect 541476 19304 541528 19310
-rect 541476 19246 541528 19252
-rect 542868 12442 542896 268330
-rect 545628 14498 545656 291790
-rect 545536 14470 545656 14498
-rect 542856 12436 542908 12442
-rect 542856 12378 542908 12384
-rect 543408 12436 543460 12442
-rect 543408 12378 543460 12384
-rect 539820 11756 539872 11762
-rect 539820 11698 539872 11704
-rect 537336 7540 537388 7546
-rect 537336 7482 537388 7488
-rect 538624 7540 538676 7546
-rect 538624 7482 538676 7488
-rect 537428 4276 537480 4282
-rect 537428 4218 537480 4224
-rect 537440 480 537468 4218
-rect 538636 480 538664 7482
-rect 539832 480 539860 11698
-rect 542212 9716 542264 9722
-rect 542212 9658 542264 9664
-rect 541016 4616 541068 4622
-rect 541016 4558 541068 4564
-rect 541028 480 541056 4558
-rect 542224 480 542252 9658
-rect 543420 480 543448 12378
-rect 545536 9722 545564 14470
-rect 545524 9716 545576 9722
-rect 545524 9658 545576 9664
-rect 545800 9716 545852 9722
-rect 545800 9658 545852 9664
-rect 544604 4752 544656 4758
-rect 544604 4694 544656 4700
-rect 544616 480 544644 4694
-rect 545812 480 545840 9658
-rect 546902 7440 546958 7449
-rect 546902 7375 546958 7384
-rect 546916 7041 546944 7375
-rect 546902 7032 546958 7041
-rect 546902 6967 546958 6976
-rect 547008 480 547036 304234
-rect 548388 12442 548416 334630
-rect 575976 333260 576028 333266
-rect 575976 333202 576028 333208
-rect 553896 324964 553948 324970
-rect 553896 324906 553948 324912
-rect 552516 322244 552568 322250
-rect 552516 322186 552568 322192
-rect 552528 309126 552556 322186
-rect 553908 309346 553936 324906
-rect 560796 319456 560848 319462
-rect 560796 319398 560848 319404
-rect 555276 309800 555328 309806
-rect 555276 309742 555328 309748
-rect 553816 309318 553936 309346
-rect 553816 309210 553844 309318
-rect 553816 309182 553936 309210
-rect 552516 309120 552568 309126
-rect 552516 309062 552568 309068
-rect 552608 309120 552660 309126
-rect 552608 309062 552660 309068
-rect 552620 299554 552648 309062
-rect 552528 299526 552648 299554
-rect 552528 293298 552556 299526
-rect 553908 299470 553936 309182
-rect 553804 299464 553856 299470
-rect 553804 299406 553856 299412
-rect 553896 299464 553948 299470
-rect 553896 299406 553948 299412
-rect 552436 293270 552556 293298
-rect 552436 288425 552464 293270
-rect 553816 289898 553844 299406
-rect 553816 289870 553936 289898
-rect 553908 289746 553936 289870
-rect 553896 289740 553948 289746
-rect 553896 289682 553948 289688
-rect 552422 288416 552478 288425
-rect 552422 288351 552478 288360
-rect 552606 288416 552662 288425
-rect 552606 288351 552662 288360
-rect 552528 278798 552556 278829
-rect 552620 278798 552648 288351
-rect 553896 280288 553948 280294
-rect 553896 280230 553948 280236
-rect 552516 278792 552568 278798
-rect 552608 278792 552660 278798
-rect 552606 278760 552608 278769
-rect 552660 278760 552662 278769
-rect 552568 278740 552606 278746
-rect 552516 278734 552606 278740
-rect 552528 278718 552606 278734
-rect 552606 278695 552662 278704
-rect 552790 278760 552846 278769
-rect 552790 278695 552846 278704
-rect 552804 269142 552832 278695
-rect 553908 270722 553936 280230
-rect 553816 270694 553936 270722
-rect 553816 270586 553844 270694
-rect 553816 270558 553936 270586
-rect 553908 270502 553936 270558
-rect 553896 270496 553948 270502
-rect 553896 270438 553948 270444
-rect 552608 269136 552660 269142
-rect 552608 269078 552660 269084
-rect 552792 269136 552844 269142
-rect 552792 269078 552844 269084
-rect 549756 265668 549808 265674
-rect 549756 265610 549808 265616
-rect 549768 12442 549796 265610
-rect 552620 260930 552648 269078
-rect 552528 260902 552648 260930
-rect 553896 260976 553948 260982
-rect 553896 260918 553948 260924
-rect 552528 259457 552556 260902
-rect 553908 260846 553936 260918
-rect 553896 260840 553948 260846
-rect 553896 260782 553948 260788
-rect 553804 260772 553856 260778
-rect 553804 260714 553856 260720
-rect 552514 259448 552570 259457
-rect 552514 259383 552570 259392
-rect 552698 259448 552754 259457
-rect 552698 259383 552754 259392
-rect 552712 241641 552740 259383
-rect 553816 251274 553844 260714
-rect 553816 251246 553936 251274
-rect 553908 251190 553936 251246
-rect 553896 251184 553948 251190
-rect 553896 251126 553948 251132
-rect 553896 241664 553948 241670
-rect 552514 241632 552570 241641
-rect 552514 241567 552570 241576
-rect 552698 241632 552754 241641
-rect 553896 241606 553948 241612
-rect 552698 241567 552754 241576
-rect 552528 240122 552556 241567
-rect 552436 240094 552556 240122
-rect 552436 230518 552464 240094
-rect 553908 231849 553936 241606
-rect 553710 231840 553766 231849
-rect 553710 231775 553766 231784
-rect 553894 231840 553950 231849
-rect 553894 231775 553950 231784
-rect 552332 230512 552384 230518
-rect 552332 230454 552384 230460
-rect 552424 230512 552476 230518
-rect 552424 230454 552476 230460
-rect 552344 211206 552372 230454
-rect 553724 222222 553752 231775
-rect 553712 222216 553764 222222
-rect 553710 222184 553712 222193
-rect 553896 222216 553948 222222
-rect 553764 222184 553766 222193
-rect 553710 222119 553766 222128
-rect 553894 222184 553896 222193
-rect 553948 222184 553950 222193
-rect 553894 222119 553950 222128
-rect 553724 212566 553752 222119
-rect 553712 212560 553764 212566
-rect 553712 212502 553764 212508
-rect 553896 212560 553948 212566
-rect 553896 212502 553948 212508
-rect 552332 211200 552384 211206
-rect 552332 211142 552384 211148
-rect 552516 211200 552568 211206
-rect 552516 211142 552568 211148
-rect 552528 201657 552556 211142
-rect 553908 202881 553936 212502
-rect 553710 202872 553766 202881
-rect 553710 202807 553766 202816
-rect 553894 202872 553950 202881
-rect 553894 202807 553950 202816
-rect 552514 201648 552570 201657
-rect 552514 201583 552570 201592
-rect 552422 201512 552478 201521
-rect 552422 201447 552424 201456
-rect 552476 201447 552478 201456
-rect 552424 201418 552476 201424
-rect 552424 196308 552476 196314
-rect 552424 196250 552476 196256
-rect 552436 191826 552464 196250
-rect 553724 193254 553752 202807
-rect 553712 193248 553764 193254
-rect 553710 193216 553712 193225
-rect 553896 193248 553948 193254
-rect 553764 193216 553766 193225
-rect 553710 193151 553766 193160
-rect 553894 193216 553896 193225
-rect 553948 193216 553950 193225
-rect 553894 193151 553950 193160
-rect 552424 191820 552476 191826
-rect 552424 191762 552476 191768
-rect 553724 183598 553752 193151
-rect 553712 183592 553764 183598
-rect 553896 183592 553948 183598
-rect 553712 183534 553764 183540
-rect 553894 183560 553896 183569
-rect 553948 183560 553950 183569
-rect 552424 183524 552476 183530
-rect 553894 183495 553950 183504
-rect 552424 183466 552476 183472
-rect 552436 182186 552464 183466
-rect 552344 182158 552464 182186
-rect 552344 172553 552372 182158
-rect 553894 174040 553950 174049
-rect 553894 173975 553950 173984
-rect 553908 173913 553936 173975
-rect 553710 173904 553766 173913
-rect 553710 173839 553766 173848
-rect 553894 173904 553950 173913
-rect 553894 173839 553950 173848
-rect 552330 172544 552386 172553
-rect 552330 172479 552386 172488
-rect 552698 172544 552754 172553
-rect 552698 172479 552754 172488
-rect 552712 164422 552740 172479
-rect 552516 164416 552568 164422
-rect 552516 164358 552568 164364
-rect 552700 164416 552752 164422
-rect 552700 164358 552752 164364
-rect 552528 162858 552556 164358
-rect 553724 164234 553752 173839
-rect 553724 164218 553936 164234
-rect 553724 164212 553948 164218
-rect 553724 164206 553896 164212
-rect 553896 164154 553948 164160
-rect 552516 162852 552568 162858
-rect 552516 162794 552568 162800
-rect 553896 154692 553948 154698
-rect 553896 154634 553948 154640
-rect 552516 153264 552568 153270
-rect 552516 153206 552568 153212
-rect 552528 143546 552556 153206
-rect 553908 144906 553936 154634
-rect 553896 144900 553948 144906
-rect 553896 144842 553948 144848
-rect 552516 143540 552568 143546
-rect 552516 143482 552568 143488
-rect 553896 135380 553948 135386
-rect 553896 135322 553948 135328
-rect 553908 135250 553936 135322
-rect 553712 135244 553764 135250
-rect 553712 135186 553764 135192
-rect 553896 135244 553948 135250
-rect 553896 135186 553948 135192
-rect 552240 133952 552292 133958
-rect 552240 133894 552292 133900
-rect 552252 133754 552280 133894
-rect 552240 133748 552292 133754
-rect 552240 133690 552292 133696
-rect 553724 125633 553752 135186
-rect 553710 125624 553766 125633
-rect 553710 125559 553766 125568
-rect 553894 125624 553950 125633
-rect 553894 125559 553950 125568
-rect 552516 125452 552568 125458
-rect 552516 125394 552568 125400
-rect 552528 114510 552556 125394
-rect 553908 122806 553936 125559
-rect 553436 122800 553488 122806
-rect 553436 122742 553488 122748
-rect 553896 122800 553948 122806
-rect 553896 122742 553948 122748
-rect 552516 114504 552568 114510
-rect 552516 114446 552568 114452
-rect 552608 114504 552660 114510
-rect 552608 114446 552660 114452
-rect 552620 104961 552648 114446
-rect 553448 113257 553476 122742
-rect 553434 113248 553490 113257
-rect 553434 113183 553490 113192
-rect 553618 113248 553674 113257
-rect 553618 113183 553674 113192
-rect 553632 109750 553660 113183
-rect 553620 109744 553672 109750
-rect 553620 109686 553672 109692
-rect 552422 104952 552478 104961
-rect 552422 104887 552478 104896
-rect 552606 104952 552662 104961
-rect 552606 104887 552662 104896
-rect 552436 103494 552464 104887
-rect 552424 103488 552476 103494
-rect 552424 103430 552476 103436
-rect 553896 96756 553948 96762
-rect 553896 96698 553948 96704
-rect 553908 96626 553936 96698
-rect 553712 96620 553764 96626
-rect 553712 96562 553764 96568
-rect 553896 96620 553948 96626
-rect 553896 96562 553948 96568
-rect 552424 93900 552476 93906
-rect 552424 93842 552476 93848
-rect 552436 87174 552464 93842
-rect 552424 87168 552476 87174
-rect 553724 87145 553752 96562
-rect 552424 87110 552476 87116
-rect 553710 87136 553766 87145
-rect 553710 87071 553766 87080
-rect 553894 87000 553950 87009
-rect 553894 86935 553950 86944
-rect 552424 86896 552476 86902
-rect 552424 86838 552476 86844
-rect 552436 85542 552464 86838
-rect 552424 85536 552476 85542
-rect 552424 85478 552476 85484
-rect 553908 77194 553936 86935
-rect 552332 77172 552384 77178
-rect 553908 77166 554028 77194
-rect 552332 77114 552384 77120
-rect 552344 67697 552372 77114
-rect 552330 67688 552386 67697
-rect 552330 67623 552386 67632
-rect 552514 67688 552570 67697
-rect 554000 67658 554028 77166
-rect 552514 67623 552570 67632
-rect 553896 67652 553948 67658
-rect 552528 66230 552556 67623
-rect 553896 67594 553948 67600
-rect 553988 67652 554040 67658
-rect 553988 67594 554040 67600
-rect 552516 66224 552568 66230
-rect 552516 66166 552568 66172
-rect 553908 58070 553936 67594
-rect 553896 58064 553948 58070
-rect 553896 58006 553948 58012
-rect 553988 57928 554040 57934
-rect 553988 57870 554040 57876
-rect 552516 56704 552568 56710
-rect 552516 56646 552568 56652
-rect 554000 56658 554028 57870
-rect 552528 46918 552556 56646
-rect 554000 56630 554120 56658
-rect 554092 48385 554120 56630
-rect 553894 48376 553950 48385
-rect 553894 48311 553950 48320
-rect 554078 48376 554134 48385
-rect 554078 48311 554134 48320
-rect 553908 48278 553936 48311
-rect 553804 48272 553856 48278
-rect 553804 48214 553856 48220
-rect 553896 48272 553948 48278
-rect 553896 48214 553948 48220
-rect 553816 46918 553844 48214
-rect 552516 46912 552568 46918
-rect 552516 46854 552568 46860
-rect 553804 46912 553856 46918
-rect 553804 46854 553856 46860
-rect 553804 38412 553856 38418
-rect 553804 38354 553856 38360
-rect 552608 37324 552660 37330
-rect 552608 37266 552660 37272
-rect 552620 29050 552648 37266
-rect 552528 29022 552648 29050
-rect 553816 29034 553844 38354
-rect 553804 29028 553856 29034
-rect 552528 27606 552556 29022
-rect 553804 28970 553856 28976
-rect 553896 29028 553948 29034
-rect 553896 28970 553948 28976
-rect 552516 27600 552568 27606
-rect 552516 27542 552568 27548
-rect 553908 22930 553936 28970
-rect 553908 22902 554120 22930
-rect 548376 12436 548428 12442
-rect 548376 12378 548428 12384
-rect 549388 12436 549440 12442
-rect 549388 12378 549440 12384
-rect 549756 12436 549808 12442
-rect 549756 12378 549808 12384
-rect 550584 12436 550636 12442
-rect 550584 12378 550636 12384
-rect 548192 5364 548244 5370
-rect 548192 5306 548244 5312
-rect 548204 480 548232 5306
-rect 549400 480 549428 12378
-rect 550596 480 550624 12378
-rect 552884 9716 552936 9722
-rect 552884 9658 552936 9664
-rect 551688 5296 551740 5302
-rect 551688 5238 551740 5244
-rect 551700 480 551728 5238
-rect 552896 480 552924 9658
-rect 554092 480 554120 22902
-rect 555288 7546 555316 309742
-rect 556656 262880 556708 262886
-rect 556656 262822 556708 262828
-rect 556668 12442 556696 262822
-rect 560808 164506 560836 319398
-rect 563556 301504 563608 301510
-rect 563556 301446 563608 301452
-rect 560808 164478 560928 164506
-rect 560900 164234 560928 164478
-rect 560808 164206 560928 164234
-rect 560808 87281 560836 164206
-rect 560794 87272 560850 87281
-rect 560794 87207 560850 87216
-rect 560794 87136 560850 87145
-rect 560794 87071 560850 87080
-rect 560808 48657 560836 87071
-rect 560794 48648 560850 48657
-rect 560794 48583 560850 48592
-rect 560794 48512 560850 48521
-rect 560794 48447 560850 48456
-rect 560808 19310 560836 48447
-rect 560796 19304 560848 19310
-rect 560796 19246 560848 19252
-rect 556656 12436 556708 12442
-rect 556656 12378 556708 12384
-rect 557668 12436 557720 12442
-rect 557668 12378 557720 12384
-rect 555276 7540 555328 7546
-rect 555276 7482 555328 7488
-rect 556472 7540 556524 7546
-rect 556472 7482 556524 7488
-rect 555276 5228 555328 5234
-rect 555276 5170 555328 5176
-rect 555288 480 555316 5170
-rect 556484 480 556512 7482
-rect 557680 480 557708 12378
-rect 561256 9716 561308 9722
-rect 561256 9658 561308 9664
-rect 560060 9444 560112 9450
-rect 560060 9386 560112 9392
-rect 558034 7168 558090 7177
-rect 558034 7103 558090 7112
-rect 558048 7002 558076 7103
-rect 558036 6996 558088 7002
-rect 558036 6938 558088 6944
-rect 558864 5160 558916 5166
-rect 558864 5102 558916 5108
-rect 558876 480 558904 5102
-rect 560072 480 560100 9386
-rect 561268 480 561296 9658
-rect 563568 7546 563596 301446
-rect 567696 300144 567748 300150
-rect 567696 300086 567748 300092
-rect 567708 12442 567736 300086
-rect 571836 286340 571888 286346
-rect 571836 286282 571888 286288
-rect 571848 278769 571876 286282
-rect 571834 278760 571890 278769
-rect 571834 278695 571890 278704
-rect 572018 278760 572074 278769
-rect 572018 278695 572074 278704
-rect 572032 269142 572060 278695
-rect 571836 269136 571888 269142
-rect 571836 269078 571888 269084
-rect 572020 269136 572072 269142
-rect 572020 269078 572072 269084
-rect 571848 259457 571876 269078
-rect 574596 261520 574648 261526
-rect 574596 261462 574648 261468
-rect 571834 259448 571890 259457
-rect 571834 259383 571890 259392
-rect 572018 259448 572074 259457
-rect 572018 259383 572074 259392
-rect 572032 249830 572060 259383
-rect 571836 249824 571888 249830
-rect 571836 249766 571888 249772
-rect 572020 249824 572072 249830
-rect 572020 249766 572072 249772
-rect 571848 240145 571876 249766
-rect 571834 240136 571890 240145
-rect 571834 240071 571890 240080
-rect 572018 240136 572074 240145
-rect 572018 240071 572074 240080
-rect 572032 230518 572060 240071
-rect 571836 230512 571888 230518
-rect 571836 230454 571888 230460
-rect 572020 230512 572072 230518
-rect 572020 230454 572072 230460
-rect 571848 220833 571876 230454
-rect 571834 220824 571890 220833
-rect 571834 220759 571890 220768
-rect 572018 220824 572074 220833
-rect 572018 220759 572074 220768
-rect 572032 211206 572060 220759
-rect 571836 211200 571888 211206
-rect 571836 211142 571888 211148
-rect 572020 211200 572072 211206
-rect 572020 211142 572072 211148
-rect 571848 201482 571876 211142
-rect 571836 201476 571888 201482
-rect 571836 201418 571888 201424
-rect 572020 201476 572072 201482
-rect 572020 201418 572072 201424
-rect 572032 191865 572060 201418
-rect 571834 191856 571890 191865
-rect 571834 191791 571890 191800
-rect 572018 191856 572074 191865
-rect 572018 191791 572074 191800
-rect 571848 182170 571876 191791
-rect 571836 182164 571888 182170
-rect 571836 182106 571888 182112
-rect 572020 182164 572072 182170
-rect 572020 182106 572072 182112
-rect 572032 172553 572060 182106
-rect 571834 172544 571890 172553
-rect 571834 172479 571890 172488
-rect 572018 172544 572074 172553
-rect 572018 172479 572074 172488
-rect 570362 164656 570418 164665
-rect 570362 164591 570418 164600
-rect 570376 164257 570404 164591
-rect 571848 164529 571876 172479
-rect 571834 164520 571890 164529
-rect 571834 164455 571890 164464
-rect 571560 164416 571612 164422
-rect 571560 164358 571612 164364
-rect 572112 164416 572164 164422
-rect 572112 164358 572164 164364
-rect 571572 164257 571600 164358
-rect 572124 164257 572152 164358
-rect 570362 164248 570418 164257
-rect 570362 164183 570418 164192
-rect 571558 164248 571614 164257
-rect 571558 164183 571614 164192
-rect 571834 164248 571890 164257
-rect 571834 164183 571890 164192
-rect 572110 164248 572166 164257
-rect 572110 164183 572166 164192
-rect 571848 162858 571876 164183
-rect 571836 162852 571888 162858
-rect 571836 162794 571888 162800
-rect 571836 153264 571888 153270
-rect 571836 153206 571888 153212
-rect 571848 143546 571876 153206
-rect 571836 143540 571888 143546
-rect 571836 143482 571888 143488
-rect 571836 133952 571888 133958
-rect 571836 133894 571888 133900
-rect 571848 124166 571876 133894
-rect 571836 124160 571888 124166
-rect 571836 124102 571888 124108
-rect 571836 114572 571888 114578
-rect 571836 114514 571888 114520
-rect 571848 104854 571876 114514
-rect 571836 104848 571888 104854
-rect 571836 104790 571888 104796
-rect 571836 95328 571888 95334
-rect 571836 95270 571888 95276
-rect 571848 85542 571876 95270
-rect 571836 85536 571888 85542
-rect 571836 85478 571888 85484
-rect 571836 75948 571888 75954
-rect 571836 75890 571888 75896
-rect 571848 66230 571876 75890
-rect 571836 66224 571888 66230
-rect 571836 66166 571888 66172
-rect 571836 56704 571888 56710
-rect 571836 56646 571888 56652
-rect 571848 46918 571876 56646
-rect 571836 46912 571888 46918
-rect 571836 46854 571888 46860
-rect 571836 37324 571888 37330
-rect 571836 37266 571888 37272
-rect 571848 27606 571876 37266
-rect 571836 27600 571888 27606
-rect 571836 27542 571888 27548
-rect 574608 12442 574636 261462
-rect 575988 12442 576016 333202
-rect 579380 312588 579432 312594
-rect 579380 312530 579432 312536
-rect 578000 283620 578052 283626
-rect 578000 283562 578052 283568
-rect 567696 12436 567748 12442
-rect 567696 12378 567748 12384
-rect 568340 12436 568392 12442
-rect 568340 12378 568392 12384
-rect 574596 12436 574648 12442
-rect 574596 12378 574648 12384
-rect 575516 12436 575568 12442
-rect 575516 12378 575568 12384
-rect 575976 12436 576028 12442
-rect 575976 12378 576028 12384
-rect 576712 12436 576764 12442
-rect 576712 12378 576764 12384
-rect 563648 9376 563700 9382
-rect 563648 9318 563700 9324
-rect 563556 7540 563608 7546
-rect 563556 7482 563608 7488
-rect 562452 5092 562504 5098
-rect 562452 5034 562504 5040
-rect 562464 480 562492 5034
-rect 563660 480 563688 9318
-rect 567236 9172 567288 9178
-rect 567236 9114 567288 9120
-rect 564844 7540 564896 7546
-rect 564844 7482 564896 7488
-rect 564856 480 564884 7482
-rect 566040 5024 566092 5030
-rect 566040 4966 566092 4972
-rect 566052 480 566080 4966
-rect 567248 480 567276 9114
-rect 567602 7032 567658 7041
-rect 567602 6967 567604 6976
-rect 567656 6967 567658 6976
-rect 567604 6938 567656 6944
-rect 568352 480 568380 12378
-rect 571928 9716 571980 9722
-rect 571928 9658 571980 9664
-rect 570732 9104 570784 9110
-rect 570732 9046 570784 9052
-rect 569076 7200 569128 7206
-rect 569076 7142 569128 7148
-rect 569088 7041 569116 7142
-rect 569074 7032 569130 7041
-rect 569074 6967 569130 6976
-rect 569536 4752 569588 4758
-rect 569536 4694 569588 4700
-rect 569548 480 569576 4694
-rect 570744 480 570772 9046
-rect 571940 480 571968 9658
-rect 574320 9036 574372 9042
-rect 574320 8978 574372 8984
-rect 573122 4856 573178 4865
-rect 573122 4791 573178 4800
-rect 573136 480 573164 4791
-rect 574332 480 574360 8978
-rect 575528 480 575556 12378
-rect 576724 480 576752 12378
-rect 577908 8968 577960 8974
-rect 577908 8910 577960 8916
-rect 577920 480 577948 8910
-rect 578012 4146 578040 283562
-rect 578644 7200 578696 7206
-rect 578642 7168 578644 7177
-rect 578696 7168 578698 7177
-rect 578642 7103 578698 7112
-rect 579392 4146 579420 312530
-rect 580668 289808 580720 289814
-rect 580668 289750 580720 289756
-rect 580680 289377 580708 289750
-rect 580666 289368 580722 289377
-rect 580666 289303 580722 289312
-rect 580668 274644 580720 274650
-rect 580668 274586 580720 274592
-rect 580680 273737 580708 274586
-rect 580666 273728 580722 273737
-rect 580666 273663 580722 273672
-rect 580668 195968 580720 195974
-rect 580668 195910 580720 195916
-rect 580680 195537 580708 195910
-rect 580666 195528 580722 195537
-rect 580666 195463 580722 195472
-rect 580392 148980 580444 148986
-rect 580392 148922 580444 148928
-rect 580404 148617 580432 148922
-rect 580390 148608 580446 148617
-rect 580390 148543 580446 148552
-rect 580668 86964 580720 86970
-rect 580668 86906 580720 86912
-rect 580680 86057 580708 86906
-rect 580666 86048 580722 86057
-rect 580666 85983 580722 85992
-rect 580772 54777 580800 458186
-rect 581496 253224 581548 253230
-rect 581496 253166 581548 253172
-rect 580758 54768 580814 54777
-rect 580758 54703 580814 54712
-rect 581508 7546 581536 253166
-rect 581586 8936 581642 8945
-rect 581586 8871 581642 8880
-rect 581496 7540 581548 7546
-rect 581496 7482 581548 7488
-rect 578000 4140 578052 4146
-rect 578000 4082 578052 4088
-rect 579104 4140 579156 4146
-rect 579104 4082 579156 4088
-rect 579380 4140 579432 4146
-rect 579380 4082 579432 4088
-rect 580300 4140 580352 4146
-rect 580300 4082 580352 4088
-rect 579116 480 579144 4082
-rect 580312 480 580340 4082
-rect 581600 1442 581628 8871
-rect 583886 7848 583942 7857
-rect 583886 7783 583942 7792
-rect 582692 7540 582744 7546
-rect 582692 7482 582744 7488
-rect 581508 1414 581628 1442
-rect 581508 480 581536 1414
-rect 582704 480 582732 7482
-rect 583900 7177 583928 7783
-rect 583886 7168 583942 7177
-rect 583886 7103 583942 7112
-rect 1066 0 1122 480
-rect 2170 0 2226 480
-rect 3366 0 3422 480
-rect 4562 0 4618 480
-rect 5758 0 5814 480
-rect 6954 0 7010 480
-rect 8150 0 8206 480
-rect 9346 0 9402 480
-rect 10542 0 10598 480
-rect 11738 0 11794 480
-rect 12934 0 12990 480
-rect 14130 0 14186 480
-rect 15326 0 15382 480
-rect 16522 0 16578 480
-rect 17718 0 17774 480
-rect 18822 0 18878 480
-rect 20018 0 20074 480
-rect 21214 0 21270 480
-rect 22410 0 22466 480
-rect 23606 0 23662 480
-rect 24802 0 24858 480
-rect 25998 0 26054 480
-rect 27194 0 27250 480
-rect 28390 0 28446 480
-rect 29586 0 29642 480
-rect 30782 0 30838 480
-rect 31978 0 32034 480
-rect 33174 0 33230 480
-rect 34370 0 34426 480
-rect 35474 0 35530 480
-rect 36670 0 36726 480
-rect 37866 0 37922 480
-rect 39062 0 39118 480
-rect 40258 0 40314 480
-rect 41454 0 41510 480
-rect 42650 0 42706 480
-rect 43846 0 43902 480
-rect 45042 0 45098 480
-rect 46238 0 46294 480
-rect 47434 0 47490 480
-rect 48630 0 48686 480
-rect 49826 0 49882 480
-rect 51022 0 51078 480
-rect 52126 0 52182 480
-rect 53322 0 53378 480
-rect 54518 0 54574 480
-rect 55714 0 55770 480
-rect 56910 0 56966 480
-rect 58106 0 58162 480
-rect 59302 0 59358 480
-rect 60498 0 60554 480
-rect 61694 0 61750 480
-rect 62890 0 62946 480
-rect 64086 0 64142 480
-rect 65282 0 65338 480
-rect 66478 0 66534 480
-rect 67674 0 67730 480
-rect 68778 0 68834 480
-rect 69974 0 70030 480
-rect 71170 0 71226 480
-rect 72366 0 72422 480
-rect 73562 0 73618 480
-rect 74758 0 74814 480
-rect 75954 0 76010 480
-rect 77150 0 77206 480
-rect 78346 0 78402 480
-rect 79542 0 79598 480
-rect 80738 0 80794 480
-rect 81934 0 81990 480
-rect 83130 0 83186 480
-rect 84326 0 84382 480
-rect 85430 0 85486 480
-rect 86626 0 86682 480
-rect 87822 0 87878 480
-rect 89018 0 89074 480
-rect 90214 0 90270 480
-rect 91410 0 91466 480
-rect 92606 0 92662 480
-rect 93802 0 93858 480
-rect 94998 0 95054 480
-rect 96194 0 96250 480
-rect 97390 0 97446 480
-rect 98586 0 98642 480
-rect 99782 0 99838 480
-rect 100978 0 101034 480
-rect 102082 0 102138 480
-rect 103278 0 103334 480
-rect 104474 0 104530 480
-rect 105670 0 105726 480
-rect 106866 0 106922 480
-rect 108062 0 108118 480
-rect 109258 0 109314 480
-rect 110454 0 110510 480
-rect 111650 0 111706 480
-rect 112846 0 112902 480
-rect 114042 0 114098 480
-rect 115238 0 115294 480
-rect 116434 0 116490 480
-rect 117630 0 117686 480
-rect 118734 0 118790 480
-rect 119930 0 119986 480
-rect 121126 0 121182 480
-rect 122322 0 122378 480
-rect 123518 0 123574 480
-rect 124714 0 124770 480
-rect 125910 0 125966 480
-rect 127106 0 127162 480
-rect 128302 0 128358 480
-rect 129498 0 129554 480
-rect 130694 0 130750 480
-rect 131890 0 131946 480
-rect 133086 0 133142 480
-rect 134282 0 134338 480
-rect 135386 0 135442 480
-rect 136582 0 136638 480
-rect 137778 0 137834 480
-rect 138974 0 139030 480
-rect 140170 0 140226 480
-rect 141366 0 141422 480
-rect 142562 0 142618 480
-rect 143758 0 143814 480
-rect 144954 0 145010 480
-rect 146150 0 146206 480
-rect 147346 0 147402 480
-rect 148542 0 148598 480
-rect 149738 0 149794 480
-rect 150934 0 150990 480
-rect 152038 0 152094 480
-rect 153234 0 153290 480
-rect 154430 0 154486 480
-rect 155626 0 155682 480
-rect 156822 0 156878 480
-rect 158018 0 158074 480
-rect 159214 0 159270 480
-rect 160410 0 160466 480
-rect 161606 0 161662 480
-rect 162802 0 162858 480
-rect 163998 0 164054 480
-rect 165194 0 165250 480
-rect 166390 0 166446 480
-rect 167586 0 167642 480
-rect 168690 0 168746 480
-rect 169886 0 169942 480
-rect 171082 0 171138 480
-rect 172278 0 172334 480
-rect 173474 0 173530 480
-rect 174670 0 174726 480
-rect 175866 0 175922 480
-rect 177062 0 177118 480
-rect 178258 0 178314 480
-rect 179454 0 179510 480
-rect 180650 0 180706 480
-rect 181846 0 181902 480
-rect 183042 0 183098 480
-rect 184238 0 184294 480
-rect 185342 0 185398 480
-rect 186538 0 186594 480
-rect 187734 0 187790 480
-rect 188930 0 188986 480
-rect 190126 0 190182 480
-rect 191322 0 191378 480
-rect 192518 0 192574 480
-rect 193714 0 193770 480
-rect 194910 0 194966 480
-rect 196106 0 196162 480
-rect 197302 0 197358 480
-rect 198498 0 198554 480
-rect 199694 0 199750 480
-rect 200890 0 200946 480
-rect 201994 0 202050 480
-rect 203190 0 203246 480
-rect 204386 0 204442 480
-rect 205582 0 205638 480
-rect 206778 0 206834 480
-rect 207974 0 208030 480
-rect 209170 0 209226 480
-rect 210366 0 210422 480
-rect 211562 0 211618 480
-rect 212758 0 212814 480
-rect 213954 0 214010 480
-rect 215150 0 215206 480
-rect 216346 0 216402 480
-rect 217542 0 217598 480
-rect 218646 0 218702 480
-rect 219842 0 219898 480
-rect 221038 0 221094 480
-rect 222234 0 222290 480
-rect 223430 0 223486 480
-rect 224626 0 224682 480
-rect 225822 0 225878 480
-rect 227018 0 227074 480
-rect 228214 0 228270 480
-rect 229410 0 229466 480
-rect 230606 0 230662 480
-rect 231802 0 231858 480
-rect 232998 0 233054 480
-rect 234194 0 234250 480
-rect 235298 0 235354 480
-rect 236494 0 236550 480
-rect 237690 0 237746 480
-rect 238886 0 238942 480
-rect 240082 0 240138 480
-rect 241278 0 241334 480
-rect 242474 0 242530 480
-rect 243670 0 243726 480
-rect 244866 0 244922 480
-rect 246062 0 246118 480
-rect 247258 0 247314 480
-rect 248454 0 248510 480
-rect 249650 0 249706 480
-rect 250846 0 250902 480
-rect 251950 0 252006 480
-rect 253146 0 253202 480
-rect 254342 0 254398 480
-rect 255538 0 255594 480
-rect 256734 0 256790 480
-rect 257930 0 257986 480
-rect 259126 0 259182 480
-rect 260322 0 260378 480
-rect 261518 0 261574 480
-rect 262714 0 262770 480
-rect 263910 0 263966 480
-rect 265106 0 265162 480
-rect 266302 0 266358 480
-rect 267498 0 267554 480
-rect 268602 0 268658 480
-rect 269798 0 269854 480
-rect 270994 0 271050 480
-rect 272190 0 272246 480
-rect 273386 0 273442 480
-rect 274582 0 274638 480
-rect 275778 0 275834 480
-rect 276974 0 277030 480
-rect 278170 0 278226 480
-rect 279366 0 279422 480
-rect 280562 0 280618 480
-rect 281758 0 281814 480
-rect 282954 0 283010 480
-rect 284150 0 284206 480
-rect 285254 0 285310 480
-rect 286450 0 286506 480
-rect 287646 0 287702 480
-rect 288842 0 288898 480
-rect 290038 0 290094 480
-rect 291234 0 291290 480
-rect 292430 0 292486 480
-rect 293626 0 293682 480
-rect 294822 0 294878 480
-rect 296018 0 296074 480
-rect 297214 0 297270 480
-rect 298410 0 298466 480
-rect 299606 0 299662 480
-rect 300802 0 300858 480
-rect 301906 0 301962 480
-rect 303102 0 303158 480
-rect 304298 0 304354 480
-rect 305494 0 305550 480
-rect 306690 0 306746 480
-rect 307886 0 307942 480
-rect 309082 0 309138 480
-rect 310278 0 310334 480
-rect 311474 0 311530 480
-rect 312670 0 312726 480
-rect 313866 0 313922 480
-rect 315062 0 315118 480
-rect 316258 0 316314 480
-rect 317454 0 317510 480
-rect 318558 0 318614 480
-rect 319754 0 319810 480
-rect 320950 0 321006 480
-rect 322146 0 322202 480
-rect 323342 0 323398 480
-rect 324538 0 324594 480
-rect 325734 0 325790 480
-rect 326930 0 326986 480
-rect 328126 0 328182 480
-rect 329322 0 329378 480
-rect 330518 0 330574 480
-rect 331714 0 331770 480
-rect 332910 0 332966 480
-rect 334106 0 334162 480
-rect 335210 0 335266 480
-rect 336406 0 336462 480
-rect 337602 0 337658 480
-rect 338798 0 338854 480
-rect 339994 0 340050 480
-rect 341190 0 341246 480
-rect 342386 0 342442 480
-rect 343582 0 343638 480
-rect 344778 0 344834 480
-rect 345974 0 346030 480
-rect 347170 0 347226 480
-rect 348366 0 348422 480
-rect 349562 0 349618 480
-rect 350758 0 350814 480
-rect 351862 0 351918 480
-rect 353058 0 353114 480
-rect 354254 0 354310 480
-rect 355450 0 355506 480
-rect 356646 0 356702 480
-rect 357842 0 357898 480
-rect 359038 0 359094 480
-rect 360234 0 360290 480
-rect 361430 0 361486 480
-rect 362626 0 362682 480
-rect 363822 0 363878 480
-rect 365018 0 365074 480
-rect 366214 0 366270 480
-rect 367410 0 367466 480
-rect 368514 0 368570 480
-rect 369710 0 369766 480
-rect 370906 0 370962 480
-rect 372102 0 372158 480
-rect 373298 0 373354 480
-rect 374494 0 374550 480
-rect 375690 0 375746 480
-rect 376886 0 376942 480
-rect 378082 0 378138 480
-rect 379278 0 379334 480
-rect 380474 0 380530 480
-rect 381670 0 381726 480
-rect 382866 0 382922 480
-rect 384062 0 384118 480
-rect 385166 0 385222 480
-rect 386362 0 386418 480
-rect 387558 0 387614 480
-rect 388754 0 388810 480
-rect 389950 0 390006 480
-rect 391146 0 391202 480
-rect 392342 0 392398 480
-rect 393538 0 393594 480
-rect 394734 0 394790 480
-rect 395930 0 395986 480
-rect 397126 0 397182 480
-rect 398322 0 398378 480
-rect 399518 0 399574 480
-rect 400714 0 400770 480
-rect 401818 0 401874 480
-rect 403014 0 403070 480
-rect 404210 0 404266 480
-rect 405406 0 405462 480
-rect 406602 0 406658 480
-rect 407798 0 407854 480
-rect 408994 0 409050 480
-rect 410190 0 410246 480
-rect 411386 0 411442 480
-rect 412582 0 412638 480
-rect 413778 0 413834 480
-rect 414974 0 415030 480
-rect 416170 0 416226 480
-rect 417366 0 417422 480
-rect 418470 0 418526 480
-rect 419666 0 419722 480
-rect 420862 0 420918 480
-rect 422058 0 422114 480
-rect 423254 0 423310 480
-rect 424450 0 424506 480
-rect 425646 0 425702 480
-rect 426842 0 426898 480
-rect 428038 0 428094 480
-rect 429234 0 429290 480
-rect 430430 0 430486 480
-rect 431626 0 431682 480
-rect 432822 0 432878 480
-rect 434018 0 434074 480
-rect 435122 0 435178 480
-rect 436318 0 436374 480
-rect 437514 0 437570 480
-rect 438710 0 438766 480
-rect 439906 0 439962 480
-rect 441102 0 441158 480
-rect 442298 0 442354 480
-rect 443494 0 443550 480
-rect 444690 0 444746 480
-rect 445886 0 445942 480
-rect 447082 0 447138 480
-rect 448278 0 448334 480
-rect 449474 0 449530 480
-rect 450670 0 450726 480
-rect 451774 0 451830 480
-rect 452970 0 453026 480
-rect 454166 0 454222 480
-rect 455362 0 455418 480
-rect 456558 0 456614 480
-rect 457754 0 457810 480
-rect 458950 0 459006 480
-rect 460146 0 460202 480
-rect 461342 0 461398 480
-rect 462538 0 462594 480
-rect 463734 0 463790 480
-rect 464930 0 464986 480
-rect 466126 0 466182 480
-rect 467322 0 467378 480
-rect 468426 0 468482 480
-rect 469622 0 469678 480
-rect 470818 0 470874 480
-rect 472014 0 472070 480
-rect 473210 0 473266 480
-rect 474406 0 474462 480
-rect 475602 0 475658 480
-rect 476798 0 476854 480
-rect 477994 0 478050 480
-rect 479190 0 479246 480
-rect 480386 0 480442 480
-rect 481582 0 481638 480
-rect 482778 0 482834 480
-rect 483974 0 484030 480
-rect 485078 0 485134 480
-rect 486274 0 486330 480
-rect 487470 0 487526 480
-rect 488666 0 488722 480
-rect 489862 0 489918 480
-rect 491058 0 491114 480
-rect 492254 0 492310 480
-rect 493450 0 493506 480
-rect 494646 0 494702 480
-rect 495842 0 495898 480
-rect 497038 0 497094 480
-rect 498234 0 498290 480
-rect 499430 0 499486 480
-rect 500626 0 500682 480
-rect 501730 0 501786 480
-rect 502926 0 502982 480
-rect 504122 0 504178 480
-rect 505318 0 505374 480
-rect 506514 0 506570 480
-rect 507710 0 507766 480
-rect 508906 0 508962 480
-rect 510102 0 510158 480
-rect 511298 0 511354 480
-rect 512494 0 512550 480
-rect 513690 0 513746 480
-rect 514886 0 514942 480
-rect 516082 0 516138 480
-rect 517278 0 517334 480
-rect 518382 0 518438 480
-rect 519578 0 519634 480
-rect 520774 0 520830 480
-rect 521970 0 522026 480
-rect 523166 0 523222 480
-rect 524362 0 524418 480
-rect 525558 0 525614 480
-rect 526754 0 526810 480
-rect 527950 0 528006 480
-rect 529146 0 529202 480
-rect 530342 0 530398 480
-rect 531538 0 531594 480
-rect 532734 0 532790 480
-rect 533930 0 533986 480
-rect 535034 0 535090 480
-rect 536230 0 536286 480
-rect 537426 0 537482 480
-rect 538622 0 538678 480
-rect 539818 0 539874 480
-rect 541014 0 541070 480
-rect 542210 0 542266 480
-rect 543406 0 543462 480
-rect 544602 0 544658 480
-rect 545798 0 545854 480
-rect 546994 0 547050 480
-rect 548190 0 548246 480
-rect 549386 0 549442 480
-rect 550582 0 550638 480
-rect 551686 0 551742 480
-rect 552882 0 552938 480
-rect 554078 0 554134 480
-rect 555274 0 555330 480
-rect 556470 0 556526 480
-rect 557666 0 557722 480
-rect 558862 0 558918 480
-rect 560058 0 560114 480
-rect 561254 0 561310 480
-rect 562450 0 562506 480
-rect 563646 0 563702 480
-rect 564842 0 564898 480
-rect 566038 0 566094 480
-rect 567234 0 567290 480
-rect 568338 0 568394 480
-rect 569534 0 569590 480
-rect 570730 0 570786 480
-rect 571926 0 571982 480
-rect 573122 0 573178 480
-rect 574318 0 574374 480
-rect 575514 0 575570 480
-rect 576710 0 576766 480
-rect 577906 0 577962 480
-rect 579102 0 579158 480
-rect 580298 0 580354 480
-rect 581494 0 581550 480
-rect 582690 0 582746 480
-rect 583886 0 583942 480
-<< via2 >>
-rect 11278 700304 11334 700360
-rect 3734 695408 3790 695464
-rect 3918 678680 3974 678736
-rect 3918 661952 3974 662008
-rect 3550 645224 3606 645280
-rect 3918 628360 3974 628416
-rect 3826 611632 3882 611688
-rect 3918 594904 3974 594960
-rect 3734 578176 3790 578232
-rect 3918 561312 3974 561368
-rect 3642 544584 3698 544640
-rect 3642 527856 3698 527912
-rect 4010 511128 4066 511184
-rect 3734 494264 3790 494320
-rect 3918 477556 3974 477592
-rect 3918 477536 3920 477556
-rect 3920 477536 3972 477556
-rect 3972 477536 3974 477556
-rect 3918 462440 3974 462496
-rect 3642 460808 3698 460864
-rect 3642 444116 3644 444136
-rect 3644 444116 3696 444136
-rect 3696 444116 3698 444136
-rect 3642 444080 3698 444116
-rect 3642 427216 3698 427272
-rect 3826 410488 3882 410544
-rect 3826 393760 3882 393816
-rect 3550 377032 3606 377088
-rect 3826 360304 3882 360360
-rect 3826 343440 3882 343496
-rect 3642 309984 3698 310040
-rect 3550 293256 3606 293312
-rect 3826 259664 3882 259720
-rect 3826 242936 3882 242992
-rect 3734 209344 3790 209400
-rect 3826 192652 3828 192672
-rect 3828 192652 3880 192672
-rect 3880 192652 3882 192672
-rect 3826 192616 3882 192652
-rect 5942 337320 5998 337376
-rect 4378 326712 4434 326768
-rect 4286 276392 4342 276448
-rect 4194 226208 4250 226264
-rect 4102 175888 4158 175944
-rect 4010 159160 4066 159216
-rect 4010 142296 4066 142352
-rect 4010 126928 4066 126984
-rect 4010 125568 4066 125624
-rect 3918 108840 3974 108896
-rect 3642 58520 3698 58576
-rect 3918 42744 3974 42800
-rect 3918 41792 3974 41848
-rect 3918 25064 3974 25120
-rect 3918 9560 3974 9616
-rect 3918 8336 3974 8392
-rect 6954 3304 7010 3360
-rect 16522 3576 16578 3632
-rect 15326 3440 15382 3496
-rect 25998 3848 26054 3904
-rect 24802 3712 24858 3768
-rect 30690 10240 30746 10296
-rect 31978 3984 32034 4040
-rect 48630 6160 48686 6216
-rect 58198 3440 58254 3496
-rect 58382 3440 58438 3496
-rect 58198 3304 58254 3360
-rect 58382 3304 58438 3360
-rect 128854 337864 128910 337920
-rect 119286 337728 119342 337784
-rect 94354 337456 94410 337512
-rect 103922 337456 103978 337512
-rect 91318 231784 91374 231840
-rect 91502 231784 91558 231840
-rect 91318 212472 91374 212528
-rect 91502 212472 91558 212528
-rect 91318 193160 91374 193216
-rect 91502 193160 91558 193216
-rect 91502 173848 91558 173904
-rect 91502 164192 91558 164248
-rect 91502 154400 91558 154456
-rect 91778 154400 91834 154456
-rect 91502 144880 91558 144936
-rect 91778 144880 91834 144936
-rect 91318 125704 91374 125760
-rect 91502 125568 91558 125624
-rect 91318 87080 91374 87136
-rect 91502 86944 91558 87000
-rect 99690 3168 99746 3224
-rect 103830 3168 103886 3224
-rect 128578 337592 128634 337648
-rect 123886 337456 123942 337512
-rect 120482 10512 120538 10568
-rect 123518 10548 123520 10568
-rect 123520 10548 123572 10568
-rect 123572 10548 123574 10568
-rect 123518 10512 123574 10548
-rect 113858 3168 113914 3224
-rect 129038 337728 129094 337784
-rect 128946 337592 129002 337648
-rect 138422 337864 138478 337920
-rect 142654 337728 142710 337784
-rect 152222 337728 152278 337784
-rect 161974 337728 162030 337784
-rect 171542 337728 171598 337784
-rect 128762 337456 128818 337512
-rect 129222 337592 129278 337648
-rect 138330 337628 138332 337648
-rect 138332 337628 138384 337648
-rect 138384 337628 138386 337648
-rect 138330 337592 138386 337628
-rect 142286 337456 142342 337512
-rect 142470 337456 142526 337512
-rect 142746 337456 142802 337512
-rect 152130 337456 152186 337512
-rect 152406 337456 152462 337512
-rect 152590 337456 152646 337512
-rect 161606 337456 161662 337512
-rect 161790 337456 161846 337512
-rect 162066 337456 162122 337512
-rect 171450 337456 171506 337512
-rect 171726 337456 171782 337512
-rect 171910 337456 171966 337512
-rect 138330 337184 138386 337240
-rect 142562 337184 142618 337240
-rect 152314 337184 152370 337240
-rect 161882 337184 161938 337240
-rect 171634 337184 171690 337240
-rect 126094 3168 126150 3224
-rect 135478 298016 135534 298072
-rect 135662 298016 135718 298072
-rect 135478 278704 135534 278760
-rect 135662 278704 135718 278760
-rect 135478 259392 135534 259448
-rect 135662 259392 135718 259448
-rect 135478 240080 135534 240136
-rect 135662 240080 135718 240136
-rect 135478 220768 135534 220824
-rect 135662 220768 135718 220824
-rect 135478 211112 135534 211168
-rect 135662 211112 135718 211168
-rect 135478 191800 135534 191856
-rect 135662 191800 135718 191856
-rect 135478 172488 135534 172544
-rect 135662 172488 135718 172544
-rect 135662 164464 135718 164520
-rect 135570 164328 135626 164384
-rect 135662 48592 135718 48648
-rect 135662 48456 135718 48512
-rect 135294 9696 135350 9752
-rect 135478 9696 135534 9752
-rect 138238 10124 138294 10160
-rect 138238 10104 138240 10124
-rect 138240 10104 138292 10124
-rect 138292 10104 138294 10124
-rect 138606 10140 138608 10160
-rect 138608 10140 138660 10160
-rect 138660 10140 138662 10160
-rect 138606 10104 138662 10140
-rect 147806 10512 147862 10568
-rect 148450 10512 148506 10568
-rect 152038 298016 152094 298072
-rect 152222 298016 152278 298072
-rect 152038 278704 152094 278760
-rect 152222 278704 152278 278760
-rect 152038 259392 152094 259448
-rect 152222 259392 152278 259448
-rect 152038 240080 152094 240136
-rect 152222 240080 152278 240136
-rect 152038 220768 152094 220824
-rect 152222 220768 152278 220824
-rect 152038 211112 152094 211168
-rect 152222 211112 152278 211168
-rect 152038 191800 152094 191856
-rect 152222 191800 152278 191856
-rect 152038 172488 152094 172544
-rect 152222 172488 152278 172544
-rect 151854 9696 151910 9752
-rect 152038 9696 152094 9752
-rect 148174 3304 148230 3360
-rect 148082 3032 148138 3088
-rect 157834 10376 157890 10432
-rect 157466 10140 157468 10160
-rect 157468 10140 157520 10160
-rect 157520 10140 157522 10160
-rect 157466 10104 157522 10140
-rect 157834 10104 157890 10160
-rect 157558 9968 157614 10024
-rect 157650 3168 157706 3224
-rect 158110 3168 158166 3224
-rect 170162 298016 170218 298072
-rect 170346 298016 170402 298072
-rect 170162 278704 170218 278760
-rect 170346 278704 170402 278760
-rect 170162 259392 170218 259448
-rect 170346 259392 170402 259448
-rect 170162 240080 170218 240136
-rect 170346 240080 170402 240136
-rect 170162 220768 170218 220824
-rect 170346 220768 170402 220824
-rect 170162 211112 170218 211168
-rect 170346 211112 170402 211168
-rect 170162 191800 170218 191856
-rect 170346 191800 170402 191856
-rect 170162 172488 170218 172544
-rect 170346 172488 170402 172544
-rect 167862 10376 167918 10432
-rect 167770 10124 167826 10160
-rect 167770 10104 167772 10124
-rect 167772 10104 167824 10124
-rect 167824 10104 167826 10124
-rect 167494 9968 167550 10024
-rect 169702 9696 169758 9752
-rect 169886 9696 169942 9752
-rect 169518 9424 169574 9480
-rect 170162 9424 170218 9480
-rect 181294 337728 181350 337784
-rect 190862 337728 190918 337784
-rect 200614 337728 200670 337784
-rect 210182 337728 210238 337784
-rect 180926 337456 180982 337512
-rect 181110 337456 181166 337512
-rect 181386 337456 181442 337512
-rect 190770 337456 190826 337512
-rect 191046 337456 191102 337512
-rect 191230 337456 191286 337512
-rect 200246 337456 200302 337512
-rect 200430 337456 200486 337512
-rect 200706 337456 200762 337512
-rect 210090 337456 210146 337512
-rect 210366 337456 210422 337512
-rect 210550 337456 210606 337512
-rect 181202 337184 181258 337240
-rect 190954 337184 191010 337240
-rect 200522 337184 200578 337240
-rect 210274 337184 210330 337240
-rect 177246 10512 177302 10568
-rect 186538 3168 186594 3224
-rect 190678 298016 190734 298072
-rect 190862 298016 190918 298072
-rect 190678 278704 190734 278760
-rect 190862 278704 190918 278760
-rect 190678 259392 190734 259448
-rect 190862 259392 190918 259448
-rect 190678 240080 190734 240136
-rect 190862 240080 190918 240136
-rect 190678 220768 190734 220824
-rect 190862 220768 190918 220824
-rect 190678 211112 190734 211168
-rect 190862 211112 190918 211168
-rect 190678 191800 190734 191856
-rect 190862 191800 190918 191856
-rect 190678 172488 190734 172544
-rect 190862 172488 190918 172544
-rect 190218 17992 190274 18048
-rect 190402 17992 190458 18048
-rect 186814 10684 186816 10704
-rect 186816 10684 186868 10704
-rect 186868 10684 186870 10704
-rect 186814 10648 186870 10684
-rect 187090 10512 187146 10568
-rect 191782 10104 191838 10160
-rect 190402 9696 190458 9752
-rect 190586 9696 190642 9752
-rect 187734 4800 187790 4856
-rect 187182 3188 187238 3224
-rect 187182 3168 187184 3188
-rect 187184 3168 187236 3188
-rect 187236 3168 187238 3188
-rect 196382 10648 196438 10704
-rect 196474 10376 196530 10432
-rect 195922 10140 195924 10160
-rect 195924 10140 195976 10160
-rect 195976 10140 195978 10160
-rect 195922 10104 195978 10140
-rect 196474 10104 196530 10160
-rect 196014 9968 196070 10024
-rect 196290 7828 196292 7848
-rect 196292 7828 196344 7848
-rect 196344 7828 196346 7848
-rect 196290 7792 196346 7828
-rect 193622 7692 193624 7712
-rect 193624 7692 193676 7712
-rect 193676 7692 193678 7712
-rect 193622 7656 193678 7692
-rect 196198 3188 196254 3224
-rect 196198 3168 196200 3188
-rect 196200 3168 196252 3188
-rect 196252 3168 196254 3188
-rect 195922 2932 195924 2952
-rect 195924 2932 195976 2952
-rect 195976 2932 195978 2952
-rect 195922 2896 195978 2932
-rect 196750 3188 196806 3224
-rect 196750 3168 196752 3188
-rect 196752 3168 196804 3188
-rect 196804 3168 196806 3188
-rect 214322 298016 214378 298072
-rect 214506 298016 214562 298072
-rect 214322 278704 214378 278760
-rect 214506 278704 214562 278760
-rect 214322 259392 214378 259448
-rect 214506 259392 214562 259448
-rect 214322 240080 214378 240136
-rect 214506 240080 214562 240136
-rect 214322 220768 214378 220824
-rect 214506 220768 214562 220824
-rect 214322 211112 214378 211168
-rect 214506 211112 214562 211168
-rect 214322 191800 214378 191856
-rect 214506 191800 214562 191856
-rect 214322 172488 214378 172544
-rect 214506 172488 214562 172544
-rect 206318 10376 206374 10432
-rect 206318 10104 206374 10160
-rect 215794 9968 215850 10024
-rect 216162 10376 216218 10432
-rect 216162 10104 216218 10160
-rect 215978 9832 216034 9888
-rect 213954 9696 214010 9752
-rect 214506 9696 214562 9752
-rect 206778 5888 206834 5944
-rect 205858 3188 205914 3224
-rect 205858 3168 205860 3188
-rect 205860 3168 205912 3188
-rect 205912 3168 205914 3188
-rect 206226 3168 206282 3224
-rect 207698 2896 207754 2952
-rect 210366 5752 210422 5808
-rect 208158 3304 208214 3360
-rect 208158 2488 208214 2544
-rect 210274 3032 210330 3088
-rect 215794 7928 215850 7984
-rect 215886 7656 215942 7712
-rect 215794 6024 215850 6080
-rect 215886 5888 215942 5944
-rect 216346 4256 216402 4312
-rect 215794 4120 215850 4176
-rect 219934 337728 219990 337784
-rect 219566 337456 219622 337512
-rect 219750 337456 219806 337512
-rect 220026 337456 220082 337512
-rect 219842 337184 219898 337240
-rect 219658 4664 219714 4720
-rect 218646 4276 218702 4312
-rect 218646 4256 218648 4276
-rect 218648 4256 218700 4276
-rect 218700 4256 218702 4276
-rect 219750 4120 219806 4176
-rect 226926 337592 226982 337648
-rect 225362 212472 225418 212528
-rect 225546 212472 225602 212528
-rect 225362 173848 225418 173904
-rect 225362 164192 225418 164248
-rect 283598 463120 283654 463176
-rect 282310 462984 282366 463040
-rect 283414 462984 283470 463040
-rect 288198 463140 288254 463176
-rect 288198 463120 288200 463140
-rect 288200 463120 288252 463140
-rect 288252 463120 288254 463140
-rect 288382 463120 288438 463176
-rect 293166 463120 293222 463176
-rect 304114 700304 304170 700360
-rect 580114 695952 580170 696008
-rect 580114 680348 580116 680368
-rect 580116 680348 580168 680368
-rect 580168 680348 580170 680368
-rect 580114 680312 580170 680348
-rect 580114 664672 580170 664728
-rect 313774 618160 313830 618216
-rect 314050 618160 314106 618216
-rect 580114 649032 580170 649088
-rect 443770 618160 443826 618216
-rect 580114 633428 580116 633448
-rect 580116 633428 580168 633448
-rect 580168 633428 580170 633448
-rect 580114 633392 580170 633428
-rect 443678 608640 443734 608696
-rect 580114 617752 580170 617808
-rect 573398 608640 573454 608696
-rect 573766 608640 573822 608696
-rect 580114 602112 580170 602168
-rect 580114 586508 580116 586528
-rect 580116 586508 580168 586528
-rect 580168 586508 580170 586528
-rect 580114 586472 580170 586508
-rect 313774 557504 313830 557560
-rect 313774 518880 313830 518936
-rect 580114 570832 580170 570888
-rect 378726 560224 378782 560280
-rect 378910 560224 378966 560280
-rect 314050 557504 314106 557560
-rect 508446 560224 508502 560280
-rect 508630 560224 508686 560280
-rect 580114 555192 580170 555248
-rect 314050 518880 314106 518936
-rect 580114 539552 580170 539608
-rect 379002 529760 379058 529816
-rect 508722 529760 508778 529816
-rect 379186 520240 379242 520296
-rect 508906 520240 508962 520296
-rect 580114 523912 580170 523968
-rect 443494 511944 443550 512000
-rect 443678 511944 443734 512000
-rect 573214 511944 573270 512000
-rect 573398 511944 573454 512000
-rect 580114 508272 580170 508328
-rect 378542 502288 378598 502344
-rect 378818 502324 378820 502344
-rect 378820 502324 378872 502344
-rect 378872 502324 378874 502344
-rect 378818 502288 378874 502324
-rect 378542 492632 378598 492688
-rect 378726 492632 378782 492688
-rect 508262 502288 508318 502344
-rect 508538 502324 508540 502344
-rect 508540 502324 508592 502344
-rect 508592 502324 508594 502344
-rect 508538 502288 508594 502324
-rect 508262 492632 508318 492688
-rect 508446 492632 508502 492688
-rect 580114 492668 580116 492688
-rect 580116 492668 580168 492688
-rect 580168 492668 580170 492688
-rect 580114 492632 580170 492668
-rect 580114 476992 580170 477048
-rect 342386 462440 342442 462496
-rect 225178 48320 225234 48376
-rect 225362 48320 225418 48376
-rect 225454 10648 225510 10704
-rect 225638 10376 225694 10432
-rect 225638 9968 225694 10024
-rect 225086 9696 225142 9752
-rect 225270 9696 225326 9752
-rect 229410 8880 229466 8936
-rect 225822 8744 225878 8800
-rect 224626 7928 224682 7984
-rect 225270 7928 225326 7984
-rect 225454 7928 225510 7984
-rect 224534 3168 224590 3224
-rect 225270 7656 225326 7712
-rect 225546 7656 225602 7712
-rect 225362 7520 225418 7576
-rect 225454 6432 225510 6488
-rect 225178 6296 225234 6352
-rect 225454 5888 225510 5944
-rect 225270 5752 225326 5808
-rect 225270 5208 225326 5264
-rect 225270 4664 225326 4720
-rect 225454 4256 225510 4312
-rect 225638 3168 225694 3224
-rect 225178 3032 225234 3088
-rect 226926 5208 226982 5264
-rect 228122 3576 228178 3632
-rect 232170 459584 232226 459640
-rect 233182 459584 233238 459640
-rect 236218 459584 236274 459640
-rect 237414 459584 237470 459640
-rect 238518 459584 238574 459640
-rect 239622 459584 239678 459640
-rect 241646 459584 241702 459640
-rect 242750 459584 242806 459640
-rect 244590 459584 244646 459640
-rect 245878 459584 245934 459640
-rect 246982 459584 247038 459640
-rect 248822 459584 248878 459640
-rect 251214 459584 251270 459640
-rect 252134 459584 252190 459640
-rect 343122 459584 343178 459640
-rect 344226 459584 344282 459640
-rect 346250 459584 346306 459640
-rect 347354 459584 347410 459640
-rect 348458 459584 348514 459640
-rect 229594 7112 229650 7168
-rect 229778 135224 229834 135280
-rect 229962 135224 230018 135280
-rect 231434 337320 231490 337376
-rect 231342 7828 231344 7848
-rect 231344 7828 231396 7848
-rect 231396 7828 231398 7848
-rect 231342 7792 231398 7828
-rect 231526 6024 231582 6080
-rect 232814 337748 232870 337784
-rect 232814 337728 232816 337748
-rect 232816 337728 232868 337748
-rect 232868 337728 232870 337748
-rect 232446 9968 232502 10024
-rect 231894 9696 231950 9752
-rect 232170 9696 232226 9752
-rect 231618 5344 231674 5400
-rect 231066 5208 231122 5264
-rect 232446 7384 232502 7440
-rect 232354 7112 232410 7168
-rect 230146 4120 230202 4176
-rect 230422 3576 230478 3632
-rect 232906 3440 232962 3496
-rect 232722 3304 232778 3360
-rect 235390 278704 235446 278760
-rect 235574 278704 235630 278760
-rect 235574 244296 235630 244352
-rect 235482 241576 235538 241632
-rect 235666 221040 235722 221096
-rect 235574 220904 235630 220960
-rect 235390 220768 235446 220824
-rect 235574 220768 235630 220824
-rect 235574 182144 235630 182200
-rect 235758 182144 235814 182200
-rect 235574 154400 235630 154456
-rect 235850 154400 235906 154456
-rect 235482 144880 235538 144936
-rect 235850 144880 235906 144936
-rect 235574 125568 235630 125624
-rect 235758 125568 235814 125624
-rect 235390 48184 235446 48240
-rect 235666 48048 235722 48104
-rect 235022 10648 235078 10704
-rect 234654 10512 234710 10568
-rect 235022 10124 235078 10160
-rect 235022 10104 235024 10124
-rect 235024 10104 235076 10124
-rect 235076 10104 235078 10124
-rect 234930 8880 234986 8936
-rect 235022 8744 235078 8800
-rect 234010 7928 234066 7984
-rect 235114 6568 235170 6624
-rect 235390 10512 235446 10568
-rect 234930 4120 234986 4176
-rect 235114 4140 235170 4176
-rect 235114 4120 235116 4140
-rect 235116 4120 235168 4140
-rect 235168 4120 235170 4140
-rect 235206 3848 235262 3904
-rect 235206 3576 235262 3632
-rect 235114 3440 235170 3496
-rect 234838 3304 234894 3360
-rect 234746 3168 234802 3224
-rect 235206 3168 235262 3224
-rect 235666 4256 235722 4312
-rect 236586 10240 236642 10296
-rect 235574 3712 235630 3768
-rect 235390 3304 235446 3360
-rect 236954 3984 237010 4040
-rect 236770 3576 236826 3632
-rect 237782 9152 237838 9208
-rect 237690 5208 237746 5264
-rect 237138 3440 237194 3496
-rect 239254 96600 239310 96656
-rect 239254 51060 239310 51096
-rect 239254 51040 239256 51060
-rect 239256 51040 239308 51060
-rect 239308 51040 239310 51060
-rect 238058 4140 238114 4176
-rect 238058 4120 238060 4140
-rect 238060 4120 238112 4140
-rect 238112 4120 238114 4140
-rect 238518 10104 238574 10160
-rect 239438 96600 239494 96656
-rect 239438 51060 239494 51096
-rect 239438 51040 239440 51060
-rect 239440 51040 239492 51060
-rect 239492 51040 239494 51060
-rect 239438 6160 239494 6216
-rect 240082 9288 240138 9344
-rect 241002 6568 241058 6624
-rect 240818 6296 240874 6352
-rect 242198 318960 242254 319016
-rect 242198 318824 242254 318880
-rect 242014 153176 242070 153232
-rect 242198 153176 242254 153232
-rect 241830 134000 241886 134056
-rect 242014 133864 242070 133920
-rect 242198 133864 242254 133920
-rect 241830 132776 241886 132832
-rect 242198 125704 242254 125760
-rect 242106 125568 242162 125624
-rect 242198 106392 242254 106448
-rect 242106 106256 242162 106312
-rect 241922 9968 241978 10024
-rect 242658 276120 242714 276176
-rect 242750 275984 242806 276040
-rect 242474 218048 242530 218104
-rect 242842 218184 242898 218240
-rect 242474 182144 242530 182200
-rect 242658 164212 242714 164248
-rect 242658 164192 242660 164212
-rect 242660 164192 242712 164212
-rect 242712 164192 242714 164212
-rect 242842 164192 242898 164248
-rect 242566 162968 242622 163024
-rect 242474 157800 242530 157856
-rect 242474 144880 242530 144936
-rect 242474 96600 242530 96656
-rect 242658 96600 242714 96656
-rect 242750 77288 242806 77344
-rect 242934 77288 242990 77344
-rect 242566 17992 242622 18048
-rect 242750 17992 242806 18048
-rect 242198 6432 242254 6488
-rect 244866 9324 244868 9344
-rect 244868 9324 244920 9344
-rect 244920 9324 244922 9344
-rect 244866 9288 244922 9324
-rect 244866 9172 244922 9208
-rect 244866 9152 244868 9172
-rect 244868 9152 244920 9172
-rect 244920 9152 244922 9172
-rect 244774 5480 244830 5536
-rect 244866 5344 244922 5400
-rect 244958 5228 245014 5264
-rect 244958 5208 244960 5228
-rect 244960 5208 245012 5228
-rect 245012 5208 245014 5228
-rect 246522 285776 246578 285832
-rect 246614 285640 246670 285696
-rect 246338 198736 246394 198792
-rect 246430 198464 246486 198520
-rect 246890 96736 246946 96792
-rect 246798 96600 246854 96656
-rect 251674 241748 251676 241768
-rect 251676 241748 251728 241768
-rect 251728 241748 251730 241768
-rect 251674 241712 251730 241748
-rect 251674 179560 251730 179616
-rect 251766 132912 251822 132968
-rect 251766 132640 251822 132696
-rect 251674 100852 251676 100872
-rect 251676 100852 251728 100872
-rect 251728 100852 251730 100872
-rect 251674 100816 251730 100852
-rect 254158 320492 254160 320512
-rect 254160 320492 254212 320512
-rect 254212 320492 254214 320512
-rect 254158 320456 254214 320492
-rect 254250 9172 254306 9208
-rect 254250 9152 254252 9172
-rect 254252 9152 254304 9172
-rect 254304 9152 254306 9172
-rect 254526 9172 254582 9208
-rect 254526 9152 254528 9172
-rect 254528 9152 254580 9172
-rect 254580 9152 254582 9172
-rect 254434 8880 254490 8936
-rect 254894 8880 254950 8936
-rect 255078 7520 255134 7576
-rect 255078 7112 255134 7168
-rect 259862 179288 259918 179344
-rect 261242 320320 261298 320376
-rect 261150 241984 261206 242040
-rect 261150 179696 261206 179752
-rect 261150 179288 261206 179344
-rect 261150 101088 261206 101144
-rect 261058 38700 261060 38720
-rect 261060 38700 261112 38720
-rect 261112 38700 261114 38720
-rect 261058 38664 261114 38700
-rect 259954 7112 260010 7168
-rect 259954 6704 260010 6760
-rect 257746 5480 257802 5536
-rect 257286 5344 257342 5400
-rect 268142 38700 268144 38720
-rect 268144 38700 268196 38720
-rect 268196 38700 268198 38720
-rect 268142 38664 268198 38700
-rect 268510 191800 268566 191856
-rect 268694 191800 268750 191856
-rect 268510 183504 268566 183560
-rect 268694 183504 268750 183560
-rect 269522 6976 269578 7032
-rect 269522 6704 269578 6760
-rect 268694 4800 268750 4856
-rect 264370 4548 264426 4584
-rect 270902 226616 270958 226672
-rect 270902 226208 270958 226264
-rect 264370 4528 264372 4548
-rect 264372 4528 264424 4548
-rect 264424 4528 264426 4548
-rect 269798 4548 269854 4584
-rect 269798 4528 269800 4548
-rect 269800 4528 269852 4548
-rect 269852 4528 269854 4548
-rect 271362 108976 271418 109032
-rect 271638 108976 271694 109032
-rect 264186 4428 264188 4448
-rect 264188 4428 264240 4448
-rect 264240 4428 264242 4448
-rect 264186 4392 264242 4428
-rect 273294 336912 273350 336968
-rect 273294 336776 273350 336832
-rect 272926 333920 272982 333976
-rect 273294 333920 273350 333976
-rect 273018 295296 273074 295352
-rect 273202 295296 273258 295352
-rect 272926 274760 272982 274816
-rect 272834 274644 272890 274680
-rect 272834 274624 272836 274644
-rect 272836 274624 272888 274644
-rect 272888 274624 272890 274644
-rect 272834 264968 272890 265024
-rect 273018 264968 273074 265024
-rect 272926 52400 272982 52456
-rect 273294 52400 273350 52456
-rect 273018 33224 273074 33280
-rect 273202 33224 273258 33280
-rect 272926 4392 272982 4448
-rect 275502 324264 275558 324320
-rect 275686 324300 275688 324320
-rect 275688 324300 275740 324320
-rect 275740 324300 275742 324320
-rect 275686 324264 275742 324300
-rect 275594 187856 275650 187912
-rect 275686 187720 275742 187776
-rect 275502 118632 275558 118688
-rect 275686 118632 275742 118688
-rect 276514 295296 276570 295352
-rect 276698 295316 276754 295352
-rect 276698 295296 276700 295316
-rect 276700 295296 276752 295316
-rect 276752 295296 276754 295316
-rect 276974 295296 277030 295352
-rect 276790 227840 276846 227896
-rect 276790 227704 276846 227760
-rect 276514 221448 276570 221504
-rect 276514 208392 276570 208448
-rect 276974 227704 277030 227760
-rect 276698 164464 276754 164520
-rect 276790 164328 276846 164384
-rect 276698 133864 276754 133920
-rect 276422 62056 276478 62112
-rect 276606 62056 276662 62112
-rect 276882 133864 276938 133920
-rect 277250 295432 277306 295488
-rect 277250 227704 277306 227760
-rect 278446 277480 278502 277536
-rect 278446 277344 278502 277400
-rect 278446 16496 278502 16552
-rect 278722 306312 278778 306368
-rect 278906 306312 278962 306368
-rect 278630 277480 278686 277536
-rect 278630 277344 278686 277400
-rect 278814 267688 278870 267744
-rect 278998 267688 279054 267744
-rect 278814 162968 278870 163024
-rect 278722 162832 278778 162888
-rect 278630 16496 278686 16552
-rect 279458 249736 279514 249792
-rect 279734 278704 279790 278760
-rect 279826 249736 279882 249792
-rect 279826 100680 279882 100736
-rect 280010 278704 280066 278760
-rect 280010 100716 280012 100736
-rect 280012 100716 280064 100736
-rect 280064 100716 280066 100736
-rect 280010 100680 280066 100716
-rect 279734 64912 279790 64968
-rect 280010 64912 280066 64968
-rect 284794 164192 284850 164248
-rect 285254 177248 285310 177304
-rect 285162 164464 285218 164520
-rect 285162 164328 285218 164384
-rect 285346 164056 285402 164112
-rect 285622 164192 285678 164248
-rect 285070 28872 285126 28928
-rect 285254 28872 285310 28928
-rect 285070 19216 285126 19272
-rect 285346 19216 285402 19272
-rect 290314 320220 290316 320240
-rect 290316 320220 290368 320240
-rect 290368 320220 290370 320240
-rect 290314 320184 290370 320220
-rect 289946 241712 290002 241768
-rect 290038 241576 290094 241632
-rect 290314 241612 290316 241632
-rect 290316 241612 290368 241632
-rect 290368 241612 290370 241632
-rect 290314 241576 290370 241612
-rect 290314 132812 290316 132832
-rect 290316 132812 290368 132832
-rect 290368 132812 290370 132832
-rect 290314 132776 290370 132812
-rect 290222 101088 290278 101144
-rect 290406 101088 290462 101144
-rect 293626 179968 293682 180024
-rect 293626 179696 293682 179752
-rect 293258 132640 293314 132696
-rect 294638 320456 294694 320512
-rect 294822 241848 294878 241904
-rect 298594 38820 298650 38856
-rect 298594 38800 298596 38820
-rect 298596 38800 298648 38820
-rect 298648 38800 298650 38820
-rect 300434 226636 300490 226672
-rect 300434 226616 300436 226636
-rect 300436 226616 300488 226636
-rect 300488 226616 300490 226636
-rect 304942 230424 304998 230480
-rect 305126 230424 305182 230480
-rect 304850 173848 304906 173904
-rect 305126 173848 305182 173904
-rect 307886 86944 307942 87000
-rect 308162 226480 308218 226536
-rect 309174 151680 309230 151736
-rect 309174 142160 309230 142216
-rect 308162 86944 308218 87000
-rect 308162 38664 308218 38720
-rect 308898 86944 308954 87000
-rect 309082 86944 309138 87000
-rect 302550 4800 302606 4856
-rect 302550 4548 302606 4584
-rect 302550 4528 302552 4548
-rect 302552 4528 302604 4548
-rect 302604 4528 302606 4548
-rect 302458 4392 302514 4448
-rect 302826 4936 302882 4992
-rect 303102 4548 303158 4584
-rect 303102 4528 303104 4548
-rect 303104 4528 303156 4548
-rect 303156 4528 303158 4548
-rect 303010 4428 303012 4448
-rect 303012 4428 303064 4448
-rect 303064 4428 303066 4448
-rect 303010 4392 303066 4428
-rect 309634 242392 309690 242448
-rect 309634 241712 309690 241768
-rect 309634 227160 309690 227216
-rect 309634 226480 309690 226536
-rect 312210 4936 312266 4992
-rect 312578 5244 312580 5264
-rect 312580 5244 312632 5264
-rect 312632 5244 312634 5264
-rect 312578 5208 312634 5244
-rect 317454 5208 317510 5264
-rect 318650 335688 318706 335744
-rect 319110 335688 319166 335744
-rect 319018 318688 319074 318744
-rect 318926 318416 318982 318472
-rect 318926 6432 318982 6488
-rect 318834 6332 318836 6352
-rect 318836 6332 318888 6352
-rect 318888 6332 318890 6352
-rect 318834 6296 318890 6332
-rect 320122 282920 320178 282976
-rect 320030 278840 320086 278896
-rect 320030 251096 320086 251152
-rect 320030 241576 320086 241632
-rect 320030 216008 320086 216064
-rect 320030 206216 320086 206272
-rect 319754 172488 319810 172544
-rect 319938 172488 319994 172544
-rect 319846 153176 319902 153232
-rect 320030 153176 320086 153232
-rect 319846 56480 319902 56536
-rect 320122 56480 320178 56536
-rect 320398 6160 320454 6216
-rect 321962 6296 322018 6352
-rect 321962 4664 322018 4720
-rect 322238 6296 322294 6352
-rect 322146 4664 322202 4720
-rect 326010 3984 326066 4040
-rect 327390 3848 327446 3904
-rect 328218 288496 328274 288552
-rect 328402 288496 328458 288552
-rect 328218 266328 328274 266384
-rect 328402 266328 328458 266384
-rect 328218 115912 328274 115968
-rect 328402 115912 328458 115968
-rect 328494 95104 328550 95160
-rect 328494 94968 328550 95024
-rect 328218 77288 328274 77344
-rect 328402 77288 328458 77344
-rect 328402 58112 328458 58168
-rect 328402 57976 328458 58032
-rect 328402 9968 328458 10024
-rect 328678 6432 328734 6488
-rect 327482 3712 327538 3768
-rect 328770 3576 328826 3632
-rect 328862 3440 328918 3496
-rect 329874 10512 329930 10568
-rect 331070 241440 331126 241496
-rect 331254 241440 331310 241496
-rect 331070 222128 331126 222184
-rect 331254 222128 331310 222184
-rect 331070 202816 331126 202872
-rect 331254 202816 331310 202872
-rect 331070 183504 331126 183560
-rect 331254 183504 331310 183560
-rect 331070 154536 331126 154592
-rect 331254 154536 331310 154592
-rect 331070 135224 331126 135280
-rect 331254 135224 331310 135280
-rect 331070 115912 331126 115968
-rect 331254 115912 331310 115968
-rect 330978 100816 331034 100872
-rect 330978 100544 331034 100600
-rect 331070 96600 331126 96656
-rect 331254 96600 331310 96656
-rect 331070 77288 331126 77344
-rect 331254 77288 331310 77344
-rect 331254 10240 331310 10296
-rect 331622 10512 331678 10568
-rect 331622 10004 331624 10024
-rect 331624 10004 331676 10024
-rect 331676 10004 331678 10024
-rect 331622 9968 331678 10004
-rect 333370 338000 333426 338056
-rect 333554 338000 333610 338056
-rect 333738 320592 333794 320648
-rect 333738 320184 333794 320240
-rect 331714 6024 331770 6080
-rect 329782 2896 329838 2952
-rect 337234 39208 337290 39264
-rect 337234 38936 337290 38992
-rect 337234 6432 337290 6488
-rect 338154 7384 338210 7440
-rect 336682 3440 336738 3496
-rect 338798 6432 338854 6488
-rect 338522 3304 338578 3360
-rect 338338 3168 338394 3224
-rect 341098 290128 341154 290184
-rect 341098 289856 341154 289912
-rect 340914 270816 340970 270872
-rect 341190 270544 341246 270600
-rect 341190 173984 341246 174040
-rect 341190 173848 341246 173904
-rect 341098 164328 341154 164384
-rect 341190 125568 341246 125624
-rect 341190 115776 341246 115832
-rect 341190 106256 341246 106312
-rect 341190 86944 341246 87000
-rect 340914 48320 340970 48376
-rect 341098 48320 341154 48376
-rect 340730 9696 340786 9752
-rect 340914 9696 340970 9752
-rect 340822 8200 340878 8256
-rect 340730 8064 340786 8120
-rect 341466 173984 341522 174040
-rect 341374 173848 341430 173904
-rect 341374 164328 341430 164384
-rect 341374 125568 341430 125624
-rect 341466 115776 341522 115832
-rect 341466 106256 341522 106312
-rect 341374 86944 341430 87000
-rect 341466 10376 341522 10432
-rect 341282 8236 341284 8256
-rect 341284 8236 341336 8256
-rect 341336 8236 341338 8256
-rect 341282 8200 341338 8236
-rect 341374 8084 341430 8120
-rect 341374 8064 341376 8084
-rect 341376 8064 341428 8084
-rect 341428 8064 341430 8084
-rect 341466 3476 341468 3496
-rect 341468 3476 341520 3496
-rect 341520 3476 341522 3496
-rect 341466 3440 341522 3476
-rect 343398 320184 343454 320240
-rect 343398 319912 343454 319968
-rect 343398 7404 343454 7440
-rect 343398 7384 343400 7404
-rect 343400 7384 343452 7404
-rect 343452 7384 343454 7404
-rect 345330 5208 345386 5264
-rect 342662 4392 342718 4448
-rect 345330 4528 345386 4584
-rect 346894 9696 346950 9752
-rect 347078 9696 347134 9752
-rect 346250 3304 346306 3360
-rect 346342 3168 346398 3224
-rect 346250 2896 346306 2952
-rect 346986 7404 347042 7440
-rect 346986 7384 346988 7404
-rect 346988 7384 347040 7404
-rect 347040 7384 347042 7404
-rect 346986 6432 347042 6488
-rect 347998 9424 348054 9480
-rect 348366 4800 348422 4856
-rect 349286 9152 349342 9208
-rect 349562 6296 349618 6352
-rect 349194 4664 349250 4720
-rect 350850 9444 350906 9480
-rect 350850 9424 350852 9444
-rect 350852 9424 350904 9444
-rect 350904 9424 350906 9444
-rect 351310 9696 351366 9752
-rect 351586 9696 351642 9752
-rect 351126 9152 351182 9208
-rect 351218 9036 351274 9072
-rect 351218 9016 351220 9036
-rect 351220 9016 351272 9036
-rect 351272 9016 351274 9036
-rect 350942 8880 350998 8936
-rect 351034 8356 351090 8392
-rect 351034 8336 351036 8356
-rect 351036 8336 351088 8356
-rect 351088 8336 351090 8356
-rect 350850 7792 350906 7848
-rect 350666 7656 350722 7712
-rect 350942 5208 350998 5264
-rect 350850 5108 350852 5128
-rect 350852 5108 350904 5128
-rect 350904 5108 350906 5128
-rect 350850 5072 350906 5108
-rect 350666 4936 350722 4992
-rect 350574 4800 350630 4856
-rect 350942 4528 350998 4584
-rect 350850 4392 350906 4448
-rect 351126 3168 351182 3224
-rect 356186 7656 356242 7712
-rect 356462 7404 356518 7440
-rect 356462 7384 356464 7404
-rect 356464 7384 356516 7404
-rect 356516 7384 356518 7404
-rect 356462 6432 356518 6488
-rect 355818 4800 355874 4856
-rect 355818 4528 355874 4584
-rect 355266 2932 355268 2952
-rect 355268 2932 355320 2952
-rect 355320 2932 355322 2952
-rect 355266 2896 355322 2932
-rect 580666 461352 580722 461408
-rect 360602 320592 360658 320648
-rect 360694 320320 360750 320376
-rect 357934 231784 357990 231840
-rect 358118 231784 358174 231840
-rect 360602 226516 360604 226536
-rect 360604 226516 360656 226536
-rect 360656 226516 360658 226536
-rect 360602 226480 360658 226516
-rect 357934 193160 357990 193216
-rect 358118 193160 358174 193216
-rect 357934 173848 357990 173904
-rect 357934 164328 357990 164384
-rect 357934 154400 357990 154456
-rect 358210 154400 358266 154456
-rect 357934 144880 357990 144936
-rect 358210 144880 358266 144936
-rect 360418 100972 360474 101008
-rect 360418 100952 360420 100972
-rect 360420 100952 360472 100972
-rect 360472 100952 360474 100972
-rect 358210 87080 358266 87136
-rect 357934 86944 357990 87000
-rect 356646 9016 356702 9072
-rect 360234 8336 360290 8392
-rect 360510 3168 360566 3224
-rect 360602 2896 360658 2952
-rect 580666 445712 580722 445768
-rect 580666 430072 580722 430128
-rect 580666 414432 580722 414488
-rect 580666 398792 580722 398848
-rect 580666 383152 580722 383208
-rect 580666 367512 580722 367568
-rect 580666 351872 580722 351928
-rect 361154 8356 361210 8392
-rect 361154 8336 361156 8356
-rect 361156 8336 361208 8356
-rect 361208 8336 361210 8356
-rect 361246 7792 361302 7848
-rect 360786 3168 360842 3224
-rect 362258 226516 362260 226536
-rect 362260 226516 362312 226536
-rect 362312 226516 362314 226536
-rect 362258 226480 362314 226516
-rect 363914 8336 363970 8392
-rect 366122 179560 366178 179616
-rect 366122 179288 366178 179344
-rect 366122 100816 366178 100872
-rect 366122 38800 366178 38856
-rect 366122 38528 366178 38584
-rect 364926 3440 364982 3496
-rect 364742 2896 364798 2952
-rect 364650 2796 364652 2816
-rect 364652 2796 364704 2816
-rect 364704 2796 364706 2816
-rect 364650 2760 364706 2796
-rect 366122 6976 366178 7032
-rect 366122 6568 366178 6624
-rect 365294 3304 365350 3360
-rect 365754 2896 365810 2952
-rect 365110 2796 365112 2816
-rect 365112 2796 365164 2816
-rect 365164 2796 365166 2816
-rect 365110 2760 365166 2796
-rect 372930 298152 372986 298208
-rect 373114 298152 373170 298208
-rect 372838 296656 372894 296712
-rect 373022 296656 373078 296712
-rect 371550 231784 371606 231840
-rect 371734 231784 371790 231840
-rect 373114 231784 373170 231840
-rect 373298 231784 373354 231840
-rect 370262 179560 370318 179616
-rect 370446 179424 370502 179480
-rect 373114 172488 373170 172544
-rect 373298 172488 373354 172544
-rect 373022 133864 373078 133920
-rect 373298 133864 373354 133920
-rect 373298 125704 373354 125760
-rect 373114 125568 373170 125624
-rect 371826 85448 371882 85504
-rect 372010 85448 372066 85504
-rect 370262 38800 370318 38856
-rect 370446 38664 370502 38720
-rect 370354 7656 370410 7712
-rect 370446 6024 370502 6080
-rect 370354 5888 370410 5944
-rect 370906 5072 370962 5128
-rect 370262 3476 370264 3496
-rect 370264 3476 370316 3496
-rect 370316 3476 370318 3496
-rect 370262 3440 370318 3476
-rect 370170 3168 370226 3224
-rect 370630 3168 370686 3224
-rect 370538 3032 370594 3088
-rect 370998 4936 371054 4992
-rect 375874 132540 375876 132560
-rect 375876 132540 375928 132560
-rect 375928 132540 375930 132560
-rect 375874 132504 375930 132540
-rect 377346 38700 377348 38720
-rect 377348 38700 377400 38720
-rect 377400 38700 377402 38720
-rect 377346 38664 377402 38700
-rect 375138 3440 375194 3496
-rect 375138 2896 375194 2952
-rect 376886 5888 376942 5944
-rect 375782 3304 375838 3360
-rect 375966 3304 376022 3360
-rect 392250 336640 392306 336696
-rect 392434 336640 392490 336696
-rect 385534 319096 385590 319152
-rect 385534 318824 385590 318880
-rect 385534 298016 385590 298072
-rect 385718 298016 385774 298072
-rect 392434 298016 392490 298072
-rect 392618 298016 392674 298072
-rect 385534 278704 385590 278760
-rect 385718 278704 385774 278760
-rect 392434 278704 392490 278760
-rect 392618 278704 392674 278760
-rect 385534 259392 385590 259448
-rect 385718 259392 385774 259448
-rect 392434 259392 392490 259448
-rect 392618 259392 392674 259448
-rect 384062 242120 384118 242176
-rect 384062 241848 384118 241904
-rect 385534 240080 385590 240136
-rect 385718 240080 385774 240136
-rect 392434 240080 392490 240136
-rect 392618 240080 392674 240136
-rect 385534 220768 385590 220824
-rect 385718 220768 385774 220824
-rect 392434 220768 392490 220824
-rect 392618 220768 392674 220824
-rect 385534 191800 385590 191856
-rect 385718 191800 385774 191856
-rect 392434 191800 392490 191856
-rect 392618 191800 392674 191856
-rect 380106 179560 380162 179616
-rect 379922 179424 379978 179480
-rect 391698 179832 391754 179888
-rect 391698 179424 391754 179480
-rect 385534 172488 385590 172544
-rect 385718 172488 385774 172544
-rect 392434 172488 392490 172544
-rect 392618 172488 392674 172544
-rect 385534 164464 385590 164520
-rect 392434 164464 392490 164520
-rect 382038 164192 382094 164248
-rect 385534 164192 385590 164248
-rect 385810 164192 385866 164248
-rect 391698 164192 391754 164248
-rect 392434 164192 392490 164248
-rect 392710 164192 392766 164248
-rect 385442 132640 385498 132696
-rect 390318 132912 390374 132968
-rect 390318 132504 390374 132560
-rect 386822 101360 386878 101416
-rect 391698 101224 391754 101280
-rect 386822 100952 386878 101008
-rect 391698 100816 391754 100872
-rect 382038 38800 382094 38856
-rect 389582 38800 389638 38856
-rect 389766 38664 389822 38720
-rect 392526 29144 392582 29200
-rect 392434 29008 392490 29064
-rect 392342 17992 392398 18048
-rect 392618 17992 392674 18048
-rect 385994 9696 386050 9752
-rect 386362 9696 386418 9752
-rect 389858 6976 389914 7032
-rect 389858 6568 389914 6624
-rect 392434 3304 392490 3360
-rect 392434 2896 392490 2952
-rect 399242 132640 399298 132696
-rect 399242 38836 399244 38856
-rect 399244 38836 399296 38856
-rect 399296 38836 399298 38856
-rect 399242 38800 399298 38836
-rect 399426 7656 399482 7712
-rect 402002 3168 402058 3224
-rect 402002 2896 402058 2952
-rect 406142 132812 406144 132832
-rect 406144 132812 406196 132832
-rect 406196 132812 406198 132832
-rect 406142 132776 406198 132812
-rect 406142 38936 406198 38992
-rect 406878 3168 406934 3224
-rect 580390 336232 580446 336288
-rect 425462 320456 425518 320512
-rect 444782 320456 444838 320512
-rect 418562 320340 418618 320376
-rect 418562 320320 418564 320340
-rect 418564 320320 418616 320340
-rect 418616 320320 418618 320340
-rect 437882 320340 437938 320376
-rect 437882 320320 437884 320340
-rect 437884 320320 437936 320340
-rect 437936 320320 437938 320340
-rect 408994 10532 409050 10568
-rect 408994 10512 408996 10532
-rect 408996 10512 409048 10532
-rect 409048 10512 409050 10532
-rect 409178 7656 409234 7712
-rect 413226 9696 413282 9752
-rect 413778 9696 413834 9752
-rect 411662 7384 411718 7440
-rect 413042 7384 413098 7440
-rect 411662 7112 411718 7168
-rect 413042 6976 413098 7032
-rect 411662 3304 411718 3360
-rect 414514 3304 414570 3360
-rect 418746 10412 418748 10432
-rect 418748 10412 418800 10432
-rect 418800 10412 418802 10432
-rect 418746 10376 418802 10412
-rect 418838 4664 418894 4720
-rect 424174 10512 424230 10568
-rect 424082 6976 424138 7032
-rect 424082 3168 424138 3224
-rect 428958 7112 429014 7168
-rect 433558 3440 433614 3496
-rect 433742 3440 433798 3496
-rect 433834 3340 433836 3360
-rect 433836 3340 433888 3360
-rect 433888 3340 433890 3360
-rect 433834 3304 433890 3340
-rect 437514 6160 437570 6216
-rect 438250 7656 438306 7712
-rect 451682 3168 451738 3224
-rect 453246 6976 453302 7032
-rect 464102 320456 464158 320512
-rect 457202 320340 457258 320376
-rect 457202 320320 457204 320340
-rect 457204 320320 457256 320340
-rect 457256 320320 457258 320340
-rect 462722 6976 462778 7032
-rect 462722 3168 462778 3224
-rect 462722 3032 462778 3088
-rect 464930 3984 464986 4040
-rect 483422 320456 483478 320512
-rect 476522 320340 476578 320376
-rect 476522 320320 476524 320340
-rect 476524 320320 476576 320340
-rect 476576 320320 476578 320340
-rect 466586 3168 466642 3224
-rect 468426 3848 468482 3904
-rect 473854 7148 473856 7168
-rect 473856 7148 473908 7168
-rect 473908 7148 473910 7168
-rect 473854 7112 473910 7148
-rect 474222 7148 474224 7168
-rect 474224 7148 474276 7168
-rect 474276 7148 474278 7168
-rect 474222 7112 474278 7148
-rect 472014 3712 472070 3768
-rect 475602 3576 475658 3632
-rect 480754 6976 480810 7032
-rect 479190 3440 479246 3496
-rect 480662 3168 480718 3224
-rect 483514 6996 483570 7032
-rect 483514 6976 483516 6996
-rect 483516 6976 483568 6996
-rect 483568 6976 483570 6996
-rect 482778 3168 482834 3224
-rect 488298 320492 488300 320512
-rect 488300 320492 488352 320512
-rect 488352 320492 488354 320512
-rect 488298 320456 488354 320492
-rect 486458 10240 486514 10296
-rect 493082 320492 493084 320512
-rect 493084 320492 493136 320512
-rect 493136 320492 493138 320512
-rect 493082 320456 493138 320492
-rect 505594 320456 505650 320512
-rect 505686 320184 505742 320240
-rect 511022 7520 511078 7576
-rect 511022 7112 511078 7168
-rect 515254 4664 515310 4720
-rect 528870 298016 528926 298072
-rect 529054 298016 529110 298072
-rect 528870 278704 528926 278760
-rect 529054 278704 529110 278760
-rect 528870 259392 528926 259448
-rect 529054 259392 529110 259448
-rect 528870 240080 528926 240136
-rect 529054 240080 529110 240136
-rect 528870 220768 528926 220824
-rect 529054 220768 529110 220824
-rect 528870 191800 528926 191856
-rect 529054 191800 529110 191856
-rect 528870 172488 528926 172544
-rect 529054 172488 529110 172544
-rect 529054 164464 529110 164520
-rect 526938 164192 526994 164248
-rect 529054 164192 529110 164248
-rect 529330 164192 529386 164248
-rect 535954 259392 536010 259448
-rect 536138 259392 536194 259448
-rect 535954 240080 536010 240136
-rect 536138 240080 536194 240136
-rect 535954 220768 536010 220824
-rect 536138 220768 536194 220824
-rect 535954 191800 536010 191856
-rect 536138 191800 536194 191856
-rect 535954 172488 536010 172544
-rect 536138 172488 536194 172544
-rect 535954 164464 536010 164520
-rect 535678 164192 535734 164248
-rect 535954 164192 536010 164248
-rect 536598 164192 536654 164248
-rect 520682 6976 520738 7032
-rect 520682 6840 520738 6896
-rect 519578 4664 519634 4720
-rect 534666 7112 534722 7168
-rect 534482 6976 534538 7032
-rect 541566 320456 541622 320512
-rect 544326 320184 544382 320240
-rect 546902 7384 546958 7440
-rect 546902 6976 546958 7032
-rect 552422 288360 552478 288416
-rect 552606 288360 552662 288416
-rect 552606 278740 552608 278760
-rect 552608 278740 552660 278760
-rect 552660 278740 552662 278760
-rect 552606 278704 552662 278740
-rect 552790 278704 552846 278760
-rect 552514 259392 552570 259448
-rect 552698 259392 552754 259448
-rect 552514 241576 552570 241632
-rect 552698 241576 552754 241632
-rect 553710 231784 553766 231840
-rect 553894 231784 553950 231840
-rect 553710 222164 553712 222184
-rect 553712 222164 553764 222184
-rect 553764 222164 553766 222184
-rect 553710 222128 553766 222164
-rect 553894 222164 553896 222184
-rect 553896 222164 553948 222184
-rect 553948 222164 553950 222184
-rect 553894 222128 553950 222164
-rect 553710 202816 553766 202872
-rect 553894 202816 553950 202872
-rect 552514 201592 552570 201648
-rect 552422 201476 552478 201512
-rect 552422 201456 552424 201476
-rect 552424 201456 552476 201476
-rect 552476 201456 552478 201476
-rect 553710 193196 553712 193216
-rect 553712 193196 553764 193216
-rect 553764 193196 553766 193216
-rect 553710 193160 553766 193196
-rect 553894 193196 553896 193216
-rect 553896 193196 553948 193216
-rect 553948 193196 553950 193216
-rect 553894 193160 553950 193196
-rect 553894 183540 553896 183560
-rect 553896 183540 553948 183560
-rect 553948 183540 553950 183560
-rect 553894 183504 553950 183540
-rect 553894 173984 553950 174040
-rect 553710 173848 553766 173904
-rect 553894 173848 553950 173904
-rect 552330 172488 552386 172544
-rect 552698 172488 552754 172544
-rect 553710 125568 553766 125624
-rect 553894 125568 553950 125624
-rect 553434 113192 553490 113248
-rect 553618 113192 553674 113248
-rect 552422 104896 552478 104952
-rect 552606 104896 552662 104952
-rect 553710 87080 553766 87136
-rect 553894 86944 553950 87000
-rect 552330 67632 552386 67688
-rect 552514 67632 552570 67688
-rect 553894 48320 553950 48376
-rect 554078 48320 554134 48376
-rect 560794 87216 560850 87272
-rect 560794 87080 560850 87136
-rect 560794 48592 560850 48648
-rect 560794 48456 560850 48512
-rect 558034 7112 558090 7168
-rect 571834 278704 571890 278760
-rect 572018 278704 572074 278760
-rect 571834 259392 571890 259448
-rect 572018 259392 572074 259448
-rect 571834 240080 571890 240136
-rect 572018 240080 572074 240136
-rect 571834 220768 571890 220824
-rect 572018 220768 572074 220824
-rect 571834 191800 571890 191856
-rect 572018 191800 572074 191856
-rect 571834 172488 571890 172544
-rect 572018 172488 572074 172544
-rect 570362 164600 570418 164656
-rect 571834 164464 571890 164520
-rect 570362 164192 570418 164248
-rect 571558 164192 571614 164248
-rect 571834 164192 571890 164248
-rect 572110 164192 572166 164248
-rect 567602 6996 567658 7032
-rect 567602 6976 567604 6996
-rect 567604 6976 567656 6996
-rect 567656 6976 567658 6996
-rect 569074 6976 569130 7032
-rect 573122 4800 573178 4856
-rect 578642 7148 578644 7168
-rect 578644 7148 578696 7168
-rect 578696 7148 578698 7168
-rect 578642 7112 578698 7148
-rect 580666 289312 580722 289368
-rect 580666 273672 580722 273728
-rect 580666 195472 580722 195528
-rect 580390 148552 580446 148608
-rect 580666 85992 580722 86048
-rect 580758 54712 580814 54768
-rect 581586 8880 581642 8936
-rect 583886 7792 583942 7848
-rect 583886 7112 583942 7168
+rect 7805 354972 7833 355212
+rect 15901 354972 15929 355212
+rect 23997 354972 24025 355212
+rect 32139 354972 32167 355212
+rect 40235 354972 40263 355212
+rect 48331 354972 48359 355212
+rect 56473 354972 56501 355212
+rect 64569 354972 64597 355212
+rect 72665 354972 72693 355212
+rect 80807 354972 80835 355212
+rect 88903 354972 88931 355212
+rect 96999 354972 97027 355212
+rect 105141 354972 105169 355212
+rect 113237 354972 113265 355212
+rect 121333 354972 121361 355212
+rect 129475 354972 129503 355212
+rect 137571 354972 137599 355212
+rect 145667 354972 145695 355212
+rect 153809 354972 153837 355212
+rect 161905 354972 161933 355212
+rect 170001 354972 170029 355212
+rect 178143 354972 178171 355212
+rect 186239 354972 186267 355212
+rect 194335 354972 194363 355212
+rect 202477 354972 202505 355212
+rect 210573 354972 210601 355212
+rect 218669 354972 218697 355212
+rect 226811 354972 226839 355212
+rect 234907 354972 234935 355212
+rect 243003 354972 243031 355212
+rect 251145 354972 251173 355212
+rect 259241 354972 259269 355212
+rect 267337 354972 267365 355212
+rect 275479 354972 275507 355212
+rect 283575 354972 283603 355212
+rect 291671 354972 291699 355212
+rect 4033 3212 4061 3452
+rect 4585 3212 4613 3452
+rect 5183 3212 5211 3452
+rect 5781 3212 5809 3452
+rect 6379 3212 6407 3452
+rect 6977 3212 7005 3452
+rect 7575 3212 7603 3452
+rect 8173 3212 8201 3452
+rect 8771 3212 8799 3452
+rect 9369 3212 9397 3452
+rect 9967 3212 9995 3452
+rect 10565 3212 10593 3452
+rect 11163 3212 11191 3452
+rect 11761 3212 11789 3452
+rect 12359 3212 12387 3452
+rect 12911 3212 12939 3452
+rect 13509 3212 13537 3452
+rect 14107 3212 14135 3452
+rect 14705 3212 14733 3452
+rect 15303 3212 15331 3452
+rect 15901 3212 15929 3452
+rect 16499 3212 16527 3452
+rect 17097 3212 17125 3452
+rect 17695 3212 17723 3452
+rect 18293 3212 18321 3452
+rect 18891 3212 18919 3452
+rect 19489 3212 19517 3452
+rect 20087 3212 20115 3452
+rect 20685 3212 20713 3452
+rect 21237 3212 21265 3452
+rect 21835 3212 21863 3452
+rect 22433 3212 22461 3452
+rect 23031 3212 23059 3452
+rect 23629 3212 23657 3452
+rect 24227 3212 24255 3452
+rect 24825 3212 24853 3452
+rect 25423 3212 25451 3452
+rect 26021 3212 26049 3452
+rect 26619 3212 26647 3452
+rect 27217 3212 27245 3452
+rect 27815 3212 27843 3452
+rect 28413 3212 28441 3452
+rect 29011 3212 29039 3452
+rect 29563 3212 29591 3452
+rect 30161 3212 30189 3452
+rect 30759 3212 30787 3452
+rect 31357 3212 31385 3452
+rect 31955 3212 31983 3452
+rect 32553 3212 32581 3452
+rect 33151 3212 33179 3452
+rect 33749 3212 33777 3452
+rect 34347 3212 34375 3452
+rect 34945 3212 34973 3452
+rect 35543 3212 35571 3452
+rect 36141 3212 36169 3452
+rect 36739 3212 36767 3452
+rect 37337 3212 37365 3452
+rect 37889 3212 37917 3452
+rect 38487 3212 38515 3452
+rect 39085 3212 39113 3452
+rect 39683 3212 39711 3452
+rect 40281 3212 40309 3452
+rect 40879 3212 40907 3452
+rect 41477 3212 41505 3452
+rect 42075 3212 42103 3452
+rect 42673 3212 42701 3452
+rect 43271 3212 43299 3452
+rect 43869 3212 43897 3452
+rect 44467 3212 44495 3452
+rect 45065 3212 45093 3452
+rect 45663 3212 45691 3452
+rect 46215 3212 46243 3452
+rect 46813 3212 46841 3452
+rect 47411 3212 47439 3452
+rect 48009 3212 48037 3452
+rect 48607 3212 48635 3452
+rect 49205 3212 49233 3452
+rect 49803 3212 49831 3452
+rect 50401 3212 50429 3452
+rect 50999 3212 51027 3452
+rect 51597 3212 51625 3452
+rect 52195 3212 52223 3452
+rect 52793 3212 52821 3452
+rect 53391 3212 53419 3452
+rect 53989 3212 54017 3452
+rect 54541 3212 54569 3452
+rect 55139 3212 55167 3452
+rect 55737 3212 55765 3452
+rect 56335 3212 56363 3452
+rect 56933 3212 56961 3452
+rect 57531 3212 57559 3452
+rect 58129 3212 58157 3452
+rect 58727 3212 58755 3452
+rect 59325 3212 59353 3452
+rect 59923 3212 59951 3452
+rect 60521 3212 60549 3452
+rect 61119 3212 61147 3452
+rect 61717 3212 61745 3452
+rect 62315 3212 62343 3452
+rect 62867 3212 62895 3452
+rect 63465 3212 63493 3452
+rect 64063 3212 64091 3452
+rect 64661 3212 64689 3452
+rect 65259 3212 65287 3452
+rect 65857 3212 65885 3452
+rect 66455 3212 66483 3452
+rect 67053 3212 67081 3452
+rect 67651 3212 67679 3452
+rect 68249 3212 68277 3452
+rect 68847 3212 68875 3452
+rect 69445 3212 69473 3452
+rect 70043 3212 70071 3452
+rect 70641 3212 70669 3452
+rect 71193 3212 71221 3452
+rect 71791 3212 71819 3452
+rect 72389 3212 72417 3452
+rect 72987 3212 73015 3452
+rect 73585 3212 73613 3452
+rect 74183 3212 74211 3452
+rect 74781 3212 74809 3452
+rect 75379 3212 75407 3452
+rect 75977 3212 76005 3452
+rect 76575 3212 76603 3452
+rect 77173 3212 77201 3452
+rect 77771 3212 77799 3452
+rect 78369 3212 78397 3452
+rect 78967 3212 78995 3452
+rect 79519 3212 79547 3452
+rect 80117 3212 80145 3452
+rect 80715 3212 80743 3452
+rect 81313 3212 81341 3452
+rect 81911 3212 81939 3452
+rect 82509 3212 82537 3452
+rect 83107 3212 83135 3452
+rect 83705 3212 83733 3452
+rect 84303 3212 84331 3452
+rect 84901 3212 84929 3452
+rect 85499 3212 85527 3452
+rect 86097 3212 86125 3452
+rect 86695 3212 86723 3452
+rect 87293 3212 87321 3452
+rect 87845 3212 87873 3452
+rect 88443 3212 88471 3452
+rect 89041 3212 89069 3452
+rect 89639 3212 89667 3452
+rect 90237 3212 90265 3452
+rect 90835 3212 90863 3452
+rect 91433 3212 91461 3452
+rect 92031 3212 92059 3452
+rect 92629 3212 92657 3452
+rect 93227 3212 93255 3452
+rect 93825 3212 93853 3452
+rect 94423 3212 94451 3452
+rect 95021 3212 95049 3452
+rect 95619 3212 95647 3452
+rect 96171 3212 96199 3452
+rect 96769 3212 96797 3452
+rect 97367 3212 97395 3452
+rect 97965 3212 97993 3452
+rect 98563 3212 98591 3452
+rect 99161 3212 99189 3452
+rect 99759 3212 99787 3452
+rect 100357 3212 100385 3452
+rect 100955 3212 100983 3452
+rect 101553 3212 101581 3452
+rect 102151 3212 102179 3452
+rect 102749 3212 102777 3452
+rect 103347 3212 103375 3452
+rect 103945 3212 103973 3452
+rect 104497 3212 104525 3452
+rect 105095 3212 105123 3452
+rect 105693 3212 105721 3452
+rect 106291 3212 106319 3452
+rect 106889 3212 106917 3452
+rect 107487 3212 107515 3452
+rect 108085 3212 108113 3452
+rect 108683 3212 108711 3452
+rect 109281 3212 109309 3452
+rect 109879 3212 109907 3452
+rect 110477 3212 110505 3452
+rect 111075 3212 111103 3452
+rect 111673 3212 111701 3452
+rect 112271 3212 112299 3452
+rect 112823 3212 112851 3452
+rect 113421 3212 113449 3452
+rect 114019 3212 114047 3452
+rect 114617 3212 114645 3452
+rect 115215 3212 115243 3452
+rect 115813 3212 115841 3452
+rect 116411 3212 116439 3452
+rect 117009 3212 117037 3452
+rect 117607 3212 117635 3452
+rect 118205 3212 118233 3452
+rect 118803 3212 118831 3452
+rect 119401 3212 119429 3452
+rect 119999 3212 120027 3452
+rect 120597 3212 120625 3452
+rect 121149 3212 121177 3452
+rect 121747 3212 121775 3452
+rect 122345 3212 122373 3452
+rect 122943 3212 122971 3452
+rect 123541 3212 123569 3452
+rect 124139 3212 124167 3452
+rect 124737 3212 124765 3452
+rect 125335 3212 125363 3452
+rect 125933 3212 125961 3452
+rect 126531 3212 126559 3452
+rect 127129 3212 127157 3452
+rect 127727 3212 127755 3452
+rect 128325 3212 128353 3452
+rect 128923 3212 128951 3452
+rect 129475 3212 129503 3452
+rect 130073 3212 130101 3452
+rect 130671 3212 130699 3452
+rect 131269 3212 131297 3452
+rect 131867 3212 131895 3452
+rect 132465 3212 132493 3452
+rect 133063 3212 133091 3452
+rect 133661 3212 133689 3452
+rect 134259 3212 134287 3452
+rect 134857 3212 134885 3452
+rect 135455 3212 135483 3452
+rect 136053 3212 136081 3452
+rect 136651 3212 136679 3452
+rect 137249 3212 137277 3452
+rect 137801 3212 137829 3452
+rect 138399 3212 138427 3452
+rect 138997 3212 139025 3452
+rect 139595 3212 139623 3452
+rect 140193 3212 140221 3452
+rect 140791 3212 140819 3452
+rect 141389 3212 141417 3452
+rect 141987 3212 142015 3452
+rect 142585 3212 142613 3452
+rect 143183 3212 143211 3452
+rect 143781 3212 143809 3452
+rect 144379 3212 144407 3452
+rect 144977 3212 145005 3452
+rect 145575 3212 145603 3452
+rect 146127 3212 146155 3452
+rect 146725 3212 146753 3452
+rect 147323 3212 147351 3452
+rect 147921 3212 147949 3452
+rect 148519 3212 148547 3452
+rect 149117 3212 149145 3452
+rect 149715 3212 149743 3452
+rect 150313 3212 150341 3452
+rect 150911 3212 150939 3452
+rect 151509 3212 151537 3452
+rect 152107 3212 152135 3452
+rect 152705 3212 152733 3452
+rect 153303 3212 153331 3452
+rect 153901 3212 153929 3452
+rect 154453 3212 154481 3452
+rect 155051 3212 155079 3452
+rect 155649 3212 155677 3452
+rect 156247 3212 156275 3452
+rect 156845 3212 156873 3452
+rect 157443 3212 157471 3452
+rect 158041 3212 158069 3452
+rect 158639 3212 158667 3452
+rect 159237 3212 159265 3452
+rect 159835 3212 159863 3452
+rect 160433 3212 160461 3452
+rect 161031 3212 161059 3452
+rect 161629 3212 161657 3452
+rect 162227 3212 162255 3452
+rect 162779 3212 162807 3452
+rect 163377 3212 163405 3452
+rect 163975 3212 164003 3452
+rect 164573 3212 164601 3452
+rect 165171 3212 165199 3452
+rect 165769 3212 165797 3452
+rect 166367 3212 166395 3452
+rect 166965 3212 166993 3452
+rect 167563 3212 167591 3452
+rect 168161 3212 168189 3452
+rect 168759 3212 168787 3452
+rect 169357 3212 169385 3452
+rect 169955 3212 169983 3452
+rect 170553 3212 170581 3452
+rect 171105 3212 171133 3452
+rect 171703 3212 171731 3452
+rect 172301 3212 172329 3452
+rect 172899 3212 172927 3452
+rect 173497 3212 173525 3452
+rect 174095 3212 174123 3452
+rect 174693 3212 174721 3452
+rect 175291 3212 175319 3452
+rect 175889 3212 175917 3452
+rect 176487 3212 176515 3452
+rect 177085 3212 177113 3452
+rect 177683 3212 177711 3452
+rect 178281 3212 178309 3452
+rect 178879 3212 178907 3452
+rect 179431 3212 179459 3452
+rect 180029 3212 180057 3452
+rect 180627 3212 180655 3452
+rect 181225 3212 181253 3452
+rect 181823 3212 181851 3452
+rect 182421 3212 182449 3452
+rect 183019 3212 183047 3452
+rect 183617 3212 183645 3452
+rect 184215 3212 184243 3452
+rect 184813 3212 184841 3452
+rect 185411 3212 185439 3452
+rect 186009 3212 186037 3452
+rect 186607 3212 186635 3452
+rect 187205 3212 187233 3452
+rect 187757 3212 187785 3452
+rect 188355 3212 188383 3452
+rect 188953 3212 188981 3452
+rect 189551 3212 189579 3452
+rect 190149 3212 190177 3452
+rect 190747 3212 190775 3452
+rect 191345 3212 191373 3452
+rect 191943 3212 191971 3452
+rect 192541 3212 192569 3452
+rect 193139 3212 193167 3452
+rect 193737 3212 193765 3452
+rect 194335 3212 194363 3452
+rect 194933 3212 194961 3452
+rect 195531 3212 195559 3452
+rect 196083 3212 196111 3452
+rect 196681 3212 196709 3452
+rect 197279 3212 197307 3452
+rect 197877 3212 197905 3452
+rect 198475 3212 198503 3452
+rect 199073 3212 199101 3452
+rect 199671 3212 199699 3452
+rect 200269 3212 200297 3452
+rect 200867 3212 200895 3452
+rect 201465 3212 201493 3452
+rect 202063 3212 202091 3452
+rect 202661 3212 202689 3452
+rect 203259 3212 203287 3452
+rect 203857 3212 203885 3452
+rect 204409 3212 204437 3452
+rect 205007 3212 205035 3452
+rect 205605 3212 205633 3452
+rect 206203 3212 206231 3452
+rect 206801 3212 206829 3452
+rect 207399 3212 207427 3452
+rect 207997 3212 208025 3452
+rect 208595 3212 208623 3452
+rect 209193 3212 209221 3452
+rect 209791 3212 209819 3452
+rect 210389 3212 210417 3452
+rect 210987 3212 211015 3452
+rect 211585 3212 211613 3452
+rect 212183 3212 212211 3452
+rect 212735 3212 212763 3452
+rect 213333 3212 213361 3452
+rect 213931 3212 213959 3452
+rect 214529 3212 214557 3452
+rect 215127 3212 215155 3452
+rect 215725 3212 215753 3452
+rect 216323 3212 216351 3452
+rect 216921 3212 216949 3452
+rect 217519 3212 217547 3452
+rect 218117 3212 218145 3452
+rect 218715 3212 218743 3452
+rect 219313 3212 219341 3452
+rect 219911 3212 219939 3452
+rect 220509 3212 220537 3452
+rect 221061 3212 221089 3452
+rect 221659 3212 221687 3452
+rect 222257 3212 222285 3452
+rect 222855 3212 222883 3452
+rect 223453 3212 223481 3452
+rect 224051 3212 224079 3452
+rect 224649 3212 224677 3452
+rect 225247 3212 225275 3452
+rect 225845 3212 225873 3452
+rect 226443 3212 226471 3452
+rect 227041 3212 227069 3452
+rect 227639 3212 227667 3452
+rect 228237 3212 228265 3452
+rect 228835 3212 228863 3452
+rect 229387 3212 229415 3452
+rect 229985 3212 230013 3452
+rect 230583 3212 230611 3452
+rect 231181 3212 231209 3452
+rect 231779 3212 231807 3452
+rect 232377 3212 232405 3452
+rect 232975 3212 233003 3452
+rect 233573 3212 233601 3452
+rect 234171 3212 234199 3452
+rect 234769 3212 234797 3452
+rect 235367 3212 235395 3452
+rect 235965 3212 235993 3452
+rect 236563 3212 236591 3452
+rect 237161 3212 237189 3452
+rect 237713 3212 237741 3452
+rect 238311 3212 238339 3452
+rect 238909 3212 238937 3452
+rect 239507 3212 239535 3452
+rect 240105 3212 240133 3452
+rect 240703 3212 240731 3452
+rect 241301 3212 241329 3452
+rect 241899 3212 241927 3452
+rect 242497 3212 242525 3452
+rect 243095 3212 243123 3452
+rect 243693 3212 243721 3452
+rect 244291 3212 244319 3452
+rect 244889 3212 244917 3452
+rect 245487 3212 245515 3452
+rect 246039 3212 246067 3452
+rect 246637 3212 246665 3452
+rect 247235 3212 247263 3452
+rect 247833 3212 247861 3452
+rect 248431 3212 248459 3452
+rect 249029 3212 249057 3452
+rect 249627 3212 249655 3452
+rect 250225 3212 250253 3452
+rect 250823 3212 250851 3452
+rect 251421 3212 251449 3452
+rect 252019 3212 252047 3452
+rect 252617 3212 252645 3452
+rect 253215 3212 253243 3452
+rect 253813 3212 253841 3452
+rect 254365 3212 254393 3452
+rect 254963 3212 254991 3452
+rect 255561 3212 255589 3452
+rect 256159 3212 256187 3452
+rect 256757 3212 256785 3452
+rect 257355 3212 257383 3452
+rect 257953 3212 257981 3452
+rect 258551 3212 258579 3452
+rect 259149 3212 259177 3452
+rect 259747 3212 259775 3452
+rect 260345 3212 260373 3452
+rect 260943 3212 260971 3452
+rect 261541 3212 261569 3452
+rect 262139 3212 262167 3452
+rect 262691 3212 262719 3452
+rect 263289 3212 263317 3452
+rect 263887 3212 263915 3452
+rect 264485 3212 264513 3452
+rect 265083 3212 265111 3452
+rect 265681 3212 265709 3452
+rect 266279 3212 266307 3452
+rect 266877 3212 266905 3452
+rect 267475 3212 267503 3452
+rect 268073 3212 268101 3452
+rect 268671 3212 268699 3452
+rect 269269 3212 269297 3452
+rect 269867 3212 269895 3452
+rect 270465 3212 270493 3452
+rect 271017 3212 271045 3452
+rect 271615 3212 271643 3452
+rect 272213 3212 272241 3452
+rect 272811 3212 272839 3452
+rect 273409 3212 273437 3452
+rect 274007 3212 274035 3452
+rect 274605 3212 274633 3452
+rect 275203 3212 275231 3452
+rect 275801 3212 275829 3452
+rect 276399 3212 276427 3452
+rect 276997 3212 277025 3452
+rect 277595 3212 277623 3452
+rect 278193 3212 278221 3452
+rect 278791 3212 278819 3452
+rect 279343 3212 279371 3452
+rect 279941 3212 279969 3452
+rect 280539 3212 280567 3452
+rect 281137 3212 281165 3452
+rect 281735 3212 281763 3452
+rect 282333 3212 282361 3452
+rect 282931 3212 282959 3452
+rect 283529 3212 283557 3452
+rect 284127 3212 284155 3452
+rect 284725 3212 284753 3452
+rect 285323 3212 285351 3452
+rect 285921 3212 285949 3452
+rect 286519 3212 286547 3452
+rect 287117 3212 287145 3452
+rect 287669 3212 287697 3452
+rect 288267 3212 288295 3452
+rect 288865 3212 288893 3452
+rect 289463 3212 289491 3452
+rect 290061 3212 290089 3452
+rect 290659 3212 290687 3452
+rect 291257 3212 291285 3452
+rect 291855 3212 291883 3452
+rect 292453 3212 292481 3452
+rect 293051 3212 293079 3452
+rect 293649 3212 293677 3452
+rect 294247 3212 294275 3452
+rect 294845 3212 294873 3452
+rect 295443 3212 295471 3452
 << metal3 >>
-rect 11273 700362 11339 700365
-rect 304109 700362 304175 700365
-rect 11273 700360 304175 700362
-rect 11273 700304 11278 700360
-rect 11334 700304 304114 700360
-rect 304170 700304 304175 700360
-rect 11273 700302 304175 700304
-rect 11273 700299 11339 700302
-rect 304109 700299 304175 700302
-rect 580109 696010 580175 696013
-rect 584016 696010 584496 696040
-rect 580109 696008 584496 696010
-rect 580109 695952 580114 696008
-rect 580170 695952 584496 696008
-rect 580109 695950 584496 695952
-rect 580109 695947 580175 695950
-rect 584016 695920 584496 695950
-rect 496 695466 976 695496
-rect 3729 695466 3795 695469
-rect 496 695464 3795 695466
-rect 496 695408 3734 695464
-rect 3790 695408 3795 695464
-rect 496 695406 3795 695408
-rect 496 695376 976 695406
-rect 3729 695403 3795 695406
-rect 580109 680370 580175 680373
-rect 584016 680370 584496 680400
-rect 580109 680368 584496 680370
-rect 580109 680312 580114 680368
-rect 580170 680312 584496 680368
-rect 580109 680310 584496 680312
-rect 580109 680307 580175 680310
-rect 584016 680280 584496 680310
-rect 496 678738 976 678768
-rect 3913 678738 3979 678741
-rect 496 678736 3979 678738
-rect 496 678680 3918 678736
-rect 3974 678680 3979 678736
-rect 496 678678 3979 678680
-rect 496 678648 976 678678
-rect 3913 678675 3979 678678
-rect 580109 664730 580175 664733
-rect 584016 664730 584496 664760
-rect 580109 664728 584496 664730
-rect 580109 664672 580114 664728
-rect 580170 664672 584496 664728
-rect 580109 664670 584496 664672
-rect 580109 664667 580175 664670
-rect 584016 664640 584496 664670
-rect 496 662010 976 662040
-rect 3913 662010 3979 662013
-rect 496 662008 3979 662010
-rect 496 661952 3918 662008
-rect 3974 661952 3979 662008
-rect 496 661950 3979 661952
-rect 496 661920 976 661950
-rect 3913 661947 3979 661950
-rect 580109 649090 580175 649093
-rect 584016 649090 584496 649120
-rect 580109 649088 584496 649090
-rect 580109 649032 580114 649088
-rect 580170 649032 584496 649088
-rect 580109 649030 584496 649032
-rect 580109 649027 580175 649030
-rect 584016 649000 584496 649030
-rect 496 645282 976 645312
-rect 3545 645282 3611 645285
-rect 496 645280 3611 645282
-rect 496 645224 3550 645280
-rect 3606 645224 3611 645280
-rect 496 645222 3611 645224
-rect 496 645192 976 645222
-rect 3545 645219 3611 645222
-rect 580109 633450 580175 633453
-rect 584016 633450 584496 633480
-rect 580109 633448 584496 633450
-rect 580109 633392 580114 633448
-rect 580170 633392 584496 633448
-rect 580109 633390 584496 633392
-rect 580109 633387 580175 633390
-rect 584016 633360 584496 633390
-rect 496 628418 976 628448
-rect 3913 628418 3979 628421
-rect 496 628416 3979 628418
-rect 496 628360 3918 628416
-rect 3974 628360 3979 628416
-rect 496 628358 3979 628360
-rect 496 628328 976 628358
-rect 3913 628355 3979 628358
-rect 313769 618218 313835 618221
-rect 314045 618218 314111 618221
-rect 313769 618216 314111 618218
-rect 313769 618160 313774 618216
-rect 313830 618160 314050 618216
-rect 314106 618160 314111 618216
-rect 313769 618158 314111 618160
-rect 313769 618155 313835 618158
-rect 314045 618155 314111 618158
-rect 443622 618156 443628 618220
-rect 443692 618218 443698 618220
-rect 443765 618218 443831 618221
-rect 443692 618216 443831 618218
-rect 443692 618160 443770 618216
-rect 443826 618160 443831 618216
-rect 443692 618158 443831 618160
-rect 443692 618156 443698 618158
-rect 443765 618155 443831 618158
-rect 580109 617810 580175 617813
-rect 584016 617810 584496 617840
-rect 580109 617808 584496 617810
-rect 580109 617752 580114 617808
-rect 580170 617752 584496 617808
-rect 580109 617750 584496 617752
-rect 580109 617747 580175 617750
-rect 584016 617720 584496 617750
-rect 496 611690 976 611720
-rect 3821 611690 3887 611693
-rect 496 611688 3887 611690
-rect 496 611632 3826 611688
-rect 3882 611632 3887 611688
-rect 496 611630 3887 611632
-rect 496 611600 976 611630
-rect 3821 611627 3887 611630
-rect 443673 608700 443739 608701
-rect 443622 608636 443628 608700
-rect 443692 608698 443739 608700
-rect 573393 608698 573459 608701
-rect 573761 608698 573827 608701
-rect 443692 608696 443784 608698
-rect 443734 608640 443784 608696
-rect 443692 608638 443784 608640
-rect 573393 608696 573827 608698
-rect 573393 608640 573398 608696
-rect 573454 608640 573766 608696
-rect 573822 608640 573827 608696
-rect 573393 608638 573827 608640
-rect 443692 608636 443739 608638
-rect 443673 608635 443739 608636
-rect 573393 608635 573459 608638
-rect 573761 608635 573827 608638
-rect 580109 602170 580175 602173
-rect 584016 602170 584496 602200
-rect 580109 602168 584496 602170
-rect 580109 602112 580114 602168
-rect 580170 602112 584496 602168
-rect 580109 602110 584496 602112
-rect 580109 602107 580175 602110
-rect 584016 602080 584496 602110
-rect 496 594962 976 594992
-rect 3913 594962 3979 594965
-rect 496 594960 3979 594962
-rect 496 594904 3918 594960
-rect 3974 594904 3979 594960
-rect 496 594902 3979 594904
-rect 496 594872 976 594902
-rect 3913 594899 3979 594902
-rect 580109 586530 580175 586533
-rect 584016 586530 584496 586560
-rect 580109 586528 584496 586530
-rect 580109 586472 580114 586528
-rect 580170 586472 584496 586528
-rect 580109 586470 584496 586472
-rect 580109 586467 580175 586470
-rect 584016 586440 584496 586470
-rect 496 578234 976 578264
-rect 3729 578234 3795 578237
-rect 496 578232 3795 578234
-rect 496 578176 3734 578232
-rect 3790 578176 3795 578232
-rect 496 578174 3795 578176
-rect 496 578144 976 578174
-rect 3729 578171 3795 578174
-rect 580109 570890 580175 570893
-rect 584016 570890 584496 570920
-rect 580109 570888 584496 570890
-rect 580109 570832 580114 570888
-rect 580170 570832 584496 570888
-rect 580109 570830 584496 570832
-rect 580109 570827 580175 570830
-rect 584016 570800 584496 570830
-rect 496 561370 976 561400
-rect 3913 561370 3979 561373
-rect 496 561368 3979 561370
-rect 496 561312 3918 561368
-rect 3974 561312 3979 561368
-rect 496 561310 3979 561312
-rect 496 561280 976 561310
-rect 3913 561307 3979 561310
-rect 378721 560282 378787 560285
-rect 378905 560282 378971 560285
-rect 378721 560280 378971 560282
-rect 378721 560224 378726 560280
-rect 378782 560224 378910 560280
-rect 378966 560224 378971 560280
-rect 378721 560222 378971 560224
-rect 378721 560219 378787 560222
-rect 378905 560219 378971 560222
-rect 508441 560282 508507 560285
-rect 508625 560282 508691 560285
-rect 508441 560280 508691 560282
-rect 508441 560224 508446 560280
-rect 508502 560224 508630 560280
-rect 508686 560224 508691 560280
-rect 508441 560222 508691 560224
-rect 508441 560219 508507 560222
-rect 508625 560219 508691 560222
-rect 313769 557562 313835 557565
-rect 314045 557562 314111 557565
-rect 313769 557560 314111 557562
-rect 313769 557504 313774 557560
-rect 313830 557504 314050 557560
-rect 314106 557504 314111 557560
-rect 313769 557502 314111 557504
-rect 313769 557499 313835 557502
-rect 314045 557499 314111 557502
-rect 580109 555250 580175 555253
-rect 584016 555250 584496 555280
-rect 580109 555248 584496 555250
-rect 580109 555192 580114 555248
-rect 580170 555192 584496 555248
-rect 580109 555190 584496 555192
-rect 580109 555187 580175 555190
-rect 584016 555160 584496 555190
-rect 496 544642 976 544672
-rect 3637 544642 3703 544645
-rect 496 544640 3703 544642
-rect 496 544584 3642 544640
-rect 3698 544584 3703 544640
-rect 496 544582 3703 544584
-rect 496 544552 976 544582
-rect 3637 544579 3703 544582
-rect 580109 539610 580175 539613
-rect 584016 539610 584496 539640
-rect 580109 539608 584496 539610
-rect 580109 539552 580114 539608
-rect 580170 539552 584496 539608
-rect 580109 539550 584496 539552
-rect 580109 539547 580175 539550
-rect 584016 539520 584496 539550
-rect 378997 529820 379063 529821
-rect 508717 529820 508783 529821
-rect 378997 529818 379044 529820
-rect 378952 529816 379044 529818
-rect 378952 529760 379002 529816
-rect 378952 529758 379044 529760
-rect 378997 529756 379044 529758
-rect 379108 529756 379114 529820
-rect 508717 529818 508764 529820
-rect 508672 529816 508764 529818
-rect 508672 529760 508722 529816
-rect 508672 529758 508764 529760
-rect 508717 529756 508764 529758
-rect 508828 529756 508834 529820
-rect 378997 529755 379063 529756
-rect 508717 529755 508783 529756
-rect 496 527914 976 527944
-rect 3637 527914 3703 527917
-rect 496 527912 3703 527914
-rect 496 527856 3642 527912
-rect 3698 527856 3703 527912
-rect 496 527854 3703 527856
-rect 496 527824 976 527854
-rect 3637 527851 3703 527854
-rect 580109 523970 580175 523973
-rect 584016 523970 584496 524000
-rect 580109 523968 584496 523970
-rect 580109 523912 580114 523968
-rect 580170 523912 584496 523968
-rect 580109 523910 584496 523912
-rect 580109 523907 580175 523910
-rect 584016 523880 584496 523910
-rect 379038 520236 379044 520300
-rect 379108 520298 379114 520300
-rect 379181 520298 379247 520301
-rect 379108 520296 379247 520298
-rect 379108 520240 379186 520296
-rect 379242 520240 379247 520296
-rect 379108 520238 379247 520240
-rect 379108 520236 379114 520238
-rect 379181 520235 379247 520238
-rect 508758 520236 508764 520300
-rect 508828 520298 508834 520300
-rect 508901 520298 508967 520301
-rect 508828 520296 508967 520298
-rect 508828 520240 508906 520296
-rect 508962 520240 508967 520296
-rect 508828 520238 508967 520240
-rect 508828 520236 508834 520238
-rect 508901 520235 508967 520238
-rect 313769 518938 313835 518941
-rect 314045 518938 314111 518941
-rect 313769 518936 314111 518938
-rect 313769 518880 313774 518936
-rect 313830 518880 314050 518936
-rect 314106 518880 314111 518936
-rect 313769 518878 314111 518880
-rect 313769 518875 313835 518878
-rect 314045 518875 314111 518878
-rect 443489 512002 443555 512005
-rect 443673 512002 443739 512005
-rect 443489 512000 443739 512002
-rect 443489 511944 443494 512000
-rect 443550 511944 443678 512000
-rect 443734 511944 443739 512000
-rect 443489 511942 443739 511944
-rect 443489 511939 443555 511942
-rect 443673 511939 443739 511942
-rect 573209 512002 573275 512005
-rect 573393 512002 573459 512005
-rect 573209 512000 573459 512002
-rect 573209 511944 573214 512000
-rect 573270 511944 573398 512000
-rect 573454 511944 573459 512000
-rect 573209 511942 573459 511944
-rect 573209 511939 573275 511942
-rect 573393 511939 573459 511942
-rect 496 511186 976 511216
-rect 4005 511186 4071 511189
-rect 496 511184 4071 511186
-rect 496 511128 4010 511184
-rect 4066 511128 4071 511184
-rect 496 511126 4071 511128
-rect 496 511096 976 511126
-rect 4005 511123 4071 511126
-rect 580109 508330 580175 508333
-rect 584016 508330 584496 508360
-rect 580109 508328 584496 508330
-rect 580109 508272 580114 508328
-rect 580170 508272 584496 508328
-rect 580109 508270 584496 508272
-rect 580109 508267 580175 508270
-rect 584016 508240 584496 508270
-rect 378537 502346 378603 502349
-rect 378813 502346 378879 502349
-rect 378537 502344 378879 502346
-rect 378537 502288 378542 502344
-rect 378598 502288 378818 502344
-rect 378874 502288 378879 502344
-rect 378537 502286 378879 502288
-rect 378537 502283 378603 502286
-rect 378813 502283 378879 502286
-rect 508257 502346 508323 502349
-rect 508533 502346 508599 502349
-rect 508257 502344 508599 502346
-rect 508257 502288 508262 502344
-rect 508318 502288 508538 502344
-rect 508594 502288 508599 502344
-rect 508257 502286 508599 502288
-rect 508257 502283 508323 502286
-rect 508533 502283 508599 502286
-rect 496 494322 976 494352
-rect 3729 494322 3795 494325
-rect 496 494320 3795 494322
-rect 496 494264 3734 494320
-rect 3790 494264 3795 494320
-rect 496 494262 3795 494264
-rect 496 494232 976 494262
-rect 3729 494259 3795 494262
-rect 378537 492690 378603 492693
-rect 378721 492690 378787 492693
-rect 378537 492688 378787 492690
-rect 378537 492632 378542 492688
-rect 378598 492632 378726 492688
-rect 378782 492632 378787 492688
-rect 378537 492630 378787 492632
-rect 378537 492627 378603 492630
-rect 378721 492627 378787 492630
-rect 508257 492690 508323 492693
-rect 508441 492690 508507 492693
-rect 508257 492688 508507 492690
-rect 508257 492632 508262 492688
-rect 508318 492632 508446 492688
-rect 508502 492632 508507 492688
-rect 508257 492630 508507 492632
-rect 508257 492627 508323 492630
-rect 508441 492627 508507 492630
-rect 580109 492690 580175 492693
-rect 584016 492690 584496 492720
-rect 580109 492688 584496 492690
-rect 580109 492632 580114 492688
-rect 580170 492632 584496 492688
-rect 580109 492630 584496 492632
-rect 580109 492627 580175 492630
-rect 584016 492600 584496 492630
-rect 496 477594 976 477624
-rect 3913 477594 3979 477597
-rect 496 477592 3979 477594
-rect 496 477536 3918 477592
-rect 3974 477536 3979 477592
-rect 496 477534 3979 477536
-rect 496 477504 976 477534
-rect 3913 477531 3979 477534
-rect 580109 477050 580175 477053
-rect 584016 477050 584496 477080
-rect 580109 477048 584496 477050
-rect 580109 476992 580114 477048
-rect 580170 476992 584496 477048
-rect 580109 476990 584496 476992
-rect 580109 476987 580175 476990
-rect 584016 476960 584496 476990
-rect 283593 463178 283659 463181
-rect 288193 463178 288259 463181
-rect 283593 463176 288259 463178
-rect 283593 463120 283598 463176
-rect 283654 463120 288198 463176
-rect 288254 463120 288259 463176
-rect 283593 463118 288259 463120
-rect 283593 463115 283659 463118
-rect 288193 463115 288259 463118
-rect 288377 463178 288443 463181
-rect 293161 463178 293227 463181
-rect 288377 463176 293227 463178
-rect 288377 463120 288382 463176
-rect 288438 463120 293166 463176
-rect 293222 463120 293227 463176
-rect 288377 463118 293227 463120
-rect 288377 463115 288443 463118
-rect 293161 463115 293227 463118
-rect 282305 463042 282371 463045
-rect 283409 463042 283475 463045
-rect 282305 463040 283475 463042
-rect 282305 462984 282310 463040
-rect 282366 462984 283414 463040
-rect 283470 462984 283475 463040
-rect 282305 462982 283475 462984
-rect 282305 462979 282371 462982
-rect 283409 462979 283475 462982
-rect 3913 462498 3979 462501
-rect 342381 462498 342447 462501
-rect 3913 462496 342447 462498
-rect 3913 462440 3918 462496
-rect 3974 462440 342386 462496
-rect 342442 462440 342447 462496
-rect 3913 462438 342447 462440
-rect 3913 462435 3979 462438
-rect 342381 462435 342447 462438
-rect 580661 461410 580727 461413
-rect 584016 461410 584496 461440
-rect 580661 461408 584496 461410
-rect 580661 461352 580666 461408
-rect 580722 461352 584496 461408
-rect 580661 461350 584496 461352
-rect 580661 461347 580727 461350
-rect 584016 461320 584496 461350
-rect 496 460866 976 460896
-rect 3637 460866 3703 460869
-rect 496 460864 3703 460866
-rect 496 460808 3642 460864
-rect 3698 460808 3703 460864
-rect 496 460806 3703 460808
-rect 496 460776 976 460806
-rect 3637 460803 3703 460806
-rect 232165 459644 232231 459645
-rect 232165 459640 232212 459644
-rect 232276 459642 232282 459644
-rect 233177 459642 233243 459645
-rect 236213 459644 236279 459645
-rect 233494 459642 233500 459644
-rect 232165 459584 232170 459640
-rect 232165 459580 232212 459584
-rect 232276 459582 232322 459642
-rect 233177 459640 233500 459642
-rect 233177 459584 233182 459640
-rect 233238 459584 233500 459640
-rect 233177 459582 233500 459584
-rect 232276 459580 232282 459582
-rect 232165 459579 232231 459580
-rect 233177 459579 233243 459582
-rect 233494 459580 233500 459582
-rect 233564 459580 233570 459644
-rect 236213 459640 236260 459644
-rect 236324 459642 236330 459644
-rect 237409 459642 237475 459645
-rect 237726 459642 237732 459644
-rect 236213 459584 236218 459640
-rect 236213 459580 236260 459584
-rect 236324 459582 236370 459642
-rect 237409 459640 237732 459642
-rect 237409 459584 237414 459640
-rect 237470 459584 237732 459640
-rect 237409 459582 237732 459584
-rect 236324 459580 236330 459582
-rect 236213 459579 236279 459580
-rect 237409 459579 237475 459582
-rect 237726 459580 237732 459582
-rect 237796 459580 237802 459644
-rect 238513 459642 238579 459645
-rect 239014 459642 239020 459644
-rect 238513 459640 239020 459642
-rect 238513 459584 238518 459640
-rect 238574 459584 239020 459640
-rect 238513 459582 239020 459584
-rect 238513 459579 238579 459582
-rect 239014 459580 239020 459582
-rect 239084 459580 239090 459644
-rect 239617 459642 239683 459645
-rect 240486 459642 240492 459644
-rect 239617 459640 240492 459642
-rect 239617 459584 239622 459640
-rect 239678 459584 240492 459640
-rect 239617 459582 240492 459584
-rect 239617 459579 239683 459582
-rect 240486 459580 240492 459582
-rect 240556 459580 240562 459644
-rect 241641 459642 241707 459645
-rect 241774 459642 241780 459644
-rect 241641 459640 241780 459642
-rect 241641 459584 241646 459640
-rect 241702 459584 241780 459640
-rect 241641 459582 241780 459584
-rect 241641 459579 241707 459582
-rect 241774 459580 241780 459582
-rect 241844 459580 241850 459644
-rect 242745 459642 242811 459645
-rect 244585 459644 244651 459645
-rect 243246 459642 243252 459644
-rect 242745 459640 243252 459642
-rect 242745 459584 242750 459640
-rect 242806 459584 243252 459640
-rect 242745 459582 243252 459584
-rect 242745 459579 242811 459582
-rect 243246 459580 243252 459582
-rect 243316 459580 243322 459644
-rect 244534 459642 244540 459644
-rect 244494 459582 244540 459642
-rect 244604 459640 244651 459644
-rect 244646 459584 244651 459640
-rect 244534 459580 244540 459582
-rect 244604 459580 244651 459584
-rect 244585 459579 244651 459580
-rect 245873 459642 245939 459645
-rect 246006 459642 246012 459644
-rect 245873 459640 246012 459642
-rect 245873 459584 245878 459640
-rect 245934 459584 246012 459640
-rect 245873 459582 246012 459584
-rect 245873 459579 245939 459582
-rect 246006 459580 246012 459582
-rect 246076 459580 246082 459644
-rect 246977 459642 247043 459645
-rect 248817 459644 248883 459645
-rect 247294 459642 247300 459644
-rect 246977 459640 247300 459642
-rect 246977 459584 246982 459640
-rect 247038 459584 247300 459640
-rect 246977 459582 247300 459584
-rect 246977 459579 247043 459582
-rect 247294 459580 247300 459582
-rect 247364 459580 247370 459644
-rect 248766 459642 248772 459644
-rect 248726 459582 248772 459642
-rect 248836 459640 248883 459644
-rect 248878 459584 248883 459640
-rect 248766 459580 248772 459582
-rect 248836 459580 248883 459584
-rect 248817 459579 248883 459580
-rect 251209 459642 251275 459645
-rect 251526 459642 251532 459644
-rect 251209 459640 251532 459642
-rect 251209 459584 251214 459640
-rect 251270 459584 251532 459640
-rect 251209 459582 251532 459584
-rect 251209 459579 251275 459582
-rect 251526 459580 251532 459582
-rect 251596 459580 251602 459644
-rect 252129 459642 252195 459645
-rect 252814 459642 252820 459644
-rect 252129 459640 252820 459642
-rect 252129 459584 252134 459640
-rect 252190 459584 252820 459640
-rect 252129 459582 252820 459584
-rect 252129 459579 252195 459582
-rect 252814 459580 252820 459582
-rect 252884 459580 252890 459644
-rect 342790 459580 342796 459644
-rect 342860 459642 342866 459644
-rect 343117 459642 343183 459645
-rect 342860 459640 343183 459642
-rect 342860 459584 343122 459640
-rect 343178 459584 343183 459640
-rect 342860 459582 343183 459584
-rect 342860 459580 342866 459582
-rect 343117 459579 343183 459582
-rect 344078 459580 344084 459644
-rect 344148 459642 344154 459644
-rect 344221 459642 344287 459645
-rect 344148 459640 344287 459642
-rect 344148 459584 344226 459640
-rect 344282 459584 344287 459640
-rect 344148 459582 344287 459584
-rect 344148 459580 344154 459582
-rect 344221 459579 344287 459582
-rect 345550 459580 345556 459644
-rect 345620 459642 345626 459644
-rect 346245 459642 346311 459645
-rect 345620 459640 346311 459642
-rect 345620 459584 346250 459640
-rect 346306 459584 346311 459640
-rect 345620 459582 346311 459584
-rect 345620 459580 345626 459582
-rect 346245 459579 346311 459582
-rect 346838 459580 346844 459644
-rect 346908 459642 346914 459644
-rect 347349 459642 347415 459645
-rect 346908 459640 347415 459642
-rect 346908 459584 347354 459640
-rect 347410 459584 347415 459640
-rect 346908 459582 347415 459584
-rect 346908 459580 346914 459582
-rect 347349 459579 347415 459582
-rect 348310 459580 348316 459644
-rect 348380 459642 348386 459644
-rect 348453 459642 348519 459645
-rect 348380 459640 348519 459642
-rect 348380 459584 348458 459640
-rect 348514 459584 348519 459640
-rect 348380 459582 348519 459584
-rect 348380 459580 348386 459582
-rect 348453 459579 348519 459582
-rect 580661 445770 580727 445773
-rect 584016 445770 584496 445800
-rect 580661 445768 584496 445770
-rect 580661 445712 580666 445768
-rect 580722 445712 584496 445768
-rect 580661 445710 584496 445712
-rect 580661 445707 580727 445710
-rect 584016 445680 584496 445710
-rect 496 444138 976 444168
-rect 3637 444138 3703 444141
-rect 496 444136 3703 444138
-rect 496 444080 3642 444136
-rect 3698 444080 3703 444136
-rect 496 444078 3703 444080
-rect 496 444048 976 444078
-rect 3637 444075 3703 444078
-rect 580661 430130 580727 430133
-rect 584016 430130 584496 430160
-rect 580661 430128 584496 430130
-rect 580661 430072 580666 430128
-rect 580722 430072 584496 430128
-rect 580661 430070 584496 430072
-rect 580661 430067 580727 430070
-rect 584016 430040 584496 430070
-rect 496 427274 976 427304
-rect 3637 427274 3703 427277
-rect 496 427272 3703 427274
-rect 496 427216 3642 427272
-rect 3698 427216 3703 427272
-rect 496 427214 3703 427216
-rect 496 427184 976 427214
-rect 3637 427211 3703 427214
-rect 580661 414490 580727 414493
-rect 584016 414490 584496 414520
-rect 580661 414488 584496 414490
-rect 580661 414432 580666 414488
-rect 580722 414432 584496 414488
-rect 580661 414430 584496 414432
-rect 580661 414427 580727 414430
-rect 584016 414400 584496 414430
-rect 496 410546 976 410576
-rect 3821 410546 3887 410549
-rect 496 410544 3887 410546
-rect 496 410488 3826 410544
-rect 3882 410488 3887 410544
-rect 496 410486 3887 410488
-rect 496 410456 976 410486
-rect 3821 410483 3887 410486
-rect 580661 398850 580727 398853
-rect 584016 398850 584496 398880
-rect 580661 398848 584496 398850
-rect 580661 398792 580666 398848
-rect 580722 398792 584496 398848
-rect 580661 398790 584496 398792
-rect 580661 398787 580727 398790
-rect 584016 398760 584496 398790
-rect 496 393818 976 393848
-rect 3821 393818 3887 393821
-rect 496 393816 3887 393818
-rect 496 393760 3826 393816
-rect 3882 393760 3887 393816
-rect 496 393758 3887 393760
-rect 496 393728 976 393758
-rect 3821 393755 3887 393758
-rect 580661 383210 580727 383213
-rect 584016 383210 584496 383240
-rect 580661 383208 584496 383210
-rect 580661 383152 580666 383208
-rect 580722 383152 584496 383208
-rect 580661 383150 584496 383152
-rect 580661 383147 580727 383150
-rect 584016 383120 584496 383150
-rect 496 377090 976 377120
-rect 3545 377090 3611 377093
-rect 496 377088 3611 377090
-rect 496 377032 3550 377088
-rect 3606 377032 3611 377088
-rect 496 377030 3611 377032
-rect 496 377000 976 377030
-rect 3545 377027 3611 377030
-rect 580661 367570 580727 367573
-rect 584016 367570 584496 367600
-rect 580661 367568 584496 367570
-rect 580661 367512 580666 367568
-rect 580722 367512 584496 367568
-rect 580661 367510 584496 367512
-rect 580661 367507 580727 367510
-rect 584016 367480 584496 367510
-rect 496 360362 976 360392
-rect 3821 360362 3887 360365
-rect 496 360360 3887 360362
-rect 496 360304 3826 360360
-rect 3882 360304 3887 360360
-rect 496 360302 3887 360304
-rect 496 360272 976 360302
-rect 3821 360299 3887 360302
-rect 580661 351930 580727 351933
-rect 584016 351930 584496 351960
-rect 580661 351928 584496 351930
-rect 580661 351872 580666 351928
-rect 580722 351872 584496 351928
-rect 580661 351870 584496 351872
-rect 580661 351867 580727 351870
-rect 584016 351840 584496 351870
-rect 496 343498 976 343528
-rect 3821 343498 3887 343501
-rect 496 343496 3887 343498
-rect 496 343440 3826 343496
-rect 3882 343440 3887 343496
-rect 496 343438 3887 343440
-rect 496 343408 976 343438
-rect 3821 343435 3887 343438
-rect 333365 338058 333431 338061
-rect 333549 338058 333615 338061
-rect 333365 338056 333615 338058
-rect 333365 338000 333370 338056
-rect 333426 338000 333554 338056
-rect 333610 338000 333615 338056
-rect 333365 337998 333615 338000
-rect 333365 337995 333431 337998
-rect 333549 337995 333615 337998
-rect 128849 337922 128915 337925
-rect 138417 337922 138483 337925
-rect 128849 337920 138483 337922
-rect 128849 337864 128854 337920
-rect 128910 337864 138422 337920
-rect 138478 337864 138483 337920
-rect 128849 337862 138483 337864
-rect 128849 337859 128915 337862
-rect 138417 337859 138483 337862
-rect 119281 337786 119347 337789
-rect 129033 337786 129099 337789
-rect 119281 337784 129099 337786
-rect 119281 337728 119286 337784
-rect 119342 337728 129038 337784
-rect 129094 337728 129099 337784
-rect 119281 337726 129099 337728
-rect 119281 337723 119347 337726
-rect 129033 337723 129099 337726
-rect 142649 337786 142715 337789
-rect 152217 337786 152283 337789
-rect 142649 337784 152283 337786
-rect 142649 337728 142654 337784
-rect 142710 337728 152222 337784
-rect 152278 337728 152283 337784
-rect 142649 337726 152283 337728
-rect 142649 337723 142715 337726
-rect 152217 337723 152283 337726
-rect 161969 337786 162035 337789
-rect 171537 337786 171603 337789
-rect 161969 337784 171603 337786
-rect 161969 337728 161974 337784
-rect 162030 337728 171542 337784
-rect 171598 337728 171603 337784
-rect 161969 337726 171603 337728
-rect 161969 337723 162035 337726
-rect 171537 337723 171603 337726
-rect 181289 337786 181355 337789
-rect 190857 337786 190923 337789
-rect 181289 337784 190923 337786
-rect 181289 337728 181294 337784
-rect 181350 337728 190862 337784
-rect 190918 337728 190923 337784
-rect 181289 337726 190923 337728
-rect 181289 337723 181355 337726
-rect 190857 337723 190923 337726
-rect 200609 337786 200675 337789
-rect 210177 337786 210243 337789
-rect 200609 337784 210243 337786
-rect 200609 337728 200614 337784
-rect 200670 337728 210182 337784
-rect 210238 337728 210243 337784
-rect 200609 337726 210243 337728
-rect 200609 337723 200675 337726
-rect 210177 337723 210243 337726
-rect 219929 337786 219995 337789
-rect 232809 337786 232875 337789
-rect 219929 337784 232875 337786
-rect 219929 337728 219934 337784
-rect 219990 337728 232814 337784
-rect 232870 337728 232875 337784
-rect 219929 337726 232875 337728
-rect 219929 337723 219995 337726
-rect 232809 337723 232875 337726
-rect 128573 337650 128639 337653
-rect 128941 337650 129007 337653
-rect 128573 337648 129007 337650
-rect 128573 337592 128578 337648
-rect 128634 337592 128946 337648
-rect 129002 337592 129007 337648
-rect 128573 337590 129007 337592
-rect 128573 337587 128639 337590
-rect 128941 337587 129007 337590
-rect 129217 337650 129283 337653
-rect 138325 337650 138391 337653
-rect 226921 337650 226987 337653
-rect 129217 337648 131074 337650
-rect 129217 337592 129222 337648
-rect 129278 337592 131074 337648
-rect 129217 337590 131074 337592
-rect 129217 337587 129283 337590
-rect 94349 337514 94415 337517
-rect 103917 337514 103983 337517
-rect 94349 337512 103983 337514
-rect 94349 337456 94354 337512
-rect 94410 337456 103922 337512
-rect 103978 337456 103983 337512
-rect 94349 337454 103983 337456
-rect 94349 337451 94415 337454
-rect 103917 337451 103983 337454
-rect 123881 337514 123947 337517
-rect 128757 337514 128823 337517
-rect 123881 337512 128823 337514
-rect 123881 337456 123886 337512
-rect 123942 337456 128762 337512
-rect 128818 337456 128823 337512
-rect 123881 337454 128823 337456
-rect 131014 337514 131074 337590
-rect 138325 337648 226987 337650
-rect 138325 337592 138330 337648
-rect 138386 337592 226926 337648
-rect 226982 337592 226987 337648
-rect 138325 337590 226987 337592
-rect 138325 337587 138391 337590
-rect 226921 337587 226987 337590
-rect 142281 337514 142347 337517
-rect 131014 337512 142347 337514
-rect 131014 337456 142286 337512
-rect 142342 337456 142347 337512
-rect 131014 337454 142347 337456
-rect 123881 337451 123947 337454
-rect 128757 337451 128823 337454
-rect 142281 337451 142347 337454
-rect 142465 337514 142531 337517
-rect 142741 337514 142807 337517
-rect 142465 337512 142807 337514
-rect 142465 337456 142470 337512
-rect 142526 337456 142746 337512
-rect 142802 337456 142807 337512
-rect 142465 337454 142807 337456
-rect 142465 337451 142531 337454
-rect 142741 337451 142807 337454
-rect 152125 337514 152191 337517
-rect 152401 337514 152467 337517
-rect 152125 337512 152467 337514
-rect 152125 337456 152130 337512
-rect 152186 337456 152406 337512
-rect 152462 337456 152467 337512
-rect 152125 337454 152467 337456
-rect 152125 337451 152191 337454
-rect 152401 337451 152467 337454
-rect 152585 337514 152651 337517
-rect 161601 337514 161667 337517
-rect 152585 337512 161667 337514
-rect 152585 337456 152590 337512
-rect 152646 337456 161606 337512
-rect 161662 337456 161667 337512
-rect 152585 337454 161667 337456
-rect 152585 337451 152651 337454
-rect 161601 337451 161667 337454
-rect 161785 337514 161851 337517
-rect 162061 337514 162127 337517
-rect 161785 337512 162127 337514
-rect 161785 337456 161790 337512
-rect 161846 337456 162066 337512
-rect 162122 337456 162127 337512
-rect 161785 337454 162127 337456
-rect 161785 337451 161851 337454
-rect 162061 337451 162127 337454
-rect 171445 337514 171511 337517
-rect 171721 337514 171787 337517
-rect 171445 337512 171787 337514
-rect 171445 337456 171450 337512
-rect 171506 337456 171726 337512
-rect 171782 337456 171787 337512
-rect 171445 337454 171787 337456
-rect 171445 337451 171511 337454
-rect 171721 337451 171787 337454
-rect 171905 337514 171971 337517
-rect 180921 337514 180987 337517
-rect 171905 337512 180987 337514
-rect 171905 337456 171910 337512
-rect 171966 337456 180926 337512
-rect 180982 337456 180987 337512
-rect 171905 337454 180987 337456
-rect 171905 337451 171971 337454
-rect 180921 337451 180987 337454
-rect 181105 337514 181171 337517
-rect 181381 337514 181447 337517
-rect 181105 337512 181447 337514
-rect 181105 337456 181110 337512
-rect 181166 337456 181386 337512
-rect 181442 337456 181447 337512
-rect 181105 337454 181447 337456
-rect 181105 337451 181171 337454
-rect 181381 337451 181447 337454
-rect 190765 337514 190831 337517
-rect 191041 337514 191107 337517
-rect 190765 337512 191107 337514
-rect 190765 337456 190770 337512
-rect 190826 337456 191046 337512
-rect 191102 337456 191107 337512
-rect 190765 337454 191107 337456
-rect 190765 337451 190831 337454
-rect 191041 337451 191107 337454
-rect 191225 337514 191291 337517
-rect 200241 337514 200307 337517
-rect 191225 337512 200307 337514
-rect 191225 337456 191230 337512
-rect 191286 337456 200246 337512
-rect 200302 337456 200307 337512
-rect 191225 337454 200307 337456
-rect 191225 337451 191291 337454
-rect 200241 337451 200307 337454
-rect 200425 337514 200491 337517
-rect 200701 337514 200767 337517
-rect 200425 337512 200767 337514
-rect 200425 337456 200430 337512
-rect 200486 337456 200706 337512
-rect 200762 337456 200767 337512
-rect 200425 337454 200767 337456
-rect 200425 337451 200491 337454
-rect 200701 337451 200767 337454
-rect 210085 337514 210151 337517
-rect 210361 337514 210427 337517
-rect 210085 337512 210427 337514
-rect 210085 337456 210090 337512
-rect 210146 337456 210366 337512
-rect 210422 337456 210427 337512
-rect 210085 337454 210427 337456
-rect 210085 337451 210151 337454
-rect 210361 337451 210427 337454
-rect 210545 337514 210611 337517
-rect 219561 337514 219627 337517
-rect 210545 337512 219627 337514
-rect 210545 337456 210550 337512
-rect 210606 337456 219566 337512
-rect 219622 337456 219627 337512
-rect 210545 337454 219627 337456
-rect 210545 337451 210611 337454
-rect 219561 337451 219627 337454
-rect 219745 337514 219811 337517
-rect 220021 337514 220087 337517
-rect 219745 337512 220087 337514
-rect 219745 337456 219750 337512
-rect 219806 337456 220026 337512
-rect 220082 337456 220087 337512
-rect 219745 337454 220087 337456
-rect 219745 337451 219811 337454
-rect 220021 337451 220087 337454
-rect 5937 337378 6003 337381
-rect 231429 337378 231495 337381
-rect 5937 337376 231495 337378
-rect 5937 337320 5942 337376
-rect 5998 337320 231434 337376
-rect 231490 337320 231495 337376
-rect 5937 337318 231495 337320
-rect 5937 337315 6003 337318
-rect 231429 337315 231495 337318
-rect 138325 337242 138391 337245
-rect 142557 337242 142623 337245
-rect 138325 337240 142623 337242
-rect 138325 337184 138330 337240
-rect 138386 337184 142562 337240
-rect 142618 337184 142623 337240
-rect 138325 337182 142623 337184
-rect 138325 337179 138391 337182
-rect 142557 337179 142623 337182
-rect 152309 337242 152375 337245
-rect 161877 337242 161943 337245
-rect 152309 337240 161943 337242
-rect 152309 337184 152314 337240
-rect 152370 337184 161882 337240
-rect 161938 337184 161943 337240
-rect 152309 337182 161943 337184
-rect 152309 337179 152375 337182
-rect 161877 337179 161943 337182
-rect 171629 337242 171695 337245
-rect 181197 337242 181263 337245
-rect 171629 337240 181263 337242
-rect 171629 337184 171634 337240
-rect 171690 337184 181202 337240
-rect 181258 337184 181263 337240
-rect 171629 337182 181263 337184
-rect 171629 337179 171695 337182
-rect 181197 337179 181263 337182
-rect 190949 337242 191015 337245
-rect 200517 337242 200583 337245
-rect 190949 337240 200583 337242
-rect 190949 337184 190954 337240
-rect 191010 337184 200522 337240
-rect 200578 337184 200583 337240
-rect 190949 337182 200583 337184
-rect 190949 337179 191015 337182
-rect 200517 337179 200583 337182
-rect 210269 337242 210335 337245
-rect 219837 337242 219903 337245
-rect 210269 337240 219903 337242
-rect 210269 337184 210274 337240
-rect 210330 337184 219842 337240
-rect 219898 337184 219903 337240
-rect 210269 337182 219903 337184
-rect 210269 337179 210335 337182
-rect 219837 337179 219903 337182
-rect 273289 336970 273355 336973
-rect 273289 336968 273490 336970
-rect 273289 336912 273294 336968
-rect 273350 336912 273490 336968
-rect 273289 336910 273490 336912
-rect 273289 336907 273355 336910
-rect 273289 336834 273355 336837
-rect 273430 336834 273490 336910
-rect 273289 336832 273490 336834
-rect 273289 336776 273294 336832
-rect 273350 336776 273490 336832
-rect 273289 336774 273490 336776
-rect 273289 336771 273355 336774
-rect 392245 336698 392311 336701
-rect 392429 336698 392495 336701
-rect 392245 336696 392495 336698
-rect 392245 336640 392250 336696
-rect 392306 336640 392434 336696
-rect 392490 336640 392495 336696
-rect 392245 336638 392495 336640
-rect 392245 336635 392311 336638
-rect 392429 336635 392495 336638
-rect 580385 336290 580451 336293
-rect 584016 336290 584496 336320
-rect 580385 336288 584496 336290
-rect 580385 336232 580390 336288
-rect 580446 336232 584496 336288
-rect 580385 336230 584496 336232
-rect 580385 336227 580451 336230
-rect 584016 336200 584496 336230
-rect 318645 335746 318711 335749
-rect 319105 335746 319171 335749
-rect 318645 335744 319171 335746
-rect 318645 335688 318650 335744
-rect 318706 335688 319110 335744
-rect 319166 335688 319171 335744
-rect 318645 335686 319171 335688
-rect 318645 335683 318711 335686
-rect 319105 335683 319171 335686
-rect 272921 333978 272987 333981
-rect 273289 333978 273355 333981
-rect 272921 333976 273355 333978
-rect 272921 333920 272926 333976
-rect 272982 333920 273294 333976
-rect 273350 333920 273355 333976
-rect 272921 333918 273355 333920
-rect 272921 333915 272987 333918
-rect 273289 333915 273355 333918
-rect 496 326770 976 326800
-rect 4373 326770 4439 326773
-rect 496 326768 4439 326770
-rect 496 326712 4378 326768
-rect 4434 326712 4439 326768
-rect 496 326710 4439 326712
-rect 496 326680 976 326710
-rect 4373 326707 4439 326710
-rect 275497 324322 275563 324325
-rect 275681 324322 275747 324325
-rect 275497 324320 275747 324322
-rect 275497 324264 275502 324320
-rect 275558 324264 275686 324320
-rect 275742 324264 275747 324320
-rect 275497 324262 275747 324264
-rect 275497 324259 275563 324262
-rect 275681 324259 275747 324262
-rect 280598 320588 280604 320652
-rect 280668 320588 280674 320652
-rect 333733 320650 333799 320653
-rect 360597 320650 360663 320653
-rect 584016 320650 584496 320680
-rect 328998 320648 333799 320650
-rect 328998 320592 333738 320648
-rect 333794 320592 333799 320648
-rect 328998 320590 333799 320592
-rect 251526 320452 251532 320516
-rect 251596 320514 251602 320516
-rect 254153 320514 254219 320517
-rect 280606 320514 280666 320588
-rect 251596 320512 254219 320514
-rect 251596 320456 254158 320512
-rect 254214 320456 254219 320512
-rect 251596 320454 254219 320456
-rect 251596 320452 251602 320454
-rect 254153 320451 254219 320454
-rect 274350 320454 280666 320514
-rect 294633 320514 294699 320517
-rect 299918 320514 299924 320516
-rect 294633 320512 299924 320514
-rect 294633 320456 294638 320512
-rect 294694 320456 299924 320512
-rect 294633 320454 299924 320456
-rect 261237 320378 261303 320381
-rect 274350 320378 274410 320454
-rect 294633 320451 294699 320454
-rect 299918 320452 299924 320454
-rect 299988 320452 299994 320516
-rect 312254 320454 319122 320514
-rect 261237 320376 274410 320378
-rect 261237 320320 261242 320376
-rect 261298 320320 274410 320376
-rect 261237 320318 274410 320320
-rect 261237 320315 261303 320318
-rect 309486 320316 309492 320380
-rect 309556 320378 309562 320380
-rect 312254 320378 312314 320454
-rect 309556 320318 312314 320378
-rect 309556 320316 309562 320318
-rect 280782 320180 280788 320244
-rect 280852 320242 280858 320244
-rect 290309 320242 290375 320245
-rect 280852 320240 290375 320242
-rect 280852 320184 290314 320240
-rect 290370 320184 290375 320240
-rect 280852 320182 290375 320184
-rect 319062 320242 319122 320454
-rect 328998 320378 329058 320590
-rect 333733 320587 333799 320590
-rect 351078 320648 360663 320650
-rect 351078 320592 360602 320648
-rect 360658 320592 360663 320648
-rect 351078 320590 360663 320592
-rect 351078 320378 351138 320590
-rect 360597 320587 360663 320590
-rect 583838 320590 584496 320650
-rect 425457 320514 425523 320517
-rect 444777 320514 444843 320517
-rect 464097 320514 464163 320517
-rect 483417 320514 483483 320517
-rect 488293 320514 488359 320517
-rect 399286 320454 409098 320514
-rect 324030 320318 329058 320378
-rect 348134 320318 351138 320378
-rect 360689 320378 360755 320381
-rect 360689 320376 370274 320378
-rect 360689 320320 360694 320376
-rect 360750 320320 370274 320376
-rect 360689 320318 370274 320320
-rect 324030 320242 324090 320318
-rect 319062 320182 324090 320242
-rect 333733 320242 333799 320245
-rect 338558 320242 338564 320244
-rect 333733 320240 338564 320242
-rect 333733 320184 333738 320240
-rect 333794 320184 338564 320240
-rect 333733 320182 338564 320184
-rect 280852 320180 280858 320182
-rect 290309 320179 290375 320182
-rect 333733 320179 333799 320182
-rect 338558 320180 338564 320182
-rect 338628 320180 338634 320244
-rect 343393 320242 343459 320245
-rect 348134 320242 348194 320318
-rect 360689 320315 360755 320318
-rect 343393 320240 348194 320242
-rect 343393 320184 343398 320240
-rect 343454 320184 348194 320240
-rect 343393 320182 348194 320184
-rect 370214 320242 370274 320318
-rect 370398 320318 379842 320378
-rect 370398 320242 370458 320318
-rect 370214 320182 370458 320242
-rect 379782 320242 379842 320318
-rect 399286 320242 399346 320454
-rect 409038 320378 409098 320454
-rect 425457 320512 428418 320514
-rect 425457 320456 425462 320512
-rect 425518 320456 428418 320512
-rect 425457 320454 428418 320456
-rect 425457 320451 425523 320454
-rect 418557 320378 418623 320381
-rect 409038 320376 418623 320378
-rect 409038 320320 418562 320376
-rect 418618 320320 418623 320376
-rect 409038 320318 418623 320320
-rect 428358 320378 428418 320454
-rect 444777 320512 447738 320514
-rect 444777 320456 444782 320512
-rect 444838 320456 447738 320512
-rect 444777 320454 447738 320456
-rect 444777 320451 444843 320454
-rect 437877 320378 437943 320381
-rect 428358 320376 437943 320378
-rect 428358 320320 437882 320376
-rect 437938 320320 437943 320376
-rect 428358 320318 437943 320320
-rect 447678 320378 447738 320454
-rect 464097 320512 467058 320514
-rect 464097 320456 464102 320512
-rect 464158 320456 467058 320512
-rect 464097 320454 467058 320456
-rect 464097 320451 464163 320454
-rect 457197 320378 457263 320381
-rect 447678 320376 457263 320378
-rect 447678 320320 457202 320376
-rect 457258 320320 457263 320376
-rect 447678 320318 457263 320320
-rect 466998 320378 467058 320454
-rect 483417 320512 488359 320514
-rect 483417 320456 483422 320512
-rect 483478 320456 488298 320512
-rect 488354 320456 488359 320512
-rect 483417 320454 488359 320456
-rect 483417 320451 483483 320454
-rect 488293 320451 488359 320454
-rect 493077 320514 493143 320517
-rect 505589 320514 505655 320517
-rect 493077 320512 505655 320514
-rect 493077 320456 493082 320512
-rect 493138 320456 505594 320512
-rect 505650 320456 505655 320512
-rect 493077 320454 505655 320456
-rect 493077 320451 493143 320454
-rect 505589 320451 505655 320454
-rect 512438 320452 512444 320516
-rect 512508 320514 512514 320516
-rect 541561 320514 541627 320517
-rect 512508 320454 529066 320514
-rect 512508 320452 512514 320454
-rect 476517 320378 476583 320381
-rect 466998 320376 476583 320378
-rect 466998 320320 476522 320376
-rect 476578 320320 476583 320376
-rect 466998 320318 476583 320320
-rect 529006 320378 529066 320454
-rect 538758 320512 541627 320514
-rect 538758 320456 541566 320512
-rect 541622 320456 541627 320512
-rect 538758 320454 541627 320456
-rect 529006 320318 538634 320378
-rect 418557 320315 418623 320318
-rect 437877 320315 437943 320318
-rect 457197 320315 457263 320318
-rect 476517 320315 476583 320318
-rect 379782 320182 399346 320242
-rect 505681 320242 505747 320245
-rect 512438 320242 512444 320244
-rect 505681 320240 512444 320242
-rect 505681 320184 505686 320240
-rect 505742 320184 512444 320240
-rect 505681 320182 512444 320184
-rect 343393 320179 343459 320182
-rect 505681 320179 505747 320182
-rect 512438 320180 512444 320182
-rect 512508 320180 512514 320244
-rect 538574 320242 538634 320318
-rect 538758 320242 538818 320454
-rect 541561 320451 541627 320454
-rect 551078 320452 551084 320516
-rect 551148 320514 551154 320516
-rect 551148 320454 567706 320514
-rect 551148 320452 551154 320454
-rect 567646 320378 567706 320454
-rect 583838 320378 583898 320590
-rect 584016 320560 584496 320590
-rect 567646 320318 577274 320378
-rect 538574 320182 538818 320242
-rect 544321 320242 544387 320245
-rect 551078 320242 551084 320244
-rect 544321 320240 551084 320242
-rect 544321 320184 544326 320240
-rect 544382 320184 551084 320240
-rect 544321 320182 551084 320184
-rect 544321 320179 544387 320182
-rect 551078 320180 551084 320182
-rect 551148 320180 551154 320244
-rect 577214 320242 577274 320318
-rect 577398 320318 583898 320378
-rect 577398 320242 577458 320318
-rect 577214 320182 577458 320242
-rect 299918 320044 299924 320108
-rect 299988 320106 299994 320108
-rect 309486 320106 309492 320108
-rect 299988 320046 309492 320106
-rect 299988 320044 299994 320046
-rect 309486 320044 309492 320046
-rect 309556 320044 309562 320108
-rect 338558 319908 338564 319972
-rect 338628 319970 338634 319972
-rect 343393 319970 343459 319973
-rect 338628 319968 343459 319970
-rect 338628 319912 343398 319968
-rect 343454 319912 343459 319968
-rect 338628 319910 343459 319912
-rect 338628 319908 338634 319910
-rect 343393 319907 343459 319910
-rect 385529 319156 385595 319157
-rect 385478 319154 385484 319156
-rect 385438 319094 385484 319154
-rect 385548 319152 385595 319156
-rect 385590 319096 385595 319152
-rect 385478 319092 385484 319094
-rect 385548 319092 385595 319096
-rect 385529 319091 385595 319092
-rect 242193 319018 242259 319021
-rect 242193 319016 242394 319018
-rect 242193 318960 242198 319016
-rect 242254 318960 242394 319016
-rect 242193 318958 242394 318960
-rect 242193 318955 242259 318958
-rect 242193 318882 242259 318885
-rect 242334 318882 242394 318958
-rect 385529 318884 385595 318885
-rect 242193 318880 242394 318882
-rect 242193 318824 242198 318880
-rect 242254 318824 242394 318880
-rect 242193 318822 242394 318824
-rect 242193 318819 242259 318822
-rect 385478 318820 385484 318884
-rect 385548 318882 385595 318884
-rect 385548 318880 385640 318882
-rect 385590 318824 385640 318880
-rect 385548 318822 385640 318824
-rect 385548 318820 385595 318822
-rect 385529 318819 385595 318820
-rect 319013 318746 319079 318749
-rect 318694 318744 319079 318746
-rect 318694 318688 319018 318744
-rect 319074 318688 319079 318744
-rect 318694 318686 319079 318688
-rect 318694 318474 318754 318686
-rect 319013 318683 319079 318686
-rect 318921 318474 318987 318477
-rect 318694 318472 318987 318474
-rect 318694 318416 318926 318472
-rect 318982 318416 318987 318472
-rect 318694 318414 318987 318416
-rect 318921 318411 318987 318414
-rect 496 310042 976 310072
-rect 3637 310042 3703 310045
-rect 496 310040 3703 310042
-rect 496 309984 3642 310040
-rect 3698 309984 3703 310040
-rect 496 309982 3703 309984
-rect 496 309952 976 309982
-rect 3637 309979 3703 309982
-rect 278717 306370 278783 306373
-rect 278901 306370 278967 306373
-rect 278717 306368 278967 306370
-rect 278717 306312 278722 306368
-rect 278778 306312 278906 306368
-rect 278962 306312 278967 306368
-rect 278717 306310 278967 306312
-rect 278717 306307 278783 306310
-rect 278901 306307 278967 306310
-rect 252814 304948 252820 305012
-rect 252884 305010 252890 305012
-rect 584016 305010 584496 305040
-rect 252884 304950 584496 305010
-rect 252884 304948 252890 304950
-rect 584016 304920 584496 304950
-rect 372925 298210 372991 298213
-rect 373109 298210 373175 298213
-rect 372925 298208 373175 298210
-rect 372925 298152 372930 298208
-rect 372986 298152 373114 298208
-rect 373170 298152 373175 298208
-rect 372925 298150 373175 298152
-rect 372925 298147 372991 298150
-rect 373109 298147 373175 298150
-rect 135473 298074 135539 298077
-rect 135657 298074 135723 298077
-rect 135473 298072 135723 298074
-rect 135473 298016 135478 298072
-rect 135534 298016 135662 298072
-rect 135718 298016 135723 298072
-rect 135473 298014 135723 298016
-rect 135473 298011 135539 298014
-rect 135657 298011 135723 298014
-rect 152033 298074 152099 298077
-rect 152217 298074 152283 298077
-rect 152033 298072 152283 298074
-rect 152033 298016 152038 298072
-rect 152094 298016 152222 298072
-rect 152278 298016 152283 298072
-rect 152033 298014 152283 298016
-rect 152033 298011 152099 298014
-rect 152217 298011 152283 298014
-rect 170157 298074 170223 298077
-rect 170341 298074 170407 298077
-rect 170157 298072 170407 298074
-rect 170157 298016 170162 298072
-rect 170218 298016 170346 298072
-rect 170402 298016 170407 298072
-rect 170157 298014 170407 298016
-rect 170157 298011 170223 298014
-rect 170341 298011 170407 298014
-rect 190673 298074 190739 298077
-rect 190857 298074 190923 298077
-rect 190673 298072 190923 298074
-rect 190673 298016 190678 298072
-rect 190734 298016 190862 298072
-rect 190918 298016 190923 298072
-rect 190673 298014 190923 298016
-rect 190673 298011 190739 298014
-rect 190857 298011 190923 298014
-rect 214317 298074 214383 298077
-rect 214501 298074 214567 298077
-rect 214317 298072 214567 298074
-rect 214317 298016 214322 298072
-rect 214378 298016 214506 298072
-rect 214562 298016 214567 298072
-rect 214317 298014 214567 298016
-rect 214317 298011 214383 298014
-rect 214501 298011 214567 298014
-rect 385529 298074 385595 298077
-rect 385713 298074 385779 298077
-rect 385529 298072 385779 298074
-rect 385529 298016 385534 298072
-rect 385590 298016 385718 298072
-rect 385774 298016 385779 298072
-rect 385529 298014 385779 298016
-rect 385529 298011 385595 298014
-rect 385713 298011 385779 298014
-rect 392429 298074 392495 298077
-rect 392613 298074 392679 298077
-rect 392429 298072 392679 298074
-rect 392429 298016 392434 298072
-rect 392490 298016 392618 298072
-rect 392674 298016 392679 298072
-rect 392429 298014 392679 298016
-rect 392429 298011 392495 298014
-rect 392613 298011 392679 298014
-rect 528865 298074 528931 298077
-rect 529049 298074 529115 298077
-rect 528865 298072 529115 298074
-rect 528865 298016 528870 298072
-rect 528926 298016 529054 298072
-rect 529110 298016 529115 298072
-rect 528865 298014 529115 298016
-rect 528865 298011 528931 298014
-rect 529049 298011 529115 298014
-rect 372833 296714 372899 296717
-rect 373017 296714 373083 296717
-rect 372833 296712 373083 296714
-rect 372833 296656 372838 296712
-rect 372894 296656 373022 296712
-rect 373078 296656 373083 296712
-rect 372833 296654 373083 296656
-rect 372833 296651 372899 296654
-rect 373017 296651 373083 296654
-rect 277245 295490 277311 295493
-rect 276558 295488 277311 295490
-rect 276558 295432 277250 295488
-rect 277306 295432 277311 295488
-rect 276558 295430 277311 295432
-rect 276558 295357 276618 295430
-rect 277245 295427 277311 295430
-rect 273013 295354 273079 295357
-rect 273197 295354 273263 295357
-rect 273013 295352 273263 295354
-rect 273013 295296 273018 295352
-rect 273074 295296 273202 295352
-rect 273258 295296 273263 295352
-rect 273013 295294 273263 295296
-rect 273013 295291 273079 295294
-rect 273197 295291 273263 295294
-rect 276509 295352 276618 295357
-rect 276509 295296 276514 295352
-rect 276570 295296 276618 295352
-rect 276509 295294 276618 295296
-rect 276693 295354 276759 295357
-rect 276969 295354 277035 295357
-rect 276693 295352 277035 295354
-rect 276693 295296 276698 295352
-rect 276754 295296 276974 295352
-rect 277030 295296 277035 295352
-rect 276693 295294 277035 295296
-rect 276509 295291 276575 295294
-rect 276693 295291 276759 295294
-rect 276969 295291 277035 295294
-rect 496 293314 976 293344
-rect 3545 293314 3611 293317
-rect 496 293312 3611 293314
-rect 496 293256 3550 293312
-rect 3606 293256 3611 293312
-rect 496 293254 3611 293256
-rect 496 293224 976 293254
-rect 3545 293251 3611 293254
-rect 341093 290188 341159 290189
-rect 341093 290184 341140 290188
-rect 341204 290186 341210 290188
-rect 341093 290128 341098 290184
-rect 341093 290124 341140 290128
-rect 341204 290126 341250 290186
-rect 341204 290124 341210 290126
-rect 341093 290123 341159 290124
-rect 341093 289916 341159 289917
-rect 341093 289914 341140 289916
-rect 341048 289912 341140 289914
-rect 341048 289856 341098 289912
-rect 341048 289854 341140 289856
-rect 341093 289852 341140 289854
-rect 341204 289852 341210 289916
-rect 341093 289851 341159 289852
-rect 580661 289370 580727 289373
-rect 584016 289370 584496 289400
-rect 580661 289368 584496 289370
-rect 580661 289312 580666 289368
-rect 580722 289312 584496 289368
-rect 580661 289310 584496 289312
-rect 580661 289307 580727 289310
-rect 584016 289280 584496 289310
-rect 328213 288554 328279 288557
-rect 328397 288554 328463 288557
-rect 328213 288552 328463 288554
-rect 328213 288496 328218 288552
-rect 328274 288496 328402 288552
-rect 328458 288496 328463 288552
-rect 328213 288494 328463 288496
-rect 328213 288491 328279 288494
-rect 328397 288491 328463 288494
-rect 552417 288418 552483 288421
-rect 552601 288418 552667 288421
-rect 552417 288416 552667 288418
-rect 552417 288360 552422 288416
-rect 552478 288360 552606 288416
-rect 552662 288360 552667 288416
-rect 552417 288358 552667 288360
-rect 552417 288355 552483 288358
-rect 552601 288355 552667 288358
-rect 246517 285834 246583 285837
-rect 246517 285832 246626 285834
-rect 246517 285776 246522 285832
-rect 246578 285776 246626 285832
-rect 246517 285771 246626 285776
-rect 246566 285701 246626 285771
-rect 246566 285696 246675 285701
-rect 246566 285640 246614 285696
-rect 246670 285640 246675 285696
-rect 246566 285638 246675 285640
-rect 246609 285635 246675 285638
-rect 319974 282916 319980 282980
-rect 320044 282978 320050 282980
-rect 320117 282978 320183 282981
-rect 320044 282976 320183 282978
-rect 320044 282920 320122 282976
-rect 320178 282920 320183 282976
-rect 320044 282918 320183 282920
-rect 320044 282916 320050 282918
-rect 320117 282915 320183 282918
-rect 320025 278900 320091 278901
-rect 319974 278836 319980 278900
-rect 320044 278898 320091 278900
-rect 320044 278896 320136 278898
-rect 320086 278840 320136 278896
-rect 320044 278838 320136 278840
-rect 320044 278836 320091 278838
-rect 320025 278835 320091 278836
-rect 135473 278762 135539 278765
-rect 135657 278762 135723 278765
-rect 135473 278760 135723 278762
-rect 135473 278704 135478 278760
-rect 135534 278704 135662 278760
-rect 135718 278704 135723 278760
-rect 135473 278702 135723 278704
-rect 135473 278699 135539 278702
-rect 135657 278699 135723 278702
-rect 152033 278762 152099 278765
-rect 152217 278762 152283 278765
-rect 152033 278760 152283 278762
-rect 152033 278704 152038 278760
-rect 152094 278704 152222 278760
-rect 152278 278704 152283 278760
-rect 152033 278702 152283 278704
-rect 152033 278699 152099 278702
-rect 152217 278699 152283 278702
-rect 170157 278762 170223 278765
-rect 170341 278762 170407 278765
-rect 170157 278760 170407 278762
-rect 170157 278704 170162 278760
-rect 170218 278704 170346 278760
-rect 170402 278704 170407 278760
-rect 170157 278702 170407 278704
-rect 170157 278699 170223 278702
-rect 170341 278699 170407 278702
-rect 190673 278762 190739 278765
-rect 190857 278762 190923 278765
-rect 190673 278760 190923 278762
-rect 190673 278704 190678 278760
-rect 190734 278704 190862 278760
-rect 190918 278704 190923 278760
-rect 190673 278702 190923 278704
-rect 190673 278699 190739 278702
-rect 190857 278699 190923 278702
-rect 214317 278762 214383 278765
-rect 214501 278762 214567 278765
-rect 214317 278760 214567 278762
-rect 214317 278704 214322 278760
-rect 214378 278704 214506 278760
-rect 214562 278704 214567 278760
-rect 214317 278702 214567 278704
-rect 214317 278699 214383 278702
-rect 214501 278699 214567 278702
-rect 235385 278762 235451 278765
-rect 235569 278762 235635 278765
-rect 235385 278760 235635 278762
-rect 235385 278704 235390 278760
-rect 235446 278704 235574 278760
-rect 235630 278704 235635 278760
-rect 235385 278702 235635 278704
-rect 235385 278699 235451 278702
-rect 235569 278699 235635 278702
-rect 279729 278762 279795 278765
-rect 280005 278762 280071 278765
-rect 279729 278760 280071 278762
-rect 279729 278704 279734 278760
-rect 279790 278704 280010 278760
-rect 280066 278704 280071 278760
-rect 279729 278702 280071 278704
-rect 279729 278699 279795 278702
-rect 280005 278699 280071 278702
-rect 385529 278762 385595 278765
-rect 385713 278762 385779 278765
-rect 385529 278760 385779 278762
-rect 385529 278704 385534 278760
-rect 385590 278704 385718 278760
-rect 385774 278704 385779 278760
-rect 385529 278702 385779 278704
-rect 385529 278699 385595 278702
-rect 385713 278699 385779 278702
-rect 392429 278762 392495 278765
-rect 392613 278762 392679 278765
-rect 392429 278760 392679 278762
-rect 392429 278704 392434 278760
-rect 392490 278704 392618 278760
-rect 392674 278704 392679 278760
-rect 392429 278702 392679 278704
-rect 392429 278699 392495 278702
-rect 392613 278699 392679 278702
-rect 528865 278762 528931 278765
-rect 529049 278762 529115 278765
-rect 528865 278760 529115 278762
-rect 528865 278704 528870 278760
-rect 528926 278704 529054 278760
-rect 529110 278704 529115 278760
-rect 528865 278702 529115 278704
-rect 528865 278699 528931 278702
-rect 529049 278699 529115 278702
-rect 552601 278762 552667 278765
-rect 552785 278762 552851 278765
-rect 552601 278760 552851 278762
-rect 552601 278704 552606 278760
-rect 552662 278704 552790 278760
-rect 552846 278704 552851 278760
-rect 552601 278702 552851 278704
-rect 552601 278699 552667 278702
-rect 552785 278699 552851 278702
-rect 571829 278762 571895 278765
-rect 572013 278762 572079 278765
-rect 571829 278760 572079 278762
-rect 571829 278704 571834 278760
-rect 571890 278704 572018 278760
-rect 572074 278704 572079 278760
-rect 571829 278702 572079 278704
-rect 571829 278699 571895 278702
-rect 572013 278699 572079 278702
-rect 278441 277538 278507 277541
-rect 278625 277538 278691 277541
-rect 278441 277536 278691 277538
-rect 278441 277480 278446 277536
-rect 278502 277480 278630 277536
-rect 278686 277480 278691 277536
-rect 278441 277478 278691 277480
-rect 278441 277475 278507 277478
-rect 278625 277475 278691 277478
-rect 278441 277402 278507 277405
-rect 278625 277402 278691 277405
-rect 278441 277400 278691 277402
-rect 278441 277344 278446 277400
-rect 278502 277344 278630 277400
-rect 278686 277344 278691 277400
-rect 278441 277342 278691 277344
-rect 278441 277339 278507 277342
-rect 278625 277339 278691 277342
-rect 496 276450 976 276480
-rect 4281 276450 4347 276453
-rect 496 276448 4347 276450
-rect 496 276392 4286 276448
-rect 4342 276392 4347 276448
-rect 496 276390 4347 276392
-rect 496 276360 976 276390
-rect 4281 276387 4347 276390
-rect 242653 276178 242719 276181
-rect 242653 276176 242762 276178
-rect 242653 276120 242658 276176
-rect 242714 276120 242762 276176
-rect 242653 276115 242762 276120
-rect 242702 276045 242762 276115
-rect 242702 276040 242811 276045
-rect 242702 275984 242750 276040
-rect 242806 275984 242811 276040
-rect 242702 275982 242811 275984
-rect 242745 275979 242811 275982
-rect 272921 274818 272987 274821
-rect 272878 274816 272987 274818
-rect 272878 274760 272926 274816
-rect 272982 274760 272987 274816
-rect 272878 274755 272987 274760
-rect 272878 274685 272938 274755
-rect 272829 274680 272938 274685
-rect 272829 274624 272834 274680
-rect 272890 274624 272938 274680
-rect 272829 274622 272938 274624
-rect 272829 274619 272895 274622
-rect 580661 273730 580727 273733
-rect 584016 273730 584496 273760
-rect 580661 273728 584496 273730
-rect 580661 273672 580666 273728
-rect 580722 273672 584496 273728
-rect 580661 273670 584496 273672
-rect 580661 273667 580727 273670
-rect 584016 273640 584496 273670
-rect 340909 270874 340975 270877
-rect 340909 270872 341386 270874
-rect 340909 270816 340914 270872
-rect 340970 270816 341386 270872
-rect 340909 270814 341386 270816
-rect 340909 270811 340975 270814
-rect 341185 270602 341251 270605
-rect 341326 270602 341386 270814
-rect 341185 270600 341386 270602
-rect 341185 270544 341190 270600
-rect 341246 270544 341386 270600
-rect 341185 270542 341386 270544
-rect 341185 270539 341251 270542
-rect 278809 267746 278875 267749
-rect 278993 267746 279059 267749
-rect 278809 267744 279059 267746
-rect 278809 267688 278814 267744
-rect 278870 267688 278998 267744
-rect 279054 267688 279059 267744
-rect 278809 267686 279059 267688
-rect 278809 267683 278875 267686
-rect 278993 267683 279059 267686
-rect 328213 266386 328279 266389
-rect 328397 266386 328463 266389
-rect 328213 266384 328463 266386
-rect 328213 266328 328218 266384
-rect 328274 266328 328402 266384
-rect 328458 266328 328463 266384
-rect 328213 266326 328463 266328
-rect 328213 266323 328279 266326
-rect 328397 266323 328463 266326
-rect 272829 265026 272895 265029
-rect 273013 265026 273079 265029
-rect 272829 265024 273079 265026
-rect 272829 264968 272834 265024
-rect 272890 264968 273018 265024
-rect 273074 264968 273079 265024
-rect 272829 264966 273079 264968
-rect 272829 264963 272895 264966
-rect 273013 264963 273079 264966
-rect 496 259722 976 259752
-rect 3821 259722 3887 259725
-rect 496 259720 3887 259722
-rect 496 259664 3826 259720
-rect 3882 259664 3887 259720
-rect 496 259662 3887 259664
-rect 496 259632 976 259662
-rect 3821 259659 3887 259662
-rect 135473 259450 135539 259453
-rect 135657 259450 135723 259453
-rect 135473 259448 135723 259450
-rect 135473 259392 135478 259448
-rect 135534 259392 135662 259448
-rect 135718 259392 135723 259448
-rect 135473 259390 135723 259392
-rect 135473 259387 135539 259390
-rect 135657 259387 135723 259390
-rect 152033 259450 152099 259453
-rect 152217 259450 152283 259453
-rect 152033 259448 152283 259450
-rect 152033 259392 152038 259448
-rect 152094 259392 152222 259448
-rect 152278 259392 152283 259448
-rect 152033 259390 152283 259392
-rect 152033 259387 152099 259390
-rect 152217 259387 152283 259390
-rect 170157 259450 170223 259453
-rect 170341 259450 170407 259453
-rect 170157 259448 170407 259450
-rect 170157 259392 170162 259448
-rect 170218 259392 170346 259448
-rect 170402 259392 170407 259448
-rect 170157 259390 170407 259392
-rect 170157 259387 170223 259390
-rect 170341 259387 170407 259390
-rect 190673 259450 190739 259453
-rect 190857 259450 190923 259453
-rect 190673 259448 190923 259450
-rect 190673 259392 190678 259448
-rect 190734 259392 190862 259448
-rect 190918 259392 190923 259448
-rect 190673 259390 190923 259392
-rect 190673 259387 190739 259390
-rect 190857 259387 190923 259390
-rect 214317 259450 214383 259453
-rect 214501 259450 214567 259453
-rect 214317 259448 214567 259450
-rect 214317 259392 214322 259448
-rect 214378 259392 214506 259448
-rect 214562 259392 214567 259448
-rect 214317 259390 214567 259392
-rect 214317 259387 214383 259390
-rect 214501 259387 214567 259390
-rect 385529 259450 385595 259453
-rect 385713 259450 385779 259453
-rect 385529 259448 385779 259450
-rect 385529 259392 385534 259448
-rect 385590 259392 385718 259448
-rect 385774 259392 385779 259448
-rect 385529 259390 385779 259392
-rect 385529 259387 385595 259390
-rect 385713 259387 385779 259390
-rect 392429 259450 392495 259453
-rect 392613 259450 392679 259453
-rect 392429 259448 392679 259450
-rect 392429 259392 392434 259448
-rect 392490 259392 392618 259448
-rect 392674 259392 392679 259448
-rect 392429 259390 392679 259392
-rect 392429 259387 392495 259390
-rect 392613 259387 392679 259390
-rect 528865 259450 528931 259453
-rect 529049 259450 529115 259453
-rect 528865 259448 529115 259450
-rect 528865 259392 528870 259448
-rect 528926 259392 529054 259448
-rect 529110 259392 529115 259448
-rect 528865 259390 529115 259392
-rect 528865 259387 528931 259390
-rect 529049 259387 529115 259390
-rect 535949 259450 536015 259453
-rect 536133 259450 536199 259453
-rect 535949 259448 536199 259450
-rect 535949 259392 535954 259448
-rect 536010 259392 536138 259448
-rect 536194 259392 536199 259448
-rect 535949 259390 536199 259392
-rect 535949 259387 536015 259390
-rect 536133 259387 536199 259390
-rect 552509 259450 552575 259453
-rect 552693 259450 552759 259453
-rect 552509 259448 552759 259450
-rect 552509 259392 552514 259448
-rect 552570 259392 552698 259448
-rect 552754 259392 552759 259448
-rect 552509 259390 552759 259392
-rect 552509 259387 552575 259390
-rect 552693 259387 552759 259390
-rect 571829 259450 571895 259453
-rect 572013 259450 572079 259453
-rect 571829 259448 572079 259450
-rect 571829 259392 571834 259448
-rect 571890 259392 572018 259448
-rect 572074 259392 572079 259448
-rect 571829 259390 572079 259392
-rect 571829 259387 571895 259390
-rect 572013 259387 572079 259390
-rect 248766 258028 248772 258092
-rect 248836 258090 248842 258092
-rect 584016 258090 584496 258120
-rect 248836 258030 584496 258090
-rect 248836 258028 248842 258030
-rect 584016 258000 584496 258030
-rect 320025 251156 320091 251157
-rect 319974 251154 319980 251156
-rect 319934 251094 319980 251154
-rect 320044 251152 320091 251156
-rect 320086 251096 320091 251152
-rect 319974 251092 319980 251094
-rect 320044 251092 320091 251096
-rect 320025 251091 320091 251092
-rect 279453 249794 279519 249797
-rect 279821 249794 279887 249797
-rect 279453 249792 279887 249794
-rect 279453 249736 279458 249792
-rect 279514 249736 279826 249792
-rect 279882 249736 279887 249792
-rect 279453 249734 279887 249736
-rect 279453 249731 279519 249734
-rect 279821 249731 279887 249734
-rect 235569 244356 235635 244357
-rect 235518 244354 235524 244356
-rect 235478 244294 235524 244354
-rect 235588 244352 235635 244356
-rect 235630 244296 235635 244352
-rect 235518 244292 235524 244294
-rect 235588 244292 235635 244296
-rect 235569 244291 235635 244292
-rect 496 242994 976 243024
-rect 3821 242994 3887 242997
-rect 496 242992 3887 242994
-rect 496 242936 3826 242992
-rect 3882 242936 3887 242992
-rect 496 242934 3887 242936
-rect 496 242904 976 242934
-rect 3821 242931 3887 242934
-rect 309629 242450 309695 242453
-rect 319054 242450 319060 242452
-rect 309629 242448 319060 242450
-rect 309629 242392 309634 242448
-rect 309690 242392 319060 242448
-rect 309629 242390 319060 242392
-rect 309629 242387 309695 242390
-rect 319054 242388 319060 242390
-rect 319124 242388 319130 242452
-rect 584016 242450 584496 242480
-rect 583838 242390 584496 242450
-rect 377198 242116 377204 242180
-rect 377268 242178 377274 242180
-rect 384057 242178 384123 242181
-rect 377268 242176 384123 242178
-rect 377268 242120 384062 242176
-rect 384118 242120 384123 242176
-rect 377268 242118 384123 242120
-rect 377268 242116 377274 242118
-rect 384057 242115 384123 242118
-rect 261145 242042 261211 242045
-rect 261145 242040 264290 242042
-rect 261145 241984 261150 242040
-rect 261206 241984 264290 242040
-rect 261145 241982 264290 241984
-rect 261145 241979 261211 241982
-rect 247294 241708 247300 241772
-rect 247364 241770 247370 241772
-rect 251669 241770 251735 241773
-rect 247364 241768 251735 241770
-rect 247364 241712 251674 241768
-rect 251730 241712 251735 241768
-rect 247364 241710 251735 241712
-rect 264230 241770 264290 241982
-rect 319054 241980 319060 242044
-rect 319124 242042 319130 242044
-rect 319124 241982 322250 242042
-rect 319124 241980 319130 241982
-rect 294817 241906 294883 241909
-rect 299918 241906 299924 241908
-rect 294817 241904 299924 241906
-rect 294817 241848 294822 241904
-rect 294878 241848 299924 241904
-rect 294817 241846 299924 241848
-rect 294817 241843 294883 241846
-rect 299918 241844 299924 241846
-rect 299988 241844 299994 241908
-rect 289941 241770 290007 241773
-rect 264230 241768 290007 241770
-rect 264230 241712 289946 241768
-rect 290002 241712 290007 241768
-rect 264230 241710 290007 241712
-rect 247364 241708 247370 241710
-rect 251669 241707 251735 241710
-rect 289941 241707 290007 241710
-rect 300102 241708 300108 241772
-rect 300172 241770 300178 241772
-rect 309629 241770 309695 241773
-rect 300172 241768 309695 241770
-rect 300172 241712 309634 241768
-rect 309690 241712 309695 241768
-rect 300172 241710 309695 241712
-rect 322190 241770 322250 241982
-rect 384057 241906 384123 241909
-rect 336542 241846 341386 241906
-rect 336542 241770 336602 241846
-rect 322190 241710 336602 241770
-rect 300172 241708 300178 241710
-rect 309629 241707 309695 241710
-rect 235477 241636 235543 241637
-rect 235477 241632 235524 241636
-rect 235588 241634 235594 241636
-rect 290033 241634 290099 241637
-rect 290309 241634 290375 241637
-rect 320025 241636 320091 241637
-rect 235477 241576 235482 241632
-rect 235477 241572 235524 241576
-rect 235588 241574 235634 241634
-rect 290033 241632 290375 241634
-rect 290033 241576 290038 241632
-rect 290094 241576 290314 241632
-rect 290370 241576 290375 241632
-rect 290033 241574 290375 241576
-rect 235588 241572 235594 241574
-rect 235477 241571 235543 241572
-rect 290033 241571 290099 241574
-rect 290309 241571 290375 241574
-rect 319974 241572 319980 241636
-rect 320044 241634 320091 241636
-rect 341326 241634 341386 241846
-rect 360462 241846 367514 241906
-rect 360462 241770 360522 241846
-rect 351078 241710 360522 241770
-rect 367454 241770 367514 241846
-rect 384057 241904 393826 241906
-rect 384057 241848 384062 241904
-rect 384118 241848 393826 241904
-rect 384057 241846 393826 241848
-rect 384057 241843 384123 241846
-rect 377198 241770 377204 241772
-rect 367454 241710 377204 241770
-rect 351078 241634 351138 241710
-rect 377198 241708 377204 241710
-rect 377268 241708 377274 241772
-rect 393766 241770 393826 241846
-rect 403518 241846 413146 241906
-rect 393766 241710 403394 241770
-rect 320044 241632 320136 241634
-rect 320086 241576 320136 241632
-rect 320044 241574 320136 241576
-rect 341326 241574 351138 241634
-rect 403334 241634 403394 241710
-rect 403518 241634 403578 241846
-rect 413086 241770 413146 241846
-rect 422838 241846 432466 241906
-rect 413086 241710 422714 241770
-rect 403334 241574 403578 241634
-rect 422654 241634 422714 241710
-rect 422838 241634 422898 241846
-rect 432406 241770 432466 241846
-rect 442158 241846 451786 241906
-rect 432406 241710 442034 241770
-rect 422654 241574 422898 241634
-rect 441974 241634 442034 241710
-rect 442158 241634 442218 241846
-rect 451726 241770 451786 241846
-rect 461478 241846 471106 241906
-rect 451726 241710 461354 241770
-rect 441974 241574 442218 241634
-rect 461294 241634 461354 241710
-rect 461478 241634 461538 241846
-rect 471046 241770 471106 241846
-rect 480798 241846 490426 241906
-rect 471046 241710 480674 241770
-rect 461294 241574 461538 241634
-rect 480614 241634 480674 241710
-rect 480798 241634 480858 241846
-rect 490366 241770 490426 241846
-rect 500118 241846 509746 241906
-rect 490366 241710 499994 241770
-rect 480614 241574 480858 241634
-rect 499934 241634 499994 241710
-rect 500118 241634 500178 241846
-rect 509686 241770 509746 241846
-rect 519438 241846 529066 241906
-rect 509686 241710 519314 241770
-rect 499934 241574 500178 241634
-rect 519254 241634 519314 241710
-rect 519438 241634 519498 241846
-rect 529006 241770 529066 241846
-rect 538758 241846 544338 241906
-rect 529006 241710 538634 241770
-rect 519254 241574 519498 241634
-rect 538574 241634 538634 241710
-rect 538758 241634 538818 241846
-rect 538574 241574 538818 241634
-rect 544278 241634 544338 241846
-rect 551078 241844 551084 241908
-rect 551148 241906 551154 241908
-rect 551148 241846 567706 241906
-rect 551148 241844 551154 241846
-rect 567646 241770 567706 241846
-rect 583838 241770 583898 242390
-rect 584016 242360 584496 242390
-rect 567646 241710 577274 241770
-rect 551078 241634 551084 241636
-rect 544278 241574 551084 241634
-rect 320044 241572 320091 241574
-rect 551078 241572 551084 241574
-rect 551148 241572 551154 241636
-rect 552509 241634 552575 241637
-rect 552693 241634 552759 241637
-rect 552509 241632 552759 241634
-rect 552509 241576 552514 241632
-rect 552570 241576 552698 241632
-rect 552754 241576 552759 241632
-rect 552509 241574 552759 241576
-rect 577214 241634 577274 241710
-rect 577398 241710 583898 241770
-rect 577398 241634 577458 241710
-rect 577214 241574 577458 241634
-rect 320025 241571 320091 241572
-rect 552509 241571 552575 241574
-rect 552693 241571 552759 241574
-rect 331065 241498 331131 241501
-rect 331249 241498 331315 241501
-rect 331065 241496 331315 241498
-rect 331065 241440 331070 241496
-rect 331126 241440 331254 241496
-rect 331310 241440 331315 241496
-rect 331065 241438 331315 241440
-rect 331065 241435 331131 241438
-rect 331249 241435 331315 241438
-rect 135473 240138 135539 240141
-rect 135657 240138 135723 240141
-rect 135473 240136 135723 240138
-rect 135473 240080 135478 240136
-rect 135534 240080 135662 240136
-rect 135718 240080 135723 240136
-rect 135473 240078 135723 240080
-rect 135473 240075 135539 240078
-rect 135657 240075 135723 240078
-rect 152033 240138 152099 240141
-rect 152217 240138 152283 240141
-rect 152033 240136 152283 240138
-rect 152033 240080 152038 240136
-rect 152094 240080 152222 240136
-rect 152278 240080 152283 240136
-rect 152033 240078 152283 240080
-rect 152033 240075 152099 240078
-rect 152217 240075 152283 240078
-rect 170157 240138 170223 240141
-rect 170341 240138 170407 240141
-rect 170157 240136 170407 240138
-rect 170157 240080 170162 240136
-rect 170218 240080 170346 240136
-rect 170402 240080 170407 240136
-rect 170157 240078 170407 240080
-rect 170157 240075 170223 240078
-rect 170341 240075 170407 240078
-rect 190673 240138 190739 240141
-rect 190857 240138 190923 240141
-rect 190673 240136 190923 240138
-rect 190673 240080 190678 240136
-rect 190734 240080 190862 240136
-rect 190918 240080 190923 240136
-rect 190673 240078 190923 240080
-rect 190673 240075 190739 240078
-rect 190857 240075 190923 240078
-rect 214317 240138 214383 240141
-rect 214501 240138 214567 240141
-rect 214317 240136 214567 240138
-rect 214317 240080 214322 240136
-rect 214378 240080 214506 240136
-rect 214562 240080 214567 240136
-rect 214317 240078 214567 240080
-rect 214317 240075 214383 240078
-rect 214501 240075 214567 240078
-rect 385529 240138 385595 240141
-rect 385713 240138 385779 240141
-rect 385529 240136 385779 240138
-rect 385529 240080 385534 240136
-rect 385590 240080 385718 240136
-rect 385774 240080 385779 240136
-rect 385529 240078 385779 240080
-rect 385529 240075 385595 240078
-rect 385713 240075 385779 240078
-rect 392429 240138 392495 240141
-rect 392613 240138 392679 240141
-rect 392429 240136 392679 240138
-rect 392429 240080 392434 240136
-rect 392490 240080 392618 240136
-rect 392674 240080 392679 240136
-rect 392429 240078 392679 240080
-rect 392429 240075 392495 240078
-rect 392613 240075 392679 240078
-rect 528865 240138 528931 240141
-rect 529049 240138 529115 240141
-rect 528865 240136 529115 240138
-rect 528865 240080 528870 240136
-rect 528926 240080 529054 240136
-rect 529110 240080 529115 240136
-rect 528865 240078 529115 240080
-rect 528865 240075 528931 240078
-rect 529049 240075 529115 240078
-rect 535949 240138 536015 240141
-rect 536133 240138 536199 240141
-rect 535949 240136 536199 240138
-rect 535949 240080 535954 240136
-rect 536010 240080 536138 240136
-rect 536194 240080 536199 240136
-rect 535949 240078 536199 240080
-rect 535949 240075 536015 240078
-rect 536133 240075 536199 240078
-rect 571829 240138 571895 240141
-rect 572013 240138 572079 240141
-rect 571829 240136 572079 240138
-rect 571829 240080 571834 240136
-rect 571890 240080 572018 240136
-rect 572074 240080 572079 240136
-rect 571829 240078 572079 240080
-rect 571829 240075 571895 240078
-rect 572013 240075 572079 240078
-rect 91313 231842 91379 231845
-rect 91497 231842 91563 231845
-rect 91313 231840 91563 231842
-rect 91313 231784 91318 231840
-rect 91374 231784 91502 231840
-rect 91558 231784 91563 231840
-rect 91313 231782 91563 231784
-rect 91313 231779 91379 231782
-rect 91497 231779 91563 231782
-rect 357929 231842 357995 231845
-rect 358113 231842 358179 231845
-rect 357929 231840 358179 231842
-rect 357929 231784 357934 231840
-rect 357990 231784 358118 231840
-rect 358174 231784 358179 231840
-rect 357929 231782 358179 231784
-rect 357929 231779 357995 231782
-rect 358113 231779 358179 231782
-rect 371545 231842 371611 231845
-rect 371729 231842 371795 231845
-rect 371545 231840 371795 231842
-rect 371545 231784 371550 231840
-rect 371606 231784 371734 231840
-rect 371790 231784 371795 231840
-rect 371545 231782 371795 231784
-rect 371545 231779 371611 231782
-rect 371729 231779 371795 231782
-rect 373109 231842 373175 231845
-rect 373293 231842 373359 231845
-rect 373109 231840 373359 231842
-rect 373109 231784 373114 231840
-rect 373170 231784 373298 231840
-rect 373354 231784 373359 231840
-rect 373109 231782 373359 231784
-rect 373109 231779 373175 231782
-rect 373293 231779 373359 231782
-rect 553705 231842 553771 231845
-rect 553889 231842 553955 231845
-rect 553705 231840 553955 231842
-rect 553705 231784 553710 231840
-rect 553766 231784 553894 231840
-rect 553950 231784 553955 231840
-rect 553705 231782 553955 231784
-rect 553705 231779 553771 231782
-rect 553889 231779 553955 231782
-rect 304937 230482 305003 230485
-rect 305121 230482 305187 230485
-rect 304937 230480 305187 230482
-rect 304937 230424 304942 230480
-rect 304998 230424 305126 230480
-rect 305182 230424 305187 230480
-rect 304937 230422 305187 230424
-rect 304937 230419 305003 230422
-rect 305121 230419 305187 230422
-rect 276785 227898 276851 227901
-rect 276742 227896 276851 227898
-rect 276742 227840 276790 227896
-rect 276846 227840 276851 227896
-rect 276742 227835 276851 227840
-rect 276742 227765 276802 227835
-rect 276742 227760 276851 227765
-rect 276742 227704 276790 227760
-rect 276846 227704 276851 227760
-rect 276742 227702 276851 227704
-rect 276785 227699 276851 227702
-rect 276969 227762 277035 227765
-rect 277245 227762 277311 227765
-rect 276969 227760 277311 227762
-rect 276969 227704 276974 227760
-rect 277030 227704 277250 227760
-rect 277306 227704 277311 227760
-rect 276969 227702 277311 227704
-rect 276969 227699 277035 227702
-rect 277245 227699 277311 227702
-rect 309629 227218 309695 227221
-rect 319054 227218 319060 227220
-rect 309629 227216 319060 227218
-rect 309629 227160 309634 227216
-rect 309690 227160 319060 227216
-rect 309629 227158 319060 227160
-rect 309629 227155 309695 227158
-rect 319054 227156 319060 227158
-rect 319124 227156 319130 227220
-rect 319054 226748 319060 226812
-rect 319124 226810 319130 226812
-rect 584016 226810 584496 226840
-rect 319124 226750 322250 226810
-rect 319124 226748 319130 226750
-rect 244534 226612 244540 226676
-rect 244604 226674 244610 226676
-rect 270897 226674 270963 226677
-rect 244604 226614 254538 226674
-rect 244604 226612 244610 226614
-rect 254478 226538 254538 226614
-rect 270897 226672 283426 226674
-rect 270897 226616 270902 226672
-rect 270958 226616 283426 226672
-rect 270897 226614 283426 226616
-rect 270897 226611 270963 226614
-rect 261278 226538 261284 226540
-rect 254478 226478 261284 226538
-rect 261278 226476 261284 226478
-rect 261348 226476 261354 226540
-rect 283366 226402 283426 226614
-rect 288878 226612 288884 226676
-rect 288948 226674 288954 226676
-rect 300429 226674 300495 226677
-rect 288948 226672 300495 226674
-rect 288948 226616 300434 226672
-rect 300490 226616 300495 226672
-rect 288948 226614 300495 226616
-rect 288948 226612 288954 226614
-rect 300429 226611 300495 226614
-rect 308157 226538 308223 226541
-rect 309629 226538 309695 226541
-rect 308157 226536 309695 226538
-rect 308157 226480 308162 226536
-rect 308218 226480 309634 226536
-rect 309690 226480 309695 226536
-rect 308157 226478 309695 226480
-rect 322190 226538 322250 226750
-rect 583838 226750 584496 226810
-rect 336542 226614 341386 226674
-rect 336542 226538 336602 226614
-rect 322190 226478 336602 226538
-rect 308157 226475 308223 226478
-rect 309629 226475 309695 226478
-rect 288878 226402 288884 226404
-rect 283366 226342 288884 226402
-rect 288878 226340 288884 226342
-rect 288948 226340 288954 226404
-rect 341326 226402 341386 226614
-rect 374446 226614 384074 226674
-rect 360597 226538 360663 226541
-rect 351078 226536 360663 226538
-rect 351078 226480 360602 226536
-rect 360658 226480 360663 226536
-rect 351078 226478 360663 226480
-rect 351078 226402 351138 226478
-rect 360597 226475 360663 226478
-rect 362253 226538 362319 226541
-rect 362253 226536 370274 226538
-rect 362253 226480 362258 226536
-rect 362314 226480 370274 226536
-rect 362253 226478 370274 226480
-rect 362253 226475 362319 226478
-rect 341326 226342 351138 226402
-rect 370214 226402 370274 226478
-rect 374446 226402 374506 226614
-rect 370214 226342 374506 226402
-rect 384014 226402 384074 226614
-rect 384198 226614 393826 226674
-rect 384198 226402 384258 226614
-rect 393766 226538 393826 226614
-rect 403518 226614 413146 226674
-rect 393766 226478 403394 226538
-rect 384014 226342 384258 226402
-rect 403334 226402 403394 226478
-rect 403518 226402 403578 226614
-rect 413086 226538 413146 226614
-rect 422838 226614 432466 226674
-rect 413086 226478 422714 226538
-rect 403334 226342 403578 226402
-rect 422654 226402 422714 226478
-rect 422838 226402 422898 226614
-rect 432406 226538 432466 226614
-rect 442158 226614 451786 226674
-rect 432406 226478 442034 226538
-rect 422654 226342 422898 226402
-rect 441974 226402 442034 226478
-rect 442158 226402 442218 226614
-rect 451726 226538 451786 226614
-rect 461478 226614 471106 226674
-rect 451726 226478 461354 226538
-rect 441974 226342 442218 226402
-rect 461294 226402 461354 226478
-rect 461478 226402 461538 226614
-rect 471046 226538 471106 226614
-rect 480798 226614 490426 226674
-rect 471046 226478 480674 226538
-rect 461294 226342 461538 226402
-rect 480614 226402 480674 226478
-rect 480798 226402 480858 226614
-rect 490366 226538 490426 226614
-rect 500118 226614 509746 226674
-rect 490366 226478 499994 226538
-rect 480614 226342 480858 226402
-rect 499934 226402 499994 226478
-rect 500118 226402 500178 226614
-rect 509686 226538 509746 226614
-rect 519438 226614 529066 226674
-rect 509686 226478 519314 226538
-rect 499934 226342 500178 226402
-rect 519254 226402 519314 226478
-rect 519438 226402 519498 226614
-rect 529006 226538 529066 226614
-rect 538758 226614 544338 226674
-rect 529006 226478 538634 226538
-rect 519254 226342 519498 226402
-rect 538574 226402 538634 226478
-rect 538758 226402 538818 226614
-rect 538574 226342 538818 226402
-rect 544278 226402 544338 226614
-rect 551078 226612 551084 226676
-rect 551148 226674 551154 226676
-rect 551148 226614 567706 226674
-rect 551148 226612 551154 226614
-rect 567646 226538 567706 226614
-rect 583838 226538 583898 226750
-rect 584016 226720 584496 226750
-rect 567646 226478 577274 226538
-rect 551078 226402 551084 226404
-rect 544278 226342 551084 226402
-rect 551078 226340 551084 226342
-rect 551148 226340 551154 226404
-rect 577214 226402 577274 226478
-rect 577398 226478 583898 226538
-rect 577398 226402 577458 226478
-rect 577214 226342 577458 226402
-rect 496 226266 976 226296
-rect 4189 226266 4255 226269
-rect 496 226264 4255 226266
-rect 496 226208 4194 226264
-rect 4250 226208 4255 226264
-rect 496 226206 4255 226208
-rect 496 226176 976 226206
-rect 4189 226203 4255 226206
-rect 261278 226204 261284 226268
-rect 261348 226266 261354 226268
-rect 270897 226266 270963 226269
-rect 261348 226264 270963 226266
-rect 261348 226208 270902 226264
-rect 270958 226208 270963 226264
-rect 261348 226206 270963 226208
-rect 261348 226204 261354 226206
-rect 270897 226203 270963 226206
-rect 331065 222186 331131 222189
-rect 331249 222186 331315 222189
-rect 331065 222184 331315 222186
-rect 331065 222128 331070 222184
-rect 331126 222128 331254 222184
-rect 331310 222128 331315 222184
-rect 331065 222126 331315 222128
-rect 331065 222123 331131 222126
-rect 331249 222123 331315 222126
-rect 553705 222186 553771 222189
-rect 553889 222186 553955 222189
-rect 553705 222184 553955 222186
-rect 553705 222128 553710 222184
-rect 553766 222128 553894 222184
-rect 553950 222128 553955 222184
-rect 553705 222126 553955 222128
-rect 553705 222123 553771 222126
-rect 553889 222123 553955 222126
-rect 276509 221508 276575 221509
-rect 276509 221506 276556 221508
-rect 276464 221504 276556 221506
-rect 276464 221448 276514 221504
-rect 276464 221446 276556 221448
-rect 276509 221444 276556 221446
-rect 276620 221444 276626 221508
-rect 276509 221443 276575 221444
-rect 235661 221098 235727 221101
-rect 235526 221096 235727 221098
-rect 235526 221040 235666 221096
-rect 235722 221040 235727 221096
-rect 235526 221038 235727 221040
-rect 235526 220965 235586 221038
-rect 235661 221035 235727 221038
-rect 235526 220960 235635 220965
-rect 235526 220904 235574 220960
-rect 235630 220904 235635 220960
-rect 235526 220902 235635 220904
-rect 235569 220899 235635 220902
-rect 135473 220826 135539 220829
-rect 135657 220826 135723 220829
-rect 135473 220824 135723 220826
-rect 135473 220768 135478 220824
-rect 135534 220768 135662 220824
-rect 135718 220768 135723 220824
-rect 135473 220766 135723 220768
-rect 135473 220763 135539 220766
-rect 135657 220763 135723 220766
-rect 152033 220826 152099 220829
-rect 152217 220826 152283 220829
-rect 152033 220824 152283 220826
-rect 152033 220768 152038 220824
-rect 152094 220768 152222 220824
-rect 152278 220768 152283 220824
-rect 152033 220766 152283 220768
-rect 152033 220763 152099 220766
-rect 152217 220763 152283 220766
-rect 170157 220826 170223 220829
-rect 170341 220826 170407 220829
-rect 170157 220824 170407 220826
-rect 170157 220768 170162 220824
-rect 170218 220768 170346 220824
-rect 170402 220768 170407 220824
-rect 170157 220766 170407 220768
-rect 170157 220763 170223 220766
-rect 170341 220763 170407 220766
-rect 190673 220826 190739 220829
-rect 190857 220826 190923 220829
-rect 190673 220824 190923 220826
-rect 190673 220768 190678 220824
-rect 190734 220768 190862 220824
-rect 190918 220768 190923 220824
-rect 190673 220766 190923 220768
-rect 190673 220763 190739 220766
-rect 190857 220763 190923 220766
-rect 214317 220826 214383 220829
-rect 214501 220826 214567 220829
-rect 214317 220824 214567 220826
-rect 214317 220768 214322 220824
-rect 214378 220768 214506 220824
-rect 214562 220768 214567 220824
-rect 214317 220766 214567 220768
-rect 214317 220763 214383 220766
-rect 214501 220763 214567 220766
-rect 235385 220826 235451 220829
-rect 235569 220826 235635 220829
-rect 235385 220824 235635 220826
-rect 235385 220768 235390 220824
-rect 235446 220768 235574 220824
-rect 235630 220768 235635 220824
-rect 235385 220766 235635 220768
-rect 235385 220763 235451 220766
-rect 235569 220763 235635 220766
-rect 385529 220826 385595 220829
-rect 385713 220826 385779 220829
-rect 385529 220824 385779 220826
-rect 385529 220768 385534 220824
-rect 385590 220768 385718 220824
-rect 385774 220768 385779 220824
-rect 385529 220766 385779 220768
-rect 385529 220763 385595 220766
-rect 385713 220763 385779 220766
-rect 392429 220826 392495 220829
-rect 392613 220826 392679 220829
-rect 392429 220824 392679 220826
-rect 392429 220768 392434 220824
-rect 392490 220768 392618 220824
-rect 392674 220768 392679 220824
-rect 392429 220766 392679 220768
-rect 392429 220763 392495 220766
-rect 392613 220763 392679 220766
-rect 528865 220826 528931 220829
-rect 529049 220826 529115 220829
-rect 528865 220824 529115 220826
-rect 528865 220768 528870 220824
-rect 528926 220768 529054 220824
-rect 529110 220768 529115 220824
-rect 528865 220766 529115 220768
-rect 528865 220763 528931 220766
-rect 529049 220763 529115 220766
-rect 535949 220826 536015 220829
-rect 536133 220826 536199 220829
-rect 535949 220824 536199 220826
-rect 535949 220768 535954 220824
-rect 536010 220768 536138 220824
-rect 536194 220768 536199 220824
-rect 535949 220766 536199 220768
-rect 535949 220763 536015 220766
-rect 536133 220763 536199 220766
-rect 571829 220826 571895 220829
-rect 572013 220826 572079 220829
-rect 571829 220824 572079 220826
-rect 571829 220768 571834 220824
-rect 571890 220768 572018 220824
-rect 572074 220768 572079 220824
-rect 571829 220766 572079 220768
-rect 571829 220763 571895 220766
-rect 572013 220763 572079 220766
-rect 242837 218242 242903 218245
-rect 242334 218240 242903 218242
-rect 242334 218184 242842 218240
-rect 242898 218184 242903 218240
-rect 242334 218182 242903 218184
-rect 242334 218106 242394 218182
-rect 242837 218179 242903 218182
-rect 242469 218106 242535 218109
-rect 242334 218104 242535 218106
-rect 242334 218048 242474 218104
-rect 242530 218048 242535 218104
-rect 242334 218046 242535 218048
-rect 242469 218043 242535 218046
-rect 320025 216068 320091 216069
-rect 319974 216066 319980 216068
-rect 319934 216006 319980 216066
-rect 320044 216064 320091 216068
-rect 320086 216008 320091 216064
-rect 319974 216004 319980 216006
-rect 320044 216004 320091 216008
-rect 320025 216003 320091 216004
-rect 91313 212530 91379 212533
-rect 91497 212530 91563 212533
-rect 91313 212528 91563 212530
-rect 91313 212472 91318 212528
-rect 91374 212472 91502 212528
-rect 91558 212472 91563 212528
-rect 91313 212470 91563 212472
-rect 91313 212467 91379 212470
-rect 91497 212467 91563 212470
-rect 225357 212530 225423 212533
-rect 225541 212530 225607 212533
-rect 225357 212528 225607 212530
-rect 225357 212472 225362 212528
-rect 225418 212472 225546 212528
-rect 225602 212472 225607 212528
-rect 225357 212470 225607 212472
-rect 225357 212467 225423 212470
-rect 225541 212467 225607 212470
-rect 135473 211170 135539 211173
-rect 135657 211170 135723 211173
-rect 135473 211168 135723 211170
-rect 135473 211112 135478 211168
-rect 135534 211112 135662 211168
-rect 135718 211112 135723 211168
-rect 135473 211110 135723 211112
-rect 135473 211107 135539 211110
-rect 135657 211107 135723 211110
-rect 152033 211170 152099 211173
-rect 152217 211170 152283 211173
-rect 152033 211168 152283 211170
-rect 152033 211112 152038 211168
-rect 152094 211112 152222 211168
-rect 152278 211112 152283 211168
-rect 152033 211110 152283 211112
-rect 152033 211107 152099 211110
-rect 152217 211107 152283 211110
-rect 170157 211170 170223 211173
-rect 170341 211170 170407 211173
-rect 170157 211168 170407 211170
-rect 170157 211112 170162 211168
-rect 170218 211112 170346 211168
-rect 170402 211112 170407 211168
-rect 170157 211110 170407 211112
-rect 170157 211107 170223 211110
-rect 170341 211107 170407 211110
-rect 190673 211170 190739 211173
-rect 190857 211170 190923 211173
-rect 190673 211168 190923 211170
-rect 190673 211112 190678 211168
-rect 190734 211112 190862 211168
-rect 190918 211112 190923 211168
-rect 190673 211110 190923 211112
-rect 190673 211107 190739 211110
-rect 190857 211107 190923 211110
-rect 214317 211170 214383 211173
-rect 214501 211170 214567 211173
-rect 214317 211168 214567 211170
-rect 214317 211112 214322 211168
-rect 214378 211112 214506 211168
-rect 214562 211112 214567 211168
-rect 214317 211110 214567 211112
-rect 214317 211107 214383 211110
-rect 214501 211107 214567 211110
-rect 246006 211108 246012 211172
-rect 246076 211170 246082 211172
-rect 584016 211170 584496 211200
-rect 246076 211110 584496 211170
-rect 246076 211108 246082 211110
-rect 584016 211080 584496 211110
-rect 496 209402 976 209432
-rect 3729 209402 3795 209405
-rect 496 209400 3795 209402
-rect 496 209344 3734 209400
-rect 3790 209344 3795 209400
-rect 496 209342 3795 209344
-rect 496 209312 976 209342
-rect 3729 209339 3795 209342
-rect 276509 208452 276575 208453
-rect 276509 208448 276556 208452
-rect 276620 208450 276626 208452
-rect 276509 208392 276514 208448
-rect 276509 208388 276556 208392
-rect 276620 208390 276666 208450
-rect 276620 208388 276626 208390
-rect 276509 208387 276575 208388
-rect 320025 206276 320091 206277
-rect 319974 206274 319980 206276
-rect 319934 206214 319980 206274
-rect 320044 206272 320091 206276
-rect 320086 206216 320091 206272
-rect 319974 206212 319980 206214
-rect 320044 206212 320091 206216
-rect 320025 206211 320091 206212
-rect 331065 202874 331131 202877
-rect 331249 202874 331315 202877
-rect 331065 202872 331315 202874
-rect 331065 202816 331070 202872
-rect 331126 202816 331254 202872
-rect 331310 202816 331315 202872
-rect 331065 202814 331315 202816
-rect 331065 202811 331131 202814
-rect 331249 202811 331315 202814
-rect 553705 202874 553771 202877
-rect 553889 202874 553955 202877
-rect 553705 202872 553955 202874
-rect 553705 202816 553710 202872
-rect 553766 202816 553894 202872
-rect 553950 202816 553955 202872
-rect 553705 202814 553955 202816
-rect 553705 202811 553771 202814
-rect 553889 202811 553955 202814
-rect 552509 201650 552575 201653
-rect 552374 201648 552575 201650
-rect 552374 201592 552514 201648
-rect 552570 201592 552575 201648
-rect 552374 201590 552575 201592
-rect 552374 201517 552434 201590
-rect 552509 201587 552575 201590
-rect 552374 201512 552483 201517
-rect 552374 201456 552422 201512
-rect 552478 201456 552483 201512
-rect 552374 201454 552483 201456
-rect 552417 201451 552483 201454
-rect 246333 198794 246399 198797
-rect 246333 198792 246626 198794
-rect 246333 198736 246338 198792
-rect 246394 198736 246626 198792
-rect 246333 198734 246626 198736
-rect 246333 198731 246399 198734
-rect 246425 198522 246491 198525
-rect 246566 198522 246626 198734
-rect 246425 198520 246626 198522
-rect 246425 198464 246430 198520
-rect 246486 198464 246626 198520
-rect 246425 198462 246626 198464
-rect 246425 198459 246491 198462
-rect 580661 195530 580727 195533
-rect 584016 195530 584496 195560
-rect 580661 195528 584496 195530
-rect 580661 195472 580666 195528
-rect 580722 195472 584496 195528
-rect 580661 195470 584496 195472
-rect 580661 195467 580727 195470
-rect 584016 195440 584496 195470
-rect 91313 193218 91379 193221
-rect 91497 193218 91563 193221
-rect 91313 193216 91563 193218
-rect 91313 193160 91318 193216
-rect 91374 193160 91502 193216
-rect 91558 193160 91563 193216
-rect 91313 193158 91563 193160
-rect 91313 193155 91379 193158
-rect 91497 193155 91563 193158
-rect 357929 193218 357995 193221
-rect 358113 193218 358179 193221
-rect 357929 193216 358179 193218
-rect 357929 193160 357934 193216
-rect 357990 193160 358118 193216
-rect 358174 193160 358179 193216
-rect 357929 193158 358179 193160
-rect 357929 193155 357995 193158
-rect 358113 193155 358179 193158
-rect 553705 193218 553771 193221
-rect 553889 193218 553955 193221
-rect 553705 193216 553955 193218
-rect 553705 193160 553710 193216
-rect 553766 193160 553894 193216
-rect 553950 193160 553955 193216
-rect 553705 193158 553955 193160
-rect 553705 193155 553771 193158
-rect 553889 193155 553955 193158
-rect 496 192674 976 192704
-rect 3821 192674 3887 192677
-rect 496 192672 3887 192674
-rect 496 192616 3826 192672
-rect 3882 192616 3887 192672
-rect 496 192614 3887 192616
-rect 496 192584 976 192614
-rect 3821 192611 3887 192614
-rect 135473 191858 135539 191861
-rect 135657 191858 135723 191861
-rect 135473 191856 135723 191858
-rect 135473 191800 135478 191856
-rect 135534 191800 135662 191856
-rect 135718 191800 135723 191856
-rect 135473 191798 135723 191800
-rect 135473 191795 135539 191798
-rect 135657 191795 135723 191798
-rect 152033 191858 152099 191861
-rect 152217 191858 152283 191861
-rect 152033 191856 152283 191858
-rect 152033 191800 152038 191856
-rect 152094 191800 152222 191856
-rect 152278 191800 152283 191856
-rect 152033 191798 152283 191800
-rect 152033 191795 152099 191798
-rect 152217 191795 152283 191798
-rect 170157 191858 170223 191861
-rect 170341 191858 170407 191861
-rect 170157 191856 170407 191858
-rect 170157 191800 170162 191856
-rect 170218 191800 170346 191856
-rect 170402 191800 170407 191856
-rect 170157 191798 170407 191800
-rect 170157 191795 170223 191798
-rect 170341 191795 170407 191798
-rect 190673 191858 190739 191861
-rect 190857 191858 190923 191861
-rect 190673 191856 190923 191858
-rect 190673 191800 190678 191856
-rect 190734 191800 190862 191856
-rect 190918 191800 190923 191856
-rect 190673 191798 190923 191800
-rect 190673 191795 190739 191798
-rect 190857 191795 190923 191798
-rect 214317 191858 214383 191861
-rect 214501 191858 214567 191861
-rect 214317 191856 214567 191858
-rect 214317 191800 214322 191856
-rect 214378 191800 214506 191856
-rect 214562 191800 214567 191856
-rect 214317 191798 214567 191800
-rect 214317 191795 214383 191798
-rect 214501 191795 214567 191798
-rect 268505 191858 268571 191861
-rect 268689 191858 268755 191861
-rect 268505 191856 268755 191858
-rect 268505 191800 268510 191856
-rect 268566 191800 268694 191856
-rect 268750 191800 268755 191856
-rect 268505 191798 268755 191800
-rect 268505 191795 268571 191798
-rect 268689 191795 268755 191798
-rect 385529 191858 385595 191861
-rect 385713 191858 385779 191861
-rect 385529 191856 385779 191858
-rect 385529 191800 385534 191856
-rect 385590 191800 385718 191856
-rect 385774 191800 385779 191856
-rect 385529 191798 385779 191800
-rect 385529 191795 385595 191798
-rect 385713 191795 385779 191798
-rect 392429 191858 392495 191861
-rect 392613 191858 392679 191861
-rect 392429 191856 392679 191858
-rect 392429 191800 392434 191856
-rect 392490 191800 392618 191856
-rect 392674 191800 392679 191856
-rect 392429 191798 392679 191800
-rect 392429 191795 392495 191798
-rect 392613 191795 392679 191798
-rect 528865 191858 528931 191861
-rect 529049 191858 529115 191861
-rect 528865 191856 529115 191858
-rect 528865 191800 528870 191856
-rect 528926 191800 529054 191856
-rect 529110 191800 529115 191856
-rect 528865 191798 529115 191800
-rect 528865 191795 528931 191798
-rect 529049 191795 529115 191798
-rect 535949 191858 536015 191861
-rect 536133 191858 536199 191861
-rect 535949 191856 536199 191858
-rect 535949 191800 535954 191856
-rect 536010 191800 536138 191856
-rect 536194 191800 536199 191856
-rect 535949 191798 536199 191800
-rect 535949 191795 536015 191798
-rect 536133 191795 536199 191798
-rect 571829 191858 571895 191861
-rect 572013 191858 572079 191861
-rect 571829 191856 572079 191858
-rect 571829 191800 571834 191856
-rect 571890 191800 572018 191856
-rect 572074 191800 572079 191856
-rect 571829 191798 572079 191800
-rect 571829 191795 571895 191798
-rect 572013 191795 572079 191798
-rect 275589 187914 275655 187917
-rect 275589 187912 275882 187914
-rect 275589 187856 275594 187912
-rect 275650 187856 275882 187912
-rect 275589 187854 275882 187856
-rect 275589 187851 275655 187854
-rect 275681 187778 275747 187781
-rect 275822 187778 275882 187854
-rect 275681 187776 275882 187778
-rect 275681 187720 275686 187776
-rect 275742 187720 275882 187776
-rect 275681 187718 275882 187720
-rect 275681 187715 275747 187718
-rect 268505 183562 268571 183565
-rect 268689 183562 268755 183565
-rect 268505 183560 268755 183562
-rect 268505 183504 268510 183560
-rect 268566 183504 268694 183560
-rect 268750 183504 268755 183560
-rect 268505 183502 268755 183504
-rect 268505 183499 268571 183502
-rect 268689 183499 268755 183502
-rect 331065 183562 331131 183565
-rect 331249 183562 331315 183565
-rect 553889 183564 553955 183565
-rect 553838 183562 553844 183564
-rect 331065 183560 331315 183562
-rect 331065 183504 331070 183560
-rect 331126 183504 331254 183560
-rect 331310 183504 331315 183560
-rect 331065 183502 331315 183504
-rect 553798 183502 553844 183562
-rect 553908 183560 553955 183564
-rect 553950 183504 553955 183560
-rect 331065 183499 331131 183502
-rect 331249 183499 331315 183502
-rect 553838 183500 553844 183502
-rect 553908 183500 553955 183504
-rect 553889 183499 553955 183500
-rect 235569 182202 235635 182205
-rect 235753 182202 235819 182205
-rect 235569 182200 235819 182202
-rect 235569 182144 235574 182200
-rect 235630 182144 235758 182200
-rect 235814 182144 235819 182200
-rect 235569 182142 235819 182144
-rect 235569 182139 235635 182142
-rect 235753 182139 235819 182142
-rect 242469 182202 242535 182205
-rect 242469 182200 242762 182202
-rect 242469 182144 242474 182200
-rect 242530 182144 242762 182200
-rect 242469 182142 242762 182144
-rect 242469 182139 242535 182142
-rect 242510 182004 242516 182068
-rect 242580 182066 242586 182068
-rect 242702 182066 242762 182142
-rect 242580 182006 242762 182066
-rect 242580 182004 242586 182006
-rect 288878 179964 288884 180028
-rect 288948 180026 288954 180028
-rect 293621 180026 293687 180029
-rect 288948 180024 293687 180026
-rect 288948 179968 293626 180024
-rect 293682 179968 293687 180024
-rect 288948 179966 293687 179968
-rect 288948 179964 288954 179966
-rect 293621 179963 293687 179966
-rect 391693 179890 391759 179893
-rect 584016 179890 584496 179920
-rect 386958 179888 391759 179890
-rect 386958 179832 391698 179888
-rect 391754 179832 391759 179888
-rect 386958 179830 391759 179832
-rect 261145 179754 261211 179757
-rect 261145 179752 264290 179754
-rect 261145 179696 261150 179752
-rect 261206 179696 264290 179752
-rect 261145 179694 264290 179696
-rect 261145 179691 261211 179694
-rect 241774 179556 241780 179620
-rect 241844 179618 241850 179620
-rect 251669 179618 251735 179621
-rect 241844 179616 251735 179618
-rect 241844 179560 251674 179616
-rect 251730 179560 251735 179616
-rect 241844 179558 251735 179560
-rect 241844 179556 241850 179558
-rect 251669 179555 251735 179558
-rect 264230 179482 264290 179694
-rect 269558 179692 269564 179756
-rect 269628 179754 269634 179756
-rect 288878 179754 288884 179756
-rect 269628 179694 288884 179754
-rect 269628 179692 269634 179694
-rect 288878 179692 288884 179694
-rect 288948 179692 288954 179756
-rect 293621 179754 293687 179757
-rect 293621 179752 298514 179754
-rect 293621 179696 293626 179752
-rect 293682 179696 298514 179752
-rect 293621 179694 298514 179696
-rect 293621 179691 293687 179694
-rect 298454 179618 298514 179694
-rect 327518 179692 327524 179756
-rect 327588 179754 327594 179756
-rect 356590 179754 356596 179756
-rect 327588 179694 341386 179754
-rect 327588 179692 327594 179694
-rect 299918 179618 299924 179620
-rect 298454 179558 299924 179618
-rect 299918 179556 299924 179558
-rect 299988 179556 299994 179620
-rect 269558 179482 269564 179484
-rect 264230 179422 269564 179482
-rect 269558 179420 269564 179422
-rect 269628 179420 269634 179484
-rect 308198 179420 308204 179484
-rect 308268 179482 308274 179484
-rect 327518 179482 327524 179484
-rect 308268 179422 327524 179482
-rect 308268 179420 308274 179422
-rect 327518 179420 327524 179422
-rect 327588 179420 327594 179484
-rect 341326 179482 341386 179694
-rect 351262 179694 356596 179754
-rect 351262 179618 351322 179694
-rect 356590 179692 356596 179694
-rect 356660 179692 356666 179756
-rect 346846 179558 351322 179618
-rect 366117 179618 366183 179621
-rect 370257 179618 370323 179621
-rect 366117 179616 370323 179618
-rect 366117 179560 366122 179616
-rect 366178 179560 370262 179616
-rect 370318 179560 370323 179616
-rect 366117 179558 370323 179560
-rect 346846 179482 346906 179558
-rect 366117 179555 366183 179558
-rect 370257 179555 370323 179558
-rect 380101 179618 380167 179621
-rect 386958 179618 387018 179830
-rect 391693 179827 391759 179830
-rect 583838 179830 584496 179890
-rect 396518 179692 396524 179756
-rect 396588 179754 396594 179756
-rect 396588 179694 413146 179754
-rect 396588 179692 396594 179694
-rect 380101 179616 387018 179618
-rect 380101 179560 380106 179616
-rect 380162 179560 387018 179616
-rect 380101 179558 387018 179560
-rect 413086 179618 413146 179694
-rect 422838 179694 432466 179754
-rect 413086 179558 422714 179618
-rect 380101 179555 380167 179558
-rect 341326 179422 346906 179482
-rect 370441 179482 370507 179485
-rect 379917 179482 379983 179485
-rect 370441 179480 379983 179482
-rect 370441 179424 370446 179480
-rect 370502 179424 379922 179480
-rect 379978 179424 379983 179480
-rect 370441 179422 379983 179424
-rect 370441 179419 370507 179422
-rect 379917 179419 379983 179422
-rect 391693 179482 391759 179485
-rect 396518 179482 396524 179484
-rect 391693 179480 396524 179482
-rect 391693 179424 391698 179480
-rect 391754 179424 396524 179480
-rect 391693 179422 396524 179424
-rect 391693 179419 391759 179422
-rect 396518 179420 396524 179422
-rect 396588 179420 396594 179484
-rect 422654 179482 422714 179558
-rect 422838 179482 422898 179694
-rect 432406 179618 432466 179694
-rect 442158 179694 451786 179754
-rect 432406 179558 442034 179618
-rect 422654 179422 422898 179482
-rect 441974 179482 442034 179558
-rect 442158 179482 442218 179694
-rect 451726 179618 451786 179694
-rect 461478 179694 471106 179754
-rect 451726 179558 461354 179618
-rect 441974 179422 442218 179482
-rect 461294 179482 461354 179558
-rect 461478 179482 461538 179694
-rect 471046 179618 471106 179694
-rect 480798 179694 490426 179754
-rect 471046 179558 480674 179618
-rect 461294 179422 461538 179482
-rect 480614 179482 480674 179558
-rect 480798 179482 480858 179694
-rect 490366 179618 490426 179694
-rect 500118 179694 509746 179754
-rect 490366 179558 499994 179618
-rect 480614 179422 480858 179482
-rect 499934 179482 499994 179558
-rect 500118 179482 500178 179694
-rect 509686 179618 509746 179694
-rect 519438 179694 529066 179754
-rect 509686 179558 519314 179618
-rect 499934 179422 500178 179482
-rect 519254 179482 519314 179558
-rect 519438 179482 519498 179694
-rect 529006 179618 529066 179694
-rect 538758 179694 544338 179754
-rect 529006 179558 538634 179618
-rect 519254 179422 519498 179482
-rect 538574 179482 538634 179558
-rect 538758 179482 538818 179694
-rect 538574 179422 538818 179482
-rect 544278 179482 544338 179694
-rect 551078 179692 551084 179756
-rect 551148 179754 551154 179756
-rect 551148 179694 567706 179754
-rect 551148 179692 551154 179694
-rect 567646 179618 567706 179694
-rect 583838 179618 583898 179830
-rect 584016 179800 584496 179830
-rect 567646 179558 577274 179618
-rect 551078 179482 551084 179484
-rect 544278 179422 551084 179482
-rect 551078 179420 551084 179422
-rect 551148 179420 551154 179484
-rect 577214 179482 577274 179558
-rect 577398 179558 583898 179618
-rect 577398 179482 577458 179558
-rect 577214 179422 577458 179482
-rect 259857 179346 259923 179349
-rect 261145 179346 261211 179349
-rect 259857 179344 261211 179346
-rect 259857 179288 259862 179344
-rect 259918 179288 261150 179344
-rect 261206 179288 261211 179344
-rect 259857 179286 261211 179288
-rect 259857 179283 259923 179286
-rect 261145 179283 261211 179286
-rect 356590 179284 356596 179348
-rect 356660 179346 356666 179348
-rect 366117 179346 366183 179349
-rect 356660 179344 366183 179346
-rect 356660 179288 366122 179344
-rect 366178 179288 366183 179344
-rect 356660 179286 366183 179288
-rect 356660 179284 356666 179286
-rect 366117 179283 366183 179286
-rect 299918 179148 299924 179212
-rect 299988 179210 299994 179212
-rect 308198 179210 308204 179212
-rect 299988 179150 308204 179210
-rect 299988 179148 299994 179150
-rect 308198 179148 308204 179150
-rect 308268 179148 308274 179212
-rect 285249 177306 285315 177309
-rect 285382 177306 285388 177308
-rect 285249 177304 285388 177306
-rect 285249 177248 285254 177304
-rect 285310 177248 285388 177304
-rect 285249 177246 285388 177248
-rect 285249 177243 285315 177246
-rect 285382 177244 285388 177246
-rect 285452 177244 285458 177308
-rect 496 175946 976 175976
-rect 4097 175946 4163 175949
-rect 496 175944 4163 175946
-rect 496 175888 4102 175944
-rect 4158 175888 4163 175944
-rect 496 175886 4163 175888
-rect 496 175856 976 175886
-rect 4097 175883 4163 175886
-rect 341185 174042 341251 174045
-rect 341461 174042 341527 174045
-rect 553889 174044 553955 174045
-rect 341185 174040 341527 174042
-rect 341185 173984 341190 174040
-rect 341246 173984 341466 174040
-rect 341522 173984 341527 174040
-rect 341185 173982 341527 173984
-rect 341185 173979 341251 173982
-rect 341461 173979 341527 173982
-rect 553838 173980 553844 174044
-rect 553908 174042 553955 174044
-rect 553908 174040 554000 174042
-rect 553950 173984 554000 174040
-rect 553908 173982 554000 173984
-rect 553908 173980 553955 173982
-rect 553889 173979 553955 173980
-rect 91497 173908 91563 173909
-rect 91446 173906 91452 173908
-rect 91406 173846 91452 173906
-rect 91516 173904 91563 173908
-rect 91558 173848 91563 173904
-rect 91446 173844 91452 173846
-rect 91516 173844 91563 173848
-rect 91497 173843 91563 173844
-rect 225357 173908 225423 173909
-rect 225357 173904 225404 173908
-rect 225468 173906 225474 173908
-rect 304845 173906 304911 173909
-rect 305121 173906 305187 173909
-rect 225357 173848 225362 173904
-rect 225357 173844 225404 173848
-rect 225468 173846 225514 173906
-rect 304845 173904 305187 173906
-rect 304845 173848 304850 173904
-rect 304906 173848 305126 173904
-rect 305182 173848 305187 173904
-rect 304845 173846 305187 173848
-rect 225468 173844 225474 173846
-rect 225357 173843 225423 173844
-rect 304845 173843 304911 173846
-rect 305121 173843 305187 173846
-rect 341185 173906 341251 173909
-rect 341369 173906 341435 173909
-rect 357929 173908 357995 173909
-rect 341185 173904 341435 173906
-rect 341185 173848 341190 173904
-rect 341246 173848 341374 173904
-rect 341430 173848 341435 173904
-rect 341185 173846 341435 173848
-rect 341185 173843 341251 173846
-rect 341369 173843 341435 173846
-rect 357878 173844 357884 173908
-rect 357948 173906 357995 173908
-rect 553705 173906 553771 173909
-rect 553889 173906 553955 173909
-rect 357948 173904 358040 173906
-rect 357990 173848 358040 173904
-rect 357948 173846 358040 173848
-rect 553705 173904 553955 173906
-rect 553705 173848 553710 173904
-rect 553766 173848 553894 173904
-rect 553950 173848 553955 173904
-rect 553705 173846 553955 173848
-rect 357948 173844 357995 173846
-rect 357929 173843 357995 173844
-rect 553705 173843 553771 173846
-rect 553889 173843 553955 173846
-rect 135473 172546 135539 172549
-rect 135657 172546 135723 172549
-rect 135473 172544 135723 172546
-rect 135473 172488 135478 172544
-rect 135534 172488 135662 172544
-rect 135718 172488 135723 172544
-rect 135473 172486 135723 172488
-rect 135473 172483 135539 172486
-rect 135657 172483 135723 172486
-rect 152033 172546 152099 172549
-rect 152217 172546 152283 172549
-rect 152033 172544 152283 172546
-rect 152033 172488 152038 172544
-rect 152094 172488 152222 172544
-rect 152278 172488 152283 172544
-rect 152033 172486 152283 172488
-rect 152033 172483 152099 172486
-rect 152217 172483 152283 172486
-rect 170157 172546 170223 172549
-rect 170341 172546 170407 172549
-rect 170157 172544 170407 172546
-rect 170157 172488 170162 172544
-rect 170218 172488 170346 172544
-rect 170402 172488 170407 172544
-rect 170157 172486 170407 172488
-rect 170157 172483 170223 172486
-rect 170341 172483 170407 172486
-rect 190673 172546 190739 172549
-rect 190857 172546 190923 172549
-rect 190673 172544 190923 172546
-rect 190673 172488 190678 172544
-rect 190734 172488 190862 172544
-rect 190918 172488 190923 172544
-rect 190673 172486 190923 172488
-rect 190673 172483 190739 172486
-rect 190857 172483 190923 172486
-rect 214317 172546 214383 172549
-rect 214501 172546 214567 172549
-rect 214317 172544 214567 172546
-rect 214317 172488 214322 172544
-rect 214378 172488 214506 172544
-rect 214562 172488 214567 172544
-rect 214317 172486 214567 172488
-rect 214317 172483 214383 172486
-rect 214501 172483 214567 172486
-rect 319749 172546 319815 172549
-rect 319933 172546 319999 172549
-rect 319749 172544 319999 172546
-rect 319749 172488 319754 172544
-rect 319810 172488 319938 172544
-rect 319994 172488 319999 172544
-rect 319749 172486 319999 172488
-rect 319749 172483 319815 172486
-rect 319933 172483 319999 172486
-rect 373109 172546 373175 172549
-rect 373293 172546 373359 172549
-rect 373109 172544 373359 172546
-rect 373109 172488 373114 172544
-rect 373170 172488 373298 172544
-rect 373354 172488 373359 172544
-rect 373109 172486 373359 172488
-rect 373109 172483 373175 172486
-rect 373293 172483 373359 172486
-rect 385529 172546 385595 172549
-rect 385713 172546 385779 172549
-rect 385529 172544 385779 172546
-rect 385529 172488 385534 172544
-rect 385590 172488 385718 172544
-rect 385774 172488 385779 172544
-rect 385529 172486 385779 172488
-rect 385529 172483 385595 172486
-rect 385713 172483 385779 172486
-rect 392429 172546 392495 172549
-rect 392613 172546 392679 172549
-rect 392429 172544 392679 172546
-rect 392429 172488 392434 172544
-rect 392490 172488 392618 172544
-rect 392674 172488 392679 172544
-rect 392429 172486 392679 172488
-rect 392429 172483 392495 172486
-rect 392613 172483 392679 172486
-rect 528865 172546 528931 172549
-rect 529049 172546 529115 172549
-rect 528865 172544 529115 172546
-rect 528865 172488 528870 172544
-rect 528926 172488 529054 172544
-rect 529110 172488 529115 172544
-rect 528865 172486 529115 172488
-rect 528865 172483 528931 172486
-rect 529049 172483 529115 172486
-rect 535949 172546 536015 172549
-rect 536133 172546 536199 172549
-rect 535949 172544 536199 172546
-rect 535949 172488 535954 172544
-rect 536010 172488 536138 172544
-rect 536194 172488 536199 172544
-rect 535949 172486 536199 172488
-rect 535949 172483 536015 172486
-rect 536133 172483 536199 172486
-rect 552325 172546 552391 172549
-rect 552693 172546 552759 172549
-rect 552325 172544 552759 172546
-rect 552325 172488 552330 172544
-rect 552386 172488 552698 172544
-rect 552754 172488 552759 172544
-rect 552325 172486 552759 172488
-rect 552325 172483 552391 172486
-rect 552693 172483 552759 172486
-rect 571829 172546 571895 172549
-rect 572013 172546 572079 172549
-rect 571829 172544 572079 172546
-rect 571829 172488 571834 172544
-rect 571890 172488 572018 172544
-rect 572074 172488 572079 172544
-rect 571829 172486 572079 172488
-rect 571829 172483 571895 172486
-rect 572013 172483 572079 172486
-rect 560830 164596 560836 164660
-rect 560900 164658 560906 164660
-rect 570357 164658 570423 164661
-rect 560900 164656 570423 164658
-rect 560900 164600 570362 164656
-rect 570418 164600 570423 164656
-rect 560900 164598 570423 164600
-rect 560900 164596 560906 164598
-rect 570357 164595 570423 164598
-rect 135657 164522 135723 164525
-rect 135614 164520 135723 164522
-rect 135614 164464 135662 164520
-rect 135718 164464 135723 164520
-rect 135614 164459 135723 164464
-rect 276693 164522 276759 164525
-rect 285157 164522 285223 164525
-rect 385529 164522 385595 164525
-rect 276693 164520 276802 164522
-rect 276693 164464 276698 164520
-rect 276754 164464 276802 164520
-rect 276693 164459 276802 164464
-rect 285157 164520 285266 164522
-rect 285157 164464 285162 164520
-rect 285218 164464 285266 164520
-rect 285157 164459 285266 164464
-rect 135614 164389 135674 164459
-rect 135565 164384 135674 164389
-rect 135565 164328 135570 164384
-rect 135626 164328 135674 164384
-rect 135565 164326 135674 164328
-rect 276742 164389 276802 164459
-rect 285206 164389 285266 164459
-rect 385486 164520 385595 164522
-rect 385486 164464 385534 164520
-rect 385590 164464 385595 164520
-rect 385486 164459 385595 164464
-rect 392429 164522 392495 164525
-rect 529049 164522 529115 164525
-rect 392429 164520 392538 164522
-rect 392429 164464 392434 164520
-rect 392490 164464 392538 164520
-rect 392429 164459 392538 164464
-rect 276742 164384 276851 164389
-rect 276742 164328 276790 164384
-rect 276846 164328 276851 164384
-rect 276742 164326 276851 164328
-rect 135565 164323 135631 164326
-rect 276785 164323 276851 164326
-rect 285157 164384 285266 164389
-rect 285157 164328 285162 164384
-rect 285218 164328 285266 164384
-rect 285157 164326 285266 164328
-rect 341093 164386 341159 164389
-rect 341369 164386 341435 164389
-rect 357929 164388 357995 164389
-rect 341093 164384 341435 164386
-rect 341093 164328 341098 164384
-rect 341154 164328 341374 164384
-rect 341430 164328 341435 164384
-rect 341093 164326 341435 164328
-rect 285157 164323 285223 164326
-rect 341093 164323 341159 164326
-rect 341369 164323 341435 164326
-rect 357878 164324 357884 164388
-rect 357948 164386 357995 164388
-rect 357948 164384 358040 164386
-rect 357990 164328 358040 164384
-rect 357948 164326 358040 164328
-rect 357948 164324 357995 164326
-rect 357929 164323 357995 164324
-rect 385486 164253 385546 164459
-rect 392478 164253 392538 164459
-rect 529006 164520 529115 164522
-rect 529006 164464 529054 164520
-rect 529110 164464 529115 164520
-rect 529006 164459 529115 164464
-rect 535949 164522 536015 164525
-rect 571829 164522 571895 164525
-rect 535949 164520 536058 164522
-rect 535949 164464 535954 164520
-rect 536010 164464 536058 164520
-rect 535949 164459 536058 164464
-rect 571829 164520 571938 164522
-rect 571829 164464 571834 164520
-rect 571890 164464 571938 164520
-rect 571829 164459 571938 164464
-rect 529006 164253 529066 164459
-rect 535998 164253 536058 164459
-rect 571878 164253 571938 164459
-rect 91497 164252 91563 164253
-rect 91446 164188 91452 164252
-rect 91516 164250 91563 164252
-rect 225357 164252 225423 164253
-rect 91516 164248 91608 164250
-rect 91558 164192 91608 164248
-rect 91516 164190 91608 164192
-rect 225357 164248 225404 164252
-rect 225468 164250 225474 164252
-rect 242653 164250 242719 164253
-rect 242837 164250 242903 164253
-rect 225357 164192 225362 164248
-rect 91516 164188 91563 164190
-rect 91497 164187 91563 164188
-rect 225357 164188 225404 164192
-rect 225468 164190 225514 164250
-rect 242653 164248 242903 164250
-rect 242653 164192 242658 164248
-rect 242714 164192 242842 164248
-rect 242898 164192 242903 164248
-rect 242653 164190 242903 164192
-rect 225468 164188 225474 164190
-rect 225357 164187 225423 164188
-rect 242653 164187 242719 164190
-rect 242837 164187 242903 164190
-rect 243246 164188 243252 164252
-rect 243316 164250 243322 164252
-rect 284789 164250 284855 164253
-rect 243316 164248 284855 164250
-rect 243316 164192 284794 164248
-rect 284850 164192 284855 164248
-rect 243316 164190 284855 164192
-rect 243316 164188 243322 164190
-rect 284789 164187 284855 164190
-rect 285617 164250 285683 164253
-rect 382033 164250 382099 164253
-rect 285617 164248 382099 164250
-rect 285617 164192 285622 164248
-rect 285678 164192 382038 164248
-rect 382094 164192 382099 164248
-rect 285617 164190 382099 164192
-rect 385486 164248 385595 164253
-rect 385486 164192 385534 164248
-rect 385590 164192 385595 164248
-rect 385486 164190 385595 164192
-rect 285617 164187 285683 164190
-rect 382033 164187 382099 164190
-rect 385529 164187 385595 164190
-rect 385805 164250 385871 164253
-rect 391693 164250 391759 164253
-rect 385805 164248 391759 164250
-rect 385805 164192 385810 164248
-rect 385866 164192 391698 164248
-rect 391754 164192 391759 164248
-rect 385805 164190 391759 164192
-rect 385805 164187 385871 164190
-rect 391693 164187 391759 164190
-rect 392429 164248 392538 164253
-rect 392429 164192 392434 164248
-rect 392490 164192 392538 164248
-rect 392429 164190 392538 164192
-rect 392705 164250 392771 164253
-rect 526933 164250 526999 164253
-rect 392705 164248 526999 164250
-rect 392705 164192 392710 164248
-rect 392766 164192 526938 164248
-rect 526994 164192 526999 164248
-rect 392705 164190 526999 164192
-rect 529006 164248 529115 164253
-rect 529006 164192 529054 164248
-rect 529110 164192 529115 164248
-rect 529006 164190 529115 164192
-rect 392429 164187 392495 164190
-rect 392705 164187 392771 164190
-rect 526933 164187 526999 164190
-rect 529049 164187 529115 164190
-rect 529325 164250 529391 164253
-rect 535673 164250 535739 164253
-rect 529325 164248 535739 164250
-rect 529325 164192 529330 164248
-rect 529386 164192 535678 164248
-rect 535734 164192 535739 164248
-rect 529325 164190 535739 164192
-rect 529325 164187 529391 164190
-rect 535673 164187 535739 164190
-rect 535949 164248 536058 164253
-rect 535949 164192 535954 164248
-rect 536010 164192 536058 164248
-rect 535949 164190 536058 164192
-rect 536593 164250 536659 164253
-rect 560830 164250 560836 164252
-rect 536593 164248 560836 164250
-rect 536593 164192 536598 164248
-rect 536654 164192 560836 164248
-rect 536593 164190 560836 164192
-rect 535949 164187 536015 164190
-rect 536593 164187 536659 164190
-rect 560830 164188 560836 164190
-rect 560900 164188 560906 164252
-rect 570357 164250 570423 164253
-rect 571553 164250 571619 164253
-rect 570357 164248 571619 164250
-rect 570357 164192 570362 164248
-rect 570418 164192 571558 164248
-rect 571614 164192 571619 164248
-rect 570357 164190 571619 164192
-rect 570357 164187 570423 164190
-rect 571553 164187 571619 164190
-rect 571829 164248 571938 164253
-rect 571829 164192 571834 164248
-rect 571890 164192 571938 164248
-rect 571829 164190 571938 164192
-rect 572105 164250 572171 164253
-rect 584016 164250 584496 164280
-rect 572105 164248 584496 164250
-rect 572105 164192 572110 164248
-rect 572166 164192 584496 164248
-rect 572105 164190 584496 164192
-rect 571829 164187 571895 164190
-rect 572105 164187 572171 164190
-rect 584016 164160 584496 164190
-rect 285341 164116 285407 164117
-rect 285341 164114 285388 164116
-rect 285296 164112 285388 164114
-rect 285296 164056 285346 164112
-rect 285296 164054 285388 164056
-rect 285341 164052 285388 164054
-rect 285452 164052 285458 164116
-rect 285341 164051 285407 164052
-rect 242561 163028 242627 163029
-rect 242510 162964 242516 163028
-rect 242580 163026 242627 163028
-rect 278809 163026 278875 163029
-rect 242580 163024 242672 163026
-rect 242622 162968 242672 163024
-rect 242580 162966 242672 162968
-rect 278766 163024 278875 163026
-rect 278766 162968 278814 163024
-rect 278870 162968 278875 163024
-rect 242580 162964 242627 162966
-rect 242561 162963 242627 162964
-rect 278766 162963 278875 162968
-rect 278766 162893 278826 162963
-rect 278717 162888 278826 162893
-rect 278717 162832 278722 162888
-rect 278778 162832 278826 162888
-rect 278717 162830 278826 162832
-rect 278717 162827 278783 162830
-rect 496 159218 976 159248
-rect 4005 159218 4071 159221
-rect 496 159216 4071 159218
-rect 496 159160 4010 159216
-rect 4066 159160 4071 159216
-rect 496 159158 4071 159160
-rect 496 159128 976 159158
-rect 4005 159155 4071 159158
-rect 242469 157860 242535 157861
-rect 242469 157858 242516 157860
-rect 242424 157856 242516 157858
-rect 242424 157800 242474 157856
-rect 242424 157798 242516 157800
-rect 242469 157796 242516 157798
-rect 242580 157796 242586 157860
-rect 242469 157795 242535 157796
-rect 331065 154594 331131 154597
-rect 331249 154594 331315 154597
-rect 331065 154592 331315 154594
-rect 331065 154536 331070 154592
-rect 331126 154536 331254 154592
-rect 331310 154536 331315 154592
-rect 331065 154534 331315 154536
-rect 331065 154531 331131 154534
-rect 331249 154531 331315 154534
-rect 91497 154458 91563 154461
-rect 91773 154458 91839 154461
-rect 91497 154456 91839 154458
-rect 91497 154400 91502 154456
-rect 91558 154400 91778 154456
-rect 91834 154400 91839 154456
-rect 91497 154398 91839 154400
-rect 91497 154395 91563 154398
-rect 91773 154395 91839 154398
-rect 235569 154458 235635 154461
-rect 235845 154458 235911 154461
-rect 235569 154456 235911 154458
-rect 235569 154400 235574 154456
-rect 235630 154400 235850 154456
-rect 235906 154400 235911 154456
-rect 235569 154398 235911 154400
-rect 235569 154395 235635 154398
-rect 235845 154395 235911 154398
-rect 357929 154458 357995 154461
-rect 358205 154458 358271 154461
-rect 357929 154456 358271 154458
-rect 357929 154400 357934 154456
-rect 357990 154400 358210 154456
-rect 358266 154400 358271 154456
-rect 357929 154398 358271 154400
-rect 357929 154395 357995 154398
-rect 358205 154395 358271 154398
-rect 242009 153234 242075 153237
-rect 242193 153234 242259 153237
-rect 242009 153232 242259 153234
-rect 242009 153176 242014 153232
-rect 242070 153176 242198 153232
-rect 242254 153176 242259 153232
-rect 242009 153174 242259 153176
-rect 242009 153171 242075 153174
-rect 242193 153171 242259 153174
-rect 319841 153234 319907 153237
-rect 320025 153234 320091 153237
-rect 319841 153232 320091 153234
-rect 319841 153176 319846 153232
-rect 319902 153176 320030 153232
-rect 320086 153176 320091 153232
-rect 319841 153174 320091 153176
-rect 319841 153171 319907 153174
-rect 320025 153171 320091 153174
-rect 309169 151738 309235 151741
-rect 309302 151738 309308 151740
-rect 309169 151736 309308 151738
-rect 309169 151680 309174 151736
-rect 309230 151680 309308 151736
-rect 309169 151678 309308 151680
-rect 309169 151675 309235 151678
-rect 309302 151676 309308 151678
-rect 309372 151676 309378 151740
-rect 580385 148610 580451 148613
-rect 584016 148610 584496 148640
-rect 580385 148608 584496 148610
-rect 580385 148552 580390 148608
-rect 580446 148552 584496 148608
-rect 580385 148550 584496 148552
-rect 580385 148547 580451 148550
-rect 584016 148520 584496 148550
-rect 91497 144938 91563 144941
-rect 91773 144938 91839 144941
-rect 91497 144936 91839 144938
-rect 91497 144880 91502 144936
-rect 91558 144880 91778 144936
-rect 91834 144880 91839 144936
-rect 91497 144878 91839 144880
-rect 91497 144875 91563 144878
-rect 91773 144875 91839 144878
-rect 235477 144938 235543 144941
-rect 235845 144938 235911 144941
-rect 242469 144940 242535 144941
-rect 242469 144938 242516 144940
-rect 235477 144936 235911 144938
-rect 235477 144880 235482 144936
-rect 235538 144880 235850 144936
-rect 235906 144880 235911 144936
-rect 235477 144878 235911 144880
-rect 242424 144936 242516 144938
-rect 242424 144880 242474 144936
-rect 242424 144878 242516 144880
-rect 235477 144875 235543 144878
-rect 235845 144875 235911 144878
-rect 242469 144876 242516 144878
-rect 242580 144876 242586 144940
-rect 357929 144938 357995 144941
-rect 358205 144938 358271 144941
-rect 357929 144936 358271 144938
-rect 357929 144880 357934 144936
-rect 357990 144880 358210 144936
-rect 358266 144880 358271 144936
-rect 357929 144878 358271 144880
-rect 242469 144875 242535 144876
-rect 357929 144875 357995 144878
-rect 358205 144875 358271 144878
-rect 496 142354 976 142384
-rect 4005 142354 4071 142357
-rect 496 142352 4071 142354
-rect 496 142296 4010 142352
-rect 4066 142296 4071 142352
-rect 496 142294 4071 142296
-rect 496 142264 976 142294
-rect 4005 142291 4071 142294
-rect 309169 142218 309235 142221
-rect 309302 142218 309308 142220
-rect 309169 142216 309308 142218
-rect 309169 142160 309174 142216
-rect 309230 142160 309308 142216
-rect 309169 142158 309308 142160
-rect 309169 142155 309235 142158
-rect 309302 142156 309308 142158
-rect 309372 142156 309378 142220
-rect 229773 135282 229839 135285
-rect 229957 135282 230023 135285
-rect 229773 135280 230023 135282
-rect 229773 135224 229778 135280
-rect 229834 135224 229962 135280
-rect 230018 135224 230023 135280
-rect 229773 135222 230023 135224
-rect 229773 135219 229839 135222
-rect 229957 135219 230023 135222
-rect 331065 135282 331131 135285
-rect 331249 135282 331315 135285
-rect 331065 135280 331315 135282
-rect 331065 135224 331070 135280
-rect 331126 135224 331254 135280
-rect 331310 135224 331315 135280
-rect 331065 135222 331315 135224
-rect 331065 135219 331131 135222
-rect 331249 135219 331315 135222
-rect 239014 133996 239020 134060
-rect 239084 134058 239090 134060
-rect 241825 134058 241891 134061
-rect 239084 134056 241891 134058
-rect 239084 134000 241830 134056
-rect 241886 134000 241891 134056
-rect 239084 133998 241891 134000
-rect 239084 133996 239090 133998
-rect 241825 133995 241891 133998
-rect 242009 133922 242075 133925
-rect 242193 133922 242259 133925
-rect 242009 133920 242259 133922
-rect 242009 133864 242014 133920
-rect 242070 133864 242198 133920
-rect 242254 133864 242259 133920
-rect 242009 133862 242259 133864
-rect 242009 133859 242075 133862
-rect 242193 133859 242259 133862
-rect 276693 133922 276759 133925
-rect 276877 133922 276943 133925
-rect 276693 133920 276943 133922
-rect 276693 133864 276698 133920
-rect 276754 133864 276882 133920
-rect 276938 133864 276943 133920
-rect 276693 133862 276943 133864
-rect 276693 133859 276759 133862
-rect 276877 133859 276943 133862
-rect 373017 133922 373083 133925
-rect 373293 133922 373359 133925
-rect 373017 133920 373359 133922
-rect 373017 133864 373022 133920
-rect 373078 133864 373298 133920
-rect 373354 133864 373359 133920
-rect 373017 133862 373359 133864
-rect 373017 133859 373083 133862
-rect 373293 133859 373359 133862
-rect 357878 133044 357884 133108
-rect 357948 133106 357954 133108
-rect 366158 133106 366164 133108
-rect 357948 133046 366164 133106
-rect 357948 133044 357954 133046
-rect 366158 133044 366164 133046
-rect 366228 133044 366234 133108
-rect 251761 132970 251827 132973
-rect 390313 132970 390379 132973
-rect 584016 132970 584496 133000
-rect 251761 132968 275146 132970
-rect 251761 132912 251766 132968
-rect 251822 132912 275146 132968
-rect 251761 132910 275146 132912
-rect 251761 132907 251827 132910
-rect 241825 132834 241891 132837
-rect 275086 132834 275146 132910
-rect 385486 132968 390379 132970
-rect 385486 132912 390318 132968
-rect 390374 132912 390379 132968
-rect 385486 132910 390379 132912
-rect 290309 132834 290375 132837
-rect 241825 132832 246810 132834
-rect 241825 132776 241830 132832
-rect 241886 132776 246810 132832
-rect 241825 132774 246810 132776
-rect 275086 132832 290375 132834
-rect 275086 132776 290314 132832
-rect 290370 132776 290375 132832
-rect 275086 132774 290375 132776
-rect 241825 132771 241891 132774
-rect 246750 132698 246810 132774
-rect 290309 132771 290375 132774
-rect 308198 132772 308204 132836
-rect 308268 132834 308274 132836
-rect 317766 132834 317772 132836
-rect 308268 132774 317772 132834
-rect 308268 132772 308274 132774
-rect 317766 132772 317772 132774
-rect 317836 132772 317842 132836
-rect 327518 132772 327524 132836
-rect 327588 132834 327594 132836
-rect 357878 132834 357884 132836
-rect 327588 132774 341386 132834
-rect 327588 132772 327594 132774
-rect 251761 132698 251827 132701
-rect 246750 132696 251827 132698
-rect 246750 132640 251766 132696
-rect 251822 132640 251827 132696
-rect 246750 132638 251827 132640
-rect 251761 132635 251827 132638
-rect 293253 132698 293319 132701
-rect 293253 132696 302562 132698
-rect 293253 132640 293258 132696
-rect 293314 132640 302562 132696
-rect 293253 132638 302562 132640
-rect 293253 132635 293319 132638
-rect 302502 132562 302562 132638
-rect 308198 132562 308204 132564
-rect 302502 132502 308204 132562
-rect 308198 132500 308204 132502
-rect 308268 132500 308274 132564
-rect 317766 132500 317772 132564
-rect 317836 132562 317842 132564
-rect 327518 132562 327524 132564
-rect 317836 132502 327524 132562
-rect 317836 132500 317842 132502
-rect 327518 132500 327524 132502
-rect 327588 132500 327594 132564
-rect 341326 132562 341386 132774
-rect 351078 132774 357884 132834
-rect 351078 132562 351138 132774
-rect 357878 132772 357884 132774
-rect 357948 132772 357954 132836
-rect 385486 132701 385546 132910
-rect 390313 132907 390379 132910
-rect 583838 132910 584496 132970
-rect 406137 132834 406203 132837
-rect 406137 132832 413146 132834
-rect 406137 132776 406142 132832
-rect 406198 132776 413146 132832
-rect 406137 132774 413146 132776
-rect 406137 132771 406203 132774
-rect 366158 132636 366164 132700
-rect 366228 132698 366234 132700
-rect 385437 132698 385546 132701
-rect 399237 132698 399303 132701
-rect 366228 132638 368250 132698
-rect 385356 132696 385546 132698
-rect 385356 132640 385442 132696
-rect 385498 132640 385546 132696
-rect 385356 132638 385546 132640
-rect 396526 132696 399303 132698
-rect 396526 132640 399242 132696
-rect 399298 132640 399303 132696
-rect 396526 132638 399303 132640
-rect 413086 132698 413146 132774
-rect 422838 132774 432466 132834
-rect 413086 132638 422714 132698
-rect 366228 132636 366234 132638
-rect 341326 132502 351138 132562
-rect 368190 132562 368250 132638
-rect 385437 132635 385503 132638
-rect 375869 132562 375935 132565
-rect 368190 132560 375935 132562
-rect 368190 132504 375874 132560
-rect 375930 132504 375935 132560
-rect 368190 132502 375935 132504
-rect 375869 132499 375935 132502
-rect 390313 132562 390379 132565
-rect 396526 132562 396586 132638
-rect 399237 132635 399303 132638
-rect 390313 132560 396586 132562
-rect 390313 132504 390318 132560
-rect 390374 132504 396586 132560
-rect 390313 132502 396586 132504
-rect 422654 132562 422714 132638
-rect 422838 132562 422898 132774
-rect 432406 132698 432466 132774
-rect 442158 132774 451786 132834
-rect 432406 132638 442034 132698
-rect 422654 132502 422898 132562
-rect 441974 132562 442034 132638
-rect 442158 132562 442218 132774
-rect 451726 132698 451786 132774
-rect 461478 132774 471106 132834
-rect 451726 132638 461354 132698
-rect 441974 132502 442218 132562
-rect 461294 132562 461354 132638
-rect 461478 132562 461538 132774
-rect 471046 132698 471106 132774
-rect 480798 132774 490426 132834
-rect 471046 132638 480674 132698
-rect 461294 132502 461538 132562
-rect 480614 132562 480674 132638
-rect 480798 132562 480858 132774
-rect 490366 132698 490426 132774
-rect 500118 132774 509746 132834
-rect 490366 132638 499994 132698
-rect 480614 132502 480858 132562
-rect 499934 132562 499994 132638
-rect 500118 132562 500178 132774
-rect 509686 132698 509746 132774
-rect 519438 132774 529066 132834
-rect 509686 132638 519314 132698
-rect 499934 132502 500178 132562
-rect 519254 132562 519314 132638
-rect 519438 132562 519498 132774
-rect 529006 132698 529066 132774
-rect 538758 132774 544338 132834
-rect 529006 132638 538634 132698
-rect 519254 132502 519498 132562
-rect 538574 132562 538634 132638
-rect 538758 132562 538818 132774
-rect 538574 132502 538818 132562
-rect 544278 132562 544338 132774
-rect 551078 132772 551084 132836
-rect 551148 132834 551154 132836
-rect 551148 132774 567706 132834
-rect 551148 132772 551154 132774
-rect 567646 132698 567706 132774
-rect 583838 132698 583898 132910
-rect 584016 132880 584496 132910
-rect 567646 132638 577274 132698
-rect 551078 132562 551084 132564
-rect 544278 132502 551084 132562
-rect 390313 132499 390379 132502
-rect 551078 132500 551084 132502
-rect 551148 132500 551154 132564
-rect 577214 132562 577274 132638
-rect 577398 132638 583898 132698
-rect 577398 132562 577458 132638
-rect 577214 132502 577458 132562
-rect 4005 126986 4071 126989
-rect 342790 126986 342796 126988
-rect 4005 126984 342796 126986
-rect 4005 126928 4010 126984
-rect 4066 126928 342796 126984
-rect 4005 126926 342796 126928
-rect 4005 126923 4071 126926
-rect 342790 126924 342796 126926
-rect 342860 126924 342866 126988
-rect 91313 125762 91379 125765
-rect 242193 125762 242259 125765
-rect 373293 125762 373359 125765
-rect 91313 125760 91514 125762
-rect 91313 125704 91318 125760
-rect 91374 125704 91514 125760
-rect 91313 125702 91514 125704
-rect 91313 125699 91379 125702
-rect 496 125626 976 125656
-rect 91454 125629 91514 125702
-rect 242150 125760 242259 125762
-rect 242150 125704 242198 125760
-rect 242254 125704 242259 125760
-rect 242150 125699 242259 125704
-rect 372974 125760 373359 125762
-rect 372974 125704 373298 125760
-rect 373354 125704 373359 125760
-rect 372974 125702 373359 125704
-rect 242150 125629 242210 125699
-rect 4005 125626 4071 125629
-rect 496 125624 4071 125626
-rect 496 125568 4010 125624
-rect 4066 125568 4071 125624
-rect 496 125566 4071 125568
-rect 91454 125624 91563 125629
-rect 91454 125568 91502 125624
-rect 91558 125568 91563 125624
-rect 91454 125566 91563 125568
-rect 496 125536 976 125566
-rect 4005 125563 4071 125566
-rect 91497 125563 91563 125566
-rect 235569 125626 235635 125629
-rect 235753 125626 235819 125629
-rect 235569 125624 235819 125626
-rect 235569 125568 235574 125624
-rect 235630 125568 235758 125624
-rect 235814 125568 235819 125624
-rect 235569 125566 235819 125568
-rect 235569 125563 235635 125566
-rect 235753 125563 235819 125566
-rect 242101 125624 242210 125629
-rect 242101 125568 242106 125624
-rect 242162 125568 242210 125624
-rect 242101 125566 242210 125568
-rect 341185 125626 341251 125629
-rect 341369 125626 341435 125629
-rect 341185 125624 341435 125626
-rect 341185 125568 341190 125624
-rect 341246 125568 341374 125624
-rect 341430 125568 341435 125624
-rect 341185 125566 341435 125568
-rect 372974 125626 373034 125702
-rect 373293 125699 373359 125702
-rect 373109 125626 373175 125629
-rect 372974 125624 373175 125626
-rect 372974 125568 373114 125624
-rect 373170 125568 373175 125624
-rect 372974 125566 373175 125568
-rect 242101 125563 242167 125566
-rect 341185 125563 341251 125566
-rect 341369 125563 341435 125566
-rect 373109 125563 373175 125566
-rect 553705 125626 553771 125629
-rect 553889 125626 553955 125629
-rect 553705 125624 553955 125626
-rect 553705 125568 553710 125624
-rect 553766 125568 553894 125624
-rect 553950 125568 553955 125624
-rect 553705 125566 553955 125568
-rect 553705 125563 553771 125566
-rect 553889 125563 553955 125566
-rect 275497 118690 275563 118693
-rect 275681 118690 275747 118693
-rect 275497 118688 275747 118690
-rect 275497 118632 275502 118688
-rect 275558 118632 275686 118688
-rect 275742 118632 275747 118688
-rect 275497 118630 275747 118632
-rect 275497 118627 275563 118630
-rect 275681 118627 275747 118630
-rect 240486 117268 240492 117332
-rect 240556 117330 240562 117332
-rect 584016 117330 584496 117360
-rect 240556 117270 584496 117330
-rect 240556 117268 240562 117270
-rect 584016 117240 584496 117270
-rect 328213 115970 328279 115973
-rect 328397 115970 328463 115973
-rect 328213 115968 328463 115970
-rect 328213 115912 328218 115968
-rect 328274 115912 328402 115968
-rect 328458 115912 328463 115968
-rect 328213 115910 328463 115912
-rect 328213 115907 328279 115910
-rect 328397 115907 328463 115910
-rect 331065 115970 331131 115973
-rect 331249 115970 331315 115973
-rect 331065 115968 331315 115970
-rect 331065 115912 331070 115968
-rect 331126 115912 331254 115968
-rect 331310 115912 331315 115968
-rect 331065 115910 331315 115912
-rect 331065 115907 331131 115910
-rect 331249 115907 331315 115910
-rect 341185 115834 341251 115837
-rect 341461 115834 341527 115837
-rect 341185 115832 341527 115834
-rect 341185 115776 341190 115832
-rect 341246 115776 341466 115832
-rect 341522 115776 341527 115832
-rect 341185 115774 341527 115776
-rect 341185 115771 341251 115774
-rect 341461 115771 341527 115774
-rect 553429 113250 553495 113253
-rect 553613 113250 553679 113253
-rect 553429 113248 553679 113250
-rect 553429 113192 553434 113248
-rect 553490 113192 553618 113248
-rect 553674 113192 553679 113248
-rect 553429 113190 553679 113192
-rect 553429 113187 553495 113190
-rect 553613 113187 553679 113190
-rect 271357 109034 271423 109037
-rect 271633 109034 271699 109037
-rect 271357 109032 271699 109034
-rect 271357 108976 271362 109032
-rect 271418 108976 271638 109032
-rect 271694 108976 271699 109032
-rect 271357 108974 271699 108976
-rect 271357 108971 271423 108974
-rect 271633 108971 271699 108974
-rect 496 108898 976 108928
-rect 3913 108898 3979 108901
-rect 496 108896 3979 108898
-rect 496 108840 3918 108896
-rect 3974 108840 3979 108896
-rect 496 108838 3979 108840
-rect 496 108808 976 108838
-rect 3913 108835 3979 108838
-rect 242193 106450 242259 106453
-rect 242150 106448 242259 106450
-rect 242150 106392 242198 106448
-rect 242254 106392 242259 106448
-rect 242150 106387 242259 106392
-rect 242150 106317 242210 106387
-rect 242101 106312 242210 106317
-rect 242101 106256 242106 106312
-rect 242162 106256 242210 106312
-rect 242101 106254 242210 106256
-rect 341185 106314 341251 106317
-rect 341461 106314 341527 106317
-rect 341185 106312 341527 106314
-rect 341185 106256 341190 106312
-rect 341246 106256 341466 106312
-rect 341522 106256 341527 106312
-rect 341185 106254 341527 106256
-rect 242101 106251 242167 106254
-rect 341185 106251 341251 106254
-rect 341461 106251 341527 106254
-rect 552417 104954 552483 104957
-rect 552601 104954 552667 104957
-rect 552417 104952 552667 104954
-rect 552417 104896 552422 104952
-rect 552478 104896 552606 104952
-rect 552662 104896 552667 104952
-rect 552417 104894 552667 104896
-rect 552417 104891 552483 104894
-rect 552601 104891 552667 104894
-rect 584016 101690 584496 101720
-rect 583838 101630 584496 101690
-rect 377198 101356 377204 101420
-rect 377268 101418 377274 101420
-rect 386817 101418 386883 101421
-rect 377268 101416 386883 101418
-rect 377268 101360 386822 101416
-rect 386878 101360 386883 101416
-rect 377268 101358 386883 101360
-rect 377268 101356 377274 101358
-rect 386817 101355 386883 101358
-rect 391693 101282 391759 101285
-rect 386958 101280 391759 101282
-rect 386958 101224 391698 101280
-rect 391754 101224 391759 101280
-rect 386958 101222 391759 101224
-rect 237726 101084 237732 101148
-rect 237796 101146 237802 101148
-rect 261145 101146 261211 101149
-rect 290217 101146 290283 101149
-rect 237796 101086 244970 101146
-rect 237796 101084 237802 101086
-rect 244910 100874 244970 101086
-rect 261145 101144 264290 101146
-rect 261145 101088 261150 101144
-rect 261206 101088 264290 101144
-rect 261145 101086 264290 101088
-rect 261145 101083 261211 101086
-rect 251669 100874 251735 100877
-rect 244910 100872 251735 100874
-rect 244910 100816 251674 100872
-rect 251730 100816 251735 100872
-rect 244910 100814 251735 100816
-rect 264230 100874 264290 101086
-rect 275822 101144 290283 101146
-rect 275822 101088 290222 101144
-rect 290278 101088 290283 101144
-rect 275822 101086 290283 101088
-rect 275822 100874 275882 101086
-rect 290217 101083 290283 101086
-rect 290401 101146 290467 101149
-rect 377198 101146 377204 101148
-rect 290401 101144 293730 101146
-rect 290401 101088 290406 101144
-rect 290462 101088 293730 101144
-rect 290401 101086 293730 101088
-rect 290401 101083 290467 101086
-rect 293670 101010 293730 101086
-rect 335622 101086 341386 101146
-rect 293670 100950 302562 101010
-rect 264230 100814 275882 100874
-rect 251669 100811 251735 100814
-rect 279821 100738 279887 100741
-rect 280005 100738 280071 100741
-rect 279821 100736 280071 100738
-rect 279821 100680 279826 100736
-rect 279882 100680 280010 100736
-rect 280066 100680 280071 100736
-rect 279821 100678 280071 100680
-rect 302502 100738 302562 100950
-rect 316478 100874 316484 100876
-rect 316302 100814 316484 100874
-rect 302502 100678 308082 100738
-rect 279821 100675 279887 100678
-rect 280005 100675 280071 100678
-rect 308022 100602 308082 100678
-rect 316302 100602 316362 100814
-rect 316478 100812 316484 100814
-rect 316548 100812 316554 100876
-rect 330973 100874 331039 100877
-rect 335622 100874 335682 101086
-rect 330973 100872 335682 100874
-rect 330973 100816 330978 100872
-rect 331034 100816 335682 100872
-rect 330973 100814 335682 100816
-rect 341326 100874 341386 101086
-rect 370398 101086 377204 101146
-rect 360413 101010 360479 101013
-rect 351078 101008 360479 101010
-rect 351078 100952 360418 101008
-rect 360474 100952 360479 101008
-rect 351078 100950 360479 100952
-rect 351078 100874 351138 100950
-rect 360413 100947 360479 100950
-rect 341326 100814 351138 100874
-rect 366117 100874 366183 100877
-rect 370398 100874 370458 101086
-rect 377198 101084 377204 101086
-rect 377268 101084 377274 101148
-rect 386817 101010 386883 101013
-rect 386958 101010 387018 101222
-rect 391693 101219 391759 101222
-rect 396518 101084 396524 101148
-rect 396588 101146 396594 101148
-rect 396588 101086 413146 101146
-rect 396588 101084 396594 101086
-rect 386817 101008 387018 101010
-rect 386817 100952 386822 101008
-rect 386878 100952 387018 101008
-rect 386817 100950 387018 100952
-rect 413086 101010 413146 101086
-rect 422838 101086 432466 101146
-rect 413086 100950 422714 101010
-rect 386817 100947 386883 100950
-rect 366117 100872 370458 100874
-rect 366117 100816 366122 100872
-rect 366178 100816 370458 100872
-rect 366117 100814 370458 100816
-rect 391693 100874 391759 100877
-rect 396518 100874 396524 100876
-rect 391693 100872 396524 100874
-rect 391693 100816 391698 100872
-rect 391754 100816 396524 100872
-rect 391693 100814 396524 100816
-rect 330973 100811 331039 100814
-rect 366117 100811 366183 100814
-rect 391693 100811 391759 100814
-rect 396518 100812 396524 100814
-rect 396588 100812 396594 100876
-rect 422654 100874 422714 100950
-rect 422838 100874 422898 101086
-rect 432406 101010 432466 101086
-rect 442158 101086 451786 101146
-rect 432406 100950 442034 101010
-rect 422654 100814 422898 100874
-rect 441974 100874 442034 100950
-rect 442158 100874 442218 101086
-rect 451726 101010 451786 101086
-rect 461478 101086 471106 101146
-rect 451726 100950 461354 101010
-rect 441974 100814 442218 100874
-rect 461294 100874 461354 100950
-rect 461478 100874 461538 101086
-rect 471046 101010 471106 101086
-rect 480798 101086 490426 101146
-rect 471046 100950 480674 101010
-rect 461294 100814 461538 100874
-rect 480614 100874 480674 100950
-rect 480798 100874 480858 101086
-rect 490366 101010 490426 101086
-rect 500118 101086 509746 101146
-rect 490366 100950 499994 101010
-rect 480614 100814 480858 100874
-rect 499934 100874 499994 100950
-rect 500118 100874 500178 101086
-rect 509686 101010 509746 101086
-rect 519438 101086 529066 101146
-rect 509686 100950 519314 101010
-rect 499934 100814 500178 100874
-rect 519254 100874 519314 100950
-rect 519438 100874 519498 101086
-rect 529006 101010 529066 101086
-rect 538758 101086 544338 101146
-rect 529006 100950 538634 101010
-rect 519254 100814 519498 100874
-rect 538574 100874 538634 100950
-rect 538758 100874 538818 101086
-rect 538574 100814 538818 100874
-rect 544278 100874 544338 101086
-rect 551078 101084 551084 101148
-rect 551148 101146 551154 101148
-rect 551148 101086 567706 101146
-rect 551148 101084 551154 101086
-rect 567646 101010 567706 101086
-rect 583838 101010 583898 101630
-rect 584016 101600 584496 101630
-rect 567646 100950 577274 101010
-rect 551078 100874 551084 100876
-rect 544278 100814 551084 100874
-rect 551078 100812 551084 100814
-rect 551148 100812 551154 100876
-rect 577214 100874 577274 100950
-rect 577398 100950 583898 101010
-rect 577398 100874 577458 100950
-rect 577214 100814 577458 100874
-rect 308022 100542 316362 100602
-rect 316478 100540 316484 100604
-rect 316548 100602 316554 100604
-rect 330973 100602 331039 100605
-rect 316548 100600 331039 100602
-rect 316548 100544 330978 100600
-rect 331034 100544 331039 100600
-rect 316548 100542 331039 100544
-rect 316548 100540 316554 100542
-rect 330973 100539 331039 100542
-rect 246885 96794 246951 96797
-rect 246750 96792 246951 96794
-rect 246750 96736 246890 96792
-rect 246946 96736 246951 96792
-rect 246750 96734 246951 96736
-rect 246750 96661 246810 96734
-rect 246885 96731 246951 96734
-rect 239249 96658 239315 96661
-rect 239433 96658 239499 96661
-rect 239249 96656 239499 96658
-rect 239249 96600 239254 96656
-rect 239310 96600 239438 96656
-rect 239494 96600 239499 96656
-rect 239249 96598 239499 96600
-rect 239249 96595 239315 96598
-rect 239433 96595 239499 96598
-rect 242469 96658 242535 96661
-rect 242653 96658 242719 96661
-rect 242469 96656 242719 96658
-rect 242469 96600 242474 96656
-rect 242530 96600 242658 96656
-rect 242714 96600 242719 96656
-rect 242469 96598 242719 96600
-rect 246750 96656 246859 96661
-rect 246750 96600 246798 96656
-rect 246854 96600 246859 96656
-rect 246750 96598 246859 96600
-rect 242469 96595 242535 96598
-rect 242653 96595 242719 96598
-rect 246793 96595 246859 96598
-rect 331065 96658 331131 96661
-rect 331249 96658 331315 96661
-rect 331065 96656 331315 96658
-rect 331065 96600 331070 96656
-rect 331126 96600 331254 96656
-rect 331310 96600 331315 96656
-rect 331065 96598 331315 96600
-rect 331065 96595 331131 96598
-rect 331249 96595 331315 96598
-rect 328489 95162 328555 95165
-rect 328446 95160 328555 95162
-rect 328446 95104 328494 95160
-rect 328550 95104 328555 95160
-rect 328446 95099 328555 95104
-rect 328446 95029 328506 95099
-rect 328446 95024 328555 95029
-rect 328446 94968 328494 95024
-rect 328550 94968 328555 95024
-rect 328446 94966 328555 94968
-rect 328489 94963 328555 94966
-rect 344078 92442 344084 92444
-rect 1110 92382 344084 92442
-rect 496 92170 976 92200
-rect 1110 92170 1170 92382
-rect 344078 92380 344084 92382
-rect 344148 92380 344154 92444
-rect 496 92110 1170 92170
-rect 496 92080 976 92110
-rect 560789 87274 560855 87277
-rect 560789 87272 560898 87274
-rect 560789 87216 560794 87272
-rect 560850 87216 560898 87272
-rect 560789 87211 560898 87216
-rect 560838 87141 560898 87211
-rect 91313 87138 91379 87141
-rect 358205 87138 358271 87141
-rect 91313 87136 91514 87138
-rect 91313 87080 91318 87136
-rect 91374 87080 91514 87136
-rect 91313 87078 91514 87080
-rect 91313 87075 91379 87078
-rect 91454 87005 91514 87078
-rect 357886 87136 358271 87138
-rect 357886 87080 358210 87136
-rect 358266 87080 358271 87136
-rect 357886 87078 358271 87080
-rect 357886 87005 357946 87078
-rect 358205 87075 358271 87078
-rect 553705 87138 553771 87141
-rect 553705 87136 553906 87138
-rect 553705 87080 553710 87136
-rect 553766 87080 553906 87136
-rect 553705 87078 553906 87080
-rect 553705 87075 553771 87078
-rect 553846 87005 553906 87078
-rect 560789 87136 560898 87141
-rect 560789 87080 560794 87136
-rect 560850 87080 560898 87136
-rect 560789 87078 560898 87080
-rect 560789 87075 560855 87078
-rect 91454 87000 91563 87005
-rect 91454 86944 91502 87000
-rect 91558 86944 91563 87000
-rect 91454 86942 91563 86944
-rect 91497 86939 91563 86942
-rect 307881 87002 307947 87005
-rect 308157 87002 308223 87005
-rect 307881 87000 308223 87002
-rect 307881 86944 307886 87000
-rect 307942 86944 308162 87000
-rect 308218 86944 308223 87000
-rect 307881 86942 308223 86944
-rect 307881 86939 307947 86942
-rect 308157 86939 308223 86942
-rect 308893 87002 308959 87005
-rect 309077 87002 309143 87005
-rect 308893 87000 309143 87002
-rect 308893 86944 308898 87000
-rect 308954 86944 309082 87000
-rect 309138 86944 309143 87000
-rect 308893 86942 309143 86944
-rect 308893 86939 308959 86942
-rect 309077 86939 309143 86942
-rect 341185 87002 341251 87005
-rect 341369 87002 341435 87005
-rect 341185 87000 341435 87002
-rect 341185 86944 341190 87000
-rect 341246 86944 341374 87000
-rect 341430 86944 341435 87000
-rect 341185 86942 341435 86944
-rect 357886 87000 357995 87005
-rect 357886 86944 357934 87000
-rect 357990 86944 357995 87000
-rect 357886 86942 357995 86944
-rect 553846 87000 553955 87005
-rect 553846 86944 553894 87000
-rect 553950 86944 553955 87000
-rect 553846 86942 553955 86944
-rect 341185 86939 341251 86942
-rect 341369 86939 341435 86942
-rect 357929 86939 357995 86942
-rect 553889 86939 553955 86942
-rect 580661 86050 580727 86053
-rect 584016 86050 584496 86080
-rect 580661 86048 584496 86050
-rect 580661 85992 580666 86048
-rect 580722 85992 584496 86048
-rect 580661 85990 584496 85992
-rect 580661 85987 580727 85990
-rect 584016 85960 584496 85990
-rect 371821 85506 371887 85509
-rect 372005 85506 372071 85509
-rect 371821 85504 372071 85506
-rect 371821 85448 371826 85504
-rect 371882 85448 372010 85504
-rect 372066 85448 372071 85504
-rect 371821 85446 372071 85448
-rect 371821 85443 371887 85446
-rect 372005 85443 372071 85446
-rect 242745 77346 242811 77349
-rect 242929 77346 242995 77349
-rect 242745 77344 242995 77346
-rect 242745 77288 242750 77344
-rect 242806 77288 242934 77344
-rect 242990 77288 242995 77344
-rect 242745 77286 242995 77288
-rect 242745 77283 242811 77286
-rect 242929 77283 242995 77286
-rect 328213 77346 328279 77349
-rect 328397 77346 328463 77349
-rect 328213 77344 328463 77346
-rect 328213 77288 328218 77344
-rect 328274 77288 328402 77344
-rect 328458 77288 328463 77344
-rect 328213 77286 328463 77288
-rect 328213 77283 328279 77286
-rect 328397 77283 328463 77286
-rect 331065 77346 331131 77349
-rect 331249 77346 331315 77349
-rect 331065 77344 331315 77346
-rect 331065 77288 331070 77344
-rect 331126 77288 331254 77344
-rect 331310 77288 331315 77344
-rect 331065 77286 331315 77288
-rect 331065 77283 331131 77286
-rect 331249 77283 331315 77286
-rect 345550 75850 345556 75852
-rect 1110 75790 345556 75850
-rect 496 75306 976 75336
-rect 1110 75306 1170 75790
-rect 345550 75788 345556 75790
-rect 345620 75788 345626 75852
-rect 496 75246 1170 75306
-rect 496 75216 976 75246
-rect 236254 70348 236260 70412
-rect 236324 70410 236330 70412
-rect 584016 70410 584496 70440
-rect 236324 70350 584496 70410
-rect 236324 70348 236330 70350
-rect 584016 70320 584496 70350
-rect 552325 67690 552391 67693
-rect 552509 67690 552575 67693
-rect 552325 67688 552575 67690
-rect 552325 67632 552330 67688
-rect 552386 67632 552514 67688
-rect 552570 67632 552575 67688
-rect 552325 67630 552575 67632
-rect 552325 67627 552391 67630
-rect 552509 67627 552575 67630
-rect 279729 64970 279795 64973
-rect 280005 64970 280071 64973
-rect 279729 64968 280071 64970
-rect 279729 64912 279734 64968
-rect 279790 64912 280010 64968
-rect 280066 64912 280071 64968
-rect 279729 64910 280071 64912
-rect 279729 64907 279795 64910
-rect 280005 64907 280071 64910
-rect 276417 62114 276483 62117
-rect 276601 62114 276667 62117
-rect 276417 62112 276667 62114
-rect 276417 62056 276422 62112
-rect 276478 62056 276606 62112
-rect 276662 62056 276667 62112
-rect 276417 62054 276667 62056
-rect 276417 62051 276483 62054
-rect 276601 62051 276667 62054
-rect 496 58578 976 58608
-rect 3637 58578 3703 58581
-rect 496 58576 3703 58578
-rect 496 58520 3642 58576
-rect 3698 58520 3703 58576
-rect 496 58518 3703 58520
-rect 496 58488 976 58518
-rect 3637 58515 3703 58518
-rect 328397 58170 328463 58173
-rect 328262 58168 328463 58170
-rect 328262 58112 328402 58168
-rect 328458 58112 328463 58168
-rect 328262 58110 328463 58112
-rect 328262 58034 328322 58110
-rect 328397 58107 328463 58110
-rect 328397 58034 328463 58037
-rect 328262 58032 328463 58034
-rect 328262 57976 328402 58032
-rect 328458 57976 328463 58032
-rect 328262 57974 328463 57976
-rect 328397 57971 328463 57974
-rect 319841 56538 319907 56541
-rect 320117 56538 320183 56541
-rect 319841 56536 320183 56538
-rect 319841 56480 319846 56536
-rect 319902 56480 320122 56536
-rect 320178 56480 320183 56536
-rect 319841 56478 320183 56480
-rect 319841 56475 319907 56478
-rect 320117 56475 320183 56478
-rect 580753 54770 580819 54773
-rect 584016 54770 584496 54800
-rect 580753 54768 584496 54770
-rect 580753 54712 580758 54768
-rect 580814 54712 584496 54768
-rect 580753 54710 584496 54712
-rect 580753 54707 580819 54710
-rect 584016 54680 584496 54710
-rect 272921 52458 272987 52461
-rect 273289 52458 273355 52461
-rect 272921 52456 273355 52458
-rect 272921 52400 272926 52456
-rect 272982 52400 273294 52456
-rect 273350 52400 273355 52456
-rect 272921 52398 273355 52400
-rect 272921 52395 272987 52398
-rect 273289 52395 273355 52398
-rect 239249 51098 239315 51101
-rect 239433 51098 239499 51101
-rect 239249 51096 239499 51098
-rect 239249 51040 239254 51096
-rect 239310 51040 239438 51096
-rect 239494 51040 239499 51096
-rect 239249 51038 239499 51040
-rect 239249 51035 239315 51038
-rect 239433 51035 239499 51038
-rect 135657 48650 135723 48653
-rect 135614 48648 135723 48650
-rect 135614 48592 135662 48648
-rect 135718 48592 135723 48648
-rect 135614 48587 135723 48592
-rect 560789 48650 560855 48653
-rect 560789 48648 560898 48650
-rect 560789 48592 560794 48648
-rect 560850 48592 560898 48648
-rect 560789 48587 560898 48592
-rect 135614 48517 135674 48587
-rect 560838 48517 560898 48587
-rect 135614 48512 135723 48517
-rect 135614 48456 135662 48512
-rect 135718 48456 135723 48512
-rect 135614 48454 135723 48456
-rect 135657 48451 135723 48454
-rect 560789 48512 560898 48517
-rect 560789 48456 560794 48512
-rect 560850 48456 560898 48512
-rect 560789 48454 560898 48456
-rect 560789 48451 560855 48454
-rect 225173 48378 225239 48381
-rect 225357 48378 225423 48381
-rect 225173 48376 225423 48378
-rect 225173 48320 225178 48376
-rect 225234 48320 225362 48376
-rect 225418 48320 225423 48376
-rect 225173 48318 225423 48320
-rect 225173 48315 225239 48318
-rect 225357 48315 225423 48318
-rect 340909 48378 340975 48381
-rect 341093 48378 341159 48381
-rect 340909 48376 341159 48378
-rect 340909 48320 340914 48376
-rect 340970 48320 341098 48376
-rect 341154 48320 341159 48376
-rect 340909 48318 341159 48320
-rect 340909 48315 340975 48318
-rect 341093 48315 341159 48318
-rect 553889 48378 553955 48381
-rect 554073 48378 554139 48381
-rect 553889 48376 554139 48378
-rect 553889 48320 553894 48376
-rect 553950 48320 554078 48376
-rect 554134 48320 554139 48376
-rect 553889 48318 554139 48320
-rect 553889 48315 553955 48318
-rect 554073 48315 554139 48318
-rect 235385 48242 235451 48245
-rect 235342 48240 235451 48242
-rect 235342 48184 235390 48240
-rect 235446 48184 235451 48240
-rect 235342 48179 235451 48184
-rect 235342 48106 235402 48179
-rect 235661 48106 235727 48109
-rect 235342 48104 235727 48106
-rect 235342 48048 235666 48104
-rect 235722 48048 235727 48104
-rect 235342 48046 235727 48048
-rect 235661 48043 235727 48046
-rect 3913 42802 3979 42805
-rect 346838 42802 346844 42804
-rect 3913 42800 346844 42802
-rect 3913 42744 3918 42800
-rect 3974 42744 346844 42800
-rect 3913 42742 346844 42744
-rect 3913 42739 3979 42742
-rect 346838 42740 346844 42742
-rect 346908 42740 346914 42804
-rect 496 41850 976 41880
-rect 3913 41850 3979 41853
-rect 496 41848 3979 41850
-rect 496 41792 3918 41848
-rect 3974 41792 3979 41848
-rect 496 41790 3979 41792
-rect 496 41760 976 41790
-rect 3913 41787 3979 41790
-rect 337229 39266 337295 39269
-rect 346654 39266 346660 39268
-rect 327526 39206 337154 39266
-rect 327526 39132 327586 39206
-rect 327518 39068 327524 39132
-rect 327588 39068 327594 39132
-rect 232206 38932 232212 38996
-rect 232276 38994 232282 38996
-rect 317766 38994 317772 38996
-rect 232276 38934 244970 38994
-rect 232276 38932 232282 38934
-rect 244910 38722 244970 38934
-rect 284102 38934 288946 38994
-rect 261053 38722 261119 38725
-rect 244910 38720 261119 38722
-rect 244910 38664 261058 38720
-rect 261114 38664 261119 38720
-rect 244910 38662 261119 38664
-rect 261053 38659 261119 38662
-rect 268137 38722 268203 38725
-rect 284102 38722 284162 38934
-rect 288886 38858 288946 38934
-rect 308206 38934 317772 38994
-rect 298589 38858 298655 38861
-rect 288886 38856 298655 38858
-rect 288886 38800 298594 38856
-rect 298650 38800 298655 38856
-rect 288886 38798 298655 38800
-rect 298589 38795 298655 38798
-rect 308206 38725 308266 38934
-rect 317766 38932 317772 38934
-rect 317836 38932 317842 38996
-rect 337094 38994 337154 39206
-rect 337229 39264 346660 39266
-rect 337229 39208 337234 39264
-rect 337290 39208 346660 39264
-rect 337229 39206 346660 39208
-rect 337229 39203 337295 39206
-rect 346654 39204 346660 39206
-rect 346724 39204 346730 39268
-rect 584016 39130 584496 39160
-rect 583838 39070 584496 39130
-rect 337229 38994 337295 38997
-rect 337094 38992 337295 38994
-rect 337094 38936 337234 38992
-rect 337290 38936 337295 38992
-rect 337094 38934 337295 38936
-rect 337229 38931 337295 38934
-rect 346838 38932 346844 38996
-rect 346908 38994 346914 38996
-rect 356590 38994 356596 38996
-rect 346908 38934 356596 38994
-rect 346908 38932 346914 38934
-rect 356590 38932 356596 38934
-rect 356660 38932 356666 38996
-rect 406137 38994 406203 38997
-rect 406137 38992 413146 38994
-rect 406137 38936 406142 38992
-rect 406198 38936 413146 38992
-rect 406137 38934 413146 38936
-rect 406137 38931 406203 38934
-rect 366117 38858 366183 38861
-rect 370257 38858 370323 38861
-rect 366117 38856 370323 38858
-rect 366117 38800 366122 38856
-rect 366178 38800 370262 38856
-rect 370318 38800 370323 38856
-rect 366117 38798 370323 38800
-rect 366117 38795 366183 38798
-rect 370257 38795 370323 38798
-rect 382033 38858 382099 38861
-rect 389577 38858 389643 38861
-rect 399237 38858 399303 38861
-rect 382033 38856 389643 38858
-rect 382033 38800 382038 38856
-rect 382094 38800 389582 38856
-rect 389638 38800 389643 38856
-rect 382033 38798 389643 38800
-rect 382033 38795 382099 38798
-rect 389577 38795 389643 38798
-rect 396526 38856 399303 38858
-rect 396526 38800 399242 38856
-rect 399298 38800 399303 38856
-rect 396526 38798 399303 38800
-rect 413086 38858 413146 38934
-rect 422838 38934 432466 38994
-rect 413086 38798 422714 38858
-rect 308157 38722 308266 38725
-rect 268137 38720 284162 38722
-rect 268137 38664 268142 38720
-rect 268198 38664 284162 38720
-rect 268137 38662 284162 38664
-rect 308076 38720 308266 38722
-rect 308076 38664 308162 38720
-rect 308218 38664 308266 38720
-rect 308076 38662 308266 38664
-rect 268137 38659 268203 38662
-rect 308157 38659 308223 38662
-rect 317766 38660 317772 38724
-rect 317836 38722 317842 38724
-rect 327518 38722 327524 38724
-rect 317836 38662 327524 38722
-rect 317836 38660 317842 38662
-rect 327518 38660 327524 38662
-rect 327588 38660 327594 38724
-rect 346654 38660 346660 38724
-rect 346724 38722 346730 38724
-rect 346838 38722 346844 38724
-rect 346724 38662 346844 38722
-rect 346724 38660 346730 38662
-rect 346838 38660 346844 38662
-rect 346908 38660 346914 38724
-rect 370441 38722 370507 38725
-rect 377341 38722 377407 38725
-rect 370441 38720 377407 38722
-rect 370441 38664 370446 38720
-rect 370502 38664 377346 38720
-rect 377402 38664 377407 38720
-rect 370441 38662 377407 38664
-rect 370441 38659 370507 38662
-rect 377341 38659 377407 38662
-rect 389761 38722 389827 38725
-rect 396526 38722 396586 38798
-rect 399237 38795 399303 38798
-rect 389761 38720 396586 38722
-rect 389761 38664 389766 38720
-rect 389822 38664 396586 38720
-rect 389761 38662 396586 38664
-rect 422654 38722 422714 38798
-rect 422838 38722 422898 38934
-rect 432406 38858 432466 38934
-rect 442158 38934 451786 38994
-rect 432406 38798 442034 38858
-rect 422654 38662 422898 38722
-rect 441974 38722 442034 38798
-rect 442158 38722 442218 38934
-rect 451726 38858 451786 38934
-rect 461478 38934 471106 38994
-rect 451726 38798 461354 38858
-rect 441974 38662 442218 38722
-rect 461294 38722 461354 38798
-rect 461478 38722 461538 38934
-rect 471046 38858 471106 38934
-rect 480798 38934 490426 38994
-rect 471046 38798 480674 38858
-rect 461294 38662 461538 38722
-rect 480614 38722 480674 38798
-rect 480798 38722 480858 38934
-rect 490366 38858 490426 38934
-rect 500118 38934 509746 38994
-rect 490366 38798 499994 38858
-rect 480614 38662 480858 38722
-rect 499934 38722 499994 38798
-rect 500118 38722 500178 38934
-rect 509686 38858 509746 38934
-rect 519438 38934 529066 38994
-rect 509686 38798 519314 38858
-rect 499934 38662 500178 38722
-rect 519254 38722 519314 38798
-rect 519438 38722 519498 38934
-rect 529006 38858 529066 38934
-rect 538758 38934 544338 38994
-rect 529006 38798 538634 38858
-rect 519254 38662 519498 38722
-rect 538574 38722 538634 38798
-rect 538758 38722 538818 38934
-rect 538574 38662 538818 38722
-rect 544278 38722 544338 38934
-rect 551078 38932 551084 38996
-rect 551148 38994 551154 38996
-rect 551148 38934 567706 38994
-rect 551148 38932 551154 38934
-rect 567646 38858 567706 38934
-rect 583838 38858 583898 39070
-rect 584016 39040 584496 39070
-rect 567646 38798 577274 38858
-rect 551078 38722 551084 38724
-rect 544278 38662 551084 38722
-rect 389761 38659 389827 38662
-rect 551078 38660 551084 38662
-rect 551148 38660 551154 38724
-rect 577214 38722 577274 38798
-rect 577398 38798 583898 38858
-rect 577398 38722 577458 38798
-rect 577214 38662 577458 38722
-rect 356590 38524 356596 38588
-rect 356660 38586 356666 38588
-rect 366117 38586 366183 38589
-rect 356660 38584 366183 38586
-rect 356660 38528 366122 38584
-rect 366178 38528 366183 38584
-rect 356660 38526 366183 38528
-rect 356660 38524 356666 38526
-rect 366117 38523 366183 38526
-rect 273013 33282 273079 33285
-rect 273197 33282 273263 33285
-rect 273013 33280 273263 33282
-rect 273013 33224 273018 33280
-rect 273074 33224 273202 33280
-rect 273258 33224 273263 33280
-rect 273013 33222 273263 33224
-rect 273013 33219 273079 33222
-rect 273197 33219 273263 33222
-rect 392521 29202 392587 29205
-rect 392294 29200 392587 29202
-rect 392294 29144 392526 29200
-rect 392582 29144 392587 29200
-rect 392294 29142 392587 29144
-rect 392294 29066 392354 29142
-rect 392521 29139 392587 29142
-rect 392429 29066 392495 29069
-rect 392294 29064 392495 29066
-rect 392294 29008 392434 29064
-rect 392490 29008 392495 29064
-rect 392294 29006 392495 29008
-rect 392429 29003 392495 29006
-rect 285065 28930 285131 28933
-rect 285249 28930 285315 28933
-rect 285065 28928 285315 28930
-rect 285065 28872 285070 28928
-rect 285126 28872 285254 28928
-rect 285310 28872 285315 28928
-rect 285065 28870 285315 28872
-rect 285065 28867 285131 28870
-rect 285249 28867 285315 28870
-rect 496 25122 976 25152
-rect 3913 25122 3979 25125
-rect 496 25120 3979 25122
-rect 496 25064 3918 25120
-rect 3974 25064 3979 25120
-rect 496 25062 3979 25064
-rect 496 25032 976 25062
-rect 3913 25059 3979 25062
-rect 233494 23428 233500 23492
-rect 233564 23490 233570 23492
-rect 584016 23490 584496 23520
-rect 233564 23430 584496 23490
-rect 233564 23428 233570 23430
-rect 584016 23400 584496 23430
-rect 285065 19274 285131 19277
-rect 285341 19274 285407 19277
-rect 285065 19272 285407 19274
-rect 285065 19216 285070 19272
-rect 285126 19216 285346 19272
-rect 285402 19216 285407 19272
-rect 285065 19214 285407 19216
-rect 285065 19211 285131 19214
-rect 285341 19211 285407 19214
-rect 190213 18050 190279 18053
-rect 190397 18050 190463 18053
-rect 190213 18048 190463 18050
-rect 190213 17992 190218 18048
-rect 190274 17992 190402 18048
-rect 190458 17992 190463 18048
-rect 190213 17990 190463 17992
-rect 190213 17987 190279 17990
-rect 190397 17987 190463 17990
-rect 242561 18050 242627 18053
-rect 242745 18050 242811 18053
-rect 242561 18048 242811 18050
-rect 242561 17992 242566 18048
-rect 242622 17992 242750 18048
-rect 242806 17992 242811 18048
-rect 242561 17990 242811 17992
-rect 242561 17987 242627 17990
-rect 242745 17987 242811 17990
-rect 392337 18050 392403 18053
-rect 392613 18050 392679 18053
-rect 392337 18048 392679 18050
-rect 392337 17992 392342 18048
-rect 392398 17992 392618 18048
-rect 392674 17992 392679 18048
-rect 392337 17990 392679 17992
-rect 392337 17987 392403 17990
-rect 392613 17987 392679 17990
-rect 278441 16554 278507 16557
-rect 278625 16554 278691 16557
-rect 278441 16552 278691 16554
-rect 278441 16496 278446 16552
-rect 278502 16496 278630 16552
-rect 278686 16496 278691 16552
-rect 278441 16494 278691 16496
-rect 278441 16491 278507 16494
-rect 278625 16491 278691 16494
-rect 186809 10706 186875 10709
-rect 196377 10706 196443 10709
-rect 186809 10704 196443 10706
-rect 186809 10648 186814 10704
-rect 186870 10648 196382 10704
-rect 196438 10648 196443 10704
-rect 186809 10646 196443 10648
-rect 186809 10643 186875 10646
-rect 196377 10643 196443 10646
-rect 225449 10706 225515 10709
-rect 235017 10706 235083 10709
-rect 225449 10704 235083 10706
-rect 225449 10648 225454 10704
-rect 225510 10648 235022 10704
-rect 235078 10648 235083 10704
-rect 225449 10646 235083 10648
-rect 225449 10643 225515 10646
-rect 235017 10643 235083 10646
-rect 120477 10570 120543 10573
-rect 123513 10570 123579 10573
-rect 120477 10568 123579 10570
-rect 120477 10512 120482 10568
-rect 120538 10512 123518 10568
-rect 123574 10512 123579 10568
-rect 120477 10510 123579 10512
-rect 120477 10507 120543 10510
-rect 123513 10507 123579 10510
-rect 147801 10570 147867 10573
-rect 148445 10570 148511 10573
-rect 147801 10568 148511 10570
-rect 147801 10512 147806 10568
-rect 147862 10512 148450 10568
-rect 148506 10512 148511 10568
-rect 147801 10510 148511 10512
-rect 147801 10507 147867 10510
-rect 148445 10507 148511 10510
-rect 177241 10570 177307 10573
-rect 187085 10570 187151 10573
-rect 177241 10568 187151 10570
-rect 177241 10512 177246 10568
-rect 177302 10512 187090 10568
-rect 187146 10512 187151 10568
-rect 177241 10510 187151 10512
-rect 177241 10507 177307 10510
-rect 187085 10507 187151 10510
-rect 234649 10570 234715 10573
-rect 235385 10570 235451 10573
-rect 234649 10568 235451 10570
-rect 234649 10512 234654 10568
-rect 234710 10512 235390 10568
-rect 235446 10512 235451 10568
-rect 234649 10510 235451 10512
-rect 234649 10507 234715 10510
-rect 235385 10507 235451 10510
-rect 329869 10570 329935 10573
-rect 331617 10570 331683 10573
-rect 329869 10568 331683 10570
-rect 329869 10512 329874 10568
-rect 329930 10512 331622 10568
-rect 331678 10512 331683 10568
-rect 329869 10510 331683 10512
-rect 329869 10507 329935 10510
-rect 331617 10507 331683 10510
-rect 408989 10570 409055 10573
-rect 424169 10570 424235 10573
-rect 408989 10568 424235 10570
-rect 408989 10512 408994 10568
-rect 409050 10512 424174 10568
-rect 424230 10512 424235 10568
-rect 408989 10510 424235 10512
-rect 408989 10507 409055 10510
-rect 424169 10507 424235 10510
-rect 157829 10434 157895 10437
-rect 167857 10434 167923 10437
-rect 157829 10432 167923 10434
-rect 157829 10376 157834 10432
-rect 157890 10376 167862 10432
-rect 167918 10376 167923 10432
-rect 157829 10374 167923 10376
-rect 157829 10371 157895 10374
-rect 167857 10371 167923 10374
-rect 196469 10434 196535 10437
-rect 206313 10434 206379 10437
-rect 196469 10432 206379 10434
-rect 196469 10376 196474 10432
-rect 196530 10376 206318 10432
-rect 206374 10376 206379 10432
-rect 196469 10374 206379 10376
-rect 196469 10371 196535 10374
-rect 206313 10371 206379 10374
-rect 216157 10434 216223 10437
-rect 225633 10434 225699 10437
-rect 216157 10432 225699 10434
-rect 216157 10376 216162 10432
-rect 216218 10376 225638 10432
-rect 225694 10376 225699 10432
-rect 216157 10374 225699 10376
-rect 216157 10371 216223 10374
-rect 225633 10371 225699 10374
-rect 341461 10434 341527 10437
-rect 418741 10434 418807 10437
-rect 341461 10432 418807 10434
-rect 341461 10376 341466 10432
-rect 341522 10376 418746 10432
-rect 418802 10376 418807 10432
-rect 341461 10374 418807 10376
-rect 341461 10371 341527 10374
-rect 418741 10371 418807 10374
-rect 30685 10298 30751 10301
-rect 236581 10298 236647 10301
-rect 30685 10296 236647 10298
-rect 30685 10240 30690 10296
-rect 30746 10240 236586 10296
-rect 236642 10240 236647 10296
-rect 30685 10238 236647 10240
-rect 30685 10235 30751 10238
-rect 236581 10235 236647 10238
-rect 331249 10298 331315 10301
-rect 486453 10298 486519 10301
-rect 331249 10296 486519 10298
-rect 331249 10240 331254 10296
-rect 331310 10240 486458 10296
-rect 486514 10240 486519 10296
-rect 331249 10238 486519 10240
-rect 331249 10235 331315 10238
-rect 486453 10235 486519 10238
-rect 138233 10162 138299 10165
-rect 138601 10162 138667 10165
-rect 138233 10160 138667 10162
-rect 138233 10104 138238 10160
-rect 138294 10104 138606 10160
-rect 138662 10104 138667 10160
-rect 138233 10102 138667 10104
-rect 138233 10099 138299 10102
-rect 138601 10099 138667 10102
-rect 157461 10162 157527 10165
-rect 157829 10162 157895 10165
-rect 167765 10162 167831 10165
-rect 157461 10160 157895 10162
-rect 157461 10104 157466 10160
-rect 157522 10104 157834 10160
-rect 157890 10104 157895 10160
-rect 157461 10102 157895 10104
-rect 157461 10099 157527 10102
-rect 157829 10099 157895 10102
-rect 158246 10160 167831 10162
-rect 158246 10104 167770 10160
-rect 167826 10104 167831 10160
-rect 158246 10102 167831 10104
-rect 157553 10026 157619 10029
-rect 158246 10026 158306 10102
-rect 167765 10099 167831 10102
-rect 177190 10100 177196 10164
-rect 177260 10162 177266 10164
-rect 191777 10162 191843 10165
-rect 177260 10160 191843 10162
-rect 177260 10104 191782 10160
-rect 191838 10104 191843 10160
-rect 177260 10102 191843 10104
-rect 177260 10100 177266 10102
-rect 191777 10099 191843 10102
-rect 195917 10162 195983 10165
-rect 196469 10162 196535 10165
-rect 206313 10162 206379 10165
-rect 216157 10162 216223 10165
-rect 195917 10160 196535 10162
-rect 195917 10104 195922 10160
-rect 195978 10104 196474 10160
-rect 196530 10104 196535 10160
-rect 195917 10102 196535 10104
-rect 195917 10099 195983 10102
-rect 196469 10099 196535 10102
-rect 196702 10102 206146 10162
-rect 157553 10024 158306 10026
-rect 157553 9968 157558 10024
-rect 157614 9968 158306 10024
-rect 157553 9966 158306 9968
-rect 167489 10026 167555 10029
-rect 177006 10026 177012 10028
-rect 167489 10024 177012 10026
-rect 167489 9968 167494 10024
-rect 167550 9968 177012 10024
-rect 167489 9966 177012 9968
-rect 157553 9963 157619 9966
-rect 167489 9963 167555 9966
-rect 177006 9964 177012 9966
-rect 177076 9964 177082 10028
-rect 196009 10026 196075 10029
-rect 196702 10026 196762 10102
-rect 196009 10024 196762 10026
-rect 196009 9968 196014 10024
-rect 196070 9968 196762 10024
-rect 196009 9966 196762 9968
-rect 196009 9963 196075 9966
-rect 206086 9890 206146 10102
-rect 206313 10160 216223 10162
-rect 206313 10104 206318 10160
-rect 206374 10104 216162 10160
-rect 216218 10104 216223 10160
-rect 206313 10102 216223 10104
-rect 206313 10099 206379 10102
-rect 216157 10099 216223 10102
-rect 235017 10162 235083 10165
-rect 238513 10162 238579 10165
-rect 235017 10160 238579 10162
-rect 235017 10104 235022 10160
-rect 235078 10104 238518 10160
-rect 238574 10104 238579 10160
-rect 235017 10102 238579 10104
-rect 235017 10099 235083 10102
-rect 238513 10099 238579 10102
-rect 215789 10026 215855 10029
-rect 225633 10026 225699 10029
-rect 215789 10024 225699 10026
-rect 215789 9968 215794 10024
-rect 215850 9968 225638 10024
-rect 225694 9968 225699 10024
-rect 215789 9966 225699 9968
-rect 215789 9963 215855 9966
-rect 225633 9963 225699 9966
-rect 232441 10026 232507 10029
-rect 241917 10026 241983 10029
-rect 232441 10024 241983 10026
-rect 232441 9968 232446 10024
-rect 232502 9968 241922 10024
-rect 241978 9968 241983 10024
-rect 232441 9966 241983 9968
-rect 232441 9963 232507 9966
-rect 241917 9963 241983 9966
-rect 328397 10026 328463 10029
-rect 331617 10026 331683 10029
-rect 328397 10024 331683 10026
-rect 328397 9968 328402 10024
-rect 328458 9968 331622 10024
-rect 331678 9968 331683 10024
-rect 328397 9966 331683 9968
-rect 328397 9963 328463 9966
-rect 331617 9963 331683 9966
-rect 215973 9890 216039 9893
-rect 206086 9888 216039 9890
-rect 206086 9832 215978 9888
-rect 216034 9832 216039 9888
-rect 206086 9830 216039 9832
-rect 215973 9827 216039 9830
-rect 135289 9754 135355 9757
-rect 135473 9754 135539 9757
-rect 135289 9752 135539 9754
-rect 135289 9696 135294 9752
-rect 135350 9696 135478 9752
-rect 135534 9696 135539 9752
-rect 135289 9694 135539 9696
-rect 135289 9691 135355 9694
-rect 135473 9691 135539 9694
-rect 151849 9754 151915 9757
-rect 152033 9754 152099 9757
-rect 151849 9752 152099 9754
-rect 151849 9696 151854 9752
-rect 151910 9696 152038 9752
-rect 152094 9696 152099 9752
-rect 151849 9694 152099 9696
-rect 151849 9691 151915 9694
-rect 152033 9691 152099 9694
-rect 169697 9754 169763 9757
-rect 169881 9754 169947 9757
-rect 169697 9752 169947 9754
-rect 169697 9696 169702 9752
-rect 169758 9696 169886 9752
-rect 169942 9696 169947 9752
-rect 169697 9694 169947 9696
-rect 169697 9691 169763 9694
-rect 169881 9691 169947 9694
-rect 190397 9754 190463 9757
-rect 190581 9754 190647 9757
-rect 190397 9752 190647 9754
-rect 190397 9696 190402 9752
-rect 190458 9696 190586 9752
-rect 190642 9696 190647 9752
-rect 190397 9694 190647 9696
-rect 190397 9691 190463 9694
-rect 190581 9691 190647 9694
-rect 213949 9754 214015 9757
-rect 214501 9754 214567 9757
-rect 213949 9752 214567 9754
-rect 213949 9696 213954 9752
-rect 214010 9696 214506 9752
-rect 214562 9696 214567 9752
-rect 213949 9694 214567 9696
-rect 213949 9691 214015 9694
-rect 214501 9691 214567 9694
-rect 225081 9754 225147 9757
-rect 225265 9754 225331 9757
-rect 225081 9752 225331 9754
-rect 225081 9696 225086 9752
-rect 225142 9696 225270 9752
-rect 225326 9696 225331 9752
-rect 225081 9694 225331 9696
-rect 225081 9691 225147 9694
-rect 225265 9691 225331 9694
-rect 231889 9754 231955 9757
-rect 232165 9754 232231 9757
-rect 231889 9752 232231 9754
-rect 231889 9696 231894 9752
-rect 231950 9696 232170 9752
-rect 232226 9696 232231 9752
-rect 231889 9694 232231 9696
-rect 231889 9691 231955 9694
-rect 232165 9691 232231 9694
-rect 340725 9754 340791 9757
-rect 340909 9754 340975 9757
-rect 340725 9752 340975 9754
-rect 340725 9696 340730 9752
-rect 340786 9696 340914 9752
-rect 340970 9696 340975 9752
-rect 340725 9694 340975 9696
-rect 340725 9691 340791 9694
-rect 340909 9691 340975 9694
-rect 346889 9754 346955 9757
-rect 347073 9754 347139 9757
-rect 346889 9752 347139 9754
-rect 346889 9696 346894 9752
-rect 346950 9696 347078 9752
-rect 347134 9696 347139 9752
-rect 346889 9694 347139 9696
-rect 346889 9691 346955 9694
-rect 347073 9691 347139 9694
-rect 351305 9754 351371 9757
-rect 351581 9754 351647 9757
-rect 351305 9752 351647 9754
-rect 351305 9696 351310 9752
-rect 351366 9696 351586 9752
-rect 351642 9696 351647 9752
-rect 351305 9694 351647 9696
-rect 351305 9691 351371 9694
-rect 351581 9691 351647 9694
-rect 385989 9754 386055 9757
-rect 386357 9754 386423 9757
-rect 385989 9752 386423 9754
-rect 385989 9696 385994 9752
-rect 386050 9696 386362 9752
-rect 386418 9696 386423 9752
-rect 385989 9694 386423 9696
-rect 385989 9691 386055 9694
-rect 386357 9691 386423 9694
-rect 413221 9754 413287 9757
-rect 413773 9754 413839 9757
-rect 413221 9752 413839 9754
-rect 413221 9696 413226 9752
-rect 413282 9696 413778 9752
-rect 413834 9696 413839 9752
-rect 413221 9694 413839 9696
-rect 413221 9691 413287 9694
-rect 413773 9691 413839 9694
-rect 3913 9618 3979 9621
-rect 348310 9618 348316 9620
-rect 3913 9616 348316 9618
-rect 3913 9560 3918 9616
-rect 3974 9560 348316 9616
-rect 3913 9558 348316 9560
-rect 3913 9555 3979 9558
-rect 348310 9556 348316 9558
-rect 348380 9556 348386 9620
-rect 169513 9482 169579 9485
-rect 170157 9482 170223 9485
-rect 169513 9480 170223 9482
-rect 169513 9424 169518 9480
-rect 169574 9424 170162 9480
-rect 170218 9424 170223 9480
-rect 169513 9422 170223 9424
-rect 169513 9419 169579 9422
-rect 170157 9419 170223 9422
-rect 347993 9482 348059 9485
-rect 350845 9482 350911 9485
-rect 347993 9480 350911 9482
-rect 347993 9424 347998 9480
-rect 348054 9424 350850 9480
-rect 350906 9424 350911 9480
-rect 347993 9422 350911 9424
-rect 347993 9419 348059 9422
-rect 350845 9419 350911 9422
-rect 240077 9346 240143 9349
-rect 244861 9346 244927 9349
-rect 240077 9344 244927 9346
-rect 240077 9288 240082 9344
-rect 240138 9288 244866 9344
-rect 244922 9288 244927 9344
-rect 240077 9286 244927 9288
-rect 240077 9283 240143 9286
-rect 244861 9283 244927 9286
-rect 237777 9210 237843 9213
-rect 244861 9210 244927 9213
-rect 237777 9208 244927 9210
-rect 237777 9152 237782 9208
-rect 237838 9152 244866 9208
-rect 244922 9152 244927 9208
-rect 237777 9150 244927 9152
-rect 237777 9147 237843 9150
-rect 244861 9147 244927 9150
-rect 254245 9210 254311 9213
-rect 254521 9210 254587 9213
-rect 254245 9208 254587 9210
-rect 254245 9152 254250 9208
-rect 254306 9152 254526 9208
-rect 254582 9152 254587 9208
-rect 254245 9150 254587 9152
-rect 254245 9147 254311 9150
-rect 254521 9147 254587 9150
-rect 349281 9210 349347 9213
-rect 351121 9210 351187 9213
-rect 349281 9208 351187 9210
-rect 349281 9152 349286 9208
-rect 349342 9152 351126 9208
-rect 351182 9152 351187 9208
-rect 349281 9150 351187 9152
-rect 349281 9147 349347 9150
-rect 351121 9147 351187 9150
-rect 351213 9074 351279 9077
-rect 356641 9074 356707 9077
-rect 351213 9072 356707 9074
-rect 351213 9016 351218 9072
-rect 351274 9016 356646 9072
-rect 356702 9016 356707 9072
-rect 351213 9014 356707 9016
-rect 351213 9011 351279 9014
-rect 356641 9011 356707 9014
-rect 229405 8938 229471 8941
-rect 234925 8938 234991 8941
-rect 229405 8936 234991 8938
-rect 229405 8880 229410 8936
-rect 229466 8880 234930 8936
-rect 234986 8880 234991 8936
-rect 229405 8878 234991 8880
-rect 229405 8875 229471 8878
-rect 234925 8875 234991 8878
-rect 254429 8938 254495 8941
-rect 254889 8938 254955 8941
-rect 254429 8936 254955 8938
-rect 254429 8880 254434 8936
-rect 254490 8880 254894 8936
-rect 254950 8880 254955 8936
-rect 254429 8878 254955 8880
-rect 254429 8875 254495 8878
-rect 254889 8875 254955 8878
-rect 350937 8938 351003 8941
-rect 581581 8938 581647 8941
-rect 350937 8936 581647 8938
-rect 350937 8880 350942 8936
-rect 350998 8880 581586 8936
-rect 581642 8880 581647 8936
-rect 350937 8878 581647 8880
-rect 350937 8875 351003 8878
-rect 581581 8875 581647 8878
-rect 225817 8802 225883 8805
-rect 235017 8802 235083 8805
-rect 225817 8800 235083 8802
-rect 225817 8744 225822 8800
-rect 225878 8744 235022 8800
-rect 235078 8744 235083 8800
-rect 225817 8742 235083 8744
-rect 225817 8739 225883 8742
-rect 235017 8739 235083 8742
-rect 496 8394 976 8424
-rect 3913 8394 3979 8397
-rect 496 8392 3979 8394
-rect 496 8336 3918 8392
-rect 3974 8336 3979 8392
-rect 496 8334 3979 8336
-rect 496 8304 976 8334
-rect 3913 8331 3979 8334
-rect 351029 8394 351095 8397
-rect 360229 8394 360295 8397
-rect 351029 8392 360295 8394
-rect 351029 8336 351034 8392
-rect 351090 8336 360234 8392
-rect 360290 8336 360295 8392
-rect 351029 8334 360295 8336
-rect 351029 8331 351095 8334
-rect 360229 8331 360295 8334
-rect 361149 8394 361215 8397
-rect 363909 8394 363975 8397
-rect 361149 8392 363975 8394
-rect 361149 8336 361154 8392
-rect 361210 8336 363914 8392
-rect 363970 8336 363975 8392
-rect 361149 8334 363975 8336
-rect 361149 8331 361215 8334
-rect 363909 8331 363975 8334
-rect 340817 8258 340883 8261
-rect 341277 8258 341343 8261
-rect 340817 8256 341343 8258
-rect 340817 8200 340822 8256
-rect 340878 8200 341282 8256
-rect 341338 8200 341343 8256
-rect 340817 8198 341343 8200
-rect 340817 8195 340883 8198
-rect 341277 8195 341343 8198
-rect 340725 8122 340791 8125
-rect 341369 8122 341435 8125
-rect 340725 8120 341435 8122
-rect 340725 8064 340730 8120
-rect 340786 8064 341374 8120
-rect 341430 8064 341435 8120
-rect 340725 8062 341435 8064
-rect 340725 8059 340791 8062
-rect 341369 8059 341435 8062
-rect 215789 7986 215855 7989
-rect 224621 7986 224687 7989
-rect 225265 7986 225331 7989
-rect 215789 7984 216450 7986
-rect 215789 7928 215794 7984
-rect 215850 7928 216450 7984
-rect 215789 7926 216450 7928
-rect 215789 7923 215855 7926
-rect 196285 7850 196351 7853
-rect 216390 7850 216450 7926
-rect 224621 7984 225331 7986
-rect 224621 7928 224626 7984
-rect 224682 7928 225270 7984
-rect 225326 7928 225331 7984
-rect 224621 7926 225331 7928
-rect 224621 7923 224687 7926
-rect 225265 7923 225331 7926
-rect 225449 7986 225515 7989
-rect 234005 7986 234071 7989
-rect 225449 7984 234071 7986
-rect 225449 7928 225454 7984
-rect 225510 7928 234010 7984
-rect 234066 7928 234071 7984
-rect 225449 7926 234071 7928
-rect 225449 7923 225515 7926
-rect 234005 7923 234071 7926
-rect 231337 7850 231403 7853
-rect 196285 7848 216082 7850
-rect 196285 7792 196290 7848
-rect 196346 7792 216082 7848
-rect 196285 7790 216082 7792
-rect 216390 7848 231403 7850
-rect 216390 7792 231342 7848
-rect 231398 7792 231403 7848
-rect 216390 7790 231403 7792
-rect 196285 7787 196351 7790
-rect 193617 7714 193683 7717
-rect 215881 7714 215947 7717
-rect 193617 7712 215947 7714
-rect 193617 7656 193622 7712
-rect 193678 7656 215886 7712
-rect 215942 7656 215947 7712
-rect 193617 7654 215947 7656
-rect 193617 7651 193683 7654
-rect 215881 7651 215947 7654
-rect 216022 7578 216082 7790
-rect 231337 7787 231403 7790
-rect 350845 7850 350911 7853
-rect 361241 7850 361307 7853
-rect 350845 7848 361307 7850
-rect 350845 7792 350850 7848
-rect 350906 7792 361246 7848
-rect 361302 7792 361307 7848
-rect 350845 7790 361307 7792
-rect 350845 7787 350911 7790
-rect 361241 7787 361307 7790
-rect 583881 7850 583947 7853
-rect 584016 7850 584496 7880
-rect 583881 7848 584496 7850
-rect 583881 7792 583886 7848
-rect 583942 7792 584496 7848
-rect 583881 7790 584496 7792
-rect 583881 7787 583947 7790
-rect 584016 7760 584496 7790
-rect 225265 7714 225331 7717
-rect 225541 7714 225607 7717
-rect 225265 7712 225607 7714
-rect 225265 7656 225270 7712
-rect 225326 7656 225546 7712
-rect 225602 7656 225607 7712
-rect 225265 7654 225607 7656
-rect 225265 7651 225331 7654
-rect 225541 7651 225607 7654
-rect 350661 7714 350727 7717
-rect 356181 7714 356247 7717
-rect 350661 7712 356247 7714
-rect 350661 7656 350666 7712
-rect 350722 7656 356186 7712
-rect 356242 7656 356247 7712
-rect 350661 7654 356247 7656
-rect 350661 7651 350727 7654
-rect 356181 7651 356247 7654
-rect 370349 7714 370415 7717
-rect 399421 7714 399487 7717
-rect 370349 7712 399487 7714
-rect 370349 7656 370354 7712
-rect 370410 7656 399426 7712
-rect 399482 7656 399487 7712
-rect 370349 7654 399487 7656
-rect 370349 7651 370415 7654
-rect 399421 7651 399487 7654
-rect 409173 7714 409239 7717
-rect 438245 7714 438311 7717
-rect 409173 7712 438311 7714
-rect 409173 7656 409178 7712
-rect 409234 7656 438250 7712
-rect 438306 7656 438311 7712
-rect 409173 7654 438311 7656
-rect 409173 7651 409239 7654
-rect 438245 7651 438311 7654
-rect 225357 7578 225423 7581
-rect 216022 7576 225423 7578
-rect 216022 7520 225362 7576
-rect 225418 7520 225423 7576
-rect 216022 7518 225423 7520
-rect 225357 7515 225423 7518
-rect 250238 7516 250244 7580
-rect 250308 7578 250314 7580
-rect 255073 7578 255139 7581
-rect 250308 7576 255139 7578
-rect 250308 7520 255078 7576
-rect 255134 7520 255139 7576
-rect 250308 7518 255139 7520
-rect 250308 7516 250314 7518
-rect 255073 7515 255139 7518
-rect 501398 7516 501404 7580
-rect 501468 7578 501474 7580
-rect 511017 7578 511083 7581
-rect 501468 7576 511083 7578
-rect 501468 7520 511022 7576
-rect 511078 7520 511083 7576
-rect 501468 7518 511083 7520
-rect 501468 7516 501474 7518
-rect 511017 7515 511083 7518
-rect 232441 7442 232507 7445
-rect 338149 7442 338215 7445
-rect 343393 7442 343459 7445
-rect 232441 7440 242026 7442
-rect 232441 7384 232446 7440
-rect 232502 7384 242026 7440
-rect 232441 7382 242026 7384
-rect 232441 7379 232507 7382
-rect 241966 7306 242026 7382
-rect 338149 7440 343459 7442
-rect 338149 7384 338154 7440
-rect 338210 7384 343398 7440
-rect 343454 7384 343459 7440
-rect 338149 7382 343459 7384
-rect 338149 7379 338215 7382
-rect 343393 7379 343459 7382
-rect 346981 7442 347047 7445
-rect 356457 7442 356523 7445
-rect 346981 7440 356523 7442
-rect 346981 7384 346986 7440
-rect 347042 7384 356462 7440
-rect 356518 7384 356523 7440
-rect 346981 7382 356523 7384
-rect 346981 7379 347047 7382
-rect 356457 7379 356523 7382
-rect 393758 7380 393764 7444
-rect 393828 7442 393834 7444
-rect 411657 7442 411723 7445
-rect 413037 7442 413103 7445
-rect 393828 7382 403210 7442
-rect 393828 7380 393834 7382
-rect 250238 7306 250244 7308
-rect 241966 7246 250244 7306
-rect 250238 7244 250244 7246
-rect 250308 7244 250314 7308
-rect 308198 7244 308204 7308
-rect 308268 7306 308274 7308
-rect 308268 7246 317834 7306
-rect 308268 7244 308274 7246
-rect 229589 7170 229655 7173
-rect 232349 7170 232415 7173
-rect 229589 7168 232415 7170
-rect 229589 7112 229594 7168
-rect 229650 7112 232354 7168
-rect 232410 7112 232415 7168
-rect 229589 7110 232415 7112
-rect 229589 7107 229655 7110
-rect 232349 7107 232415 7110
-rect 255073 7170 255139 7173
-rect 259949 7170 260015 7173
-rect 292926 7170 292932 7172
-rect 255073 7168 260015 7170
-rect 255073 7112 255078 7168
-rect 255134 7112 259954 7168
-rect 260010 7112 260015 7168
-rect 255073 7110 260015 7112
-rect 255073 7107 255139 7110
-rect 259949 7107 260015 7110
-rect 288886 7110 292932 7170
-rect 269517 7034 269583 7037
-rect 288886 7034 288946 7110
-rect 292926 7108 292932 7110
-rect 292996 7108 293002 7172
-rect 293110 7108 293116 7172
-rect 293180 7170 293186 7172
-rect 299918 7170 299924 7172
-rect 293180 7110 299924 7170
-rect 293180 7108 293186 7110
-rect 299918 7108 299924 7110
-rect 299988 7108 299994 7172
-rect 269517 7032 288946 7034
-rect 269517 6976 269522 7032
-rect 269578 6976 288946 7032
-rect 269517 6974 288946 6976
-rect 317774 7034 317834 7246
-rect 319246 7246 328920 7306
-rect 319246 7034 319306 7246
-rect 317774 6974 319306 7034
-rect 328860 7034 328920 7246
-rect 366166 7246 375794 7306
-rect 366166 7037 366226 7246
-rect 375734 7172 375794 7246
-rect 375726 7108 375732 7172
-rect 375796 7108 375802 7172
-rect 403150 7170 403210 7382
-rect 411657 7440 413103 7442
-rect 411657 7384 411662 7440
-rect 411718 7384 413042 7440
-rect 413098 7384 413103 7440
-rect 411657 7382 413103 7384
-rect 411657 7379 411723 7382
-rect 413037 7379 413103 7382
-rect 537278 7380 537284 7444
-rect 537348 7442 537354 7444
-rect 546897 7442 546963 7445
-rect 537348 7440 546963 7442
-rect 537348 7384 546902 7440
-rect 546958 7384 546963 7440
-rect 537348 7382 546963 7384
-rect 537348 7380 537354 7382
-rect 546897 7379 546963 7382
-rect 501398 7306 501404 7308
-rect 495886 7246 501404 7306
-rect 411657 7170 411723 7173
-rect 403150 7168 411723 7170
-rect 403150 7112 411662 7168
-rect 411718 7112 411723 7168
-rect 403150 7110 411723 7112
-rect 411657 7107 411723 7110
-rect 428953 7170 429019 7173
-rect 443438 7170 443444 7172
-rect 428953 7168 443444 7170
-rect 428953 7112 428958 7168
-rect 429014 7112 443444 7168
-rect 428953 7110 443444 7112
-rect 428953 7107 429019 7110
-rect 443438 7108 443444 7110
-rect 443508 7108 443514 7172
-rect 473849 7170 473915 7173
-rect 474217 7170 474283 7173
-rect 473849 7168 474283 7170
-rect 473849 7112 473854 7168
-rect 473910 7112 474222 7168
-rect 474278 7112 474283 7168
-rect 473849 7110 474283 7112
-rect 473849 7107 473915 7110
-rect 474217 7107 474283 7110
-rect 346654 7034 346660 7036
-rect 328860 6974 346660 7034
-rect 269517 6971 269583 6974
-rect 346654 6972 346660 6974
-rect 346724 6972 346730 7036
-rect 346838 6972 346844 7036
-rect 346908 7034 346914 7036
-rect 366117 7034 366226 7037
-rect 346908 6974 356474 7034
-rect 366036 7032 366226 7034
-rect 366036 6976 366122 7032
-rect 366178 6976 366226 7032
-rect 366036 6974 366226 6976
-rect 389853 7034 389919 7037
-rect 393758 7034 393764 7036
-rect 389853 7032 393764 7034
-rect 389853 6976 389858 7032
-rect 389914 6976 393764 7032
-rect 389853 6974 393764 6976
-rect 346908 6972 346914 6974
-rect 300102 6836 300108 6900
-rect 300172 6898 300178 6900
-rect 308198 6898 308204 6900
-rect 300172 6838 308204 6898
-rect 300172 6836 300178 6838
-rect 308198 6836 308204 6838
-rect 308268 6836 308274 6900
-rect 356414 6898 356474 6974
-rect 366117 6971 366183 6974
-rect 389853 6971 389919 6974
-rect 393758 6972 393764 6974
-rect 393828 6972 393834 7036
-rect 413037 7034 413103 7037
-rect 424077 7034 424143 7037
-rect 453241 7034 453307 7037
-rect 413037 7032 424143 7034
-rect 413037 6976 413042 7032
-rect 413098 6976 424082 7032
-rect 424138 6976 424143 7032
-rect 413037 6974 424143 6976
-rect 413037 6971 413103 6974
-rect 424077 6971 424143 6974
-rect 453014 7032 453307 7034
-rect 453014 6976 453246 7032
-rect 453302 6976 453307 7032
-rect 453014 6974 453307 6976
-rect 356414 6838 356658 6898
-rect 259949 6762 260015 6765
-rect 269517 6762 269583 6765
-rect 259949 6760 269583 6762
-rect 259949 6704 259954 6760
-rect 260010 6704 269522 6760
-rect 269578 6704 269583 6760
-rect 259949 6702 269583 6704
-rect 259949 6699 260015 6702
-rect 269517 6699 269583 6702
-rect 346654 6700 346660 6764
-rect 346724 6762 346730 6764
-rect 346838 6762 346844 6764
-rect 346724 6702 346844 6762
-rect 346724 6700 346730 6702
-rect 346838 6700 346844 6702
-rect 346908 6700 346914 6764
-rect 235109 6626 235175 6629
-rect 240997 6626 241063 6629
-rect 235109 6624 241063 6626
-rect 235109 6568 235114 6624
-rect 235170 6568 241002 6624
-rect 241058 6568 241063 6624
-rect 235109 6566 241063 6568
-rect 356598 6626 356658 6838
-rect 375910 6836 375916 6900
-rect 375980 6898 375986 6900
-rect 382718 6898 382724 6900
-rect 375980 6838 382724 6898
-rect 375980 6836 375986 6838
-rect 382718 6836 382724 6838
-rect 382788 6836 382794 6900
-rect 443438 6836 443444 6900
-rect 443508 6898 443514 6900
-rect 453014 6898 453074 6974
-rect 453241 6971 453307 6974
-rect 462717 7034 462783 7037
-rect 480749 7034 480815 7037
-rect 462717 7032 480815 7034
-rect 462717 6976 462722 7032
-rect 462778 6976 480754 7032
-rect 480810 6976 480815 7032
-rect 462717 6974 480815 6976
-rect 462717 6971 462783 6974
-rect 480749 6971 480815 6974
-rect 483509 7034 483575 7037
-rect 495886 7034 495946 7246
-rect 501398 7244 501404 7246
-rect 501468 7244 501474 7308
-rect 511017 7170 511083 7173
-rect 534661 7170 534727 7173
-rect 537278 7170 537284 7172
-rect 511017 7168 511218 7170
-rect 511017 7112 511022 7168
-rect 511078 7112 511218 7168
-rect 511017 7110 511218 7112
-rect 511017 7107 511083 7110
-rect 483509 7032 495946 7034
-rect 483509 6976 483514 7032
-rect 483570 6976 495946 7032
-rect 483509 6974 495946 6976
-rect 483509 6971 483575 6974
-rect 443508 6838 453074 6898
-rect 511158 6898 511218 7110
-rect 534661 7168 537284 7170
-rect 534661 7112 534666 7168
-rect 534722 7112 537284 7168
-rect 534661 7110 537284 7112
-rect 534661 7107 534727 7110
-rect 537278 7108 537284 7110
-rect 537348 7108 537354 7172
-rect 558029 7170 558095 7173
-rect 553662 7168 558095 7170
-rect 553662 7112 558034 7168
-rect 558090 7112 558095 7168
-rect 553662 7110 558095 7112
-rect 520677 7034 520743 7037
-rect 534477 7034 534543 7037
-rect 520677 7032 534543 7034
-rect 520677 6976 520682 7032
-rect 520738 6976 534482 7032
-rect 534538 6976 534543 7032
-rect 520677 6974 534543 6976
-rect 520677 6971 520743 6974
-rect 534477 6971 534543 6974
-rect 546897 7034 546963 7037
-rect 553662 7034 553722 7110
-rect 558029 7107 558095 7110
-rect 578637 7170 578703 7173
-rect 583881 7170 583947 7173
-rect 578637 7168 583947 7170
-rect 578637 7112 578642 7168
-rect 578698 7112 583886 7168
-rect 583942 7112 583947 7168
-rect 578637 7110 583947 7112
-rect 578637 7107 578703 7110
-rect 583881 7107 583947 7110
-rect 546897 7032 553722 7034
-rect 546897 6976 546902 7032
-rect 546958 6976 553722 7032
-rect 546897 6974 553722 6976
-rect 567597 7034 567663 7037
-rect 569069 7034 569135 7037
-rect 567597 7032 569135 7034
-rect 567597 6976 567602 7032
-rect 567658 6976 569074 7032
-rect 569130 6976 569135 7032
-rect 567597 6974 569135 6976
-rect 546897 6971 546963 6974
-rect 567597 6971 567663 6974
-rect 569069 6971 569135 6974
-rect 520677 6898 520743 6901
-rect 511158 6896 520743 6898
-rect 511158 6840 520682 6896
-rect 520738 6840 520743 6896
-rect 511158 6838 520743 6840
-rect 443508 6836 443514 6838
-rect 520677 6835 520743 6838
-rect 366117 6626 366183 6629
-rect 356598 6624 366183 6626
-rect 356598 6568 366122 6624
-rect 366178 6568 366183 6624
-rect 356598 6566 366183 6568
-rect 235109 6563 235175 6566
-rect 240997 6563 241063 6566
-rect 366117 6563 366183 6566
-rect 382718 6564 382724 6628
-rect 382788 6626 382794 6628
-rect 389853 6626 389919 6629
-rect 382788 6624 389919 6626
-rect 382788 6568 389858 6624
-rect 389914 6568 389919 6624
-rect 382788 6566 389919 6568
-rect 382788 6564 382794 6566
-rect 389853 6563 389919 6566
-rect 225449 6490 225515 6493
-rect 242193 6490 242259 6493
-rect 225449 6488 242259 6490
-rect 225449 6432 225454 6488
-rect 225510 6432 242198 6488
-rect 242254 6432 242259 6488
-rect 225449 6430 242259 6432
-rect 225449 6427 225515 6430
-rect 242193 6427 242259 6430
-rect 318921 6490 318987 6493
-rect 328673 6490 328739 6493
-rect 318921 6488 328739 6490
-rect 318921 6432 318926 6488
-rect 318982 6432 328678 6488
-rect 328734 6432 328739 6488
-rect 318921 6430 328739 6432
-rect 318921 6427 318987 6430
-rect 328673 6427 328739 6430
-rect 337229 6490 337295 6493
-rect 338793 6490 338859 6493
-rect 337229 6488 338859 6490
-rect 337229 6432 337234 6488
-rect 337290 6432 338798 6488
-rect 338854 6432 338859 6488
-rect 337229 6430 338859 6432
-rect 337229 6427 337295 6430
-rect 338793 6427 338859 6430
-rect 346981 6490 347047 6493
-rect 356457 6490 356523 6493
-rect 346981 6488 356523 6490
-rect 346981 6432 346986 6488
-rect 347042 6432 356462 6488
-rect 356518 6432 356523 6488
-rect 346981 6430 356523 6432
-rect 346981 6427 347047 6430
-rect 356457 6427 356523 6430
-rect 225173 6354 225239 6357
-rect 240813 6354 240879 6357
-rect 225173 6352 240879 6354
-rect 225173 6296 225178 6352
-rect 225234 6296 240818 6352
-rect 240874 6296 240879 6352
-rect 225173 6294 240879 6296
-rect 225173 6291 225239 6294
-rect 240813 6291 240879 6294
-rect 318829 6354 318895 6357
-rect 321957 6354 322023 6357
-rect 318829 6352 322023 6354
-rect 318829 6296 318834 6352
-rect 318890 6296 321962 6352
-rect 322018 6296 322023 6352
-rect 318829 6294 322023 6296
-rect 318829 6291 318895 6294
-rect 321957 6291 322023 6294
-rect 322233 6354 322299 6357
-rect 349557 6354 349623 6357
-rect 322233 6352 349623 6354
-rect 322233 6296 322238 6352
-rect 322294 6296 349562 6352
-rect 349618 6296 349623 6352
-rect 322233 6294 349623 6296
-rect 322233 6291 322299 6294
-rect 349557 6291 349623 6294
-rect 48625 6218 48691 6221
-rect 239433 6218 239499 6221
-rect 48625 6216 239499 6218
-rect 48625 6160 48630 6216
-rect 48686 6160 239438 6216
-rect 239494 6160 239499 6216
-rect 48625 6158 239499 6160
-rect 48625 6155 48691 6158
-rect 239433 6155 239499 6158
-rect 320393 6218 320459 6221
-rect 437509 6218 437575 6221
-rect 320393 6216 437575 6218
-rect 320393 6160 320398 6216
-rect 320454 6160 437514 6216
-rect 437570 6160 437575 6216
-rect 320393 6158 437575 6160
-rect 320393 6155 320459 6158
-rect 437509 6155 437575 6158
-rect 215789 6082 215855 6085
-rect 215789 6080 217002 6082
-rect 215789 6024 215794 6080
-rect 215850 6024 217002 6080
-rect 215789 6022 217002 6024
-rect 215789 6019 215855 6022
-rect 206773 5946 206839 5949
-rect 215881 5946 215947 5949
-rect 206773 5944 215947 5946
-rect 206773 5888 206778 5944
-rect 206834 5888 215886 5944
-rect 215942 5888 215947 5944
-rect 206773 5886 215947 5888
-rect 216942 5946 217002 6022
-rect 222638 6020 222644 6084
-rect 222708 6082 222714 6084
-rect 231521 6082 231587 6085
-rect 222708 6080 231587 6082
-rect 222708 6024 231526 6080
-rect 231582 6024 231587 6080
-rect 222708 6022 231587 6024
-rect 222708 6020 222714 6022
-rect 231521 6019 231587 6022
-rect 331709 6082 331775 6085
-rect 370441 6082 370507 6085
-rect 331709 6080 370507 6082
-rect 331709 6024 331714 6080
-rect 331770 6024 370446 6080
-rect 370502 6024 370507 6080
-rect 331709 6022 370507 6024
-rect 331709 6019 331775 6022
-rect 370441 6019 370507 6022
-rect 225449 5946 225515 5949
-rect 216942 5944 225515 5946
-rect 216942 5888 225454 5944
-rect 225510 5888 225515 5944
-rect 216942 5886 225515 5888
-rect 206773 5883 206839 5886
-rect 215881 5883 215947 5886
-rect 225449 5883 225515 5886
-rect 370349 5946 370415 5949
-rect 376881 5946 376947 5949
-rect 370349 5944 376947 5946
-rect 370349 5888 370354 5944
-rect 370410 5888 376886 5944
-rect 376942 5888 376947 5944
-rect 370349 5886 376947 5888
-rect 370349 5883 370415 5886
-rect 376881 5883 376947 5886
-rect 210361 5810 210427 5813
-rect 225265 5810 225331 5813
-rect 210361 5808 225331 5810
-rect 210361 5752 210366 5808
-rect 210422 5752 225270 5808
-rect 225326 5752 225331 5808
-rect 210361 5750 225331 5752
-rect 210361 5747 210427 5750
-rect 225265 5747 225331 5750
-rect 244769 5538 244835 5541
-rect 257741 5538 257807 5541
-rect 244769 5536 257807 5538
-rect 244769 5480 244774 5536
-rect 244830 5480 257746 5536
-rect 257802 5480 257807 5536
-rect 244769 5478 257807 5480
-rect 244769 5475 244835 5478
-rect 257741 5475 257807 5478
-rect 231613 5402 231679 5405
-rect 225590 5400 231679 5402
-rect 225590 5344 231618 5400
-rect 231674 5344 231679 5400
-rect 225590 5342 231679 5344
-rect 225265 5266 225331 5269
-rect 225590 5266 225650 5342
-rect 231613 5339 231679 5342
-rect 244861 5402 244927 5405
-rect 257281 5402 257347 5405
-rect 244861 5400 257347 5402
-rect 244861 5344 244866 5400
-rect 244922 5344 257286 5400
-rect 257342 5344 257347 5400
-rect 244861 5342 257347 5344
-rect 244861 5339 244927 5342
-rect 257281 5339 257347 5342
-rect 225265 5264 225650 5266
-rect 225265 5208 225270 5264
-rect 225326 5208 225650 5264
-rect 225265 5206 225650 5208
-rect 226921 5266 226987 5269
-rect 231061 5266 231127 5269
-rect 226921 5264 231127 5266
-rect 226921 5208 226926 5264
-rect 226982 5208 231066 5264
-rect 231122 5208 231127 5264
-rect 226921 5206 231127 5208
-rect 225265 5203 225331 5206
-rect 226921 5203 226987 5206
-rect 231061 5203 231127 5206
-rect 237685 5266 237751 5269
-rect 244953 5266 245019 5269
-rect 237685 5264 245019 5266
-rect 237685 5208 237690 5264
-rect 237746 5208 244958 5264
-rect 245014 5208 245019 5264
-rect 237685 5206 245019 5208
-rect 237685 5203 237751 5206
-rect 244953 5203 245019 5206
-rect 312573 5266 312639 5269
-rect 317449 5266 317515 5269
-rect 312573 5264 317515 5266
-rect 312573 5208 312578 5264
-rect 312634 5208 317454 5264
-rect 317510 5208 317515 5264
-rect 312573 5206 317515 5208
-rect 312573 5203 312639 5206
-rect 317449 5203 317515 5206
-rect 345325 5266 345391 5269
-rect 350937 5266 351003 5269
-rect 345325 5264 351003 5266
-rect 345325 5208 345330 5264
-rect 345386 5208 350942 5264
-rect 350998 5208 351003 5264
-rect 345325 5206 351003 5208
-rect 345325 5203 345391 5206
-rect 350937 5203 351003 5206
-rect 350845 5130 350911 5133
-rect 370901 5130 370967 5133
-rect 350845 5128 370967 5130
-rect 350845 5072 350850 5128
-rect 350906 5072 370906 5128
-rect 370962 5072 370967 5128
-rect 350845 5070 370967 5072
-rect 350845 5067 350911 5070
-rect 370901 5067 370967 5070
-rect 302821 4994 302887 4997
-rect 312205 4994 312271 4997
-rect 302821 4992 312271 4994
-rect 302821 4936 302826 4992
-rect 302882 4936 312210 4992
-rect 312266 4936 312271 4992
-rect 302821 4934 312271 4936
-rect 302821 4931 302887 4934
-rect 312205 4931 312271 4934
-rect 350661 4994 350727 4997
-rect 370993 4994 371059 4997
-rect 350661 4992 371059 4994
-rect 350661 4936 350666 4992
-rect 350722 4936 370998 4992
-rect 371054 4936 371059 4992
-rect 350661 4934 371059 4936
-rect 350661 4931 350727 4934
-rect 370993 4931 371059 4934
-rect 187729 4858 187795 4861
-rect 268689 4858 268755 4861
-rect 187729 4856 268755 4858
-rect 187729 4800 187734 4856
-rect 187790 4800 268694 4856
-rect 268750 4800 268755 4856
-rect 187729 4798 268755 4800
-rect 187729 4795 187795 4798
-rect 268689 4795 268755 4798
-rect 302545 4858 302611 4861
-rect 348361 4858 348427 4861
-rect 302545 4856 348427 4858
-rect 302545 4800 302550 4856
-rect 302606 4800 348366 4856
-rect 348422 4800 348427 4856
-rect 302545 4798 348427 4800
-rect 302545 4795 302611 4798
-rect 348361 4795 348427 4798
-rect 350569 4858 350635 4861
-rect 355813 4858 355879 4861
-rect 573117 4858 573183 4861
-rect 350569 4856 351874 4858
-rect 350569 4800 350574 4856
-rect 350630 4800 351874 4856
-rect 350569 4798 351874 4800
-rect 350569 4795 350635 4798
-rect 219653 4722 219719 4725
-rect 225265 4722 225331 4725
-rect 219653 4720 225331 4722
-rect 219653 4664 219658 4720
-rect 219714 4664 225270 4720
-rect 225326 4664 225331 4720
-rect 219653 4662 225331 4664
-rect 219653 4659 219719 4662
-rect 225265 4659 225331 4662
-rect 321957 4722 322023 4725
-rect 322141 4722 322207 4725
-rect 321957 4720 322207 4722
-rect 321957 4664 321962 4720
-rect 322018 4664 322146 4720
-rect 322202 4664 322207 4720
-rect 321957 4662 322207 4664
-rect 321957 4659 322023 4662
-rect 322141 4659 322207 4662
-rect 349189 4722 349255 4725
-rect 351814 4722 351874 4798
-rect 355813 4856 573183 4858
-rect 355813 4800 355818 4856
-rect 355874 4800 573122 4856
-rect 573178 4800 573183 4856
-rect 355813 4798 573183 4800
-rect 355813 4795 355879 4798
-rect 573117 4795 573183 4798
-rect 418833 4722 418899 4725
-rect 349189 4720 351690 4722
-rect 349189 4664 349194 4720
-rect 349250 4664 351690 4720
-rect 349189 4662 351690 4664
-rect 351814 4720 418899 4722
-rect 351814 4664 418838 4720
-rect 418894 4664 418899 4720
-rect 351814 4662 418899 4664
-rect 349189 4659 349255 4662
-rect 264365 4586 264431 4589
-rect 269793 4586 269859 4589
-rect 264365 4584 269859 4586
-rect 264365 4528 264370 4584
-rect 264426 4528 269798 4584
-rect 269854 4528 269859 4584
-rect 264365 4526 269859 4528
-rect 264365 4523 264431 4526
-rect 269793 4523 269859 4526
-rect 302545 4586 302611 4589
-rect 303097 4586 303163 4589
-rect 302545 4584 303163 4586
-rect 302545 4528 302550 4584
-rect 302606 4528 303102 4584
-rect 303158 4528 303163 4584
-rect 302545 4526 303163 4528
-rect 302545 4523 302611 4526
-rect 303097 4523 303163 4526
-rect 345325 4586 345391 4589
-rect 350937 4586 351003 4589
-rect 345325 4584 351003 4586
-rect 345325 4528 345330 4584
-rect 345386 4528 350942 4584
-rect 350998 4528 351003 4584
-rect 345325 4526 351003 4528
-rect 351630 4586 351690 4662
-rect 418833 4659 418899 4662
-rect 515249 4722 515315 4725
-rect 519573 4722 519639 4725
-rect 515249 4720 519639 4722
-rect 515249 4664 515254 4720
-rect 515310 4664 519578 4720
-rect 519634 4664 519639 4720
-rect 515249 4662 519639 4664
-rect 515249 4659 515315 4662
-rect 519573 4659 519639 4662
-rect 355813 4586 355879 4589
-rect 351630 4584 355879 4586
-rect 351630 4528 355818 4584
-rect 355874 4528 355879 4584
-rect 351630 4526 355879 4528
-rect 345325 4523 345391 4526
-rect 350937 4523 351003 4526
-rect 355813 4523 355879 4526
-rect 264181 4450 264247 4453
-rect 272921 4450 272987 4453
-rect 264181 4448 272987 4450
-rect 264181 4392 264186 4448
-rect 264242 4392 272926 4448
-rect 272982 4392 272987 4448
-rect 264181 4390 272987 4392
-rect 264181 4387 264247 4390
-rect 272921 4387 272987 4390
-rect 302453 4450 302519 4453
-rect 303005 4450 303071 4453
-rect 302453 4448 303071 4450
-rect 302453 4392 302458 4448
-rect 302514 4392 303010 4448
-rect 303066 4392 303071 4448
-rect 302453 4390 303071 4392
-rect 302453 4387 302519 4390
-rect 303005 4387 303071 4390
-rect 342657 4450 342723 4453
-rect 350845 4450 350911 4453
-rect 342657 4448 350911 4450
-rect 342657 4392 342662 4448
-rect 342718 4392 350850 4448
-rect 350906 4392 350911 4448
-rect 342657 4390 350911 4392
-rect 342657 4387 342723 4390
-rect 350845 4387 350911 4390
-rect 216341 4314 216407 4317
-rect 218641 4314 218707 4317
-rect 216341 4312 218707 4314
-rect 216341 4256 216346 4312
-rect 216402 4256 218646 4312
-rect 218702 4256 218707 4312
-rect 216341 4254 218707 4256
-rect 216341 4251 216407 4254
-rect 218641 4251 218707 4254
-rect 225449 4314 225515 4317
-rect 235661 4314 235727 4317
-rect 225449 4312 235727 4314
-rect 225449 4256 225454 4312
-rect 225510 4256 235666 4312
-rect 235722 4256 235727 4312
-rect 225449 4254 235727 4256
-rect 225449 4251 225515 4254
-rect 235661 4251 235727 4254
-rect 215789 4178 215855 4181
-rect 219745 4178 219811 4181
-rect 215789 4176 219811 4178
-rect 215789 4120 215794 4176
-rect 215850 4120 219750 4176
-rect 219806 4120 219811 4176
-rect 215789 4118 219811 4120
-rect 215789 4115 215855 4118
-rect 219745 4115 219811 4118
-rect 230141 4178 230207 4181
-rect 234925 4178 234991 4181
-rect 230141 4176 234991 4178
-rect 230141 4120 230146 4176
-rect 230202 4120 234930 4176
-rect 234986 4120 234991 4176
-rect 230141 4118 234991 4120
-rect 230141 4115 230207 4118
-rect 234925 4115 234991 4118
-rect 235109 4178 235175 4181
-rect 238053 4178 238119 4181
-rect 235109 4176 238119 4178
-rect 235109 4120 235114 4176
-rect 235170 4120 238058 4176
-rect 238114 4120 238119 4176
-rect 235109 4118 238119 4120
-rect 235109 4115 235175 4118
-rect 238053 4115 238119 4118
-rect 31973 4042 32039 4045
-rect 236949 4042 237015 4045
-rect 31973 4040 237015 4042
-rect 31973 3984 31978 4040
-rect 32034 3984 236954 4040
-rect 237010 3984 237015 4040
-rect 31973 3982 237015 3984
-rect 31973 3979 32039 3982
-rect 236949 3979 237015 3982
-rect 326005 4042 326071 4045
-rect 464925 4042 464991 4045
-rect 326005 4040 464991 4042
-rect 326005 3984 326010 4040
-rect 326066 3984 464930 4040
-rect 464986 3984 464991 4040
-rect 326005 3982 464991 3984
-rect 326005 3979 326071 3982
-rect 464925 3979 464991 3982
-rect 25993 3906 26059 3909
-rect 235201 3906 235267 3909
-rect 25993 3904 235267 3906
-rect 25993 3848 25998 3904
-rect 26054 3848 235206 3904
-rect 235262 3848 235267 3904
-rect 25993 3846 235267 3848
-rect 25993 3843 26059 3846
-rect 235201 3843 235267 3846
-rect 327385 3906 327451 3909
-rect 468421 3906 468487 3909
-rect 327385 3904 468487 3906
-rect 327385 3848 327390 3904
-rect 327446 3848 468426 3904
-rect 468482 3848 468487 3904
-rect 327385 3846 468487 3848
-rect 327385 3843 327451 3846
-rect 468421 3843 468487 3846
-rect 24797 3770 24863 3773
-rect 235569 3770 235635 3773
-rect 24797 3768 235635 3770
-rect 24797 3712 24802 3768
-rect 24858 3712 235574 3768
-rect 235630 3712 235635 3768
-rect 24797 3710 235635 3712
-rect 24797 3707 24863 3710
-rect 235569 3707 235635 3710
-rect 327477 3770 327543 3773
-rect 472009 3770 472075 3773
-rect 327477 3768 472075 3770
-rect 327477 3712 327482 3768
-rect 327538 3712 472014 3768
-rect 472070 3712 472075 3768
-rect 327477 3710 472075 3712
-rect 327477 3707 327543 3710
-rect 472009 3707 472075 3710
-rect 16517 3634 16583 3637
-rect 228117 3634 228183 3637
-rect 230417 3634 230483 3637
-rect 16517 3632 228042 3634
-rect 16517 3576 16522 3632
-rect 16578 3576 228042 3632
-rect 16517 3574 228042 3576
-rect 16517 3571 16583 3574
-rect 15321 3498 15387 3501
-rect 58193 3498 58259 3501
-rect 15321 3496 58259 3498
-rect 15321 3440 15326 3496
-rect 15382 3440 58198 3496
-rect 58254 3440 58259 3496
-rect 15321 3438 58259 3440
-rect 15321 3435 15387 3438
-rect 58193 3435 58259 3438
-rect 58377 3498 58443 3501
-rect 227982 3498 228042 3574
-rect 228117 3632 230483 3634
-rect 228117 3576 228122 3632
-rect 228178 3576 230422 3632
-rect 230478 3576 230483 3632
-rect 228117 3574 230483 3576
-rect 228117 3571 228183 3574
-rect 230417 3571 230483 3574
-rect 235201 3634 235267 3637
-rect 236765 3634 236831 3637
-rect 235201 3632 236831 3634
-rect 235201 3576 235206 3632
-rect 235262 3576 236770 3632
-rect 236826 3576 236831 3632
-rect 235201 3574 236831 3576
-rect 235201 3571 235267 3574
-rect 236765 3571 236831 3574
-rect 328765 3634 328831 3637
-rect 347942 3634 347948 3636
-rect 328765 3632 347948 3634
-rect 328765 3576 328770 3632
-rect 328826 3576 347948 3632
-rect 328765 3574 347948 3576
-rect 328765 3571 328831 3574
-rect 347942 3572 347948 3574
-rect 348012 3572 348018 3636
-rect 348494 3572 348500 3636
-rect 348564 3634 348570 3636
-rect 475597 3634 475663 3637
-rect 348564 3632 475663 3634
-rect 348564 3576 475602 3632
-rect 475658 3576 475663 3632
-rect 348564 3574 475663 3576
-rect 348564 3572 348570 3574
-rect 475597 3571 475663 3574
-rect 232901 3498 232967 3501
-rect 58377 3496 227858 3498
-rect 58377 3440 58382 3496
-rect 58438 3440 227858 3496
-rect 58377 3438 227858 3440
-rect 227982 3496 232967 3498
-rect 227982 3440 232906 3496
-rect 232962 3440 232967 3496
-rect 227982 3438 232967 3440
-rect 58377 3435 58443 3438
-rect 6949 3362 7015 3365
-rect 58193 3362 58259 3365
-rect 6949 3360 58259 3362
-rect 6949 3304 6954 3360
-rect 7010 3304 58198 3360
-rect 58254 3304 58259 3360
-rect 6949 3302 58259 3304
-rect 6949 3299 7015 3302
-rect 58193 3299 58259 3302
-rect 58377 3362 58443 3365
-rect 145358 3362 145364 3364
-rect 58377 3360 145364 3362
-rect 58377 3304 58382 3360
-rect 58438 3304 145364 3360
-rect 58377 3302 145364 3304
-rect 58377 3299 58443 3302
-rect 145358 3300 145364 3302
-rect 145428 3300 145434 3364
-rect 148169 3362 148235 3365
-rect 208153 3362 208219 3365
-rect 148169 3360 208219 3362
-rect 148169 3304 148174 3360
-rect 148230 3304 208158 3360
-rect 208214 3304 208219 3360
-rect 148169 3302 208219 3304
-rect 227798 3362 227858 3438
-rect 232901 3435 232967 3438
-rect 235109 3498 235175 3501
-rect 237133 3498 237199 3501
-rect 235109 3496 237199 3498
-rect 235109 3440 235114 3496
-rect 235170 3440 237138 3496
-rect 237194 3440 237199 3496
-rect 235109 3438 237199 3440
-rect 235109 3435 235175 3438
-rect 237133 3435 237199 3438
-rect 328857 3498 328923 3501
-rect 336677 3498 336743 3501
-rect 341461 3498 341527 3501
-rect 348180 3498 348424 3532
-rect 364921 3498 364987 3501
-rect 370257 3498 370323 3501
-rect 328857 3496 333658 3498
-rect 328857 3440 328862 3496
-rect 328918 3440 333658 3496
-rect 328857 3438 333658 3440
-rect 328857 3435 328923 3438
-rect 232717 3362 232783 3365
-rect 227798 3360 232783 3362
-rect 227798 3304 232722 3360
-rect 232778 3304 232783 3360
-rect 227798 3302 232783 3304
-rect 148169 3299 148235 3302
-rect 208153 3299 208219 3302
-rect 232717 3299 232783 3302
-rect 234833 3362 234899 3365
-rect 235385 3362 235451 3365
-rect 234833 3360 235451 3362
-rect 234833 3304 234838 3360
-rect 234894 3304 235390 3360
-rect 235446 3304 235451 3360
-rect 234833 3302 235451 3304
-rect 234833 3299 234899 3302
-rect 235385 3299 235451 3302
-rect 99685 3226 99751 3229
-rect 103825 3226 103891 3229
-rect 99685 3224 103891 3226
-rect 99685 3168 99690 3224
-rect 99746 3168 103830 3224
-rect 103886 3168 103891 3224
-rect 99685 3166 103891 3168
-rect 99685 3163 99751 3166
-rect 103825 3163 103891 3166
-rect 113853 3226 113919 3229
-rect 126089 3226 126155 3229
-rect 113853 3224 126155 3226
-rect 113853 3168 113858 3224
-rect 113914 3168 126094 3224
-rect 126150 3168 126155 3224
-rect 113853 3166 126155 3168
-rect 113853 3163 113919 3166
-rect 126089 3163 126155 3166
-rect 157645 3226 157711 3229
-rect 158105 3226 158171 3229
-rect 157645 3224 158171 3226
-rect 157645 3168 157650 3224
-rect 157706 3168 158110 3224
-rect 158166 3168 158171 3224
-rect 157645 3166 158171 3168
-rect 157645 3163 157711 3166
-rect 158105 3163 158171 3166
-rect 186533 3226 186599 3229
-rect 187177 3226 187243 3229
-rect 186533 3224 187243 3226
-rect 186533 3168 186538 3224
-rect 186594 3168 187182 3224
-rect 187238 3168 187243 3224
-rect 186533 3166 187243 3168
-rect 186533 3163 186599 3166
-rect 187177 3163 187243 3166
-rect 196193 3226 196259 3229
-rect 196745 3226 196811 3229
-rect 196193 3224 196811 3226
-rect 196193 3168 196198 3224
-rect 196254 3168 196750 3224
-rect 196806 3168 196811 3224
-rect 196193 3166 196811 3168
-rect 196193 3163 196259 3166
-rect 196745 3163 196811 3166
-rect 205853 3226 205919 3229
-rect 206221 3226 206287 3229
-rect 205853 3224 206287 3226
-rect 205853 3168 205858 3224
-rect 205914 3168 206226 3224
-rect 206282 3168 206287 3224
-rect 205853 3166 206287 3168
-rect 205853 3163 205919 3166
-rect 206221 3163 206287 3166
-rect 224529 3226 224595 3229
-rect 225633 3226 225699 3229
-rect 224529 3224 225699 3226
-rect 224529 3168 224534 3224
-rect 224590 3168 225638 3224
-rect 225694 3168 225699 3224
-rect 224529 3166 225699 3168
-rect 224529 3163 224595 3166
-rect 225633 3163 225699 3166
-rect 234741 3226 234807 3229
-rect 235201 3226 235267 3229
-rect 234741 3224 235267 3226
-rect 234741 3168 234746 3224
-rect 234802 3168 235206 3224
-rect 235262 3168 235267 3224
-rect 234741 3166 235267 3168
-rect 333598 3226 333658 3438
-rect 336677 3496 341527 3498
-rect 336677 3440 336682 3496
-rect 336738 3440 341466 3496
-rect 341522 3440 341527 3496
-rect 336677 3438 341527 3440
-rect 336677 3435 336743 3438
-rect 341461 3435 341527 3438
-rect 343350 3472 362730 3498
-rect 343350 3438 348240 3472
-rect 348364 3438 362730 3472
-rect 338517 3362 338583 3365
-rect 343350 3362 343410 3438
-rect 338517 3360 343410 3362
-rect 338517 3304 338522 3360
-rect 338578 3304 343410 3360
-rect 338517 3302 343410 3304
-rect 346245 3362 346311 3365
-rect 362670 3362 362730 3438
-rect 364921 3496 370323 3498
-rect 364921 3440 364926 3496
-rect 364982 3440 370262 3496
-rect 370318 3440 370323 3496
-rect 364921 3438 370323 3440
-rect 364921 3435 364987 3438
-rect 370257 3435 370323 3438
-rect 375133 3498 375199 3501
-rect 433553 3498 433619 3501
-rect 375133 3496 433619 3498
-rect 375133 3440 375138 3496
-rect 375194 3440 433558 3496
-rect 433614 3440 433619 3496
-rect 375133 3438 433619 3440
-rect 375133 3435 375199 3438
-rect 433553 3435 433619 3438
-rect 433737 3498 433803 3501
-rect 479185 3498 479251 3501
-rect 433737 3496 479251 3498
-rect 433737 3440 433742 3496
-rect 433798 3440 479190 3496
-rect 479246 3440 479251 3496
-rect 433737 3438 479251 3440
-rect 433737 3435 433803 3438
-rect 479185 3435 479251 3438
-rect 365289 3362 365355 3365
-rect 375777 3362 375843 3365
-rect 346245 3360 355922 3362
-rect 346245 3304 346250 3360
-rect 346306 3304 355922 3360
-rect 346245 3302 355922 3304
-rect 362670 3360 365355 3362
-rect 362670 3304 365294 3360
-rect 365350 3304 365355 3360
-rect 362670 3302 365355 3304
-rect 338517 3299 338583 3302
-rect 346245 3299 346311 3302
-rect 338333 3226 338399 3229
-rect 333598 3224 338399 3226
-rect 333598 3168 338338 3224
-rect 338394 3168 338399 3224
-rect 333598 3166 338399 3168
-rect 234741 3163 234807 3166
-rect 235201 3163 235267 3166
-rect 338333 3163 338399 3166
-rect 346337 3226 346403 3229
-rect 351121 3226 351187 3229
-rect 346337 3224 351187 3226
-rect 346337 3168 346342 3224
-rect 346398 3168 351126 3224
-rect 351182 3168 351187 3224
-rect 346337 3166 351187 3168
-rect 346337 3163 346403 3166
-rect 351121 3163 351187 3166
-rect 145358 3028 145364 3092
-rect 145428 3090 145434 3092
-rect 148077 3090 148143 3093
-rect 145428 3088 148143 3090
-rect 145428 3032 148082 3088
-rect 148138 3032 148143 3088
-rect 145428 3030 148143 3032
-rect 145428 3028 145434 3030
-rect 148077 3027 148143 3030
-rect 210269 3090 210335 3093
-rect 225173 3090 225239 3093
-rect 210269 3088 225239 3090
-rect 210269 3032 210274 3088
-rect 210330 3032 225178 3088
-rect 225234 3032 225239 3088
-rect 210269 3030 225239 3032
-rect 355862 3090 355922 3302
-rect 365289 3299 365355 3302
-rect 365430 3360 375843 3362
-rect 365430 3304 375782 3360
-rect 375838 3304 375843 3360
-rect 365430 3302 375843 3304
-rect 360505 3226 360571 3229
-rect 360781 3226 360847 3229
-rect 360505 3224 360847 3226
-rect 360505 3168 360510 3224
-rect 360566 3168 360786 3224
-rect 360842 3168 360847 3224
-rect 360505 3166 360847 3168
-rect 360505 3163 360571 3166
-rect 360781 3163 360847 3166
-rect 365430 3090 365490 3302
-rect 375777 3299 375843 3302
-rect 375961 3362 376027 3365
-rect 392429 3362 392495 3365
-rect 375961 3360 392495 3362
-rect 375961 3304 375966 3360
-rect 376022 3304 392434 3360
-rect 392490 3304 392495 3360
-rect 375961 3302 392495 3304
-rect 375961 3299 376027 3302
-rect 392429 3299 392495 3302
-rect 411657 3362 411723 3365
-rect 414509 3362 414575 3365
-rect 433829 3362 433895 3365
-rect 411657 3360 414575 3362
-rect 411657 3304 411662 3360
-rect 411718 3304 414514 3360
-rect 414570 3304 414575 3360
-rect 411657 3302 414575 3304
-rect 411657 3299 411723 3302
-rect 414509 3299 414575 3302
-rect 433694 3360 433895 3362
-rect 433694 3304 433834 3360
-rect 433890 3304 433895 3360
-rect 433694 3302 433895 3304
-rect 370165 3226 370231 3229
-rect 370625 3226 370691 3229
-rect 370165 3224 370691 3226
-rect 370165 3168 370170 3224
-rect 370226 3168 370630 3224
-rect 370686 3168 370691 3224
-rect 370165 3166 370691 3168
-rect 370165 3163 370231 3166
-rect 370625 3163 370691 3166
-rect 401997 3226 402063 3229
-rect 406873 3226 406939 3229
-rect 401997 3224 406939 3226
-rect 401997 3168 402002 3224
-rect 402058 3168 406878 3224
-rect 406934 3168 406939 3224
-rect 401997 3166 406939 3168
-rect 401997 3163 402063 3166
-rect 406873 3163 406939 3166
-rect 424077 3226 424143 3229
-rect 424077 3224 428050 3226
-rect 424077 3168 424082 3224
-rect 424138 3168 428050 3224
-rect 424077 3166 428050 3168
-rect 424077 3163 424143 3166
-rect 370533 3090 370599 3093
-rect 355862 3030 365490 3090
-rect 365614 3088 370599 3090
-rect 365614 3032 370538 3088
-rect 370594 3032 370599 3088
-rect 365614 3030 370599 3032
-rect 427990 3090 428050 3166
-rect 433694 3090 433754 3302
-rect 433829 3299 433895 3302
-rect 451677 3226 451743 3229
-rect 462717 3226 462783 3229
-rect 466581 3226 466647 3229
-rect 451677 3224 453258 3226
-rect 451677 3168 451682 3224
-rect 451738 3168 453258 3224
-rect 451677 3166 453258 3168
-rect 451677 3163 451743 3166
-rect 427990 3030 433754 3090
-rect 453198 3090 453258 3166
-rect 462717 3224 466647 3226
-rect 462717 3168 462722 3224
-rect 462778 3168 466586 3224
-rect 466642 3168 466647 3224
-rect 462717 3166 466647 3168
-rect 462717 3163 462783 3166
-rect 466581 3163 466647 3166
-rect 480657 3226 480723 3229
-rect 482773 3226 482839 3229
-rect 480657 3224 482839 3226
-rect 480657 3168 480662 3224
-rect 480718 3168 482778 3224
-rect 482834 3168 482839 3224
-rect 480657 3166 482839 3168
-rect 480657 3163 480723 3166
-rect 482773 3163 482839 3166
-rect 462717 3090 462783 3093
-rect 453198 3088 462783 3090
-rect 453198 3032 462722 3088
-rect 462778 3032 462783 3088
-rect 453198 3030 462783 3032
-rect 210269 3027 210335 3030
-rect 225173 3027 225239 3030
-rect 195917 2954 195983 2957
-rect 207693 2954 207759 2957
-rect 195917 2952 207759 2954
-rect 195917 2896 195922 2952
-rect 195978 2896 207698 2952
-rect 207754 2896 207759 2952
-rect 195917 2894 207759 2896
-rect 195917 2891 195983 2894
-rect 207693 2891 207759 2894
-rect 329777 2954 329843 2957
-rect 346245 2954 346311 2957
-rect 329777 2952 346311 2954
-rect 329777 2896 329782 2952
-rect 329838 2896 346250 2952
-rect 346306 2896 346311 2952
-rect 329777 2894 346311 2896
-rect 329777 2891 329843 2894
-rect 346245 2891 346311 2894
-rect 355261 2954 355327 2957
-rect 360597 2954 360663 2957
-rect 355261 2952 360663 2954
-rect 355261 2896 355266 2952
-rect 355322 2896 360602 2952
-rect 360658 2896 360663 2952
-rect 355261 2894 360663 2896
-rect 355261 2891 355327 2894
-rect 360597 2891 360663 2894
-rect 364737 2954 364803 2957
-rect 365614 2954 365674 3030
-rect 370533 3027 370599 3030
-rect 462717 3027 462783 3030
-rect 364737 2952 365674 2954
-rect 364737 2896 364742 2952
-rect 364798 2896 365674 2952
-rect 364737 2894 365674 2896
-rect 365749 2954 365815 2957
-rect 375133 2954 375199 2957
-rect 365749 2952 375199 2954
-rect 365749 2896 365754 2952
-rect 365810 2896 375138 2952
-rect 375194 2896 375199 2952
-rect 365749 2894 375199 2896
-rect 364737 2891 364803 2894
-rect 365749 2891 365815 2894
-rect 375133 2891 375199 2894
-rect 392429 2954 392495 2957
-rect 401997 2954 402063 2957
-rect 392429 2952 402063 2954
-rect 392429 2896 392434 2952
-rect 392490 2896 402002 2952
-rect 402058 2896 402063 2952
-rect 392429 2894 402063 2896
-rect 392429 2891 392495 2894
-rect 401997 2891 402063 2894
-rect 222638 2818 222644 2820
-rect 212894 2758 222644 2818
-rect 208153 2546 208219 2549
-rect 212894 2546 212954 2758
-rect 222638 2756 222644 2758
-rect 222708 2756 222714 2820
-rect 364645 2818 364711 2821
-rect 365105 2818 365171 2821
-rect 364645 2816 365171 2818
-rect 364645 2760 364650 2816
-rect 364706 2760 365110 2816
-rect 365166 2760 365171 2816
-rect 364645 2758 365171 2760
-rect 364645 2755 364711 2758
-rect 365105 2755 365171 2758
-rect 208153 2544 212954 2546
-rect 208153 2488 208158 2544
-rect 208214 2488 212954 2544
-rect 208153 2486 212954 2488
-rect 208153 2483 208219 2486
-<< via3 >>
-rect 443628 618156 443692 618220
-rect 443628 608696 443692 608700
-rect 443628 608640 443678 608696
-rect 443678 608640 443692 608696
-rect 443628 608636 443692 608640
-rect 379044 529816 379108 529820
-rect 379044 529760 379058 529816
-rect 379058 529760 379108 529816
-rect 379044 529756 379108 529760
-rect 508764 529816 508828 529820
-rect 508764 529760 508778 529816
-rect 508778 529760 508828 529816
-rect 508764 529756 508828 529760
-rect 379044 520236 379108 520300
-rect 508764 520236 508828 520300
-rect 232212 459640 232276 459644
-rect 232212 459584 232226 459640
-rect 232226 459584 232276 459640
-rect 232212 459580 232276 459584
-rect 233500 459580 233564 459644
-rect 236260 459640 236324 459644
-rect 236260 459584 236274 459640
-rect 236274 459584 236324 459640
-rect 236260 459580 236324 459584
-rect 237732 459580 237796 459644
-rect 239020 459580 239084 459644
-rect 240492 459580 240556 459644
-rect 241780 459580 241844 459644
-rect 243252 459580 243316 459644
-rect 244540 459640 244604 459644
-rect 244540 459584 244590 459640
-rect 244590 459584 244604 459640
-rect 244540 459580 244604 459584
-rect 246012 459580 246076 459644
-rect 247300 459580 247364 459644
-rect 248772 459640 248836 459644
-rect 248772 459584 248822 459640
-rect 248822 459584 248836 459640
-rect 248772 459580 248836 459584
-rect 251532 459580 251596 459644
-rect 252820 459580 252884 459644
-rect 342796 459580 342860 459644
-rect 344084 459580 344148 459644
-rect 345556 459580 345620 459644
-rect 346844 459580 346908 459644
-rect 348316 459580 348380 459644
-rect 280604 320588 280668 320652
-rect 251532 320452 251596 320516
-rect 299924 320452 299988 320516
-rect 309492 320316 309556 320380
-rect 280788 320180 280852 320244
-rect 338564 320180 338628 320244
-rect 512444 320452 512508 320516
-rect 512444 320180 512508 320244
-rect 551084 320452 551148 320516
-rect 551084 320180 551148 320244
-rect 299924 320044 299988 320108
-rect 309492 320044 309556 320108
-rect 338564 319908 338628 319972
-rect 385484 319152 385548 319156
-rect 385484 319096 385534 319152
-rect 385534 319096 385548 319152
-rect 385484 319092 385548 319096
-rect 385484 318880 385548 318884
-rect 385484 318824 385534 318880
-rect 385534 318824 385548 318880
-rect 385484 318820 385548 318824
-rect 252820 304948 252884 305012
-rect 341140 290184 341204 290188
-rect 341140 290128 341154 290184
-rect 341154 290128 341204 290184
-rect 341140 290124 341204 290128
-rect 341140 289912 341204 289916
-rect 341140 289856 341154 289912
-rect 341154 289856 341204 289912
-rect 341140 289852 341204 289856
-rect 319980 282916 320044 282980
-rect 319980 278896 320044 278900
-rect 319980 278840 320030 278896
-rect 320030 278840 320044 278896
-rect 319980 278836 320044 278840
-rect 248772 258028 248836 258092
-rect 319980 251152 320044 251156
-rect 319980 251096 320030 251152
-rect 320030 251096 320044 251152
-rect 319980 251092 320044 251096
-rect 235524 244352 235588 244356
-rect 235524 244296 235574 244352
-rect 235574 244296 235588 244352
-rect 235524 244292 235588 244296
-rect 319060 242388 319124 242452
-rect 377204 242116 377268 242180
-rect 247300 241708 247364 241772
-rect 319060 241980 319124 242044
-rect 299924 241844 299988 241908
-rect 300108 241708 300172 241772
-rect 235524 241632 235588 241636
-rect 235524 241576 235538 241632
-rect 235538 241576 235588 241632
-rect 235524 241572 235588 241576
-rect 319980 241632 320044 241636
-rect 377204 241708 377268 241772
-rect 319980 241576 320030 241632
-rect 320030 241576 320044 241632
-rect 319980 241572 320044 241576
-rect 551084 241844 551148 241908
-rect 551084 241572 551148 241636
-rect 319060 227156 319124 227220
-rect 319060 226748 319124 226812
-rect 244540 226612 244604 226676
-rect 261284 226476 261348 226540
-rect 288884 226612 288948 226676
-rect 288884 226340 288948 226404
-rect 551084 226612 551148 226676
-rect 551084 226340 551148 226404
-rect 261284 226204 261348 226268
-rect 276556 221504 276620 221508
-rect 276556 221448 276570 221504
-rect 276570 221448 276620 221504
-rect 276556 221444 276620 221448
-rect 319980 216064 320044 216068
-rect 319980 216008 320030 216064
-rect 320030 216008 320044 216064
-rect 319980 216004 320044 216008
-rect 246012 211108 246076 211172
-rect 276556 208448 276620 208452
-rect 276556 208392 276570 208448
-rect 276570 208392 276620 208448
-rect 276556 208388 276620 208392
-rect 319980 206272 320044 206276
-rect 319980 206216 320030 206272
-rect 320030 206216 320044 206272
-rect 319980 206212 320044 206216
-rect 553844 183560 553908 183564
-rect 553844 183504 553894 183560
-rect 553894 183504 553908 183560
-rect 553844 183500 553908 183504
-rect 242516 182004 242580 182068
-rect 288884 179964 288948 180028
-rect 241780 179556 241844 179620
-rect 269564 179692 269628 179756
-rect 288884 179692 288948 179756
-rect 327524 179692 327588 179756
-rect 299924 179556 299988 179620
-rect 269564 179420 269628 179484
-rect 308204 179420 308268 179484
-rect 327524 179420 327588 179484
-rect 356596 179692 356660 179756
-rect 396524 179692 396588 179756
-rect 396524 179420 396588 179484
-rect 551084 179692 551148 179756
-rect 551084 179420 551148 179484
-rect 356596 179284 356660 179348
-rect 299924 179148 299988 179212
-rect 308204 179148 308268 179212
-rect 285388 177244 285452 177308
-rect 553844 174040 553908 174044
-rect 553844 173984 553894 174040
-rect 553894 173984 553908 174040
-rect 553844 173980 553908 173984
-rect 91452 173904 91516 173908
-rect 91452 173848 91502 173904
-rect 91502 173848 91516 173904
-rect 91452 173844 91516 173848
-rect 225404 173904 225468 173908
-rect 225404 173848 225418 173904
-rect 225418 173848 225468 173904
-rect 225404 173844 225468 173848
-rect 357884 173904 357948 173908
-rect 357884 173848 357934 173904
-rect 357934 173848 357948 173904
-rect 357884 173844 357948 173848
-rect 560836 164596 560900 164660
-rect 357884 164384 357948 164388
-rect 357884 164328 357934 164384
-rect 357934 164328 357948 164384
-rect 357884 164324 357948 164328
-rect 91452 164248 91516 164252
-rect 91452 164192 91502 164248
-rect 91502 164192 91516 164248
-rect 91452 164188 91516 164192
-rect 225404 164248 225468 164252
-rect 225404 164192 225418 164248
-rect 225418 164192 225468 164248
-rect 225404 164188 225468 164192
-rect 243252 164188 243316 164252
-rect 560836 164188 560900 164252
-rect 285388 164112 285452 164116
-rect 285388 164056 285402 164112
-rect 285402 164056 285452 164112
-rect 285388 164052 285452 164056
-rect 242516 163024 242580 163028
-rect 242516 162968 242566 163024
-rect 242566 162968 242580 163024
-rect 242516 162964 242580 162968
-rect 242516 157856 242580 157860
-rect 242516 157800 242530 157856
-rect 242530 157800 242580 157856
-rect 242516 157796 242580 157800
-rect 309308 151676 309372 151740
-rect 242516 144936 242580 144940
-rect 242516 144880 242530 144936
-rect 242530 144880 242580 144936
-rect 242516 144876 242580 144880
-rect 309308 142156 309372 142220
-rect 239020 133996 239084 134060
-rect 357884 133044 357948 133108
-rect 366164 133044 366228 133108
-rect 308204 132772 308268 132836
-rect 317772 132772 317836 132836
-rect 327524 132772 327588 132836
-rect 308204 132500 308268 132564
-rect 317772 132500 317836 132564
-rect 327524 132500 327588 132564
-rect 357884 132772 357948 132836
-rect 366164 132636 366228 132700
-rect 551084 132772 551148 132836
-rect 551084 132500 551148 132564
-rect 342796 126924 342860 126988
-rect 240492 117268 240556 117332
-rect 377204 101356 377268 101420
-rect 237732 101084 237796 101148
-rect 316484 100812 316548 100876
-rect 377204 101084 377268 101148
-rect 396524 101084 396588 101148
-rect 396524 100812 396588 100876
-rect 551084 101084 551148 101148
-rect 551084 100812 551148 100876
-rect 316484 100540 316548 100604
-rect 344084 92380 344148 92444
-rect 345556 75788 345620 75852
-rect 236260 70348 236324 70412
-rect 346844 42740 346908 42804
-rect 327524 39068 327588 39132
-rect 232212 38932 232276 38996
-rect 317772 38932 317836 38996
-rect 346660 39204 346724 39268
-rect 346844 38932 346908 38996
-rect 356596 38932 356660 38996
-rect 317772 38660 317836 38724
-rect 327524 38660 327588 38724
-rect 346660 38660 346724 38724
-rect 346844 38660 346908 38724
-rect 551084 38932 551148 38996
-rect 551084 38660 551148 38724
-rect 356596 38524 356660 38588
-rect 233500 23428 233564 23492
-rect 177196 10100 177260 10164
-rect 177012 9964 177076 10028
-rect 348316 9556 348380 9620
-rect 250244 7516 250308 7580
-rect 501404 7516 501468 7580
-rect 393764 7380 393828 7444
-rect 250244 7244 250308 7308
-rect 308204 7244 308268 7308
-rect 292932 7108 292996 7172
-rect 293116 7108 293180 7172
-rect 299924 7108 299988 7172
-rect 375732 7108 375796 7172
-rect 537284 7380 537348 7444
-rect 443444 7108 443508 7172
-rect 346660 6972 346724 7036
-rect 346844 6972 346908 7036
-rect 300108 6836 300172 6900
-rect 308204 6836 308268 6900
-rect 393764 6972 393828 7036
-rect 346660 6700 346724 6764
-rect 346844 6700 346908 6764
-rect 375916 6836 375980 6900
-rect 382724 6836 382788 6900
-rect 443444 6836 443508 6900
-rect 501404 7244 501468 7308
-rect 537284 7108 537348 7172
-rect 382724 6564 382788 6628
-rect 222644 6020 222708 6084
-rect 347948 3572 348012 3636
-rect 348500 3572 348564 3636
-rect 145364 3300 145428 3364
-rect 145364 3028 145428 3092
-rect 222644 2756 222708 2820
+rect 295508 352192 295748 352252
+rect 3748 351512 3988 351572
+rect 295508 346344 295748 346404
+rect 3748 344304 3988 344364
+rect 295508 340496 295748 340556
+rect 3748 337164 3988 337224
+rect 295508 334580 295748 334640
+rect 3748 329956 3988 330016
+rect 295508 328732 295748 328792
+rect 295508 322884 295748 322944
+rect 3748 322748 3988 322808
+rect 295508 317036 295748 317096
+rect 3748 315608 3988 315668
+rect 295508 311120 295748 311180
+rect 3748 308400 3988 308460
+rect 295508 305272 295748 305332
+rect 3748 301192 3988 301252
+rect 295508 299424 295748 299484
+rect 3748 294052 3988 294112
+rect 295508 293576 295748 293636
+rect 295508 287660 295748 287720
+rect 3748 286844 3988 286904
+rect 295508 281812 295748 281872
+rect 3748 279704 3988 279764
+rect 295508 275964 295748 276024
+rect 3748 272496 3988 272556
+rect 295508 270116 295748 270176
+rect 3748 265288 3988 265348
+rect 295508 264200 295748 264260
+rect 295508 258352 295748 258412
+rect 3748 258148 3988 258208
+rect 295508 252504 295748 252564
+rect 3748 250940 3988 251000
+rect 295508 246588 295748 246648
+rect 3748 243732 3988 243792
+rect 295508 240740 295748 240800
+rect 3748 236592 3988 236652
+rect 295508 234892 295748 234952
+rect 3748 229384 3988 229444
+rect 295508 229044 295748 229104
+rect 295508 223128 295748 223188
+rect 3748 222176 3988 222236
+rect 295508 217280 295748 217340
+rect 3748 215036 3988 215096
+rect 295508 211432 295748 211492
+rect 3748 207828 3988 207888
+rect 295508 205584 295748 205644
+rect 3748 200688 3988 200748
+rect 295508 199668 295748 199728
+rect 295508 193820 295748 193880
+rect 3748 193480 3988 193540
+rect 295508 187972 295748 188032
+rect 3748 186272 3988 186332
+rect 295508 182124 295748 182184
+rect 3748 179132 3988 179192
+rect 295508 176208 295748 176268
+rect 3748 171924 3988 171984
+rect 295508 170360 295748 170420
+rect 3748 164716 3988 164776
+rect 295508 164512 295748 164572
+rect 295508 158596 295748 158656
+rect 3748 157576 3988 157636
+rect 295508 152748 295748 152808
+rect 3748 150368 3988 150428
+rect 295508 146900 295748 146960
+rect 3748 143228 3988 143288
+rect 295508 141052 295748 141112
+rect 3748 136020 3988 136080
+rect 295508 135136 295748 135196
+rect 295508 129288 295748 129348
+rect 3748 128812 3988 128872
+rect 295508 123440 295748 123500
+rect 3748 121672 3988 121732
+rect 295508 117592 295748 117652
+rect 3748 114464 3988 114524
+rect 295508 111676 295748 111736
+rect 3748 107256 3988 107316
+rect 295508 105828 295748 105888
+rect 3748 100116 3988 100176
+rect 295508 99980 295748 100040
+rect 295508 94132 295748 94192
+rect 3748 92908 3988 92968
+rect 295508 88216 295748 88276
+rect 3748 85700 3988 85760
+rect 295508 82368 295748 82428
+rect 3748 78560 3988 78620
+rect 295508 76520 295748 76580
+rect 3748 71352 3988 71412
+rect 295508 70604 295748 70664
+rect 295508 64756 295748 64816
+rect 3748 64212 3988 64272
+rect 295508 58908 295748 58968
+rect 3748 57004 3988 57064
+rect 295508 53060 295748 53120
+rect 3748 49796 3988 49856
+rect 295508 47144 295748 47204
+rect 3748 42656 3988 42716
+rect 295508 41296 295748 41356
+rect 3748 35448 3988 35508
+rect 295508 35448 295748 35508
+rect 295508 29600 295748 29660
+rect 3748 28240 3988 28300
+rect 295508 23684 295748 23744
+rect 3748 21100 3988 21160
+rect 295508 17836 295748 17896
+rect 3748 13892 3988 13952
+rect 295508 11988 295748 12048
+rect 3748 6752 3988 6812
+rect 295508 6140 295748 6200
 << metal4 >>
-rect 0 703278 400 703360
-rect 0 703042 82 703278
-rect 318 703042 400 703278
-rect 0 694934 400 703042
-rect 584516 703278 584916 703360
-rect 584516 703042 584598 703278
-rect 584834 703042 584916 703278
-rect 0 694698 82 694934
-rect 318 694698 400 694934
-rect 0 664298 400 694698
-rect 0 664062 82 664298
-rect 318 664062 400 664298
-rect 0 633662 400 664062
-rect 0 633426 82 633662
-rect 318 633426 400 633662
-rect 0 603026 400 633426
-rect 0 602790 82 603026
-rect 318 602790 400 603026
-rect 0 572390 400 602790
-rect 0 572154 82 572390
-rect 318 572154 400 572390
-rect 0 541754 400 572154
-rect 0 541518 82 541754
-rect 318 541518 400 541754
-rect 0 511118 400 541518
-rect 0 510882 82 511118
-rect 318 510882 400 511118
-rect 0 480482 400 510882
-rect 0 480246 82 480482
-rect 318 480246 400 480482
-rect 0 449846 400 480246
-rect 0 449610 82 449846
-rect 318 449610 400 449846
-rect 0 419210 400 449610
-rect 0 418974 82 419210
-rect 318 418974 400 419210
-rect 0 388574 400 418974
-rect 0 388338 82 388574
-rect 318 388338 400 388574
-rect 0 357938 400 388338
-rect 0 357702 82 357938
-rect 318 357702 400 357938
-rect 0 327302 400 357702
-rect 0 327066 82 327302
-rect 318 327066 400 327302
-rect 0 296666 400 327066
-rect 0 296430 82 296666
-rect 318 296430 400 296666
-rect 0 266030 400 296430
-rect 0 265794 82 266030
-rect 318 265794 400 266030
-rect 0 235394 400 265794
-rect 0 235158 82 235394
-rect 318 235158 400 235394
-rect 0 204758 400 235158
-rect 0 204522 82 204758
-rect 318 204522 400 204758
-rect 0 174122 400 204522
-rect 0 173886 82 174122
-rect 318 173886 400 174122
-rect 0 143486 400 173886
-rect 0 143250 82 143486
-rect 318 143250 400 143486
-rect 0 112850 400 143250
-rect 0 112614 82 112850
-rect 318 112614 400 112850
-rect 0 82214 400 112614
-rect 0 81978 82 82214
-rect 318 81978 400 82214
-rect 0 51578 400 81978
-rect 0 51342 82 51578
-rect 318 51342 400 51578
-rect 0 20942 400 51342
-rect 0 20706 82 20942
-rect 318 20706 400 20942
-rect 0 894 400 20706
-rect 800 702478 1200 702560
-rect 800 702242 882 702478
-rect 1118 702242 1200 702478
-rect 800 679616 1200 702242
-rect 800 679380 882 679616
-rect 1118 679380 1200 679616
-rect 800 648980 1200 679380
-rect 800 648744 882 648980
-rect 1118 648744 1200 648980
-rect 800 618344 1200 648744
-rect 800 618108 882 618344
-rect 1118 618108 1200 618344
-rect 583716 702478 584116 702560
-rect 583716 702242 583798 702478
-rect 584034 702242 584116 702478
-rect 583716 679616 584116 702242
-rect 583716 679380 583798 679616
-rect 584034 679380 584116 679616
-rect 583716 648980 584116 679380
-rect 583716 648744 583798 648980
-rect 584034 648744 584116 648980
-rect 583716 618344 584116 648744
-rect 443627 618220 443693 618221
-rect 443627 618156 443628 618220
-rect 443692 618156 443693 618220
-rect 443627 618155 443693 618156
-rect 800 587708 1200 618108
-rect 443630 608701 443690 618155
-rect 583716 618108 583798 618344
-rect 584034 618108 584116 618344
-rect 443627 608700 443693 608701
-rect 443627 608636 443628 608700
-rect 443692 608636 443693 608700
-rect 443627 608635 443693 608636
-rect 800 587472 882 587708
-rect 1118 587472 1200 587708
-rect 800 557072 1200 587472
-rect 800 556836 882 557072
-rect 1118 556836 1200 557072
-rect 800 526436 1200 556836
-rect 583716 587708 584116 618108
-rect 583716 587472 583798 587708
-rect 584034 587472 584116 587708
-rect 583716 557072 584116 587472
-rect 583716 556836 583798 557072
-rect 584034 556836 584116 557072
-rect 379043 529820 379109 529821
-rect 379043 529756 379044 529820
-rect 379108 529756 379109 529820
-rect 379043 529755 379109 529756
-rect 508763 529820 508829 529821
-rect 508763 529756 508764 529820
-rect 508828 529756 508829 529820
-rect 508763 529755 508829 529756
-rect 800 526200 882 526436
-rect 1118 526200 1200 526436
-rect 800 495800 1200 526200
-rect 379046 520301 379106 529755
-rect 508766 520301 508826 529755
-rect 583716 526436 584116 556836
-rect 583716 526200 583798 526436
-rect 584034 526200 584116 526436
-rect 379043 520300 379109 520301
-rect 379043 520236 379044 520300
-rect 379108 520236 379109 520300
-rect 379043 520235 379109 520236
-rect 508763 520300 508829 520301
-rect 508763 520236 508764 520300
-rect 508828 520236 508829 520300
-rect 508763 520235 508829 520236
-rect 800 495564 882 495800
-rect 1118 495564 1200 495800
-rect 800 465164 1200 495564
-rect 800 464928 882 465164
-rect 1118 464928 1200 465164
-rect 800 434528 1200 464928
-rect 583716 495800 584116 526200
-rect 583716 495564 583798 495800
-rect 584034 495564 584116 495800
-rect 583716 465164 584116 495564
-rect 583716 464928 583798 465164
-rect 584034 464928 584116 465164
-rect 232211 459644 232277 459645
-rect 232211 459580 232212 459644
-rect 232276 459580 232277 459644
-rect 232211 459579 232277 459580
-rect 233499 459644 233565 459645
-rect 233499 459580 233500 459644
-rect 233564 459580 233565 459644
-rect 233499 459579 233565 459580
-rect 236259 459644 236325 459645
-rect 236259 459580 236260 459644
-rect 236324 459580 236325 459644
-rect 236259 459579 236325 459580
-rect 237731 459644 237797 459645
-rect 237731 459580 237732 459644
-rect 237796 459580 237797 459644
-rect 237731 459579 237797 459580
-rect 239019 459644 239085 459645
-rect 239019 459580 239020 459644
-rect 239084 459580 239085 459644
-rect 239019 459579 239085 459580
-rect 240491 459644 240557 459645
-rect 240491 459580 240492 459644
-rect 240556 459580 240557 459644
-rect 240491 459579 240557 459580
-rect 241779 459644 241845 459645
-rect 241779 459580 241780 459644
-rect 241844 459580 241845 459644
-rect 241779 459579 241845 459580
-rect 243251 459644 243317 459645
-rect 243251 459580 243252 459644
-rect 243316 459580 243317 459644
-rect 243251 459579 243317 459580
-rect 244539 459644 244605 459645
-rect 244539 459580 244540 459644
-rect 244604 459580 244605 459644
-rect 244539 459579 244605 459580
-rect 246011 459644 246077 459645
-rect 246011 459580 246012 459644
-rect 246076 459580 246077 459644
-rect 246011 459579 246077 459580
-rect 247299 459644 247365 459645
-rect 247299 459580 247300 459644
-rect 247364 459580 247365 459644
-rect 247299 459579 247365 459580
-rect 248771 459644 248837 459645
-rect 248771 459580 248772 459644
-rect 248836 459580 248837 459644
-rect 248771 459579 248837 459580
-rect 251531 459644 251597 459645
-rect 251531 459580 251532 459644
-rect 251596 459580 251597 459644
-rect 251531 459579 251597 459580
-rect 252819 459644 252885 459645
-rect 252819 459580 252820 459644
-rect 252884 459580 252885 459644
-rect 252819 459579 252885 459580
-rect 342795 459644 342861 459645
-rect 342795 459580 342796 459644
-rect 342860 459580 342861 459644
-rect 342795 459579 342861 459580
-rect 344083 459644 344149 459645
-rect 344083 459580 344084 459644
-rect 344148 459580 344149 459644
-rect 344083 459579 344149 459580
-rect 345555 459644 345621 459645
-rect 345555 459580 345556 459644
-rect 345620 459580 345621 459644
-rect 345555 459579 345621 459580
-rect 346843 459644 346909 459645
-rect 346843 459580 346844 459644
-rect 346908 459580 346909 459644
-rect 346843 459579 346909 459580
-rect 348315 459644 348381 459645
-rect 348315 459580 348316 459644
-rect 348380 459580 348381 459644
-rect 348315 459579 348381 459580
-rect 800 434292 882 434528
-rect 1118 434292 1200 434528
-rect 800 403892 1200 434292
-rect 800 403656 882 403892
-rect 1118 403656 1200 403892
-rect 800 373256 1200 403656
-rect 800 373020 882 373256
-rect 1118 373020 1200 373256
-rect 800 342620 1200 373020
-rect 800 342384 882 342620
-rect 1118 342384 1200 342620
-rect 800 311984 1200 342384
-rect 800 311748 882 311984
-rect 1118 311748 1200 311984
-rect 800 281348 1200 311748
-rect 800 281112 882 281348
-rect 1118 281112 1200 281348
-rect 800 250712 1200 281112
-rect 800 250476 882 250712
-rect 1118 250476 1200 250712
-rect 800 220076 1200 250476
-rect 800 219840 882 220076
-rect 1118 219840 1200 220076
-rect 800 189440 1200 219840
-rect 800 189204 882 189440
-rect 1118 189204 1200 189440
-rect 800 158804 1200 189204
-rect 91451 173908 91517 173909
-rect 91451 173844 91452 173908
-rect 91516 173844 91517 173908
-rect 91451 173843 91517 173844
-rect 225403 173908 225469 173909
-rect 225403 173844 225404 173908
-rect 225468 173844 225469 173908
-rect 225403 173843 225469 173844
-rect 91454 164253 91514 173843
-rect 225406 164253 225466 173843
-rect 91451 164252 91517 164253
-rect 91451 164188 91452 164252
-rect 91516 164188 91517 164252
-rect 91451 164187 91517 164188
-rect 225403 164252 225469 164253
-rect 225403 164188 225404 164252
-rect 225468 164188 225469 164252
-rect 225403 164187 225469 164188
-rect 800 158568 882 158804
-rect 1118 158568 1200 158804
-rect 800 128168 1200 158568
-rect 800 127932 882 128168
-rect 1118 127932 1200 128168
-rect 800 97532 1200 127932
-rect 800 97296 882 97532
-rect 1118 97296 1200 97532
-rect 800 66896 1200 97296
-rect 800 66660 882 66896
-rect 1118 66660 1200 66896
-rect 800 36260 1200 66660
-rect 232214 38997 232274 459579
-rect 232211 38996 232277 38997
-rect 232211 38932 232212 38996
-rect 232276 38932 232277 38996
-rect 232211 38931 232277 38932
-rect 800 36024 882 36260
-rect 1118 36024 1200 36260
-rect 800 5624 1200 36024
-rect 233502 23493 233562 459579
-rect 234598 434528 234918 434570
-rect 234598 434292 234640 434528
-rect 234876 434292 234918 434528
-rect 234598 434250 234918 434292
-rect 234598 403892 234918 403934
-rect 234598 403656 234640 403892
-rect 234876 403656 234918 403892
-rect 234598 403614 234918 403656
-rect 234598 373256 234918 373298
-rect 234598 373020 234640 373256
-rect 234876 373020 234918 373256
-rect 234598 372978 234918 373020
-rect 234598 342620 234918 342662
-rect 234598 342384 234640 342620
-rect 234876 342384 234918 342620
-rect 234598 342342 234918 342384
-rect 235523 244356 235589 244357
-rect 235523 244292 235524 244356
-rect 235588 244292 235589 244356
-rect 235523 244291 235589 244292
-rect 235526 241637 235586 244291
-rect 235523 241636 235589 241637
-rect 235523 241572 235524 241636
-rect 235588 241572 235589 241636
-rect 235523 241571 235589 241572
-rect 236262 70413 236322 459579
-rect 237734 101149 237794 459579
-rect 239022 134061 239082 459579
-rect 239019 134060 239085 134061
-rect 239019 133996 239020 134060
-rect 239084 133996 239085 134060
-rect 239019 133995 239085 133996
-rect 240494 117333 240554 459579
-rect 241782 179621 241842 459579
-rect 242515 182068 242581 182069
-rect 242515 182004 242516 182068
-rect 242580 182004 242581 182068
-rect 242515 182003 242581 182004
-rect 241779 179620 241845 179621
-rect 241779 179556 241780 179620
-rect 241844 179556 241845 179620
-rect 241779 179555 241845 179556
-rect 242518 163029 242578 182003
-rect 243254 164253 243314 459579
-rect 244542 226677 244602 459579
-rect 244539 226676 244605 226677
-rect 244539 226612 244540 226676
-rect 244604 226612 244605 226676
-rect 244539 226611 244605 226612
-rect 246014 211173 246074 459579
-rect 247302 241773 247362 459579
-rect 248774 258093 248834 459579
-rect 249958 449846 250278 449888
-rect 249958 449610 250000 449846
-rect 250236 449610 250278 449846
-rect 249958 449568 250278 449610
-rect 249958 419210 250278 419252
-rect 249958 418974 250000 419210
-rect 250236 418974 250278 419210
-rect 249958 418932 250278 418974
-rect 249958 388574 250278 388616
-rect 249958 388338 250000 388574
-rect 250236 388338 250278 388574
-rect 249958 388296 250278 388338
-rect 249958 357938 250278 357980
-rect 249958 357702 250000 357938
-rect 250236 357702 250278 357938
-rect 249958 357660 250278 357702
-rect 251534 320517 251594 459579
-rect 251531 320516 251597 320517
-rect 251531 320452 251532 320516
-rect 251596 320452 251597 320516
-rect 251531 320451 251597 320452
-rect 252822 305013 252882 459579
-rect 280603 320652 280669 320653
-rect 280603 320588 280604 320652
-rect 280668 320650 280669 320652
-rect 280668 320590 280850 320650
-rect 280668 320588 280669 320590
-rect 280603 320587 280669 320588
-rect 280790 320245 280850 320590
-rect 299923 320516 299989 320517
-rect 299923 320452 299924 320516
-rect 299988 320452 299989 320516
-rect 299923 320451 299989 320452
-rect 280787 320244 280853 320245
-rect 280787 320180 280788 320244
-rect 280852 320180 280853 320244
-rect 280787 320179 280853 320180
-rect 299926 320109 299986 320451
-rect 309491 320380 309557 320381
-rect 309491 320316 309492 320380
-rect 309556 320316 309557 320380
-rect 309491 320315 309557 320316
-rect 309494 320109 309554 320315
-rect 338563 320244 338629 320245
-rect 338563 320180 338564 320244
-rect 338628 320180 338629 320244
-rect 338563 320179 338629 320180
-rect 299923 320108 299989 320109
-rect 299923 320044 299924 320108
-rect 299988 320044 299989 320108
-rect 299923 320043 299989 320044
-rect 309491 320108 309557 320109
-rect 309491 320044 309492 320108
-rect 309556 320044 309557 320108
-rect 309491 320043 309557 320044
-rect 338566 319973 338626 320179
-rect 338563 319972 338629 319973
-rect 338563 319908 338564 319972
-rect 338628 319908 338629 319972
-rect 338563 319907 338629 319908
-rect 252819 305012 252885 305013
-rect 252819 304948 252820 305012
-rect 252884 304948 252885 305012
-rect 252819 304947 252885 304948
-rect 341139 290188 341205 290189
-rect 341139 290124 341140 290188
-rect 341204 290124 341205 290188
-rect 341139 290123 341205 290124
-rect 341142 289917 341202 290123
-rect 341139 289916 341205 289917
-rect 341139 289852 341140 289916
-rect 341204 289852 341205 289916
-rect 341139 289851 341205 289852
-rect 319979 282980 320045 282981
-rect 319979 282916 319980 282980
-rect 320044 282916 320045 282980
-rect 319979 282915 320045 282916
-rect 319982 278901 320042 282915
-rect 319979 278900 320045 278901
-rect 319979 278836 319980 278900
-rect 320044 278836 320045 278900
-rect 319979 278835 320045 278836
-rect 248771 258092 248837 258093
-rect 248771 258028 248772 258092
-rect 248836 258028 248837 258092
-rect 248771 258027 248837 258028
-rect 319979 251156 320045 251157
-rect 319979 251092 319980 251156
-rect 320044 251092 320045 251156
-rect 319979 251091 320045 251092
-rect 319059 242452 319125 242453
-rect 319059 242388 319060 242452
-rect 319124 242388 319125 242452
-rect 319059 242387 319125 242388
-rect 319062 242045 319122 242387
-rect 319059 242044 319125 242045
-rect 319059 241980 319060 242044
-rect 319124 241980 319125 242044
-rect 319059 241979 319125 241980
-rect 299923 241908 299989 241909
-rect 299923 241844 299924 241908
-rect 299988 241844 299989 241908
-rect 299923 241843 299989 241844
-rect 247299 241772 247365 241773
-rect 247299 241708 247300 241772
-rect 247364 241708 247365 241772
-rect 299926 241770 299986 241843
-rect 300107 241772 300173 241773
-rect 300107 241770 300108 241772
-rect 299926 241710 300108 241770
-rect 247299 241707 247365 241708
-rect 300107 241708 300108 241710
-rect 300172 241708 300173 241772
-rect 300107 241707 300173 241708
-rect 319982 241637 320042 251091
-rect 319979 241636 320045 241637
-rect 319979 241572 319980 241636
-rect 320044 241572 320045 241636
-rect 319979 241571 320045 241572
-rect 319059 227220 319125 227221
-rect 319059 227156 319060 227220
-rect 319124 227156 319125 227220
-rect 319059 227155 319125 227156
-rect 319062 226813 319122 227155
-rect 319059 226812 319125 226813
-rect 319059 226748 319060 226812
-rect 319124 226748 319125 226812
-rect 319059 226747 319125 226748
-rect 288883 226676 288949 226677
-rect 288883 226612 288884 226676
-rect 288948 226612 288949 226676
-rect 288883 226611 288949 226612
-rect 261283 226540 261349 226541
-rect 261283 226476 261284 226540
-rect 261348 226476 261349 226540
-rect 261283 226475 261349 226476
-rect 261286 226269 261346 226475
-rect 288886 226405 288946 226611
-rect 288883 226404 288949 226405
-rect 288883 226340 288884 226404
-rect 288948 226340 288949 226404
-rect 288883 226339 288949 226340
-rect 261283 226268 261349 226269
-rect 261283 226204 261284 226268
-rect 261348 226204 261349 226268
-rect 261283 226203 261349 226204
-rect 276555 221508 276621 221509
-rect 276555 221444 276556 221508
-rect 276620 221444 276621 221508
-rect 276555 221443 276621 221444
-rect 246011 211172 246077 211173
-rect 246011 211108 246012 211172
-rect 246076 211108 246077 211172
-rect 246011 211107 246077 211108
-rect 276558 208453 276618 221443
-rect 319979 216068 320045 216069
-rect 319979 216004 319980 216068
-rect 320044 216004 320045 216068
-rect 319979 216003 320045 216004
-rect 276555 208452 276621 208453
-rect 276555 208388 276556 208452
-rect 276620 208388 276621 208452
-rect 276555 208387 276621 208388
-rect 319982 206277 320042 216003
-rect 319979 206276 320045 206277
-rect 319979 206212 319980 206276
-rect 320044 206212 320045 206276
-rect 319979 206211 320045 206212
-rect 288883 180028 288949 180029
-rect 288883 179964 288884 180028
-rect 288948 179964 288949 180028
-rect 288883 179963 288949 179964
-rect 288886 179757 288946 179963
-rect 269563 179756 269629 179757
-rect 269563 179692 269564 179756
-rect 269628 179692 269629 179756
-rect 269563 179691 269629 179692
-rect 288883 179756 288949 179757
-rect 288883 179692 288884 179756
-rect 288948 179692 288949 179756
-rect 288883 179691 288949 179692
-rect 327523 179756 327589 179757
-rect 327523 179692 327524 179756
-rect 327588 179692 327589 179756
-rect 327523 179691 327589 179692
-rect 269566 179485 269626 179691
-rect 299923 179620 299989 179621
-rect 299923 179556 299924 179620
-rect 299988 179556 299989 179620
-rect 299923 179555 299989 179556
-rect 269563 179484 269629 179485
-rect 269563 179420 269564 179484
-rect 269628 179420 269629 179484
-rect 269563 179419 269629 179420
-rect 299926 179213 299986 179555
-rect 327526 179485 327586 179691
-rect 308203 179484 308269 179485
-rect 308203 179420 308204 179484
-rect 308268 179420 308269 179484
-rect 308203 179419 308269 179420
-rect 327523 179484 327589 179485
-rect 327523 179420 327524 179484
-rect 327588 179420 327589 179484
-rect 327523 179419 327589 179420
-rect 308206 179213 308266 179419
-rect 299923 179212 299989 179213
-rect 299923 179148 299924 179212
-rect 299988 179148 299989 179212
-rect 299923 179147 299989 179148
-rect 308203 179212 308269 179213
-rect 308203 179148 308204 179212
-rect 308268 179148 308269 179212
-rect 308203 179147 308269 179148
-rect 285387 177308 285453 177309
-rect 285387 177244 285388 177308
-rect 285452 177244 285453 177308
-rect 285387 177243 285453 177244
-rect 243251 164252 243317 164253
-rect 243251 164188 243252 164252
-rect 243316 164188 243317 164252
-rect 243251 164187 243317 164188
-rect 285390 164117 285450 177243
-rect 285387 164116 285453 164117
-rect 285387 164052 285388 164116
-rect 285452 164052 285453 164116
-rect 285387 164051 285453 164052
-rect 242515 163028 242581 163029
-rect 242515 162964 242516 163028
-rect 242580 162964 242581 163028
-rect 242515 162963 242581 162964
-rect 242515 157860 242581 157861
-rect 242515 157796 242516 157860
-rect 242580 157796 242581 157860
-rect 242515 157795 242581 157796
-rect 242518 144941 242578 157795
-rect 309307 151740 309373 151741
-rect 309307 151676 309308 151740
-rect 309372 151676 309373 151740
-rect 309307 151675 309373 151676
-rect 242515 144940 242581 144941
-rect 242515 144876 242516 144940
-rect 242580 144876 242581 144940
-rect 242515 144875 242581 144876
-rect 309310 142221 309370 151675
-rect 309307 142220 309373 142221
-rect 309307 142156 309308 142220
-rect 309372 142156 309373 142220
-rect 309307 142155 309373 142156
-rect 308203 132836 308269 132837
-rect 308203 132772 308204 132836
-rect 308268 132772 308269 132836
-rect 308203 132771 308269 132772
-rect 317771 132836 317837 132837
-rect 317771 132772 317772 132836
-rect 317836 132772 317837 132836
-rect 317771 132771 317837 132772
-rect 327523 132836 327589 132837
-rect 327523 132772 327524 132836
-rect 327588 132772 327589 132836
-rect 327523 132771 327589 132772
-rect 308206 132565 308266 132771
-rect 317774 132565 317834 132771
-rect 327526 132565 327586 132771
-rect 308203 132564 308269 132565
-rect 308203 132500 308204 132564
-rect 308268 132500 308269 132564
-rect 308203 132499 308269 132500
-rect 317771 132564 317837 132565
-rect 317771 132500 317772 132564
-rect 317836 132500 317837 132564
-rect 317771 132499 317837 132500
-rect 327523 132564 327589 132565
-rect 327523 132500 327524 132564
-rect 327588 132500 327589 132564
-rect 327523 132499 327589 132500
-rect 342798 126989 342858 459579
-rect 342795 126988 342861 126989
-rect 342795 126924 342796 126988
-rect 342860 126924 342861 126988
-rect 342795 126923 342861 126924
-rect 240491 117332 240557 117333
-rect 240491 117268 240492 117332
-rect 240556 117268 240557 117332
-rect 240491 117267 240557 117268
-rect 237731 101148 237797 101149
-rect 237731 101084 237732 101148
-rect 237796 101084 237797 101148
-rect 237731 101083 237797 101084
-rect 316483 100876 316549 100877
-rect 316483 100812 316484 100876
-rect 316548 100812 316549 100876
-rect 316483 100811 316549 100812
-rect 316486 100605 316546 100811
-rect 316483 100604 316549 100605
-rect 316483 100540 316484 100604
-rect 316548 100540 316549 100604
-rect 316483 100539 316549 100540
-rect 344086 92445 344146 459579
-rect 344083 92444 344149 92445
-rect 344083 92380 344084 92444
-rect 344148 92380 344149 92444
-rect 344083 92379 344149 92380
-rect 345558 75853 345618 459579
-rect 345555 75852 345621 75853
-rect 345555 75788 345556 75852
-rect 345620 75788 345621 75852
-rect 345555 75787 345621 75788
-rect 236259 70412 236325 70413
-rect 236259 70348 236260 70412
-rect 236324 70348 236325 70412
-rect 236259 70347 236325 70348
-rect 346846 42805 346906 459579
-rect 346843 42804 346909 42805
-rect 346843 42740 346844 42804
-rect 346908 42740 346909 42804
-rect 346843 42739 346909 42740
-rect 346659 39268 346725 39269
-rect 346659 39204 346660 39268
-rect 346724 39204 346725 39268
-rect 346659 39203 346725 39204
-rect 327523 39132 327589 39133
-rect 327523 39068 327524 39132
-rect 327588 39068 327589 39132
-rect 327523 39067 327589 39068
-rect 317771 38996 317837 38997
-rect 317771 38932 317772 38996
-rect 317836 38932 317837 38996
-rect 317771 38931 317837 38932
-rect 317774 38725 317834 38931
-rect 327526 38725 327586 39067
-rect 346662 38725 346722 39203
-rect 346843 38996 346909 38997
-rect 346843 38932 346844 38996
-rect 346908 38932 346909 38996
-rect 346843 38931 346909 38932
-rect 346846 38725 346906 38931
-rect 317771 38724 317837 38725
-rect 317771 38660 317772 38724
-rect 317836 38660 317837 38724
-rect 317771 38659 317837 38660
-rect 327523 38724 327589 38725
-rect 327523 38660 327524 38724
-rect 327588 38660 327589 38724
-rect 327523 38659 327589 38660
-rect 346659 38724 346725 38725
-rect 346659 38660 346660 38724
-rect 346724 38660 346725 38724
-rect 346659 38659 346725 38660
-rect 346843 38724 346909 38725
-rect 346843 38660 346844 38724
-rect 346908 38660 346909 38724
-rect 346843 38659 346909 38660
-rect 233499 23492 233565 23493
-rect 233499 23428 233500 23492
-rect 233564 23428 233565 23492
-rect 233499 23427 233565 23428
-rect 177195 10164 177261 10165
-rect 177195 10100 177196 10164
-rect 177260 10100 177261 10164
-rect 177195 10099 177261 10100
-rect 177011 10028 177077 10029
-rect 177011 9964 177012 10028
-rect 177076 9964 177077 10028
-rect 177011 9963 177077 9964
-rect 177014 9890 177074 9963
-rect 177198 9890 177258 10099
-rect 177014 9830 177258 9890
-rect 348318 9621 348378 459579
-rect 583716 434528 584116 464928
-rect 583716 434292 583798 434528
-rect 584034 434292 584116 434528
-rect 583716 403892 584116 434292
-rect 583716 403656 583798 403892
-rect 584034 403656 584116 403892
-rect 583716 373256 584116 403656
-rect 583716 373020 583798 373256
-rect 584034 373020 584116 373256
-rect 583716 342620 584116 373020
-rect 583716 342384 583798 342620
-rect 584034 342384 584116 342620
-rect 512443 320516 512509 320517
-rect 512443 320452 512444 320516
-rect 512508 320452 512509 320516
-rect 512443 320451 512509 320452
-rect 551083 320516 551149 320517
-rect 551083 320452 551084 320516
-rect 551148 320452 551149 320516
-rect 551083 320451 551149 320452
-rect 512446 320245 512506 320451
-rect 551086 320245 551146 320451
-rect 512443 320244 512509 320245
-rect 512443 320180 512444 320244
-rect 512508 320180 512509 320244
-rect 512443 320179 512509 320180
-rect 551083 320244 551149 320245
-rect 551083 320180 551084 320244
-rect 551148 320180 551149 320244
-rect 551083 320179 551149 320180
-rect 385483 319156 385549 319157
-rect 385483 319092 385484 319156
-rect 385548 319092 385549 319156
-rect 385483 319091 385549 319092
-rect 385486 318885 385546 319091
-rect 385483 318884 385549 318885
-rect 385483 318820 385484 318884
-rect 385548 318820 385549 318884
-rect 385483 318819 385549 318820
-rect 583716 311984 584116 342384
-rect 583716 311748 583798 311984
-rect 584034 311748 584116 311984
-rect 583716 281348 584116 311748
-rect 583716 281112 583798 281348
-rect 584034 281112 584116 281348
-rect 583716 250712 584116 281112
-rect 583716 250476 583798 250712
-rect 584034 250476 584116 250712
-rect 377203 242180 377269 242181
-rect 377203 242116 377204 242180
-rect 377268 242116 377269 242180
-rect 377203 242115 377269 242116
-rect 377206 241773 377266 242115
-rect 551083 241908 551149 241909
-rect 551083 241844 551084 241908
-rect 551148 241844 551149 241908
-rect 551083 241843 551149 241844
-rect 377203 241772 377269 241773
-rect 377203 241708 377204 241772
-rect 377268 241708 377269 241772
-rect 377203 241707 377269 241708
-rect 551086 241637 551146 241843
-rect 551083 241636 551149 241637
-rect 551083 241572 551084 241636
-rect 551148 241572 551149 241636
-rect 551083 241571 551149 241572
-rect 551083 226676 551149 226677
-rect 551083 226612 551084 226676
-rect 551148 226612 551149 226676
-rect 551083 226611 551149 226612
-rect 551086 226405 551146 226611
-rect 551083 226404 551149 226405
-rect 551083 226340 551084 226404
-rect 551148 226340 551149 226404
-rect 551083 226339 551149 226340
-rect 583716 220076 584116 250476
-rect 583716 219840 583798 220076
-rect 584034 219840 584116 220076
-rect 583716 189440 584116 219840
-rect 583716 189204 583798 189440
-rect 584034 189204 584116 189440
-rect 553843 183564 553909 183565
-rect 553843 183500 553844 183564
-rect 553908 183500 553909 183564
-rect 553843 183499 553909 183500
-rect 356595 179756 356661 179757
-rect 356595 179692 356596 179756
-rect 356660 179692 356661 179756
-rect 356595 179691 356661 179692
-rect 396523 179756 396589 179757
-rect 396523 179692 396524 179756
-rect 396588 179692 396589 179756
-rect 396523 179691 396589 179692
-rect 551083 179756 551149 179757
-rect 551083 179692 551084 179756
-rect 551148 179692 551149 179756
-rect 551083 179691 551149 179692
-rect 356598 179349 356658 179691
-rect 396526 179485 396586 179691
-rect 551086 179485 551146 179691
-rect 396523 179484 396589 179485
-rect 396523 179420 396524 179484
-rect 396588 179420 396589 179484
-rect 396523 179419 396589 179420
-rect 551083 179484 551149 179485
-rect 551083 179420 551084 179484
-rect 551148 179420 551149 179484
-rect 551083 179419 551149 179420
-rect 356595 179348 356661 179349
-rect 356595 179284 356596 179348
-rect 356660 179284 356661 179348
-rect 356595 179283 356661 179284
-rect 553846 174045 553906 183499
-rect 553843 174044 553909 174045
-rect 553843 173980 553844 174044
-rect 553908 173980 553909 174044
-rect 553843 173979 553909 173980
-rect 357883 173908 357949 173909
-rect 357883 173844 357884 173908
-rect 357948 173844 357949 173908
-rect 357883 173843 357949 173844
-rect 357886 164389 357946 173843
-rect 560835 164660 560901 164661
-rect 560835 164596 560836 164660
-rect 560900 164596 560901 164660
-rect 560835 164595 560901 164596
-rect 357883 164388 357949 164389
-rect 357883 164324 357884 164388
-rect 357948 164324 357949 164388
-rect 357883 164323 357949 164324
-rect 560838 164253 560898 164595
-rect 560835 164252 560901 164253
-rect 560835 164188 560836 164252
-rect 560900 164188 560901 164252
-rect 560835 164187 560901 164188
-rect 583716 158804 584116 189204
-rect 583716 158568 583798 158804
-rect 584034 158568 584116 158804
-rect 357883 133108 357949 133109
-rect 357883 133044 357884 133108
-rect 357948 133044 357949 133108
-rect 357883 133043 357949 133044
-rect 366163 133108 366229 133109
-rect 366163 133044 366164 133108
-rect 366228 133044 366229 133108
-rect 366163 133043 366229 133044
-rect 357886 132837 357946 133043
-rect 357883 132836 357949 132837
-rect 357883 132772 357884 132836
-rect 357948 132772 357949 132836
-rect 357883 132771 357949 132772
-rect 366166 132701 366226 133043
-rect 551083 132836 551149 132837
-rect 551083 132772 551084 132836
-rect 551148 132772 551149 132836
-rect 551083 132771 551149 132772
-rect 366163 132700 366229 132701
-rect 366163 132636 366164 132700
-rect 366228 132636 366229 132700
-rect 366163 132635 366229 132636
-rect 551086 132565 551146 132771
-rect 551083 132564 551149 132565
-rect 551083 132500 551084 132564
-rect 551148 132500 551149 132564
-rect 551083 132499 551149 132500
-rect 583716 128168 584116 158568
-rect 583716 127932 583798 128168
-rect 584034 127932 584116 128168
-rect 377203 101420 377269 101421
-rect 377203 101356 377204 101420
-rect 377268 101356 377269 101420
-rect 377203 101355 377269 101356
-rect 377206 101149 377266 101355
-rect 377203 101148 377269 101149
-rect 377203 101084 377204 101148
-rect 377268 101084 377269 101148
-rect 377203 101083 377269 101084
-rect 396523 101148 396589 101149
-rect 396523 101084 396524 101148
-rect 396588 101084 396589 101148
-rect 396523 101083 396589 101084
-rect 551083 101148 551149 101149
-rect 551083 101084 551084 101148
-rect 551148 101084 551149 101148
-rect 551083 101083 551149 101084
-rect 396526 100877 396586 101083
-rect 551086 100877 551146 101083
-rect 396523 100876 396589 100877
-rect 396523 100812 396524 100876
-rect 396588 100812 396589 100876
-rect 396523 100811 396589 100812
-rect 551083 100876 551149 100877
-rect 551083 100812 551084 100876
-rect 551148 100812 551149 100876
-rect 551083 100811 551149 100812
-rect 583716 97532 584116 127932
-rect 583716 97296 583798 97532
-rect 584034 97296 584116 97532
-rect 583716 66896 584116 97296
-rect 583716 66660 583798 66896
-rect 584034 66660 584116 66896
-rect 356595 38996 356661 38997
-rect 356595 38932 356596 38996
-rect 356660 38932 356661 38996
-rect 356595 38931 356661 38932
-rect 551083 38996 551149 38997
-rect 551083 38932 551084 38996
-rect 551148 38932 551149 38996
-rect 551083 38931 551149 38932
-rect 356598 38589 356658 38931
-rect 551086 38725 551146 38931
-rect 551083 38724 551149 38725
-rect 551083 38660 551084 38724
-rect 551148 38660 551149 38724
-rect 551083 38659 551149 38660
-rect 356595 38588 356661 38589
-rect 356595 38524 356596 38588
-rect 356660 38524 356661 38588
-rect 356595 38523 356661 38524
-rect 583716 36260 584116 66660
-rect 583716 36024 583798 36260
-rect 584034 36024 584116 36260
-rect 348315 9620 348381 9621
-rect 348315 9556 348316 9620
-rect 348380 9556 348381 9620
-rect 348315 9555 348381 9556
-rect 250243 7580 250309 7581
-rect 250243 7516 250244 7580
-rect 250308 7516 250309 7580
-rect 250243 7515 250309 7516
-rect 501403 7580 501469 7581
-rect 501403 7516 501404 7580
-rect 501468 7516 501469 7580
-rect 501403 7515 501469 7516
-rect 250246 7309 250306 7515
-rect 393763 7444 393829 7445
-rect 393763 7380 393764 7444
-rect 393828 7380 393829 7444
-rect 393763 7379 393829 7380
-rect 250243 7308 250309 7309
-rect 250243 7244 250244 7308
-rect 250308 7244 250309 7308
-rect 250243 7243 250309 7244
-rect 308203 7308 308269 7309
-rect 308203 7244 308204 7308
-rect 308268 7244 308269 7308
-rect 308203 7243 308269 7244
-rect 292931 7172 292997 7173
-rect 292931 7108 292932 7172
-rect 292996 7170 292997 7172
-rect 293115 7172 293181 7173
-rect 293115 7170 293116 7172
-rect 292996 7110 293116 7170
-rect 292996 7108 292997 7110
-rect 292931 7107 292997 7108
-rect 293115 7108 293116 7110
-rect 293180 7108 293181 7172
-rect 293115 7107 293181 7108
-rect 299923 7172 299989 7173
-rect 299923 7108 299924 7172
-rect 299988 7170 299989 7172
-rect 299988 7110 300170 7170
-rect 299988 7108 299989 7110
-rect 299923 7107 299989 7108
-rect 300110 6901 300170 7110
-rect 308206 6901 308266 7243
-rect 375731 7172 375797 7173
-rect 375731 7108 375732 7172
-rect 375796 7170 375797 7172
-rect 375796 7110 375978 7170
-rect 375796 7108 375797 7110
-rect 375731 7107 375797 7108
-rect 346659 7036 346725 7037
-rect 346659 6972 346660 7036
-rect 346724 6972 346725 7036
-rect 346659 6971 346725 6972
-rect 346843 7036 346909 7037
-rect 346843 6972 346844 7036
-rect 346908 6972 346909 7036
-rect 346843 6971 346909 6972
-rect 300107 6900 300173 6901
-rect 300107 6836 300108 6900
-rect 300172 6836 300173 6900
-rect 300107 6835 300173 6836
-rect 308203 6900 308269 6901
-rect 308203 6836 308204 6900
-rect 308268 6836 308269 6900
-rect 308203 6835 308269 6836
-rect 346662 6765 346722 6971
-rect 346846 6765 346906 6971
-rect 375918 6901 375978 7110
-rect 393766 7037 393826 7379
-rect 501406 7309 501466 7515
-rect 537283 7444 537349 7445
-rect 537283 7380 537284 7444
-rect 537348 7380 537349 7444
-rect 537283 7379 537349 7380
-rect 501403 7308 501469 7309
-rect 501403 7244 501404 7308
-rect 501468 7244 501469 7308
-rect 501403 7243 501469 7244
-rect 537286 7173 537346 7379
-rect 443443 7172 443509 7173
-rect 443443 7108 443444 7172
-rect 443508 7108 443509 7172
-rect 443443 7107 443509 7108
-rect 537283 7172 537349 7173
-rect 537283 7108 537284 7172
-rect 537348 7108 537349 7172
-rect 537283 7107 537349 7108
-rect 393763 7036 393829 7037
-rect 393763 6972 393764 7036
-rect 393828 6972 393829 7036
-rect 393763 6971 393829 6972
-rect 443446 6901 443506 7107
-rect 375915 6900 375981 6901
-rect 375915 6836 375916 6900
-rect 375980 6836 375981 6900
-rect 375915 6835 375981 6836
-rect 382723 6900 382789 6901
-rect 382723 6836 382724 6900
-rect 382788 6836 382789 6900
-rect 382723 6835 382789 6836
-rect 443443 6900 443509 6901
-rect 443443 6836 443444 6900
-rect 443508 6836 443509 6900
-rect 443443 6835 443509 6836
-rect 346659 6764 346725 6765
-rect 346659 6700 346660 6764
-rect 346724 6700 346725 6764
-rect 346659 6699 346725 6700
-rect 346843 6764 346909 6765
-rect 346843 6700 346844 6764
-rect 346908 6700 346909 6764
-rect 346843 6699 346909 6700
-rect 382726 6629 382786 6835
-rect 382723 6628 382789 6629
-rect 382723 6564 382724 6628
-rect 382788 6564 382789 6628
-rect 382723 6563 382789 6564
-rect 222643 6084 222709 6085
-rect 222643 6020 222644 6084
-rect 222708 6020 222709 6084
-rect 222643 6019 222709 6020
-rect 800 5388 882 5624
-rect 1118 5388 1200 5624
-rect 800 1694 1200 5388
-rect 145363 3364 145429 3365
-rect 145363 3300 145364 3364
-rect 145428 3300 145429 3364
-rect 145363 3299 145429 3300
-rect 145366 3093 145426 3299
-rect 145363 3092 145429 3093
-rect 145363 3028 145364 3092
-rect 145428 3028 145429 3092
-rect 145363 3027 145429 3028
-rect 222646 2821 222706 6019
-rect 583716 5624 584116 36024
-rect 583716 5388 583798 5624
-rect 584034 5388 584116 5624
-rect 347950 3710 348562 3770
-rect 347950 3637 348010 3710
-rect 348502 3637 348562 3710
-rect 347947 3636 348013 3637
-rect 347947 3572 347948 3636
-rect 348012 3572 348013 3636
-rect 347947 3571 348013 3572
-rect 348499 3636 348565 3637
-rect 348499 3572 348500 3636
-rect 348564 3572 348565 3636
-rect 348499 3571 348565 3572
-rect 222643 2820 222709 2821
-rect 222643 2756 222644 2820
-rect 222708 2756 222709 2820
-rect 222643 2755 222709 2756
-rect 800 1458 882 1694
-rect 1118 1458 1200 1694
-rect 800 1376 1200 1458
-rect 583716 1694 584116 5388
-rect 583716 1458 583798 1694
-rect 584034 1458 584116 1694
-rect 583716 1376 584116 1458
-rect 584516 694934 584916 703042
-rect 584516 694698 584598 694934
-rect 584834 694698 584916 694934
-rect 584516 664298 584916 694698
-rect 584516 664062 584598 664298
-rect 584834 664062 584916 664298
-rect 584516 633662 584916 664062
-rect 584516 633426 584598 633662
-rect 584834 633426 584916 633662
-rect 584516 603026 584916 633426
-rect 584516 602790 584598 603026
-rect 584834 602790 584916 603026
-rect 584516 572390 584916 602790
-rect 584516 572154 584598 572390
-rect 584834 572154 584916 572390
-rect 584516 541754 584916 572154
-rect 584516 541518 584598 541754
-rect 584834 541518 584916 541754
-rect 584516 511118 584916 541518
-rect 584516 510882 584598 511118
-rect 584834 510882 584916 511118
-rect 584516 480482 584916 510882
-rect 584516 480246 584598 480482
-rect 584834 480246 584916 480482
-rect 584516 449846 584916 480246
-rect 584516 449610 584598 449846
-rect 584834 449610 584916 449846
-rect 584516 419210 584916 449610
-rect 584516 418974 584598 419210
-rect 584834 418974 584916 419210
-rect 584516 388574 584916 418974
-rect 584516 388338 584598 388574
-rect 584834 388338 584916 388574
-rect 584516 357938 584916 388338
-rect 584516 357702 584598 357938
-rect 584834 357702 584916 357938
-rect 584516 327302 584916 357702
-rect 584516 327066 584598 327302
-rect 584834 327066 584916 327302
-rect 584516 296666 584916 327066
-rect 584516 296430 584598 296666
-rect 584834 296430 584916 296666
-rect 584516 266030 584916 296430
-rect 584516 265794 584598 266030
-rect 584834 265794 584916 266030
-rect 584516 235394 584916 265794
-rect 584516 235158 584598 235394
-rect 584834 235158 584916 235394
-rect 584516 204758 584916 235158
-rect 584516 204522 584598 204758
-rect 584834 204522 584916 204758
-rect 584516 174122 584916 204522
-rect 584516 173886 584598 174122
-rect 584834 173886 584916 174122
-rect 584516 143486 584916 173886
-rect 584516 143250 584598 143486
-rect 584834 143250 584916 143486
-rect 584516 112850 584916 143250
-rect 584516 112614 584598 112850
-rect 584834 112614 584916 112850
-rect 584516 82214 584916 112614
-rect 584516 81978 584598 82214
-rect 584834 81978 584916 82214
-rect 584516 51578 584916 81978
-rect 584516 51342 584598 51578
-rect 584834 51342 584916 51578
-rect 584516 20942 584916 51342
-rect 584516 20706 584598 20942
-rect 584834 20706 584916 20942
-rect 0 658 82 894
-rect 318 658 400 894
-rect 0 576 400 658
-rect 584516 894 584916 20706
-rect 584516 658 584598 894
-rect 584834 658 584916 894
-rect 584516 576 584916 658
+rect 0 358351 200 358392
+rect 0 358233 41 358351
+rect 159 358233 200 358351
+rect 0 352239 200 358233
+rect 0 352121 41 352239
+rect 159 352121 200 352239
+rect 0 342239 200 352121
+rect 0 342121 41 342239
+rect 159 342121 200 342239
+rect 0 332239 200 342121
+rect 0 332121 41 332239
+rect 159 332121 200 332239
+rect 0 322239 200 332121
+rect 0 322121 41 322239
+rect 159 322121 200 322239
+rect 0 312239 200 322121
+rect 0 312121 41 312239
+rect 159 312121 200 312239
+rect 0 302239 200 312121
+rect 0 302121 41 302239
+rect 159 302121 200 302239
+rect 0 292239 200 302121
+rect 0 292121 41 292239
+rect 159 292121 200 292239
+rect 0 282239 200 292121
+rect 0 282121 41 282239
+rect 159 282121 200 282239
+rect 0 272239 200 282121
+rect 0 272121 41 272239
+rect 159 272121 200 272239
+rect 0 262239 200 272121
+rect 0 262121 41 262239
+rect 159 262121 200 262239
+rect 0 252239 200 262121
+rect 0 252121 41 252239
+rect 159 252121 200 252239
+rect 0 242239 200 252121
+rect 0 242121 41 242239
+rect 159 242121 200 242239
+rect 0 232239 200 242121
+rect 0 232121 41 232239
+rect 159 232121 200 232239
+rect 0 222239 200 232121
+rect 0 222121 41 222239
+rect 159 222121 200 222239
+rect 0 212239 200 222121
+rect 0 212121 41 212239
+rect 159 212121 200 212239
+rect 0 202239 200 212121
+rect 0 202121 41 202239
+rect 159 202121 200 202239
+rect 0 192239 200 202121
+rect 0 192121 41 192239
+rect 159 192121 200 192239
+rect 0 182239 200 192121
+rect 0 182121 41 182239
+rect 159 182121 200 182239
+rect 0 172239 200 182121
+rect 0 172121 41 172239
+rect 159 172121 200 172239
+rect 0 162239 200 172121
+rect 0 162121 41 162239
+rect 159 162121 200 162239
+rect 0 152239 200 162121
+rect 0 152121 41 152239
+rect 159 152121 200 152239
+rect 0 142239 200 152121
+rect 0 142121 41 142239
+rect 159 142121 200 142239
+rect 0 132239 200 142121
+rect 0 132121 41 132239
+rect 159 132121 200 132239
+rect 0 122239 200 132121
+rect 0 122121 41 122239
+rect 159 122121 200 122239
+rect 0 112239 200 122121
+rect 0 112121 41 112239
+rect 159 112121 200 112239
+rect 0 102239 200 112121
+rect 0 102121 41 102239
+rect 159 102121 200 102239
+rect 0 92239 200 102121
+rect 0 92121 41 92239
+rect 159 92121 200 92239
+rect 0 82239 200 92121
+rect 0 82121 41 82239
+rect 159 82121 200 82239
+rect 0 72239 200 82121
+rect 0 72121 41 72239
+rect 159 72121 200 72239
+rect 0 62239 200 72121
+rect 0 62121 41 62239
+rect 159 62121 200 62239
+rect 0 52239 200 62121
+rect 0 52121 41 52239
+rect 159 52121 200 52239
+rect 0 42239 200 52121
+rect 0 42121 41 42239
+rect 159 42121 200 42239
+rect 0 32239 200 42121
+rect 0 32121 41 32239
+rect 159 32121 200 32239
+rect 0 22239 200 32121
+rect 0 22121 41 22239
+rect 159 22121 200 22239
+rect 0 12239 200 22121
+rect 0 12121 41 12239
+rect 159 12121 200 12239
+rect 0 159 200 12121
+rect 400 357951 600 357992
+rect 400 357833 441 357951
+rect 559 357833 600 357951
+rect 400 347239 600 357833
+rect 7080 357951 7280 358392
+rect 7080 357833 7121 357951
+rect 7239 357833 7280 357951
+rect 400 347121 441 347239
+rect 559 347121 600 347239
+rect 400 337239 600 347121
+rect 400 337121 441 337239
+rect 559 337121 600 337239
+rect 400 327239 600 337121
+rect 400 327121 441 327239
+rect 559 327121 600 327239
+rect 400 317239 600 327121
+rect 400 317121 441 317239
+rect 559 317121 600 317239
+rect 400 307239 600 317121
+rect 400 307121 441 307239
+rect 559 307121 600 307239
+rect 400 297239 600 307121
+rect 400 297121 441 297239
+rect 559 297121 600 297239
+rect 400 287239 600 297121
+rect 400 287121 441 287239
+rect 559 287121 600 287239
+rect 400 277239 600 287121
+rect 400 277121 441 277239
+rect 559 277121 600 277239
+rect 400 267239 600 277121
+rect 400 267121 441 267239
+rect 559 267121 600 267239
+rect 400 257239 600 267121
+rect 400 257121 441 257239
+rect 559 257121 600 257239
+rect 400 247239 600 257121
+rect 400 247121 441 247239
+rect 559 247121 600 247239
+rect 400 237239 600 247121
+rect 400 237121 441 237239
+rect 559 237121 600 237239
+rect 400 227239 600 237121
+rect 400 227121 441 227239
+rect 559 227121 600 227239
+rect 400 217239 600 227121
+rect 400 217121 441 217239
+rect 559 217121 600 217239
+rect 400 207239 600 217121
+rect 400 207121 441 207239
+rect 559 207121 600 207239
+rect 400 197239 600 207121
+rect 400 197121 441 197239
+rect 559 197121 600 197239
+rect 400 187239 600 197121
+rect 400 187121 441 187239
+rect 559 187121 600 187239
+rect 400 177239 600 187121
+rect 400 177121 441 177239
+rect 559 177121 600 177239
+rect 400 167239 600 177121
+rect 400 167121 441 167239
+rect 559 167121 600 167239
+rect 400 157239 600 167121
+rect 400 157121 441 157239
+rect 559 157121 600 157239
+rect 400 147239 600 157121
+rect 400 147121 441 147239
+rect 559 147121 600 147239
+rect 400 137239 600 147121
+rect 400 137121 441 137239
+rect 559 137121 600 137239
+rect 400 127239 600 137121
+rect 400 127121 441 127239
+rect 559 127121 600 127239
+rect 400 117239 600 127121
+rect 400 117121 441 117239
+rect 559 117121 600 117239
+rect 400 107239 600 117121
+rect 400 107121 441 107239
+rect 559 107121 600 107239
+rect 400 97239 600 107121
+rect 400 97121 441 97239
+rect 559 97121 600 97239
+rect 400 87239 600 97121
+rect 400 87121 441 87239
+rect 559 87121 600 87239
+rect 400 77239 600 87121
+rect 400 77121 441 77239
+rect 559 77121 600 77239
+rect 400 67239 600 77121
+rect 400 67121 441 67239
+rect 559 67121 600 67239
+rect 400 57239 600 67121
+rect 400 57121 441 57239
+rect 559 57121 600 57239
+rect 400 47239 600 57121
+rect 400 47121 441 47239
+rect 559 47121 600 47239
+rect 400 37239 600 47121
+rect 400 37121 441 37239
+rect 559 37121 600 37239
+rect 400 27239 600 37121
+rect 400 27121 441 27239
+rect 559 27121 600 27239
+rect 400 17239 600 27121
+rect 400 17121 441 17239
+rect 559 17121 600 17239
+rect 400 7239 600 17121
+rect 400 7121 441 7239
+rect 559 7121 600 7239
+rect 400 559 600 7121
+rect 800 357551 1000 357592
+rect 800 357433 841 357551
+rect 959 357433 1000 357551
+rect 800 351279 1000 357433
+rect 800 351161 841 351279
+rect 959 351161 1000 351279
+rect 800 341279 1000 351161
+rect 800 341161 841 341279
+rect 959 341161 1000 341279
+rect 800 331279 1000 341161
+rect 800 331161 841 331279
+rect 959 331161 1000 331279
+rect 800 321279 1000 331161
+rect 800 321161 841 321279
+rect 959 321161 1000 321279
+rect 800 311279 1000 321161
+rect 800 311161 841 311279
+rect 959 311161 1000 311279
+rect 800 301279 1000 311161
+rect 800 301161 841 301279
+rect 959 301161 1000 301279
+rect 800 291279 1000 301161
+rect 800 291161 841 291279
+rect 959 291161 1000 291279
+rect 800 281279 1000 291161
+rect 800 281161 841 281279
+rect 959 281161 1000 281279
+rect 800 271279 1000 281161
+rect 800 271161 841 271279
+rect 959 271161 1000 271279
+rect 800 261279 1000 271161
+rect 800 261161 841 261279
+rect 959 261161 1000 261279
+rect 800 251279 1000 261161
+rect 800 251161 841 251279
+rect 959 251161 1000 251279
+rect 800 241279 1000 251161
+rect 800 241161 841 241279
+rect 959 241161 1000 241279
+rect 800 231279 1000 241161
+rect 800 231161 841 231279
+rect 959 231161 1000 231279
+rect 800 221279 1000 231161
+rect 800 221161 841 221279
+rect 959 221161 1000 221279
+rect 800 211279 1000 221161
+rect 800 211161 841 211279
+rect 959 211161 1000 211279
+rect 800 201279 1000 211161
+rect 800 201161 841 201279
+rect 959 201161 1000 201279
+rect 800 191279 1000 201161
+rect 800 191161 841 191279
+rect 959 191161 1000 191279
+rect 800 181279 1000 191161
+rect 800 181161 841 181279
+rect 959 181161 1000 181279
+rect 800 171279 1000 181161
+rect 800 171161 841 171279
+rect 959 171161 1000 171279
+rect 800 161279 1000 171161
+rect 800 161161 841 161279
+rect 959 161161 1000 161279
+rect 800 151279 1000 161161
+rect 800 151161 841 151279
+rect 959 151161 1000 151279
+rect 800 141279 1000 151161
+rect 800 141161 841 141279
+rect 959 141161 1000 141279
+rect 800 131279 1000 141161
+rect 800 131161 841 131279
+rect 959 131161 1000 131279
+rect 800 121279 1000 131161
+rect 800 121161 841 121279
+rect 959 121161 1000 121279
+rect 800 111279 1000 121161
+rect 800 111161 841 111279
+rect 959 111161 1000 111279
+rect 800 101279 1000 111161
+rect 800 101161 841 101279
+rect 959 101161 1000 101279
+rect 800 91279 1000 101161
+rect 800 91161 841 91279
+rect 959 91161 1000 91279
+rect 800 81279 1000 91161
+rect 800 81161 841 81279
+rect 959 81161 1000 81279
+rect 800 71279 1000 81161
+rect 800 71161 841 71279
+rect 959 71161 1000 71279
+rect 800 61279 1000 71161
+rect 800 61161 841 61279
+rect 959 61161 1000 61279
+rect 800 51279 1000 61161
+rect 800 51161 841 51279
+rect 959 51161 1000 51279
+rect 800 41279 1000 51161
+rect 800 41161 841 41279
+rect 959 41161 1000 41279
+rect 800 31279 1000 41161
+rect 800 31161 841 31279
+rect 959 31161 1000 31279
+rect 800 21279 1000 31161
+rect 800 21161 841 21279
+rect 959 21161 1000 21279
+rect 800 11279 1000 21161
+rect 800 11161 841 11279
+rect 959 11161 1000 11279
+rect 800 959 1000 11161
+rect 1200 357151 1400 357192
+rect 1200 357033 1241 357151
+rect 1359 357033 1400 357151
+rect 1200 346279 1400 357033
+rect 6120 357151 6320 357592
+rect 6120 357033 6161 357151
+rect 6279 357033 6320 357151
+rect 1200 346161 1241 346279
+rect 1359 346161 1400 346279
+rect 1200 336279 1400 346161
+rect 1200 336161 1241 336279
+rect 1359 336161 1400 336279
+rect 1200 326279 1400 336161
+rect 1200 326161 1241 326279
+rect 1359 326161 1400 326279
+rect 1200 316279 1400 326161
+rect 1200 316161 1241 316279
+rect 1359 316161 1400 316279
+rect 1200 306279 1400 316161
+rect 1200 306161 1241 306279
+rect 1359 306161 1400 306279
+rect 1200 296279 1400 306161
+rect 1200 296161 1241 296279
+rect 1359 296161 1400 296279
+rect 1200 286279 1400 296161
+rect 1200 286161 1241 286279
+rect 1359 286161 1400 286279
+rect 1200 276279 1400 286161
+rect 1200 276161 1241 276279
+rect 1359 276161 1400 276279
+rect 1200 266279 1400 276161
+rect 1200 266161 1241 266279
+rect 1359 266161 1400 266279
+rect 1200 256279 1400 266161
+rect 1200 256161 1241 256279
+rect 1359 256161 1400 256279
+rect 1200 246279 1400 256161
+rect 1200 246161 1241 246279
+rect 1359 246161 1400 246279
+rect 1200 236279 1400 246161
+rect 1200 236161 1241 236279
+rect 1359 236161 1400 236279
+rect 1200 226279 1400 236161
+rect 1200 226161 1241 226279
+rect 1359 226161 1400 226279
+rect 1200 216279 1400 226161
+rect 1200 216161 1241 216279
+rect 1359 216161 1400 216279
+rect 1200 206279 1400 216161
+rect 1200 206161 1241 206279
+rect 1359 206161 1400 206279
+rect 1200 196279 1400 206161
+rect 1200 196161 1241 196279
+rect 1359 196161 1400 196279
+rect 1200 186279 1400 196161
+rect 1200 186161 1241 186279
+rect 1359 186161 1400 186279
+rect 1200 176279 1400 186161
+rect 1200 176161 1241 176279
+rect 1359 176161 1400 176279
+rect 1200 166279 1400 176161
+rect 1200 166161 1241 166279
+rect 1359 166161 1400 166279
+rect 1200 156279 1400 166161
+rect 1200 156161 1241 156279
+rect 1359 156161 1400 156279
+rect 1200 146279 1400 156161
+rect 1200 146161 1241 146279
+rect 1359 146161 1400 146279
+rect 1200 136279 1400 146161
+rect 1200 136161 1241 136279
+rect 1359 136161 1400 136279
+rect 1200 126279 1400 136161
+rect 1200 126161 1241 126279
+rect 1359 126161 1400 126279
+rect 1200 116279 1400 126161
+rect 1200 116161 1241 116279
+rect 1359 116161 1400 116279
+rect 1200 106279 1400 116161
+rect 1200 106161 1241 106279
+rect 1359 106161 1400 106279
+rect 1200 96279 1400 106161
+rect 1200 96161 1241 96279
+rect 1359 96161 1400 96279
+rect 1200 86279 1400 96161
+rect 1200 86161 1241 86279
+rect 1359 86161 1400 86279
+rect 1200 76279 1400 86161
+rect 1200 76161 1241 76279
+rect 1359 76161 1400 76279
+rect 1200 66279 1400 76161
+rect 1200 66161 1241 66279
+rect 1359 66161 1400 66279
+rect 1200 56279 1400 66161
+rect 1200 56161 1241 56279
+rect 1359 56161 1400 56279
+rect 1200 46279 1400 56161
+rect 1200 46161 1241 46279
+rect 1359 46161 1400 46279
+rect 1200 36279 1400 46161
+rect 1200 36161 1241 36279
+rect 1359 36161 1400 36279
+rect 1200 26279 1400 36161
+rect 1200 26161 1241 26279
+rect 1359 26161 1400 26279
+rect 1200 16279 1400 26161
+rect 1200 16161 1241 16279
+rect 1359 16161 1400 16279
+rect 1200 6279 1400 16161
+rect 1200 6161 1241 6279
+rect 1359 6161 1400 6279
+rect 1200 1359 1400 6161
+rect 1600 356751 1800 356792
+rect 1600 356633 1641 356751
+rect 1759 356633 1800 356751
+rect 1600 350319 1800 356633
+rect 1600 350201 1641 350319
+rect 1759 350201 1800 350319
+rect 1600 340319 1800 350201
+rect 1600 340201 1641 340319
+rect 1759 340201 1800 340319
+rect 1600 330319 1800 340201
+rect 1600 330201 1641 330319
+rect 1759 330201 1800 330319
+rect 1600 320319 1800 330201
+rect 1600 320201 1641 320319
+rect 1759 320201 1800 320319
+rect 1600 310319 1800 320201
+rect 1600 310201 1641 310319
+rect 1759 310201 1800 310319
+rect 1600 300319 1800 310201
+rect 1600 300201 1641 300319
+rect 1759 300201 1800 300319
+rect 1600 290319 1800 300201
+rect 1600 290201 1641 290319
+rect 1759 290201 1800 290319
+rect 1600 280319 1800 290201
+rect 1600 280201 1641 280319
+rect 1759 280201 1800 280319
+rect 1600 270319 1800 280201
+rect 1600 270201 1641 270319
+rect 1759 270201 1800 270319
+rect 1600 260319 1800 270201
+rect 1600 260201 1641 260319
+rect 1759 260201 1800 260319
+rect 1600 250319 1800 260201
+rect 1600 250201 1641 250319
+rect 1759 250201 1800 250319
+rect 1600 240319 1800 250201
+rect 1600 240201 1641 240319
+rect 1759 240201 1800 240319
+rect 1600 230319 1800 240201
+rect 1600 230201 1641 230319
+rect 1759 230201 1800 230319
+rect 1600 220319 1800 230201
+rect 1600 220201 1641 220319
+rect 1759 220201 1800 220319
+rect 1600 210319 1800 220201
+rect 1600 210201 1641 210319
+rect 1759 210201 1800 210319
+rect 1600 200319 1800 210201
+rect 1600 200201 1641 200319
+rect 1759 200201 1800 200319
+rect 1600 190319 1800 200201
+rect 1600 190201 1641 190319
+rect 1759 190201 1800 190319
+rect 1600 180319 1800 190201
+rect 1600 180201 1641 180319
+rect 1759 180201 1800 180319
+rect 1600 170319 1800 180201
+rect 1600 170201 1641 170319
+rect 1759 170201 1800 170319
+rect 1600 160319 1800 170201
+rect 1600 160201 1641 160319
+rect 1759 160201 1800 160319
+rect 1600 150319 1800 160201
+rect 1600 150201 1641 150319
+rect 1759 150201 1800 150319
+rect 1600 140319 1800 150201
+rect 1600 140201 1641 140319
+rect 1759 140201 1800 140319
+rect 1600 130319 1800 140201
+rect 1600 130201 1641 130319
+rect 1759 130201 1800 130319
+rect 1600 120319 1800 130201
+rect 1600 120201 1641 120319
+rect 1759 120201 1800 120319
+rect 1600 110319 1800 120201
+rect 1600 110201 1641 110319
+rect 1759 110201 1800 110319
+rect 1600 100319 1800 110201
+rect 1600 100201 1641 100319
+rect 1759 100201 1800 100319
+rect 1600 90319 1800 100201
+rect 1600 90201 1641 90319
+rect 1759 90201 1800 90319
+rect 1600 80319 1800 90201
+rect 1600 80201 1641 80319
+rect 1759 80201 1800 80319
+rect 1600 70319 1800 80201
+rect 1600 70201 1641 70319
+rect 1759 70201 1800 70319
+rect 1600 60319 1800 70201
+rect 1600 60201 1641 60319
+rect 1759 60201 1800 60319
+rect 1600 50319 1800 60201
+rect 1600 50201 1641 50319
+rect 1759 50201 1800 50319
+rect 1600 40319 1800 50201
+rect 1600 40201 1641 40319
+rect 1759 40201 1800 40319
+rect 1600 30319 1800 40201
+rect 1600 30201 1641 30319
+rect 1759 30201 1800 30319
+rect 1600 20319 1800 30201
+rect 1600 20201 1641 20319
+rect 1759 20201 1800 20319
+rect 1600 10319 1800 20201
+rect 1600 10201 1641 10319
+rect 1759 10201 1800 10319
+rect 1600 1759 1800 10201
+rect 2000 356351 2200 356392
+rect 2000 356233 2041 356351
+rect 2159 356233 2200 356351
+rect 2000 345319 2200 356233
+rect 5160 356351 5360 356792
+rect 5160 356233 5201 356351
+rect 5319 356233 5360 356351
+rect 2000 345201 2041 345319
+rect 2159 345201 2200 345319
+rect 2000 335319 2200 345201
+rect 2000 335201 2041 335319
+rect 2159 335201 2200 335319
+rect 2000 325319 2200 335201
+rect 2000 325201 2041 325319
+rect 2159 325201 2200 325319
+rect 2000 315319 2200 325201
+rect 2000 315201 2041 315319
+rect 2159 315201 2200 315319
+rect 2000 305319 2200 315201
+rect 2000 305201 2041 305319
+rect 2159 305201 2200 305319
+rect 2000 295319 2200 305201
+rect 2000 295201 2041 295319
+rect 2159 295201 2200 295319
+rect 2000 285319 2200 295201
+rect 2000 285201 2041 285319
+rect 2159 285201 2200 285319
+rect 2000 275319 2200 285201
+rect 2000 275201 2041 275319
+rect 2159 275201 2200 275319
+rect 2000 265319 2200 275201
+rect 2000 265201 2041 265319
+rect 2159 265201 2200 265319
+rect 2000 255319 2200 265201
+rect 2000 255201 2041 255319
+rect 2159 255201 2200 255319
+rect 2000 245319 2200 255201
+rect 2000 245201 2041 245319
+rect 2159 245201 2200 245319
+rect 2000 235319 2200 245201
+rect 2000 235201 2041 235319
+rect 2159 235201 2200 235319
+rect 2000 225319 2200 235201
+rect 2000 225201 2041 225319
+rect 2159 225201 2200 225319
+rect 2000 215319 2200 225201
+rect 2000 215201 2041 215319
+rect 2159 215201 2200 215319
+rect 2000 205319 2200 215201
+rect 2000 205201 2041 205319
+rect 2159 205201 2200 205319
+rect 2000 195319 2200 205201
+rect 2000 195201 2041 195319
+rect 2159 195201 2200 195319
+rect 2000 185319 2200 195201
+rect 2000 185201 2041 185319
+rect 2159 185201 2200 185319
+rect 2000 175319 2200 185201
+rect 2000 175201 2041 175319
+rect 2159 175201 2200 175319
+rect 2000 165319 2200 175201
+rect 2000 165201 2041 165319
+rect 2159 165201 2200 165319
+rect 2000 155319 2200 165201
+rect 2000 155201 2041 155319
+rect 2159 155201 2200 155319
+rect 2000 145319 2200 155201
+rect 2000 145201 2041 145319
+rect 2159 145201 2200 145319
+rect 2000 135319 2200 145201
+rect 2000 135201 2041 135319
+rect 2159 135201 2200 135319
+rect 2000 125319 2200 135201
+rect 2000 125201 2041 125319
+rect 2159 125201 2200 125319
+rect 2000 115319 2200 125201
+rect 2000 115201 2041 115319
+rect 2159 115201 2200 115319
+rect 2000 105319 2200 115201
+rect 2000 105201 2041 105319
+rect 2159 105201 2200 105319
+rect 2000 95319 2200 105201
+rect 2000 95201 2041 95319
+rect 2159 95201 2200 95319
+rect 2000 85319 2200 95201
+rect 2000 85201 2041 85319
+rect 2159 85201 2200 85319
+rect 2000 75319 2200 85201
+rect 2000 75201 2041 75319
+rect 2159 75201 2200 75319
+rect 2000 65319 2200 75201
+rect 2000 65201 2041 65319
+rect 2159 65201 2200 65319
+rect 2000 55319 2200 65201
+rect 2000 55201 2041 55319
+rect 2159 55201 2200 55319
+rect 2000 45319 2200 55201
+rect 2000 45201 2041 45319
+rect 2159 45201 2200 45319
+rect 2000 35319 2200 45201
+rect 2000 35201 2041 35319
+rect 2159 35201 2200 35319
+rect 2000 25319 2200 35201
+rect 2000 25201 2041 25319
+rect 2159 25201 2200 25319
+rect 2000 15319 2200 25201
+rect 2000 15201 2041 15319
+rect 2159 15201 2200 15319
+rect 2000 5319 2200 15201
+rect 2000 5201 2041 5319
+rect 2159 5201 2200 5319
+rect 2000 2159 2200 5201
+rect 2400 355951 2600 355992
+rect 2400 355833 2441 355951
+rect 2559 355833 2600 355951
+rect 2400 349359 2600 355833
+rect 2400 349241 2441 349359
+rect 2559 349241 2600 349359
+rect 2400 339359 2600 349241
+rect 2400 339241 2441 339359
+rect 2559 339241 2600 339359
+rect 2400 329359 2600 339241
+rect 2400 329241 2441 329359
+rect 2559 329241 2600 329359
+rect 2400 319359 2600 329241
+rect 2400 319241 2441 319359
+rect 2559 319241 2600 319359
+rect 2400 309359 2600 319241
+rect 2400 309241 2441 309359
+rect 2559 309241 2600 309359
+rect 2400 299359 2600 309241
+rect 2400 299241 2441 299359
+rect 2559 299241 2600 299359
+rect 2400 289359 2600 299241
+rect 2400 289241 2441 289359
+rect 2559 289241 2600 289359
+rect 2400 279359 2600 289241
+rect 2400 279241 2441 279359
+rect 2559 279241 2600 279359
+rect 2400 269359 2600 279241
+rect 2400 269241 2441 269359
+rect 2559 269241 2600 269359
+rect 2400 259359 2600 269241
+rect 2400 259241 2441 259359
+rect 2559 259241 2600 259359
+rect 2400 249359 2600 259241
+rect 2400 249241 2441 249359
+rect 2559 249241 2600 249359
+rect 2400 239359 2600 249241
+rect 2400 239241 2441 239359
+rect 2559 239241 2600 239359
+rect 2400 229359 2600 239241
+rect 2400 229241 2441 229359
+rect 2559 229241 2600 229359
+rect 2400 219359 2600 229241
+rect 2400 219241 2441 219359
+rect 2559 219241 2600 219359
+rect 2400 209359 2600 219241
+rect 2400 209241 2441 209359
+rect 2559 209241 2600 209359
+rect 2400 199359 2600 209241
+rect 2400 199241 2441 199359
+rect 2559 199241 2600 199359
+rect 2400 189359 2600 199241
+rect 2400 189241 2441 189359
+rect 2559 189241 2600 189359
+rect 2400 179359 2600 189241
+rect 2400 179241 2441 179359
+rect 2559 179241 2600 179359
+rect 2400 169359 2600 179241
+rect 2400 169241 2441 169359
+rect 2559 169241 2600 169359
+rect 2400 159359 2600 169241
+rect 2400 159241 2441 159359
+rect 2559 159241 2600 159359
+rect 2400 149359 2600 159241
+rect 2400 149241 2441 149359
+rect 2559 149241 2600 149359
+rect 2400 139359 2600 149241
+rect 2400 139241 2441 139359
+rect 2559 139241 2600 139359
+rect 2400 129359 2600 139241
+rect 2400 129241 2441 129359
+rect 2559 129241 2600 129359
+rect 2400 119359 2600 129241
+rect 2400 119241 2441 119359
+rect 2559 119241 2600 119359
+rect 2400 109359 2600 119241
+rect 2400 109241 2441 109359
+rect 2559 109241 2600 109359
+rect 2400 99359 2600 109241
+rect 2400 99241 2441 99359
+rect 2559 99241 2600 99359
+rect 2400 89359 2600 99241
+rect 2400 89241 2441 89359
+rect 2559 89241 2600 89359
+rect 2400 79359 2600 89241
+rect 2400 79241 2441 79359
+rect 2559 79241 2600 79359
+rect 2400 69359 2600 79241
+rect 2400 69241 2441 69359
+rect 2559 69241 2600 69359
+rect 2400 59359 2600 69241
+rect 2400 59241 2441 59359
+rect 2559 59241 2600 59359
+rect 2400 49359 2600 59241
+rect 2400 49241 2441 49359
+rect 2559 49241 2600 49359
+rect 2400 39359 2600 49241
+rect 2400 39241 2441 39359
+rect 2559 39241 2600 39359
+rect 2400 29359 2600 39241
+rect 2400 29241 2441 29359
+rect 2559 29241 2600 29359
+rect 2400 19359 2600 29241
+rect 2400 19241 2441 19359
+rect 2559 19241 2600 19359
+rect 2400 9359 2600 19241
+rect 2400 9241 2441 9359
+rect 2559 9241 2600 9359
+rect 2400 2559 2600 9241
+rect 2800 355551 3000 355592
+rect 2800 355433 2841 355551
+rect 2959 355433 3000 355551
+rect 2800 344359 3000 355433
+rect 4200 355551 4400 355992
+rect 4200 355433 4241 355551
+rect 4359 355433 4400 355551
+rect 4200 354972 4400 355433
+rect 5160 354972 5360 356233
+rect 6120 354972 6320 357033
+rect 7080 354972 7280 357833
+rect 12080 358351 12280 358392
+rect 12080 358233 12121 358351
+rect 12239 358233 12280 358351
+rect 11120 357551 11320 357592
+rect 11120 357433 11161 357551
+rect 11279 357433 11320 357551
+rect 10160 356751 10360 356792
+rect 10160 356633 10201 356751
+rect 10319 356633 10360 356751
+rect 9200 355951 9400 355992
+rect 9200 355833 9241 355951
+rect 9359 355833 9400 355951
+rect 9200 354972 9400 355833
+rect 10160 354972 10360 356633
+rect 11120 354972 11320 357433
+rect 12080 354972 12280 358233
+rect 17080 357951 17280 358392
+rect 17080 357833 17121 357951
+rect 17239 357833 17280 357951
+rect 16120 357151 16320 357592
+rect 16120 357033 16161 357151
+rect 16279 357033 16320 357151
+rect 15160 356351 15360 356792
+rect 15160 356233 15201 356351
+rect 15319 356233 15360 356351
+rect 14200 355551 14400 355992
+rect 14200 355433 14241 355551
+rect 14359 355433 14400 355551
+rect 14200 354972 14400 355433
+rect 15160 354972 15360 356233
+rect 16120 354972 16320 357033
+rect 17080 354972 17280 357833
+rect 22080 358351 22280 358392
+rect 22080 358233 22121 358351
+rect 22239 358233 22280 358351
+rect 21120 357551 21320 357592
+rect 21120 357433 21161 357551
+rect 21279 357433 21320 357551
+rect 20160 356751 20360 356792
+rect 20160 356633 20201 356751
+rect 20319 356633 20360 356751
+rect 19200 355951 19400 355992
+rect 19200 355833 19241 355951
+rect 19359 355833 19400 355951
+rect 19200 354972 19400 355833
+rect 20160 354972 20360 356633
+rect 21120 354972 21320 357433
+rect 22080 354972 22280 358233
+rect 27080 357951 27280 358392
+rect 27080 357833 27121 357951
+rect 27239 357833 27280 357951
+rect 26120 357151 26320 357592
+rect 26120 357033 26161 357151
+rect 26279 357033 26320 357151
+rect 25160 356351 25360 356792
+rect 25160 356233 25201 356351
+rect 25319 356233 25360 356351
+rect 24200 355551 24400 355992
+rect 24200 355433 24241 355551
+rect 24359 355433 24400 355551
+rect 24200 354972 24400 355433
+rect 25160 354972 25360 356233
+rect 26120 354972 26320 357033
+rect 27080 354972 27280 357833
+rect 32080 358351 32280 358392
+rect 32080 358233 32121 358351
+rect 32239 358233 32280 358351
+rect 31120 357551 31320 357592
+rect 31120 357433 31161 357551
+rect 31279 357433 31320 357551
+rect 30160 356751 30360 356792
+rect 30160 356633 30201 356751
+rect 30319 356633 30360 356751
+rect 29200 355951 29400 355992
+rect 29200 355833 29241 355951
+rect 29359 355833 29400 355951
+rect 29200 354972 29400 355833
+rect 30160 354972 30360 356633
+rect 31120 354972 31320 357433
+rect 32080 354972 32280 358233
+rect 37080 357951 37280 358392
+rect 37080 357833 37121 357951
+rect 37239 357833 37280 357951
+rect 36120 357151 36320 357592
+rect 36120 357033 36161 357151
+rect 36279 357033 36320 357151
+rect 35160 356351 35360 356792
+rect 35160 356233 35201 356351
+rect 35319 356233 35360 356351
+rect 34200 355551 34400 355992
+rect 34200 355433 34241 355551
+rect 34359 355433 34400 355551
+rect 34200 354972 34400 355433
+rect 35160 354972 35360 356233
+rect 36120 354972 36320 357033
+rect 37080 354972 37280 357833
+rect 42080 358351 42280 358392
+rect 42080 358233 42121 358351
+rect 42239 358233 42280 358351
+rect 41120 357551 41320 357592
+rect 41120 357433 41161 357551
+rect 41279 357433 41320 357551
+rect 40160 356751 40360 356792
+rect 40160 356633 40201 356751
+rect 40319 356633 40360 356751
+rect 39200 355951 39400 355992
+rect 39200 355833 39241 355951
+rect 39359 355833 39400 355951
+rect 39200 354972 39400 355833
+rect 40160 354972 40360 356633
+rect 41120 354972 41320 357433
+rect 42080 354972 42280 358233
+rect 47080 357951 47280 358392
+rect 47080 357833 47121 357951
+rect 47239 357833 47280 357951
+rect 46120 357151 46320 357592
+rect 46120 357033 46161 357151
+rect 46279 357033 46320 357151
+rect 45160 356351 45360 356792
+rect 45160 356233 45201 356351
+rect 45319 356233 45360 356351
+rect 44200 355551 44400 355992
+rect 44200 355433 44241 355551
+rect 44359 355433 44400 355551
+rect 44200 354972 44400 355433
+rect 45160 354972 45360 356233
+rect 46120 354972 46320 357033
+rect 47080 354972 47280 357833
+rect 52080 358351 52280 358392
+rect 52080 358233 52121 358351
+rect 52239 358233 52280 358351
+rect 51120 357551 51320 357592
+rect 51120 357433 51161 357551
+rect 51279 357433 51320 357551
+rect 50160 356751 50360 356792
+rect 50160 356633 50201 356751
+rect 50319 356633 50360 356751
+rect 49200 355951 49400 355992
+rect 49200 355833 49241 355951
+rect 49359 355833 49400 355951
+rect 49200 354972 49400 355833
+rect 50160 354972 50360 356633
+rect 51120 354972 51320 357433
+rect 52080 354972 52280 358233
+rect 57080 357951 57280 358392
+rect 57080 357833 57121 357951
+rect 57239 357833 57280 357951
+rect 56120 357151 56320 357592
+rect 56120 357033 56161 357151
+rect 56279 357033 56320 357151
+rect 55160 356351 55360 356792
+rect 55160 356233 55201 356351
+rect 55319 356233 55360 356351
+rect 54200 355551 54400 355992
+rect 54200 355433 54241 355551
+rect 54359 355433 54400 355551
+rect 54200 354972 54400 355433
+rect 55160 354972 55360 356233
+rect 56120 354972 56320 357033
+rect 57080 354972 57280 357833
+rect 62080 358351 62280 358392
+rect 62080 358233 62121 358351
+rect 62239 358233 62280 358351
+rect 61120 357551 61320 357592
+rect 61120 357433 61161 357551
+rect 61279 357433 61320 357551
+rect 60160 356751 60360 356792
+rect 60160 356633 60201 356751
+rect 60319 356633 60360 356751
+rect 59200 355951 59400 355992
+rect 59200 355833 59241 355951
+rect 59359 355833 59400 355951
+rect 59200 354972 59400 355833
+rect 60160 354972 60360 356633
+rect 61120 354972 61320 357433
+rect 62080 354972 62280 358233
+rect 67080 357951 67280 358392
+rect 67080 357833 67121 357951
+rect 67239 357833 67280 357951
+rect 66120 357151 66320 357592
+rect 66120 357033 66161 357151
+rect 66279 357033 66320 357151
+rect 65160 356351 65360 356792
+rect 65160 356233 65201 356351
+rect 65319 356233 65360 356351
+rect 64200 355551 64400 355992
+rect 64200 355433 64241 355551
+rect 64359 355433 64400 355551
+rect 64200 354972 64400 355433
+rect 65160 354972 65360 356233
+rect 66120 354972 66320 357033
+rect 67080 354972 67280 357833
+rect 72080 358351 72280 358392
+rect 72080 358233 72121 358351
+rect 72239 358233 72280 358351
+rect 71120 357551 71320 357592
+rect 71120 357433 71161 357551
+rect 71279 357433 71320 357551
+rect 70160 356751 70360 356792
+rect 70160 356633 70201 356751
+rect 70319 356633 70360 356751
+rect 69200 355951 69400 355992
+rect 69200 355833 69241 355951
+rect 69359 355833 69400 355951
+rect 69200 354972 69400 355833
+rect 70160 354972 70360 356633
+rect 71120 354972 71320 357433
+rect 72080 354972 72280 358233
+rect 77080 357951 77280 358392
+rect 77080 357833 77121 357951
+rect 77239 357833 77280 357951
+rect 76120 357151 76320 357592
+rect 76120 357033 76161 357151
+rect 76279 357033 76320 357151
+rect 75160 356351 75360 356792
+rect 75160 356233 75201 356351
+rect 75319 356233 75360 356351
+rect 74200 355551 74400 355992
+rect 74200 355433 74241 355551
+rect 74359 355433 74400 355551
+rect 74200 354972 74400 355433
+rect 75160 354972 75360 356233
+rect 76120 354972 76320 357033
+rect 77080 354972 77280 357833
+rect 82080 358351 82280 358392
+rect 82080 358233 82121 358351
+rect 82239 358233 82280 358351
+rect 81120 357551 81320 357592
+rect 81120 357433 81161 357551
+rect 81279 357433 81320 357551
+rect 80160 356751 80360 356792
+rect 80160 356633 80201 356751
+rect 80319 356633 80360 356751
+rect 79200 355951 79400 355992
+rect 79200 355833 79241 355951
+rect 79359 355833 79400 355951
+rect 79200 354972 79400 355833
+rect 80160 354972 80360 356633
+rect 81120 354972 81320 357433
+rect 82080 354972 82280 358233
+rect 87080 357951 87280 358392
+rect 87080 357833 87121 357951
+rect 87239 357833 87280 357951
+rect 86120 357151 86320 357592
+rect 86120 357033 86161 357151
+rect 86279 357033 86320 357151
+rect 85160 356351 85360 356792
+rect 85160 356233 85201 356351
+rect 85319 356233 85360 356351
+rect 84200 355551 84400 355992
+rect 84200 355433 84241 355551
+rect 84359 355433 84400 355551
+rect 84200 354972 84400 355433
+rect 85160 354972 85360 356233
+rect 86120 354972 86320 357033
+rect 87080 354972 87280 357833
+rect 92080 358351 92280 358392
+rect 92080 358233 92121 358351
+rect 92239 358233 92280 358351
+rect 91120 357551 91320 357592
+rect 91120 357433 91161 357551
+rect 91279 357433 91320 357551
+rect 90160 356751 90360 356792
+rect 90160 356633 90201 356751
+rect 90319 356633 90360 356751
+rect 89200 355951 89400 355992
+rect 89200 355833 89241 355951
+rect 89359 355833 89400 355951
+rect 89200 354972 89400 355833
+rect 90160 354972 90360 356633
+rect 91120 354972 91320 357433
+rect 92080 354972 92280 358233
+rect 97080 357951 97280 358392
+rect 97080 357833 97121 357951
+rect 97239 357833 97280 357951
+rect 96120 357151 96320 357592
+rect 96120 357033 96161 357151
+rect 96279 357033 96320 357151
+rect 95160 356351 95360 356792
+rect 95160 356233 95201 356351
+rect 95319 356233 95360 356351
+rect 94200 355551 94400 355992
+rect 94200 355433 94241 355551
+rect 94359 355433 94400 355551
+rect 94200 354972 94400 355433
+rect 95160 354972 95360 356233
+rect 96120 354972 96320 357033
+rect 97080 354972 97280 357833
+rect 102080 358351 102280 358392
+rect 102080 358233 102121 358351
+rect 102239 358233 102280 358351
+rect 101120 357551 101320 357592
+rect 101120 357433 101161 357551
+rect 101279 357433 101320 357551
+rect 100160 356751 100360 356792
+rect 100160 356633 100201 356751
+rect 100319 356633 100360 356751
+rect 99200 355951 99400 355992
+rect 99200 355833 99241 355951
+rect 99359 355833 99400 355951
+rect 99200 354972 99400 355833
+rect 100160 354972 100360 356633
+rect 101120 354972 101320 357433
+rect 102080 354972 102280 358233
+rect 107080 357951 107280 358392
+rect 107080 357833 107121 357951
+rect 107239 357833 107280 357951
+rect 106120 357151 106320 357592
+rect 106120 357033 106161 357151
+rect 106279 357033 106320 357151
+rect 105160 356351 105360 356792
+rect 105160 356233 105201 356351
+rect 105319 356233 105360 356351
+rect 104200 355551 104400 355992
+rect 104200 355433 104241 355551
+rect 104359 355433 104400 355551
+rect 104200 354972 104400 355433
+rect 105160 354972 105360 356233
+rect 106120 354972 106320 357033
+rect 107080 354972 107280 357833
+rect 112080 358351 112280 358392
+rect 112080 358233 112121 358351
+rect 112239 358233 112280 358351
+rect 111120 357551 111320 357592
+rect 111120 357433 111161 357551
+rect 111279 357433 111320 357551
+rect 110160 356751 110360 356792
+rect 110160 356633 110201 356751
+rect 110319 356633 110360 356751
+rect 109200 355951 109400 355992
+rect 109200 355833 109241 355951
+rect 109359 355833 109400 355951
+rect 109200 354972 109400 355833
+rect 110160 354972 110360 356633
+rect 111120 354972 111320 357433
+rect 112080 354972 112280 358233
+rect 117080 357951 117280 358392
+rect 117080 357833 117121 357951
+rect 117239 357833 117280 357951
+rect 116120 357151 116320 357592
+rect 116120 357033 116161 357151
+rect 116279 357033 116320 357151
+rect 115160 356351 115360 356792
+rect 115160 356233 115201 356351
+rect 115319 356233 115360 356351
+rect 114200 355551 114400 355992
+rect 114200 355433 114241 355551
+rect 114359 355433 114400 355551
+rect 114200 354972 114400 355433
+rect 115160 354972 115360 356233
+rect 116120 354972 116320 357033
+rect 117080 354972 117280 357833
+rect 122080 358351 122280 358392
+rect 122080 358233 122121 358351
+rect 122239 358233 122280 358351
+rect 121120 357551 121320 357592
+rect 121120 357433 121161 357551
+rect 121279 357433 121320 357551
+rect 120160 356751 120360 356792
+rect 120160 356633 120201 356751
+rect 120319 356633 120360 356751
+rect 119200 355951 119400 355992
+rect 119200 355833 119241 355951
+rect 119359 355833 119400 355951
+rect 119200 354972 119400 355833
+rect 120160 354972 120360 356633
+rect 121120 354972 121320 357433
+rect 122080 354972 122280 358233
+rect 127080 357951 127280 358392
+rect 127080 357833 127121 357951
+rect 127239 357833 127280 357951
+rect 126120 357151 126320 357592
+rect 126120 357033 126161 357151
+rect 126279 357033 126320 357151
+rect 125160 356351 125360 356792
+rect 125160 356233 125201 356351
+rect 125319 356233 125360 356351
+rect 124200 355551 124400 355992
+rect 124200 355433 124241 355551
+rect 124359 355433 124400 355551
+rect 124200 354972 124400 355433
+rect 125160 354972 125360 356233
+rect 126120 354972 126320 357033
+rect 127080 354972 127280 357833
+rect 132080 358351 132280 358392
+rect 132080 358233 132121 358351
+rect 132239 358233 132280 358351
+rect 131120 357551 131320 357592
+rect 131120 357433 131161 357551
+rect 131279 357433 131320 357551
+rect 130160 356751 130360 356792
+rect 130160 356633 130201 356751
+rect 130319 356633 130360 356751
+rect 129200 355951 129400 355992
+rect 129200 355833 129241 355951
+rect 129359 355833 129400 355951
+rect 129200 354972 129400 355833
+rect 130160 354972 130360 356633
+rect 131120 354972 131320 357433
+rect 132080 354972 132280 358233
+rect 137080 357951 137280 358392
+rect 137080 357833 137121 357951
+rect 137239 357833 137280 357951
+rect 136120 357151 136320 357592
+rect 136120 357033 136161 357151
+rect 136279 357033 136320 357151
+rect 135160 356351 135360 356792
+rect 135160 356233 135201 356351
+rect 135319 356233 135360 356351
+rect 134200 355551 134400 355992
+rect 134200 355433 134241 355551
+rect 134359 355433 134400 355551
+rect 134200 354972 134400 355433
+rect 135160 354972 135360 356233
+rect 136120 354972 136320 357033
+rect 137080 354972 137280 357833
+rect 142080 358351 142280 358392
+rect 142080 358233 142121 358351
+rect 142239 358233 142280 358351
+rect 141120 357551 141320 357592
+rect 141120 357433 141161 357551
+rect 141279 357433 141320 357551
+rect 140160 356751 140360 356792
+rect 140160 356633 140201 356751
+rect 140319 356633 140360 356751
+rect 139200 355951 139400 355992
+rect 139200 355833 139241 355951
+rect 139359 355833 139400 355951
+rect 139200 354972 139400 355833
+rect 140160 354972 140360 356633
+rect 141120 354972 141320 357433
+rect 142080 354972 142280 358233
+rect 147080 357951 147280 358392
+rect 147080 357833 147121 357951
+rect 147239 357833 147280 357951
+rect 146120 357151 146320 357592
+rect 146120 357033 146161 357151
+rect 146279 357033 146320 357151
+rect 145160 356351 145360 356792
+rect 145160 356233 145201 356351
+rect 145319 356233 145360 356351
+rect 144200 355551 144400 355992
+rect 144200 355433 144241 355551
+rect 144359 355433 144400 355551
+rect 144200 354972 144400 355433
+rect 145160 354972 145360 356233
+rect 146120 354972 146320 357033
+rect 147080 354972 147280 357833
+rect 152080 358351 152280 358392
+rect 152080 358233 152121 358351
+rect 152239 358233 152280 358351
+rect 151120 357551 151320 357592
+rect 151120 357433 151161 357551
+rect 151279 357433 151320 357551
+rect 150160 356751 150360 356792
+rect 150160 356633 150201 356751
+rect 150319 356633 150360 356751
+rect 149200 355951 149400 355992
+rect 149200 355833 149241 355951
+rect 149359 355833 149400 355951
+rect 149200 354972 149400 355833
+rect 150160 354972 150360 356633
+rect 151120 354972 151320 357433
+rect 152080 354972 152280 358233
+rect 157080 357951 157280 358392
+rect 157080 357833 157121 357951
+rect 157239 357833 157280 357951
+rect 156120 357151 156320 357592
+rect 156120 357033 156161 357151
+rect 156279 357033 156320 357151
+rect 155160 356351 155360 356792
+rect 155160 356233 155201 356351
+rect 155319 356233 155360 356351
+rect 154200 355551 154400 355992
+rect 154200 355433 154241 355551
+rect 154359 355433 154400 355551
+rect 154200 354972 154400 355433
+rect 155160 354972 155360 356233
+rect 156120 354972 156320 357033
+rect 157080 354972 157280 357833
+rect 162080 358351 162280 358392
+rect 162080 358233 162121 358351
+rect 162239 358233 162280 358351
+rect 161120 357551 161320 357592
+rect 161120 357433 161161 357551
+rect 161279 357433 161320 357551
+rect 160160 356751 160360 356792
+rect 160160 356633 160201 356751
+rect 160319 356633 160360 356751
+rect 159200 355951 159400 355992
+rect 159200 355833 159241 355951
+rect 159359 355833 159400 355951
+rect 159200 354972 159400 355833
+rect 160160 354972 160360 356633
+rect 161120 354972 161320 357433
+rect 162080 354972 162280 358233
+rect 167080 357951 167280 358392
+rect 167080 357833 167121 357951
+rect 167239 357833 167280 357951
+rect 166120 357151 166320 357592
+rect 166120 357033 166161 357151
+rect 166279 357033 166320 357151
+rect 165160 356351 165360 356792
+rect 165160 356233 165201 356351
+rect 165319 356233 165360 356351
+rect 164200 355551 164400 355992
+rect 164200 355433 164241 355551
+rect 164359 355433 164400 355551
+rect 164200 354972 164400 355433
+rect 165160 354972 165360 356233
+rect 166120 354972 166320 357033
+rect 167080 354972 167280 357833
+rect 172080 358351 172280 358392
+rect 172080 358233 172121 358351
+rect 172239 358233 172280 358351
+rect 171120 357551 171320 357592
+rect 171120 357433 171161 357551
+rect 171279 357433 171320 357551
+rect 170160 356751 170360 356792
+rect 170160 356633 170201 356751
+rect 170319 356633 170360 356751
+rect 169200 355951 169400 355992
+rect 169200 355833 169241 355951
+rect 169359 355833 169400 355951
+rect 169200 354972 169400 355833
+rect 170160 354972 170360 356633
+rect 171120 354972 171320 357433
+rect 172080 354972 172280 358233
+rect 177080 357951 177280 358392
+rect 177080 357833 177121 357951
+rect 177239 357833 177280 357951
+rect 176120 357151 176320 357592
+rect 176120 357033 176161 357151
+rect 176279 357033 176320 357151
+rect 175160 356351 175360 356792
+rect 175160 356233 175201 356351
+rect 175319 356233 175360 356351
+rect 174200 355551 174400 355992
+rect 174200 355433 174241 355551
+rect 174359 355433 174400 355551
+rect 174200 354972 174400 355433
+rect 175160 354972 175360 356233
+rect 176120 354972 176320 357033
+rect 177080 354972 177280 357833
+rect 182080 358351 182280 358392
+rect 182080 358233 182121 358351
+rect 182239 358233 182280 358351
+rect 181120 357551 181320 357592
+rect 181120 357433 181161 357551
+rect 181279 357433 181320 357551
+rect 180160 356751 180360 356792
+rect 180160 356633 180201 356751
+rect 180319 356633 180360 356751
+rect 179200 355951 179400 355992
+rect 179200 355833 179241 355951
+rect 179359 355833 179400 355951
+rect 179200 354972 179400 355833
+rect 180160 354972 180360 356633
+rect 181120 354972 181320 357433
+rect 182080 354972 182280 358233
+rect 187080 357951 187280 358392
+rect 187080 357833 187121 357951
+rect 187239 357833 187280 357951
+rect 186120 357151 186320 357592
+rect 186120 357033 186161 357151
+rect 186279 357033 186320 357151
+rect 185160 356351 185360 356792
+rect 185160 356233 185201 356351
+rect 185319 356233 185360 356351
+rect 184200 355551 184400 355992
+rect 184200 355433 184241 355551
+rect 184359 355433 184400 355551
+rect 184200 354972 184400 355433
+rect 185160 354972 185360 356233
+rect 186120 354972 186320 357033
+rect 187080 354972 187280 357833
+rect 192080 358351 192280 358392
+rect 192080 358233 192121 358351
+rect 192239 358233 192280 358351
+rect 191120 357551 191320 357592
+rect 191120 357433 191161 357551
+rect 191279 357433 191320 357551
+rect 190160 356751 190360 356792
+rect 190160 356633 190201 356751
+rect 190319 356633 190360 356751
+rect 189200 355951 189400 355992
+rect 189200 355833 189241 355951
+rect 189359 355833 189400 355951
+rect 189200 354972 189400 355833
+rect 190160 354972 190360 356633
+rect 191120 354972 191320 357433
+rect 192080 354972 192280 358233
+rect 197080 357951 197280 358392
+rect 197080 357833 197121 357951
+rect 197239 357833 197280 357951
+rect 196120 357151 196320 357592
+rect 196120 357033 196161 357151
+rect 196279 357033 196320 357151
+rect 195160 356351 195360 356792
+rect 195160 356233 195201 356351
+rect 195319 356233 195360 356351
+rect 194200 355551 194400 355992
+rect 194200 355433 194241 355551
+rect 194359 355433 194400 355551
+rect 194200 354972 194400 355433
+rect 195160 354972 195360 356233
+rect 196120 354972 196320 357033
+rect 197080 354972 197280 357833
+rect 202080 358351 202280 358392
+rect 202080 358233 202121 358351
+rect 202239 358233 202280 358351
+rect 201120 357551 201320 357592
+rect 201120 357433 201161 357551
+rect 201279 357433 201320 357551
+rect 200160 356751 200360 356792
+rect 200160 356633 200201 356751
+rect 200319 356633 200360 356751
+rect 199200 355951 199400 355992
+rect 199200 355833 199241 355951
+rect 199359 355833 199400 355951
+rect 199200 354972 199400 355833
+rect 200160 354972 200360 356633
+rect 201120 354972 201320 357433
+rect 202080 354972 202280 358233
+rect 207080 357951 207280 358392
+rect 207080 357833 207121 357951
+rect 207239 357833 207280 357951
+rect 206120 357151 206320 357592
+rect 206120 357033 206161 357151
+rect 206279 357033 206320 357151
+rect 205160 356351 205360 356792
+rect 205160 356233 205201 356351
+rect 205319 356233 205360 356351
+rect 204200 355551 204400 355992
+rect 204200 355433 204241 355551
+rect 204359 355433 204400 355551
+rect 204200 354972 204400 355433
+rect 205160 354972 205360 356233
+rect 206120 354972 206320 357033
+rect 207080 354972 207280 357833
+rect 212080 358351 212280 358392
+rect 212080 358233 212121 358351
+rect 212239 358233 212280 358351
+rect 211120 357551 211320 357592
+rect 211120 357433 211161 357551
+rect 211279 357433 211320 357551
+rect 210160 356751 210360 356792
+rect 210160 356633 210201 356751
+rect 210319 356633 210360 356751
+rect 209200 355951 209400 355992
+rect 209200 355833 209241 355951
+rect 209359 355833 209400 355951
+rect 209200 354972 209400 355833
+rect 210160 354972 210360 356633
+rect 211120 354972 211320 357433
+rect 212080 354972 212280 358233
+rect 217080 357951 217280 358392
+rect 217080 357833 217121 357951
+rect 217239 357833 217280 357951
+rect 216120 357151 216320 357592
+rect 216120 357033 216161 357151
+rect 216279 357033 216320 357151
+rect 215160 356351 215360 356792
+rect 215160 356233 215201 356351
+rect 215319 356233 215360 356351
+rect 214200 355551 214400 355992
+rect 214200 355433 214241 355551
+rect 214359 355433 214400 355551
+rect 214200 354972 214400 355433
+rect 215160 354972 215360 356233
+rect 216120 354972 216320 357033
+rect 217080 354972 217280 357833
+rect 222080 358351 222280 358392
+rect 222080 358233 222121 358351
+rect 222239 358233 222280 358351
+rect 221120 357551 221320 357592
+rect 221120 357433 221161 357551
+rect 221279 357433 221320 357551
+rect 220160 356751 220360 356792
+rect 220160 356633 220201 356751
+rect 220319 356633 220360 356751
+rect 219200 355951 219400 355992
+rect 219200 355833 219241 355951
+rect 219359 355833 219400 355951
+rect 219200 354972 219400 355833
+rect 220160 354972 220360 356633
+rect 221120 354972 221320 357433
+rect 222080 354972 222280 358233
+rect 227080 357951 227280 358392
+rect 227080 357833 227121 357951
+rect 227239 357833 227280 357951
+rect 226120 357151 226320 357592
+rect 226120 357033 226161 357151
+rect 226279 357033 226320 357151
+rect 225160 356351 225360 356792
+rect 225160 356233 225201 356351
+rect 225319 356233 225360 356351
+rect 224200 355551 224400 355992
+rect 224200 355433 224241 355551
+rect 224359 355433 224400 355551
+rect 224200 354972 224400 355433
+rect 225160 354972 225360 356233
+rect 226120 354972 226320 357033
+rect 227080 354972 227280 357833
+rect 232080 358351 232280 358392
+rect 232080 358233 232121 358351
+rect 232239 358233 232280 358351
+rect 231120 357551 231320 357592
+rect 231120 357433 231161 357551
+rect 231279 357433 231320 357551
+rect 230160 356751 230360 356792
+rect 230160 356633 230201 356751
+rect 230319 356633 230360 356751
+rect 229200 355951 229400 355992
+rect 229200 355833 229241 355951
+rect 229359 355833 229400 355951
+rect 229200 354972 229400 355833
+rect 230160 354972 230360 356633
+rect 231120 354972 231320 357433
+rect 232080 354972 232280 358233
+rect 237080 357951 237280 358392
+rect 237080 357833 237121 357951
+rect 237239 357833 237280 357951
+rect 236120 357151 236320 357592
+rect 236120 357033 236161 357151
+rect 236279 357033 236320 357151
+rect 235160 356351 235360 356792
+rect 235160 356233 235201 356351
+rect 235319 356233 235360 356351
+rect 234200 355551 234400 355992
+rect 234200 355433 234241 355551
+rect 234359 355433 234400 355551
+rect 234200 354972 234400 355433
+rect 235160 354972 235360 356233
+rect 236120 354972 236320 357033
+rect 237080 354972 237280 357833
+rect 242080 358351 242280 358392
+rect 242080 358233 242121 358351
+rect 242239 358233 242280 358351
+rect 241120 357551 241320 357592
+rect 241120 357433 241161 357551
+rect 241279 357433 241320 357551
+rect 240160 356751 240360 356792
+rect 240160 356633 240201 356751
+rect 240319 356633 240360 356751
+rect 239200 355951 239400 355992
+rect 239200 355833 239241 355951
+rect 239359 355833 239400 355951
+rect 239200 354972 239400 355833
+rect 240160 354972 240360 356633
+rect 241120 354972 241320 357433
+rect 242080 354972 242280 358233
+rect 247080 357951 247280 358392
+rect 247080 357833 247121 357951
+rect 247239 357833 247280 357951
+rect 246120 357151 246320 357592
+rect 246120 357033 246161 357151
+rect 246279 357033 246320 357151
+rect 245160 356351 245360 356792
+rect 245160 356233 245201 356351
+rect 245319 356233 245360 356351
+rect 244200 355551 244400 355992
+rect 244200 355433 244241 355551
+rect 244359 355433 244400 355551
+rect 244200 354972 244400 355433
+rect 245160 354972 245360 356233
+rect 246120 354972 246320 357033
+rect 247080 354972 247280 357833
+rect 252080 358351 252280 358392
+rect 252080 358233 252121 358351
+rect 252239 358233 252280 358351
+rect 251120 357551 251320 357592
+rect 251120 357433 251161 357551
+rect 251279 357433 251320 357551
+rect 250160 356751 250360 356792
+rect 250160 356633 250201 356751
+rect 250319 356633 250360 356751
+rect 249200 355951 249400 355992
+rect 249200 355833 249241 355951
+rect 249359 355833 249400 355951
+rect 249200 354972 249400 355833
+rect 250160 354972 250360 356633
+rect 251120 354972 251320 357433
+rect 252080 354972 252280 358233
+rect 257080 357951 257280 358392
+rect 257080 357833 257121 357951
+rect 257239 357833 257280 357951
+rect 256120 357151 256320 357592
+rect 256120 357033 256161 357151
+rect 256279 357033 256320 357151
+rect 255160 356351 255360 356792
+rect 255160 356233 255201 356351
+rect 255319 356233 255360 356351
+rect 254200 355551 254400 355992
+rect 254200 355433 254241 355551
+rect 254359 355433 254400 355551
+rect 254200 354972 254400 355433
+rect 255160 354972 255360 356233
+rect 256120 354972 256320 357033
+rect 257080 354972 257280 357833
+rect 262080 358351 262280 358392
+rect 262080 358233 262121 358351
+rect 262239 358233 262280 358351
+rect 261120 357551 261320 357592
+rect 261120 357433 261161 357551
+rect 261279 357433 261320 357551
+rect 260160 356751 260360 356792
+rect 260160 356633 260201 356751
+rect 260319 356633 260360 356751
+rect 259200 355951 259400 355992
+rect 259200 355833 259241 355951
+rect 259359 355833 259400 355951
+rect 259200 354972 259400 355833
+rect 260160 354972 260360 356633
+rect 261120 354972 261320 357433
+rect 262080 354972 262280 358233
+rect 267080 357951 267280 358392
+rect 267080 357833 267121 357951
+rect 267239 357833 267280 357951
+rect 266120 357151 266320 357592
+rect 266120 357033 266161 357151
+rect 266279 357033 266320 357151
+rect 265160 356351 265360 356792
+rect 265160 356233 265201 356351
+rect 265319 356233 265360 356351
+rect 264200 355551 264400 355992
+rect 264200 355433 264241 355551
+rect 264359 355433 264400 355551
+rect 264200 354972 264400 355433
+rect 265160 354972 265360 356233
+rect 266120 354972 266320 357033
+rect 267080 354972 267280 357833
+rect 272080 358351 272280 358392
+rect 272080 358233 272121 358351
+rect 272239 358233 272280 358351
+rect 271120 357551 271320 357592
+rect 271120 357433 271161 357551
+rect 271279 357433 271320 357551
+rect 270160 356751 270360 356792
+rect 270160 356633 270201 356751
+rect 270319 356633 270360 356751
+rect 269200 355951 269400 355992
+rect 269200 355833 269241 355951
+rect 269359 355833 269400 355951
+rect 269200 354972 269400 355833
+rect 270160 354972 270360 356633
+rect 271120 354972 271320 357433
+rect 272080 354972 272280 358233
+rect 277080 357951 277280 358392
+rect 277080 357833 277121 357951
+rect 277239 357833 277280 357951
+rect 276120 357151 276320 357592
+rect 276120 357033 276161 357151
+rect 276279 357033 276320 357151
+rect 275160 356351 275360 356792
+rect 275160 356233 275201 356351
+rect 275319 356233 275360 356351
+rect 274200 355551 274400 355992
+rect 274200 355433 274241 355551
+rect 274359 355433 274400 355551
+rect 274200 354972 274400 355433
+rect 275160 354972 275360 356233
+rect 276120 354972 276320 357033
+rect 277080 354972 277280 357833
+rect 282080 358351 282280 358392
+rect 282080 358233 282121 358351
+rect 282239 358233 282280 358351
+rect 281120 357551 281320 357592
+rect 281120 357433 281161 357551
+rect 281279 357433 281320 357551
+rect 280160 356751 280360 356792
+rect 280160 356633 280201 356751
+rect 280319 356633 280360 356751
+rect 279200 355951 279400 355992
+rect 279200 355833 279241 355951
+rect 279359 355833 279400 355951
+rect 279200 354972 279400 355833
+rect 280160 354972 280360 356633
+rect 281120 354972 281320 357433
+rect 282080 354972 282280 358233
+rect 287080 357951 287280 358392
+rect 287080 357833 287121 357951
+rect 287239 357833 287280 357951
+rect 286120 357151 286320 357592
+rect 286120 357033 286161 357151
+rect 286279 357033 286320 357151
+rect 285160 356351 285360 356792
+rect 285160 356233 285201 356351
+rect 285319 356233 285360 356351
+rect 284200 355551 284400 355992
+rect 284200 355433 284241 355551
+rect 284359 355433 284400 355551
+rect 284200 354972 284400 355433
+rect 285160 354972 285360 356233
+rect 286120 354972 286320 357033
+rect 287080 354972 287280 357833
+rect 292080 358351 292280 358392
+rect 292080 358233 292121 358351
+rect 292239 358233 292280 358351
+rect 291120 357551 291320 357592
+rect 291120 357433 291161 357551
+rect 291279 357433 291320 357551
+rect 290160 356751 290360 356792
+rect 290160 356633 290201 356751
+rect 290319 356633 290360 356751
+rect 289200 355951 289400 355992
+rect 289200 355833 289241 355951
+rect 289359 355833 289400 355951
+rect 289200 354972 289400 355833
+rect 290160 354972 290360 356633
+rect 291120 354972 291320 357433
+rect 292080 354972 292280 358233
+rect 299258 358351 299458 358392
+rect 299258 358233 299299 358351
+rect 299417 358233 299458 358351
+rect 298858 357951 299058 357992
+rect 298858 357833 298899 357951
+rect 299017 357833 299058 357951
+rect 298458 357551 298658 357592
+rect 298458 357433 298499 357551
+rect 298617 357433 298658 357551
+rect 298058 357151 298258 357192
+rect 298058 357033 298099 357151
+rect 298217 357033 298258 357151
+rect 297658 356751 297858 356792
+rect 297658 356633 297699 356751
+rect 297817 356633 297858 356751
+rect 297258 356351 297458 356392
+rect 297258 356233 297299 356351
+rect 297417 356233 297458 356351
+rect 294200 355551 294400 355992
+rect 296858 355951 297058 355992
+rect 296858 355833 296899 355951
+rect 297017 355833 297058 355951
+rect 294200 355433 294241 355551
+rect 294359 355433 294400 355551
+rect 294200 354972 294400 355433
+rect 296458 355551 296658 355592
+rect 296458 355433 296499 355551
+rect 296617 355433 296658 355551
+rect 2800 344241 2841 344359
+rect 2959 344241 3000 344359
+rect 2800 334359 3000 344241
+rect 2800 334241 2841 334359
+rect 2959 334241 3000 334359
+rect 2800 324359 3000 334241
+rect 2800 324241 2841 324359
+rect 2959 324241 3000 324359
+rect 2800 314359 3000 324241
+rect 2800 314241 2841 314359
+rect 2959 314241 3000 314359
+rect 2800 304359 3000 314241
+rect 2800 304241 2841 304359
+rect 2959 304241 3000 304359
+rect 2800 294359 3000 304241
+rect 2800 294241 2841 294359
+rect 2959 294241 3000 294359
+rect 2800 284359 3000 294241
+rect 2800 284241 2841 284359
+rect 2959 284241 3000 284359
+rect 2800 274359 3000 284241
+rect 2800 274241 2841 274359
+rect 2959 274241 3000 274359
+rect 2800 264359 3000 274241
+rect 2800 264241 2841 264359
+rect 2959 264241 3000 264359
+rect 2800 254359 3000 264241
+rect 2800 254241 2841 254359
+rect 2959 254241 3000 254359
+rect 2800 244359 3000 254241
+rect 2800 244241 2841 244359
+rect 2959 244241 3000 244359
+rect 2800 234359 3000 244241
+rect 2800 234241 2841 234359
+rect 2959 234241 3000 234359
+rect 2800 224359 3000 234241
+rect 2800 224241 2841 224359
+rect 2959 224241 3000 224359
+rect 2800 214359 3000 224241
+rect 2800 214241 2841 214359
+rect 2959 214241 3000 214359
+rect 2800 204359 3000 214241
+rect 2800 204241 2841 204359
+rect 2959 204241 3000 204359
+rect 2800 194359 3000 204241
+rect 2800 194241 2841 194359
+rect 2959 194241 3000 194359
+rect 2800 184359 3000 194241
+rect 2800 184241 2841 184359
+rect 2959 184241 3000 184359
+rect 2800 174359 3000 184241
+rect 2800 174241 2841 174359
+rect 2959 174241 3000 174359
+rect 2800 164359 3000 174241
+rect 2800 164241 2841 164359
+rect 2959 164241 3000 164359
+rect 2800 154359 3000 164241
+rect 2800 154241 2841 154359
+rect 2959 154241 3000 154359
+rect 2800 144359 3000 154241
+rect 2800 144241 2841 144359
+rect 2959 144241 3000 144359
+rect 2800 134359 3000 144241
+rect 2800 134241 2841 134359
+rect 2959 134241 3000 134359
+rect 2800 124359 3000 134241
+rect 2800 124241 2841 124359
+rect 2959 124241 3000 124359
+rect 2800 114359 3000 124241
+rect 2800 114241 2841 114359
+rect 2959 114241 3000 114359
+rect 2800 104359 3000 114241
+rect 2800 104241 2841 104359
+rect 2959 104241 3000 104359
+rect 2800 94359 3000 104241
+rect 2800 94241 2841 94359
+rect 2959 94241 3000 94359
+rect 2800 84359 3000 94241
+rect 2800 84241 2841 84359
+rect 2959 84241 3000 84359
+rect 2800 74359 3000 84241
+rect 2800 74241 2841 74359
+rect 2959 74241 3000 74359
+rect 2800 64359 3000 74241
+rect 2800 64241 2841 64359
+rect 2959 64241 3000 64359
+rect 2800 54359 3000 64241
+rect 2800 54241 2841 54359
+rect 2959 54241 3000 54359
+rect 2800 44359 3000 54241
+rect 2800 44241 2841 44359
+rect 2959 44241 3000 44359
+rect 2800 34359 3000 44241
+rect 2800 34241 2841 34359
+rect 2959 34241 3000 34359
+rect 2800 24359 3000 34241
+rect 2800 24241 2841 24359
+rect 2959 24241 3000 24359
+rect 2800 14359 3000 24241
+rect 2800 14241 2841 14359
+rect 2959 14241 3000 14359
+rect 2800 4359 3000 14241
+rect 2800 4241 2841 4359
+rect 2959 4241 3000 4359
+rect 2800 2959 3000 4241
+rect 296458 344359 296658 355433
+rect 296458 344241 296499 344359
+rect 296617 344241 296658 344359
+rect 296458 334359 296658 344241
+rect 296458 334241 296499 334359
+rect 296617 334241 296658 334359
+rect 296458 324359 296658 334241
+rect 296458 324241 296499 324359
+rect 296617 324241 296658 324359
+rect 296458 314359 296658 324241
+rect 296458 314241 296499 314359
+rect 296617 314241 296658 314359
+rect 296458 304359 296658 314241
+rect 296458 304241 296499 304359
+rect 296617 304241 296658 304359
+rect 296458 294359 296658 304241
+rect 296458 294241 296499 294359
+rect 296617 294241 296658 294359
+rect 296458 284359 296658 294241
+rect 296458 284241 296499 284359
+rect 296617 284241 296658 284359
+rect 296458 274359 296658 284241
+rect 296458 274241 296499 274359
+rect 296617 274241 296658 274359
+rect 296458 264359 296658 274241
+rect 296458 264241 296499 264359
+rect 296617 264241 296658 264359
+rect 296458 254359 296658 264241
+rect 296458 254241 296499 254359
+rect 296617 254241 296658 254359
+rect 296458 244359 296658 254241
+rect 296458 244241 296499 244359
+rect 296617 244241 296658 244359
+rect 296458 234359 296658 244241
+rect 296458 234241 296499 234359
+rect 296617 234241 296658 234359
+rect 296458 224359 296658 234241
+rect 296458 224241 296499 224359
+rect 296617 224241 296658 224359
+rect 296458 214359 296658 224241
+rect 296458 214241 296499 214359
+rect 296617 214241 296658 214359
+rect 296458 204359 296658 214241
+rect 296458 204241 296499 204359
+rect 296617 204241 296658 204359
+rect 296458 194359 296658 204241
+rect 296458 194241 296499 194359
+rect 296617 194241 296658 194359
+rect 296458 184359 296658 194241
+rect 296458 184241 296499 184359
+rect 296617 184241 296658 184359
+rect 296458 174359 296658 184241
+rect 296458 174241 296499 174359
+rect 296617 174241 296658 174359
+rect 296458 164359 296658 174241
+rect 296458 164241 296499 164359
+rect 296617 164241 296658 164359
+rect 296458 154359 296658 164241
+rect 296458 154241 296499 154359
+rect 296617 154241 296658 154359
+rect 296458 144359 296658 154241
+rect 296458 144241 296499 144359
+rect 296617 144241 296658 144359
+rect 296458 134359 296658 144241
+rect 296458 134241 296499 134359
+rect 296617 134241 296658 134359
+rect 296458 124359 296658 134241
+rect 296458 124241 296499 124359
+rect 296617 124241 296658 124359
+rect 296458 114359 296658 124241
+rect 296458 114241 296499 114359
+rect 296617 114241 296658 114359
+rect 296458 104359 296658 114241
+rect 296458 104241 296499 104359
+rect 296617 104241 296658 104359
+rect 296458 94359 296658 104241
+rect 296458 94241 296499 94359
+rect 296617 94241 296658 94359
+rect 296458 84359 296658 94241
+rect 296458 84241 296499 84359
+rect 296617 84241 296658 84359
+rect 296458 74359 296658 84241
+rect 296458 74241 296499 74359
+rect 296617 74241 296658 74359
+rect 296458 64359 296658 74241
+rect 296458 64241 296499 64359
+rect 296617 64241 296658 64359
+rect 296458 54359 296658 64241
+rect 296458 54241 296499 54359
+rect 296617 54241 296658 54359
+rect 296458 44359 296658 54241
+rect 296458 44241 296499 44359
+rect 296617 44241 296658 44359
+rect 296458 34359 296658 44241
+rect 296458 34241 296499 34359
+rect 296617 34241 296658 34359
+rect 296458 24359 296658 34241
+rect 296458 24241 296499 24359
+rect 296617 24241 296658 24359
+rect 296458 14359 296658 24241
+rect 296458 14241 296499 14359
+rect 296617 14241 296658 14359
+rect 296458 4359 296658 14241
+rect 296458 4241 296499 4359
+rect 296617 4241 296658 4359
+rect 2800 2841 2841 2959
+rect 2959 2841 3000 2959
+rect 2800 2800 3000 2841
+rect 4200 2959 4400 3452
+rect 4200 2841 4241 2959
+rect 4359 2841 4400 2959
+rect 2400 2441 2441 2559
+rect 2559 2441 2600 2559
+rect 2400 2400 2600 2441
+rect 4200 2400 4400 2841
+rect 2000 2041 2041 2159
+rect 2159 2041 2200 2159
+rect 2000 2000 2200 2041
+rect 5160 2159 5360 3452
+rect 5160 2041 5201 2159
+rect 5319 2041 5360 2159
+rect 1600 1641 1641 1759
+rect 1759 1641 1800 1759
+rect 1600 1600 1800 1641
+rect 5160 1600 5360 2041
+rect 1200 1241 1241 1359
+rect 1359 1241 1400 1359
+rect 1200 1200 1400 1241
+rect 6120 1359 6320 3452
+rect 6120 1241 6161 1359
+rect 6279 1241 6320 1359
+rect 800 841 841 959
+rect 959 841 1000 959
+rect 800 800 1000 841
+rect 6120 800 6320 1241
+rect 400 441 441 559
+rect 559 441 600 559
+rect 400 400 600 441
+rect 7080 559 7280 3452
+rect 9200 2559 9400 3452
+rect 9200 2441 9241 2559
+rect 9359 2441 9400 2559
+rect 9200 2400 9400 2441
+rect 10160 1759 10360 3452
+rect 10160 1641 10201 1759
+rect 10319 1641 10360 1759
+rect 10160 1600 10360 1641
+rect 11120 959 11320 3452
+rect 11120 841 11161 959
+rect 11279 841 11320 959
+rect 11120 800 11320 841
+rect 7080 441 7121 559
+rect 7239 441 7280 559
+rect 0 41 41 159
+rect 159 41 200 159
+rect 0 0 200 41
+rect 7080 0 7280 441
+rect 12080 159 12280 3452
+rect 14200 2959 14400 3452
+rect 14200 2841 14241 2959
+rect 14359 2841 14400 2959
+rect 14200 2400 14400 2841
+rect 15160 2159 15360 3452
+rect 15160 2041 15201 2159
+rect 15319 2041 15360 2159
+rect 15160 1600 15360 2041
+rect 16120 1359 16320 3452
+rect 16120 1241 16161 1359
+rect 16279 1241 16320 1359
+rect 16120 800 16320 1241
+rect 12080 41 12121 159
+rect 12239 41 12280 159
+rect 12080 0 12280 41
+rect 17080 559 17280 3452
+rect 19200 2559 19400 3452
+rect 19200 2441 19241 2559
+rect 19359 2441 19400 2559
+rect 19200 2400 19400 2441
+rect 20160 1759 20360 3452
+rect 20160 1641 20201 1759
+rect 20319 1641 20360 1759
+rect 20160 1600 20360 1641
+rect 21120 959 21320 3452
+rect 21120 841 21161 959
+rect 21279 841 21320 959
+rect 21120 800 21320 841
+rect 17080 441 17121 559
+rect 17239 441 17280 559
+rect 17080 0 17280 441
+rect 22080 159 22280 3452
+rect 24200 2959 24400 3452
+rect 24200 2841 24241 2959
+rect 24359 2841 24400 2959
+rect 24200 2400 24400 2841
+rect 25160 2159 25360 3452
+rect 25160 2041 25201 2159
+rect 25319 2041 25360 2159
+rect 25160 1600 25360 2041
+rect 26120 1359 26320 3452
+rect 26120 1241 26161 1359
+rect 26279 1241 26320 1359
+rect 26120 800 26320 1241
+rect 22080 41 22121 159
+rect 22239 41 22280 159
+rect 22080 0 22280 41
+rect 27080 559 27280 3452
+rect 29200 2559 29400 3452
+rect 29200 2441 29241 2559
+rect 29359 2441 29400 2559
+rect 29200 2400 29400 2441
+rect 30160 1759 30360 3452
+rect 30160 1641 30201 1759
+rect 30319 1641 30360 1759
+rect 30160 1600 30360 1641
+rect 31120 959 31320 3452
+rect 31120 841 31161 959
+rect 31279 841 31320 959
+rect 31120 800 31320 841
+rect 27080 441 27121 559
+rect 27239 441 27280 559
+rect 27080 0 27280 441
+rect 32080 159 32280 3452
+rect 34200 2959 34400 3452
+rect 34200 2841 34241 2959
+rect 34359 2841 34400 2959
+rect 34200 2400 34400 2841
+rect 35160 2159 35360 3452
+rect 35160 2041 35201 2159
+rect 35319 2041 35360 2159
+rect 35160 1600 35360 2041
+rect 36120 1359 36320 3452
+rect 36120 1241 36161 1359
+rect 36279 1241 36320 1359
+rect 36120 800 36320 1241
+rect 32080 41 32121 159
+rect 32239 41 32280 159
+rect 32080 0 32280 41
+rect 37080 559 37280 3452
+rect 39200 2559 39400 3452
+rect 39200 2441 39241 2559
+rect 39359 2441 39400 2559
+rect 39200 2400 39400 2441
+rect 40160 1759 40360 3452
+rect 40160 1641 40201 1759
+rect 40319 1641 40360 1759
+rect 40160 1600 40360 1641
+rect 41120 959 41320 3452
+rect 41120 841 41161 959
+rect 41279 841 41320 959
+rect 41120 800 41320 841
+rect 37080 441 37121 559
+rect 37239 441 37280 559
+rect 37080 0 37280 441
+rect 42080 159 42280 3452
+rect 44200 2959 44400 3452
+rect 44200 2841 44241 2959
+rect 44359 2841 44400 2959
+rect 44200 2400 44400 2841
+rect 45160 2159 45360 3452
+rect 45160 2041 45201 2159
+rect 45319 2041 45360 2159
+rect 45160 1600 45360 2041
+rect 46120 1359 46320 3452
+rect 46120 1241 46161 1359
+rect 46279 1241 46320 1359
+rect 46120 800 46320 1241
+rect 42080 41 42121 159
+rect 42239 41 42280 159
+rect 42080 0 42280 41
+rect 47080 559 47280 3452
+rect 49200 2559 49400 3452
+rect 49200 2441 49241 2559
+rect 49359 2441 49400 2559
+rect 49200 2400 49400 2441
+rect 50160 1759 50360 3452
+rect 50160 1641 50201 1759
+rect 50319 1641 50360 1759
+rect 50160 1600 50360 1641
+rect 51120 959 51320 3452
+rect 51120 841 51161 959
+rect 51279 841 51320 959
+rect 51120 800 51320 841
+rect 47080 441 47121 559
+rect 47239 441 47280 559
+rect 47080 0 47280 441
+rect 52080 159 52280 3452
+rect 54200 2959 54400 3452
+rect 54200 2841 54241 2959
+rect 54359 2841 54400 2959
+rect 54200 2400 54400 2841
+rect 55160 2159 55360 3452
+rect 55160 2041 55201 2159
+rect 55319 2041 55360 2159
+rect 55160 1600 55360 2041
+rect 56120 1359 56320 3452
+rect 56120 1241 56161 1359
+rect 56279 1241 56320 1359
+rect 56120 800 56320 1241
+rect 52080 41 52121 159
+rect 52239 41 52280 159
+rect 52080 0 52280 41
+rect 57080 559 57280 3452
+rect 59200 2559 59400 3452
+rect 59200 2441 59241 2559
+rect 59359 2441 59400 2559
+rect 59200 2400 59400 2441
+rect 60160 1759 60360 3452
+rect 60160 1641 60201 1759
+rect 60319 1641 60360 1759
+rect 60160 1600 60360 1641
+rect 61120 959 61320 3452
+rect 61120 841 61161 959
+rect 61279 841 61320 959
+rect 61120 800 61320 841
+rect 57080 441 57121 559
+rect 57239 441 57280 559
+rect 57080 0 57280 441
+rect 62080 159 62280 3452
+rect 64200 2959 64400 3452
+rect 64200 2841 64241 2959
+rect 64359 2841 64400 2959
+rect 64200 2400 64400 2841
+rect 65160 2159 65360 3452
+rect 65160 2041 65201 2159
+rect 65319 2041 65360 2159
+rect 65160 1600 65360 2041
+rect 66120 1359 66320 3452
+rect 66120 1241 66161 1359
+rect 66279 1241 66320 1359
+rect 66120 800 66320 1241
+rect 62080 41 62121 159
+rect 62239 41 62280 159
+rect 62080 0 62280 41
+rect 67080 559 67280 3452
+rect 69200 2559 69400 3452
+rect 69200 2441 69241 2559
+rect 69359 2441 69400 2559
+rect 69200 2400 69400 2441
+rect 70160 1759 70360 3452
+rect 70160 1641 70201 1759
+rect 70319 1641 70360 1759
+rect 70160 1600 70360 1641
+rect 71120 959 71320 3452
+rect 71120 841 71161 959
+rect 71279 841 71320 959
+rect 71120 800 71320 841
+rect 67080 441 67121 559
+rect 67239 441 67280 559
+rect 67080 0 67280 441
+rect 72080 159 72280 3452
+rect 74200 2959 74400 3452
+rect 74200 2841 74241 2959
+rect 74359 2841 74400 2959
+rect 74200 2400 74400 2841
+rect 75160 2159 75360 3452
+rect 75160 2041 75201 2159
+rect 75319 2041 75360 2159
+rect 75160 1600 75360 2041
+rect 76120 1359 76320 3452
+rect 76120 1241 76161 1359
+rect 76279 1241 76320 1359
+rect 76120 800 76320 1241
+rect 72080 41 72121 159
+rect 72239 41 72280 159
+rect 72080 0 72280 41
+rect 77080 559 77280 3452
+rect 79200 2559 79400 3452
+rect 79200 2441 79241 2559
+rect 79359 2441 79400 2559
+rect 79200 2400 79400 2441
+rect 80160 1759 80360 3452
+rect 80160 1641 80201 1759
+rect 80319 1641 80360 1759
+rect 80160 1600 80360 1641
+rect 81120 959 81320 3452
+rect 81120 841 81161 959
+rect 81279 841 81320 959
+rect 81120 800 81320 841
+rect 77080 441 77121 559
+rect 77239 441 77280 559
+rect 77080 0 77280 441
+rect 82080 159 82280 3452
+rect 84200 2959 84400 3452
+rect 84200 2841 84241 2959
+rect 84359 2841 84400 2959
+rect 84200 2400 84400 2841
+rect 85160 2159 85360 3452
+rect 85160 2041 85201 2159
+rect 85319 2041 85360 2159
+rect 85160 1600 85360 2041
+rect 86120 1359 86320 3452
+rect 86120 1241 86161 1359
+rect 86279 1241 86320 1359
+rect 86120 800 86320 1241
+rect 82080 41 82121 159
+rect 82239 41 82280 159
+rect 82080 0 82280 41
+rect 87080 559 87280 3452
+rect 89200 2559 89400 3452
+rect 89200 2441 89241 2559
+rect 89359 2441 89400 2559
+rect 89200 2400 89400 2441
+rect 90160 1759 90360 3452
+rect 90160 1641 90201 1759
+rect 90319 1641 90360 1759
+rect 90160 1600 90360 1641
+rect 91120 959 91320 3452
+rect 91120 841 91161 959
+rect 91279 841 91320 959
+rect 91120 800 91320 841
+rect 87080 441 87121 559
+rect 87239 441 87280 559
+rect 87080 0 87280 441
+rect 92080 159 92280 3452
+rect 94200 2959 94400 3452
+rect 94200 2841 94241 2959
+rect 94359 2841 94400 2959
+rect 94200 2400 94400 2841
+rect 95160 2159 95360 3452
+rect 95160 2041 95201 2159
+rect 95319 2041 95360 2159
+rect 95160 1600 95360 2041
+rect 96120 1359 96320 3452
+rect 96120 1241 96161 1359
+rect 96279 1241 96320 1359
+rect 96120 800 96320 1241
+rect 92080 41 92121 159
+rect 92239 41 92280 159
+rect 92080 0 92280 41
+rect 97080 559 97280 3452
+rect 99200 2559 99400 3452
+rect 99200 2441 99241 2559
+rect 99359 2441 99400 2559
+rect 99200 2400 99400 2441
+rect 100160 1759 100360 3452
+rect 100160 1641 100201 1759
+rect 100319 1641 100360 1759
+rect 100160 1600 100360 1641
+rect 101120 959 101320 3452
+rect 101120 841 101161 959
+rect 101279 841 101320 959
+rect 101120 800 101320 841
+rect 97080 441 97121 559
+rect 97239 441 97280 559
+rect 97080 0 97280 441
+rect 102080 159 102280 3452
+rect 104200 2959 104400 3452
+rect 104200 2841 104241 2959
+rect 104359 2841 104400 2959
+rect 104200 2400 104400 2841
+rect 105160 2159 105360 3452
+rect 105160 2041 105201 2159
+rect 105319 2041 105360 2159
+rect 105160 1600 105360 2041
+rect 106120 1359 106320 3452
+rect 106120 1241 106161 1359
+rect 106279 1241 106320 1359
+rect 106120 800 106320 1241
+rect 102080 41 102121 159
+rect 102239 41 102280 159
+rect 102080 0 102280 41
+rect 107080 559 107280 3452
+rect 109200 2559 109400 3452
+rect 109200 2441 109241 2559
+rect 109359 2441 109400 2559
+rect 109200 2400 109400 2441
+rect 110160 1759 110360 3452
+rect 110160 1641 110201 1759
+rect 110319 1641 110360 1759
+rect 110160 1600 110360 1641
+rect 111120 959 111320 3452
+rect 111120 841 111161 959
+rect 111279 841 111320 959
+rect 111120 800 111320 841
+rect 107080 441 107121 559
+rect 107239 441 107280 559
+rect 107080 0 107280 441
+rect 112080 159 112280 3452
+rect 114200 2959 114400 3452
+rect 114200 2841 114241 2959
+rect 114359 2841 114400 2959
+rect 114200 2400 114400 2841
+rect 115160 2159 115360 3452
+rect 115160 2041 115201 2159
+rect 115319 2041 115360 2159
+rect 115160 1600 115360 2041
+rect 116120 1359 116320 3452
+rect 116120 1241 116161 1359
+rect 116279 1241 116320 1359
+rect 116120 800 116320 1241
+rect 112080 41 112121 159
+rect 112239 41 112280 159
+rect 112080 0 112280 41
+rect 117080 559 117280 3452
+rect 119200 2559 119400 3452
+rect 119200 2441 119241 2559
+rect 119359 2441 119400 2559
+rect 119200 2400 119400 2441
+rect 120160 1759 120360 3452
+rect 120160 1641 120201 1759
+rect 120319 1641 120360 1759
+rect 120160 1600 120360 1641
+rect 121120 959 121320 3452
+rect 121120 841 121161 959
+rect 121279 841 121320 959
+rect 121120 800 121320 841
+rect 117080 441 117121 559
+rect 117239 441 117280 559
+rect 117080 0 117280 441
+rect 122080 159 122280 3452
+rect 124200 2959 124400 3452
+rect 124200 2841 124241 2959
+rect 124359 2841 124400 2959
+rect 124200 2400 124400 2841
+rect 125160 2159 125360 3452
+rect 125160 2041 125201 2159
+rect 125319 2041 125360 2159
+rect 125160 1600 125360 2041
+rect 126120 1359 126320 3452
+rect 126120 1241 126161 1359
+rect 126279 1241 126320 1359
+rect 126120 800 126320 1241
+rect 122080 41 122121 159
+rect 122239 41 122280 159
+rect 122080 0 122280 41
+rect 127080 559 127280 3452
+rect 129200 2559 129400 3452
+rect 129200 2441 129241 2559
+rect 129359 2441 129400 2559
+rect 129200 2400 129400 2441
+rect 130160 1759 130360 3452
+rect 130160 1641 130201 1759
+rect 130319 1641 130360 1759
+rect 130160 1600 130360 1641
+rect 131120 959 131320 3452
+rect 131120 841 131161 959
+rect 131279 841 131320 959
+rect 131120 800 131320 841
+rect 127080 441 127121 559
+rect 127239 441 127280 559
+rect 127080 0 127280 441
+rect 132080 159 132280 3452
+rect 134200 2959 134400 3452
+rect 134200 2841 134241 2959
+rect 134359 2841 134400 2959
+rect 134200 2400 134400 2841
+rect 135160 2159 135360 3452
+rect 135160 2041 135201 2159
+rect 135319 2041 135360 2159
+rect 135160 1600 135360 2041
+rect 136120 1359 136320 3452
+rect 136120 1241 136161 1359
+rect 136279 1241 136320 1359
+rect 136120 800 136320 1241
+rect 132080 41 132121 159
+rect 132239 41 132280 159
+rect 132080 0 132280 41
+rect 137080 559 137280 3452
+rect 139200 2559 139400 3452
+rect 139200 2441 139241 2559
+rect 139359 2441 139400 2559
+rect 139200 2400 139400 2441
+rect 140160 1759 140360 3452
+rect 140160 1641 140201 1759
+rect 140319 1641 140360 1759
+rect 140160 1600 140360 1641
+rect 141120 959 141320 3452
+rect 141120 841 141161 959
+rect 141279 841 141320 959
+rect 141120 800 141320 841
+rect 137080 441 137121 559
+rect 137239 441 137280 559
+rect 137080 0 137280 441
+rect 142080 159 142280 3452
+rect 144200 2959 144400 3452
+rect 144200 2841 144241 2959
+rect 144359 2841 144400 2959
+rect 144200 2400 144400 2841
+rect 145160 2159 145360 3452
+rect 145160 2041 145201 2159
+rect 145319 2041 145360 2159
+rect 145160 1600 145360 2041
+rect 146120 1359 146320 3452
+rect 146120 1241 146161 1359
+rect 146279 1241 146320 1359
+rect 146120 800 146320 1241
+rect 142080 41 142121 159
+rect 142239 41 142280 159
+rect 142080 0 142280 41
+rect 147080 559 147280 3452
+rect 149200 2559 149400 3452
+rect 149200 2441 149241 2559
+rect 149359 2441 149400 2559
+rect 149200 2400 149400 2441
+rect 150160 1759 150360 3452
+rect 150160 1641 150201 1759
+rect 150319 1641 150360 1759
+rect 150160 1600 150360 1641
+rect 151120 959 151320 3452
+rect 151120 841 151161 959
+rect 151279 841 151320 959
+rect 151120 800 151320 841
+rect 147080 441 147121 559
+rect 147239 441 147280 559
+rect 147080 0 147280 441
+rect 152080 159 152280 3452
+rect 154200 2959 154400 3452
+rect 154200 2841 154241 2959
+rect 154359 2841 154400 2959
+rect 154200 2400 154400 2841
+rect 155160 2159 155360 3452
+rect 155160 2041 155201 2159
+rect 155319 2041 155360 2159
+rect 155160 1600 155360 2041
+rect 156120 1359 156320 3452
+rect 156120 1241 156161 1359
+rect 156279 1241 156320 1359
+rect 156120 800 156320 1241
+rect 152080 41 152121 159
+rect 152239 41 152280 159
+rect 152080 0 152280 41
+rect 157080 559 157280 3452
+rect 159200 2559 159400 3452
+rect 159200 2441 159241 2559
+rect 159359 2441 159400 2559
+rect 159200 2400 159400 2441
+rect 160160 1759 160360 3452
+rect 160160 1641 160201 1759
+rect 160319 1641 160360 1759
+rect 160160 1600 160360 1641
+rect 161120 959 161320 3452
+rect 161120 841 161161 959
+rect 161279 841 161320 959
+rect 161120 800 161320 841
+rect 157080 441 157121 559
+rect 157239 441 157280 559
+rect 157080 0 157280 441
+rect 162080 159 162280 3452
+rect 164200 2959 164400 3452
+rect 164200 2841 164241 2959
+rect 164359 2841 164400 2959
+rect 164200 2400 164400 2841
+rect 165160 2159 165360 3452
+rect 165160 2041 165201 2159
+rect 165319 2041 165360 2159
+rect 165160 1600 165360 2041
+rect 166120 1359 166320 3452
+rect 166120 1241 166161 1359
+rect 166279 1241 166320 1359
+rect 166120 800 166320 1241
+rect 162080 41 162121 159
+rect 162239 41 162280 159
+rect 162080 0 162280 41
+rect 167080 559 167280 3452
+rect 169200 2559 169400 3452
+rect 169200 2441 169241 2559
+rect 169359 2441 169400 2559
+rect 169200 2400 169400 2441
+rect 170160 1759 170360 3452
+rect 170160 1641 170201 1759
+rect 170319 1641 170360 1759
+rect 170160 1600 170360 1641
+rect 171120 959 171320 3452
+rect 171120 841 171161 959
+rect 171279 841 171320 959
+rect 171120 800 171320 841
+rect 167080 441 167121 559
+rect 167239 441 167280 559
+rect 167080 0 167280 441
+rect 172080 159 172280 3452
+rect 174200 2959 174400 3452
+rect 174200 2841 174241 2959
+rect 174359 2841 174400 2959
+rect 174200 2400 174400 2841
+rect 175160 2159 175360 3452
+rect 175160 2041 175201 2159
+rect 175319 2041 175360 2159
+rect 175160 1600 175360 2041
+rect 176120 1359 176320 3452
+rect 176120 1241 176161 1359
+rect 176279 1241 176320 1359
+rect 176120 800 176320 1241
+rect 172080 41 172121 159
+rect 172239 41 172280 159
+rect 172080 0 172280 41
+rect 177080 559 177280 3452
+rect 179200 2559 179400 3452
+rect 179200 2441 179241 2559
+rect 179359 2441 179400 2559
+rect 179200 2400 179400 2441
+rect 180160 1759 180360 3452
+rect 180160 1641 180201 1759
+rect 180319 1641 180360 1759
+rect 180160 1600 180360 1641
+rect 181120 959 181320 3452
+rect 181120 841 181161 959
+rect 181279 841 181320 959
+rect 181120 800 181320 841
+rect 177080 441 177121 559
+rect 177239 441 177280 559
+rect 177080 0 177280 441
+rect 182080 159 182280 3452
+rect 184200 2959 184400 3452
+rect 184200 2841 184241 2959
+rect 184359 2841 184400 2959
+rect 184200 2400 184400 2841
+rect 185160 2159 185360 3452
+rect 185160 2041 185201 2159
+rect 185319 2041 185360 2159
+rect 185160 1600 185360 2041
+rect 186120 1359 186320 3452
+rect 186120 1241 186161 1359
+rect 186279 1241 186320 1359
+rect 186120 800 186320 1241
+rect 182080 41 182121 159
+rect 182239 41 182280 159
+rect 182080 0 182280 41
+rect 187080 559 187280 3452
+rect 189200 2559 189400 3452
+rect 189200 2441 189241 2559
+rect 189359 2441 189400 2559
+rect 189200 2400 189400 2441
+rect 190160 1759 190360 3452
+rect 190160 1641 190201 1759
+rect 190319 1641 190360 1759
+rect 190160 1600 190360 1641
+rect 191120 959 191320 3452
+rect 191120 841 191161 959
+rect 191279 841 191320 959
+rect 191120 800 191320 841
+rect 187080 441 187121 559
+rect 187239 441 187280 559
+rect 187080 0 187280 441
+rect 192080 159 192280 3452
+rect 194200 2959 194400 3452
+rect 194200 2841 194241 2959
+rect 194359 2841 194400 2959
+rect 194200 2400 194400 2841
+rect 195160 2159 195360 3452
+rect 195160 2041 195201 2159
+rect 195319 2041 195360 2159
+rect 195160 1600 195360 2041
+rect 196120 1359 196320 3452
+rect 196120 1241 196161 1359
+rect 196279 1241 196320 1359
+rect 196120 800 196320 1241
+rect 192080 41 192121 159
+rect 192239 41 192280 159
+rect 192080 0 192280 41
+rect 197080 559 197280 3452
+rect 199200 2559 199400 3452
+rect 199200 2441 199241 2559
+rect 199359 2441 199400 2559
+rect 199200 2400 199400 2441
+rect 200160 1759 200360 3452
+rect 200160 1641 200201 1759
+rect 200319 1641 200360 1759
+rect 200160 1600 200360 1641
+rect 201120 959 201320 3452
+rect 201120 841 201161 959
+rect 201279 841 201320 959
+rect 201120 800 201320 841
+rect 197080 441 197121 559
+rect 197239 441 197280 559
+rect 197080 0 197280 441
+rect 202080 159 202280 3452
+rect 204200 2959 204400 3452
+rect 204200 2841 204241 2959
+rect 204359 2841 204400 2959
+rect 204200 2400 204400 2841
+rect 205160 2159 205360 3452
+rect 205160 2041 205201 2159
+rect 205319 2041 205360 2159
+rect 205160 1600 205360 2041
+rect 206120 1359 206320 3452
+rect 206120 1241 206161 1359
+rect 206279 1241 206320 1359
+rect 206120 800 206320 1241
+rect 202080 41 202121 159
+rect 202239 41 202280 159
+rect 202080 0 202280 41
+rect 207080 559 207280 3452
+rect 209200 2559 209400 3452
+rect 209200 2441 209241 2559
+rect 209359 2441 209400 2559
+rect 209200 2400 209400 2441
+rect 210160 1759 210360 3452
+rect 210160 1641 210201 1759
+rect 210319 1641 210360 1759
+rect 210160 1600 210360 1641
+rect 211120 959 211320 3452
+rect 211120 841 211161 959
+rect 211279 841 211320 959
+rect 211120 800 211320 841
+rect 207080 441 207121 559
+rect 207239 441 207280 559
+rect 207080 0 207280 441
+rect 212080 159 212280 3452
+rect 214200 2959 214400 3452
+rect 214200 2841 214241 2959
+rect 214359 2841 214400 2959
+rect 214200 2400 214400 2841
+rect 215160 2159 215360 3452
+rect 215160 2041 215201 2159
+rect 215319 2041 215360 2159
+rect 215160 1600 215360 2041
+rect 216120 1359 216320 3452
+rect 216120 1241 216161 1359
+rect 216279 1241 216320 1359
+rect 216120 800 216320 1241
+rect 212080 41 212121 159
+rect 212239 41 212280 159
+rect 212080 0 212280 41
+rect 217080 559 217280 3452
+rect 219200 2559 219400 3452
+rect 219200 2441 219241 2559
+rect 219359 2441 219400 2559
+rect 219200 2400 219400 2441
+rect 220160 1759 220360 3452
+rect 220160 1641 220201 1759
+rect 220319 1641 220360 1759
+rect 220160 1600 220360 1641
+rect 221120 959 221320 3452
+rect 221120 841 221161 959
+rect 221279 841 221320 959
+rect 221120 800 221320 841
+rect 217080 441 217121 559
+rect 217239 441 217280 559
+rect 217080 0 217280 441
+rect 222080 159 222280 3452
+rect 224200 2959 224400 3452
+rect 224200 2841 224241 2959
+rect 224359 2841 224400 2959
+rect 224200 2400 224400 2841
+rect 225160 2159 225360 3452
+rect 225160 2041 225201 2159
+rect 225319 2041 225360 2159
+rect 225160 1600 225360 2041
+rect 226120 1359 226320 3452
+rect 226120 1241 226161 1359
+rect 226279 1241 226320 1359
+rect 226120 800 226320 1241
+rect 222080 41 222121 159
+rect 222239 41 222280 159
+rect 222080 0 222280 41
+rect 227080 559 227280 3452
+rect 229200 2559 229400 3452
+rect 229200 2441 229241 2559
+rect 229359 2441 229400 2559
+rect 229200 2400 229400 2441
+rect 230160 1759 230360 3452
+rect 230160 1641 230201 1759
+rect 230319 1641 230360 1759
+rect 230160 1600 230360 1641
+rect 231120 959 231320 3452
+rect 231120 841 231161 959
+rect 231279 841 231320 959
+rect 231120 800 231320 841
+rect 227080 441 227121 559
+rect 227239 441 227280 559
+rect 227080 0 227280 441
+rect 232080 159 232280 3452
+rect 234200 2959 234400 3452
+rect 234200 2841 234241 2959
+rect 234359 2841 234400 2959
+rect 234200 2400 234400 2841
+rect 235160 2159 235360 3452
+rect 235160 2041 235201 2159
+rect 235319 2041 235360 2159
+rect 235160 1600 235360 2041
+rect 236120 1359 236320 3452
+rect 236120 1241 236161 1359
+rect 236279 1241 236320 1359
+rect 236120 800 236320 1241
+rect 232080 41 232121 159
+rect 232239 41 232280 159
+rect 232080 0 232280 41
+rect 237080 559 237280 3452
+rect 239200 2559 239400 3452
+rect 239200 2441 239241 2559
+rect 239359 2441 239400 2559
+rect 239200 2400 239400 2441
+rect 240160 1759 240360 3452
+rect 240160 1641 240201 1759
+rect 240319 1641 240360 1759
+rect 240160 1600 240360 1641
+rect 241120 959 241320 3452
+rect 241120 841 241161 959
+rect 241279 841 241320 959
+rect 241120 800 241320 841
+rect 237080 441 237121 559
+rect 237239 441 237280 559
+rect 237080 0 237280 441
+rect 242080 159 242280 3452
+rect 244200 2959 244400 3452
+rect 244200 2841 244241 2959
+rect 244359 2841 244400 2959
+rect 244200 2400 244400 2841
+rect 245160 2159 245360 3452
+rect 245160 2041 245201 2159
+rect 245319 2041 245360 2159
+rect 245160 1600 245360 2041
+rect 246120 1359 246320 3452
+rect 246120 1241 246161 1359
+rect 246279 1241 246320 1359
+rect 246120 800 246320 1241
+rect 242080 41 242121 159
+rect 242239 41 242280 159
+rect 242080 0 242280 41
+rect 247080 559 247280 3452
+rect 249200 2559 249400 3452
+rect 249200 2441 249241 2559
+rect 249359 2441 249400 2559
+rect 249200 2400 249400 2441
+rect 250160 1759 250360 3452
+rect 250160 1641 250201 1759
+rect 250319 1641 250360 1759
+rect 250160 1600 250360 1641
+rect 251120 959 251320 3452
+rect 251120 841 251161 959
+rect 251279 841 251320 959
+rect 251120 800 251320 841
+rect 247080 441 247121 559
+rect 247239 441 247280 559
+rect 247080 0 247280 441
+rect 252080 159 252280 3452
+rect 254200 2959 254400 3452
+rect 254200 2841 254241 2959
+rect 254359 2841 254400 2959
+rect 254200 2400 254400 2841
+rect 255160 2159 255360 3452
+rect 255160 2041 255201 2159
+rect 255319 2041 255360 2159
+rect 255160 1600 255360 2041
+rect 256120 1359 256320 3452
+rect 256120 1241 256161 1359
+rect 256279 1241 256320 1359
+rect 256120 800 256320 1241
+rect 252080 41 252121 159
+rect 252239 41 252280 159
+rect 252080 0 252280 41
+rect 257080 559 257280 3452
+rect 259200 2559 259400 3452
+rect 259200 2441 259241 2559
+rect 259359 2441 259400 2559
+rect 259200 2400 259400 2441
+rect 260160 1759 260360 3452
+rect 260160 1641 260201 1759
+rect 260319 1641 260360 1759
+rect 260160 1600 260360 1641
+rect 261120 959 261320 3452
+rect 261120 841 261161 959
+rect 261279 841 261320 959
+rect 261120 800 261320 841
+rect 257080 441 257121 559
+rect 257239 441 257280 559
+rect 257080 0 257280 441
+rect 262080 159 262280 3452
+rect 264200 2959 264400 3452
+rect 264200 2841 264241 2959
+rect 264359 2841 264400 2959
+rect 264200 2400 264400 2841
+rect 265160 2159 265360 3452
+rect 265160 2041 265201 2159
+rect 265319 2041 265360 2159
+rect 265160 1600 265360 2041
+rect 266120 1359 266320 3452
+rect 266120 1241 266161 1359
+rect 266279 1241 266320 1359
+rect 266120 800 266320 1241
+rect 262080 41 262121 159
+rect 262239 41 262280 159
+rect 262080 0 262280 41
+rect 267080 559 267280 3452
+rect 269200 2559 269400 3452
+rect 269200 2441 269241 2559
+rect 269359 2441 269400 2559
+rect 269200 2400 269400 2441
+rect 270160 1759 270360 3452
+rect 270160 1641 270201 1759
+rect 270319 1641 270360 1759
+rect 270160 1600 270360 1641
+rect 271120 959 271320 3452
+rect 271120 841 271161 959
+rect 271279 841 271320 959
+rect 271120 800 271320 841
+rect 267080 441 267121 559
+rect 267239 441 267280 559
+rect 267080 0 267280 441
+rect 272080 159 272280 3452
+rect 274200 2959 274400 3452
+rect 274200 2841 274241 2959
+rect 274359 2841 274400 2959
+rect 274200 2400 274400 2841
+rect 275160 2159 275360 3452
+rect 275160 2041 275201 2159
+rect 275319 2041 275360 2159
+rect 275160 1600 275360 2041
+rect 276120 1359 276320 3452
+rect 276120 1241 276161 1359
+rect 276279 1241 276320 1359
+rect 276120 800 276320 1241
+rect 272080 41 272121 159
+rect 272239 41 272280 159
+rect 272080 0 272280 41
+rect 277080 559 277280 3452
+rect 279200 2559 279400 3452
+rect 279200 2441 279241 2559
+rect 279359 2441 279400 2559
+rect 279200 2400 279400 2441
+rect 280160 1759 280360 3452
+rect 280160 1641 280201 1759
+rect 280319 1641 280360 1759
+rect 280160 1600 280360 1641
+rect 281120 959 281320 3452
+rect 281120 841 281161 959
+rect 281279 841 281320 959
+rect 281120 800 281320 841
+rect 277080 441 277121 559
+rect 277239 441 277280 559
+rect 277080 0 277280 441
+rect 282080 159 282280 3452
+rect 284200 2959 284400 3452
+rect 284200 2841 284241 2959
+rect 284359 2841 284400 2959
+rect 284200 2400 284400 2841
+rect 285160 2159 285360 3452
+rect 285160 2041 285201 2159
+rect 285319 2041 285360 2159
+rect 285160 1600 285360 2041
+rect 286120 1359 286320 3452
+rect 286120 1241 286161 1359
+rect 286279 1241 286320 1359
+rect 286120 800 286320 1241
+rect 282080 41 282121 159
+rect 282239 41 282280 159
+rect 282080 0 282280 41
+rect 287080 559 287280 3452
+rect 289200 2559 289400 3452
+rect 289200 2441 289241 2559
+rect 289359 2441 289400 2559
+rect 289200 2400 289400 2441
+rect 290160 1759 290360 3452
+rect 290160 1641 290201 1759
+rect 290319 1641 290360 1759
+rect 290160 1600 290360 1641
+rect 291120 959 291320 3452
+rect 291120 841 291161 959
+rect 291279 841 291320 959
+rect 291120 800 291320 841
+rect 287080 441 287121 559
+rect 287239 441 287280 559
+rect 287080 0 287280 441
+rect 292080 159 292280 3452
+rect 294200 2959 294400 3452
+rect 294200 2841 294241 2959
+rect 294359 2841 294400 2959
+rect 294200 2400 294400 2841
+rect 296458 2959 296658 4241
+rect 296458 2841 296499 2959
+rect 296617 2841 296658 2959
+rect 296458 2800 296658 2841
+rect 296858 349359 297058 355833
+rect 296858 349241 296899 349359
+rect 297017 349241 297058 349359
+rect 296858 339359 297058 349241
+rect 296858 339241 296899 339359
+rect 297017 339241 297058 339359
+rect 296858 329359 297058 339241
+rect 296858 329241 296899 329359
+rect 297017 329241 297058 329359
+rect 296858 319359 297058 329241
+rect 296858 319241 296899 319359
+rect 297017 319241 297058 319359
+rect 296858 309359 297058 319241
+rect 296858 309241 296899 309359
+rect 297017 309241 297058 309359
+rect 296858 299359 297058 309241
+rect 296858 299241 296899 299359
+rect 297017 299241 297058 299359
+rect 296858 289359 297058 299241
+rect 296858 289241 296899 289359
+rect 297017 289241 297058 289359
+rect 296858 279359 297058 289241
+rect 296858 279241 296899 279359
+rect 297017 279241 297058 279359
+rect 296858 269359 297058 279241
+rect 296858 269241 296899 269359
+rect 297017 269241 297058 269359
+rect 296858 259359 297058 269241
+rect 296858 259241 296899 259359
+rect 297017 259241 297058 259359
+rect 296858 249359 297058 259241
+rect 296858 249241 296899 249359
+rect 297017 249241 297058 249359
+rect 296858 239359 297058 249241
+rect 296858 239241 296899 239359
+rect 297017 239241 297058 239359
+rect 296858 229359 297058 239241
+rect 296858 229241 296899 229359
+rect 297017 229241 297058 229359
+rect 296858 219359 297058 229241
+rect 296858 219241 296899 219359
+rect 297017 219241 297058 219359
+rect 296858 209359 297058 219241
+rect 296858 209241 296899 209359
+rect 297017 209241 297058 209359
+rect 296858 199359 297058 209241
+rect 296858 199241 296899 199359
+rect 297017 199241 297058 199359
+rect 296858 189359 297058 199241
+rect 296858 189241 296899 189359
+rect 297017 189241 297058 189359
+rect 296858 179359 297058 189241
+rect 296858 179241 296899 179359
+rect 297017 179241 297058 179359
+rect 296858 169359 297058 179241
+rect 296858 169241 296899 169359
+rect 297017 169241 297058 169359
+rect 296858 159359 297058 169241
+rect 296858 159241 296899 159359
+rect 297017 159241 297058 159359
+rect 296858 149359 297058 159241
+rect 296858 149241 296899 149359
+rect 297017 149241 297058 149359
+rect 296858 139359 297058 149241
+rect 296858 139241 296899 139359
+rect 297017 139241 297058 139359
+rect 296858 129359 297058 139241
+rect 296858 129241 296899 129359
+rect 297017 129241 297058 129359
+rect 296858 119359 297058 129241
+rect 296858 119241 296899 119359
+rect 297017 119241 297058 119359
+rect 296858 109359 297058 119241
+rect 296858 109241 296899 109359
+rect 297017 109241 297058 109359
+rect 296858 99359 297058 109241
+rect 296858 99241 296899 99359
+rect 297017 99241 297058 99359
+rect 296858 89359 297058 99241
+rect 296858 89241 296899 89359
+rect 297017 89241 297058 89359
+rect 296858 79359 297058 89241
+rect 296858 79241 296899 79359
+rect 297017 79241 297058 79359
+rect 296858 69359 297058 79241
+rect 296858 69241 296899 69359
+rect 297017 69241 297058 69359
+rect 296858 59359 297058 69241
+rect 296858 59241 296899 59359
+rect 297017 59241 297058 59359
+rect 296858 49359 297058 59241
+rect 296858 49241 296899 49359
+rect 297017 49241 297058 49359
+rect 296858 39359 297058 49241
+rect 296858 39241 296899 39359
+rect 297017 39241 297058 39359
+rect 296858 29359 297058 39241
+rect 296858 29241 296899 29359
+rect 297017 29241 297058 29359
+rect 296858 19359 297058 29241
+rect 296858 19241 296899 19359
+rect 297017 19241 297058 19359
+rect 296858 9359 297058 19241
+rect 296858 9241 296899 9359
+rect 297017 9241 297058 9359
+rect 296858 2559 297058 9241
+rect 296858 2441 296899 2559
+rect 297017 2441 297058 2559
+rect 296858 2400 297058 2441
+rect 297258 345319 297458 356233
+rect 297258 345201 297299 345319
+rect 297417 345201 297458 345319
+rect 297258 335319 297458 345201
+rect 297258 335201 297299 335319
+rect 297417 335201 297458 335319
+rect 297258 325319 297458 335201
+rect 297258 325201 297299 325319
+rect 297417 325201 297458 325319
+rect 297258 315319 297458 325201
+rect 297258 315201 297299 315319
+rect 297417 315201 297458 315319
+rect 297258 305319 297458 315201
+rect 297258 305201 297299 305319
+rect 297417 305201 297458 305319
+rect 297258 295319 297458 305201
+rect 297258 295201 297299 295319
+rect 297417 295201 297458 295319
+rect 297258 285319 297458 295201
+rect 297258 285201 297299 285319
+rect 297417 285201 297458 285319
+rect 297258 275319 297458 285201
+rect 297258 275201 297299 275319
+rect 297417 275201 297458 275319
+rect 297258 265319 297458 275201
+rect 297258 265201 297299 265319
+rect 297417 265201 297458 265319
+rect 297258 255319 297458 265201
+rect 297258 255201 297299 255319
+rect 297417 255201 297458 255319
+rect 297258 245319 297458 255201
+rect 297258 245201 297299 245319
+rect 297417 245201 297458 245319
+rect 297258 235319 297458 245201
+rect 297258 235201 297299 235319
+rect 297417 235201 297458 235319
+rect 297258 225319 297458 235201
+rect 297258 225201 297299 225319
+rect 297417 225201 297458 225319
+rect 297258 215319 297458 225201
+rect 297258 215201 297299 215319
+rect 297417 215201 297458 215319
+rect 297258 205319 297458 215201
+rect 297258 205201 297299 205319
+rect 297417 205201 297458 205319
+rect 297258 195319 297458 205201
+rect 297258 195201 297299 195319
+rect 297417 195201 297458 195319
+rect 297258 185319 297458 195201
+rect 297258 185201 297299 185319
+rect 297417 185201 297458 185319
+rect 297258 175319 297458 185201
+rect 297258 175201 297299 175319
+rect 297417 175201 297458 175319
+rect 297258 165319 297458 175201
+rect 297258 165201 297299 165319
+rect 297417 165201 297458 165319
+rect 297258 155319 297458 165201
+rect 297258 155201 297299 155319
+rect 297417 155201 297458 155319
+rect 297258 145319 297458 155201
+rect 297258 145201 297299 145319
+rect 297417 145201 297458 145319
+rect 297258 135319 297458 145201
+rect 297258 135201 297299 135319
+rect 297417 135201 297458 135319
+rect 297258 125319 297458 135201
+rect 297258 125201 297299 125319
+rect 297417 125201 297458 125319
+rect 297258 115319 297458 125201
+rect 297258 115201 297299 115319
+rect 297417 115201 297458 115319
+rect 297258 105319 297458 115201
+rect 297258 105201 297299 105319
+rect 297417 105201 297458 105319
+rect 297258 95319 297458 105201
+rect 297258 95201 297299 95319
+rect 297417 95201 297458 95319
+rect 297258 85319 297458 95201
+rect 297258 85201 297299 85319
+rect 297417 85201 297458 85319
+rect 297258 75319 297458 85201
+rect 297258 75201 297299 75319
+rect 297417 75201 297458 75319
+rect 297258 65319 297458 75201
+rect 297258 65201 297299 65319
+rect 297417 65201 297458 65319
+rect 297258 55319 297458 65201
+rect 297258 55201 297299 55319
+rect 297417 55201 297458 55319
+rect 297258 45319 297458 55201
+rect 297258 45201 297299 45319
+rect 297417 45201 297458 45319
+rect 297258 35319 297458 45201
+rect 297258 35201 297299 35319
+rect 297417 35201 297458 35319
+rect 297258 25319 297458 35201
+rect 297258 25201 297299 25319
+rect 297417 25201 297458 25319
+rect 297258 15319 297458 25201
+rect 297258 15201 297299 15319
+rect 297417 15201 297458 15319
+rect 297258 5319 297458 15201
+rect 297258 5201 297299 5319
+rect 297417 5201 297458 5319
+rect 297258 2159 297458 5201
+rect 297258 2041 297299 2159
+rect 297417 2041 297458 2159
+rect 297258 2000 297458 2041
+rect 297658 350319 297858 356633
+rect 297658 350201 297699 350319
+rect 297817 350201 297858 350319
+rect 297658 340319 297858 350201
+rect 297658 340201 297699 340319
+rect 297817 340201 297858 340319
+rect 297658 330319 297858 340201
+rect 297658 330201 297699 330319
+rect 297817 330201 297858 330319
+rect 297658 320319 297858 330201
+rect 297658 320201 297699 320319
+rect 297817 320201 297858 320319
+rect 297658 310319 297858 320201
+rect 297658 310201 297699 310319
+rect 297817 310201 297858 310319
+rect 297658 300319 297858 310201
+rect 297658 300201 297699 300319
+rect 297817 300201 297858 300319
+rect 297658 290319 297858 300201
+rect 297658 290201 297699 290319
+rect 297817 290201 297858 290319
+rect 297658 280319 297858 290201
+rect 297658 280201 297699 280319
+rect 297817 280201 297858 280319
+rect 297658 270319 297858 280201
+rect 297658 270201 297699 270319
+rect 297817 270201 297858 270319
+rect 297658 260319 297858 270201
+rect 297658 260201 297699 260319
+rect 297817 260201 297858 260319
+rect 297658 250319 297858 260201
+rect 297658 250201 297699 250319
+rect 297817 250201 297858 250319
+rect 297658 240319 297858 250201
+rect 297658 240201 297699 240319
+rect 297817 240201 297858 240319
+rect 297658 230319 297858 240201
+rect 297658 230201 297699 230319
+rect 297817 230201 297858 230319
+rect 297658 220319 297858 230201
+rect 297658 220201 297699 220319
+rect 297817 220201 297858 220319
+rect 297658 210319 297858 220201
+rect 297658 210201 297699 210319
+rect 297817 210201 297858 210319
+rect 297658 200319 297858 210201
+rect 297658 200201 297699 200319
+rect 297817 200201 297858 200319
+rect 297658 190319 297858 200201
+rect 297658 190201 297699 190319
+rect 297817 190201 297858 190319
+rect 297658 180319 297858 190201
+rect 297658 180201 297699 180319
+rect 297817 180201 297858 180319
+rect 297658 170319 297858 180201
+rect 297658 170201 297699 170319
+rect 297817 170201 297858 170319
+rect 297658 160319 297858 170201
+rect 297658 160201 297699 160319
+rect 297817 160201 297858 160319
+rect 297658 150319 297858 160201
+rect 297658 150201 297699 150319
+rect 297817 150201 297858 150319
+rect 297658 140319 297858 150201
+rect 297658 140201 297699 140319
+rect 297817 140201 297858 140319
+rect 297658 130319 297858 140201
+rect 297658 130201 297699 130319
+rect 297817 130201 297858 130319
+rect 297658 120319 297858 130201
+rect 297658 120201 297699 120319
+rect 297817 120201 297858 120319
+rect 297658 110319 297858 120201
+rect 297658 110201 297699 110319
+rect 297817 110201 297858 110319
+rect 297658 100319 297858 110201
+rect 297658 100201 297699 100319
+rect 297817 100201 297858 100319
+rect 297658 90319 297858 100201
+rect 297658 90201 297699 90319
+rect 297817 90201 297858 90319
+rect 297658 80319 297858 90201
+rect 297658 80201 297699 80319
+rect 297817 80201 297858 80319
+rect 297658 70319 297858 80201
+rect 297658 70201 297699 70319
+rect 297817 70201 297858 70319
+rect 297658 60319 297858 70201
+rect 297658 60201 297699 60319
+rect 297817 60201 297858 60319
+rect 297658 50319 297858 60201
+rect 297658 50201 297699 50319
+rect 297817 50201 297858 50319
+rect 297658 40319 297858 50201
+rect 297658 40201 297699 40319
+rect 297817 40201 297858 40319
+rect 297658 30319 297858 40201
+rect 297658 30201 297699 30319
+rect 297817 30201 297858 30319
+rect 297658 20319 297858 30201
+rect 297658 20201 297699 20319
+rect 297817 20201 297858 20319
+rect 297658 10319 297858 20201
+rect 297658 10201 297699 10319
+rect 297817 10201 297858 10319
+rect 297658 1759 297858 10201
+rect 297658 1641 297699 1759
+rect 297817 1641 297858 1759
+rect 297658 1600 297858 1641
+rect 298058 346279 298258 357033
+rect 298058 346161 298099 346279
+rect 298217 346161 298258 346279
+rect 298058 336279 298258 346161
+rect 298058 336161 298099 336279
+rect 298217 336161 298258 336279
+rect 298058 326279 298258 336161
+rect 298058 326161 298099 326279
+rect 298217 326161 298258 326279
+rect 298058 316279 298258 326161
+rect 298058 316161 298099 316279
+rect 298217 316161 298258 316279
+rect 298058 306279 298258 316161
+rect 298058 306161 298099 306279
+rect 298217 306161 298258 306279
+rect 298058 296279 298258 306161
+rect 298058 296161 298099 296279
+rect 298217 296161 298258 296279
+rect 298058 286279 298258 296161
+rect 298058 286161 298099 286279
+rect 298217 286161 298258 286279
+rect 298058 276279 298258 286161
+rect 298058 276161 298099 276279
+rect 298217 276161 298258 276279
+rect 298058 266279 298258 276161
+rect 298058 266161 298099 266279
+rect 298217 266161 298258 266279
+rect 298058 256279 298258 266161
+rect 298058 256161 298099 256279
+rect 298217 256161 298258 256279
+rect 298058 246279 298258 256161
+rect 298058 246161 298099 246279
+rect 298217 246161 298258 246279
+rect 298058 236279 298258 246161
+rect 298058 236161 298099 236279
+rect 298217 236161 298258 236279
+rect 298058 226279 298258 236161
+rect 298058 226161 298099 226279
+rect 298217 226161 298258 226279
+rect 298058 216279 298258 226161
+rect 298058 216161 298099 216279
+rect 298217 216161 298258 216279
+rect 298058 206279 298258 216161
+rect 298058 206161 298099 206279
+rect 298217 206161 298258 206279
+rect 298058 196279 298258 206161
+rect 298058 196161 298099 196279
+rect 298217 196161 298258 196279
+rect 298058 186279 298258 196161
+rect 298058 186161 298099 186279
+rect 298217 186161 298258 186279
+rect 298058 176279 298258 186161
+rect 298058 176161 298099 176279
+rect 298217 176161 298258 176279
+rect 298058 166279 298258 176161
+rect 298058 166161 298099 166279
+rect 298217 166161 298258 166279
+rect 298058 156279 298258 166161
+rect 298058 156161 298099 156279
+rect 298217 156161 298258 156279
+rect 298058 146279 298258 156161
+rect 298058 146161 298099 146279
+rect 298217 146161 298258 146279
+rect 298058 136279 298258 146161
+rect 298058 136161 298099 136279
+rect 298217 136161 298258 136279
+rect 298058 126279 298258 136161
+rect 298058 126161 298099 126279
+rect 298217 126161 298258 126279
+rect 298058 116279 298258 126161
+rect 298058 116161 298099 116279
+rect 298217 116161 298258 116279
+rect 298058 106279 298258 116161
+rect 298058 106161 298099 106279
+rect 298217 106161 298258 106279
+rect 298058 96279 298258 106161
+rect 298058 96161 298099 96279
+rect 298217 96161 298258 96279
+rect 298058 86279 298258 96161
+rect 298058 86161 298099 86279
+rect 298217 86161 298258 86279
+rect 298058 76279 298258 86161
+rect 298058 76161 298099 76279
+rect 298217 76161 298258 76279
+rect 298058 66279 298258 76161
+rect 298058 66161 298099 66279
+rect 298217 66161 298258 66279
+rect 298058 56279 298258 66161
+rect 298058 56161 298099 56279
+rect 298217 56161 298258 56279
+rect 298058 46279 298258 56161
+rect 298058 46161 298099 46279
+rect 298217 46161 298258 46279
+rect 298058 36279 298258 46161
+rect 298058 36161 298099 36279
+rect 298217 36161 298258 36279
+rect 298058 26279 298258 36161
+rect 298058 26161 298099 26279
+rect 298217 26161 298258 26279
+rect 298058 16279 298258 26161
+rect 298058 16161 298099 16279
+rect 298217 16161 298258 16279
+rect 298058 6279 298258 16161
+rect 298058 6161 298099 6279
+rect 298217 6161 298258 6279
+rect 298058 1359 298258 6161
+rect 298058 1241 298099 1359
+rect 298217 1241 298258 1359
+rect 298058 1200 298258 1241
+rect 298458 351279 298658 357433
+rect 298458 351161 298499 351279
+rect 298617 351161 298658 351279
+rect 298458 341279 298658 351161
+rect 298458 341161 298499 341279
+rect 298617 341161 298658 341279
+rect 298458 331279 298658 341161
+rect 298458 331161 298499 331279
+rect 298617 331161 298658 331279
+rect 298458 321279 298658 331161
+rect 298458 321161 298499 321279
+rect 298617 321161 298658 321279
+rect 298458 311279 298658 321161
+rect 298458 311161 298499 311279
+rect 298617 311161 298658 311279
+rect 298458 301279 298658 311161
+rect 298458 301161 298499 301279
+rect 298617 301161 298658 301279
+rect 298458 291279 298658 301161
+rect 298458 291161 298499 291279
+rect 298617 291161 298658 291279
+rect 298458 281279 298658 291161
+rect 298458 281161 298499 281279
+rect 298617 281161 298658 281279
+rect 298458 271279 298658 281161
+rect 298458 271161 298499 271279
+rect 298617 271161 298658 271279
+rect 298458 261279 298658 271161
+rect 298458 261161 298499 261279
+rect 298617 261161 298658 261279
+rect 298458 251279 298658 261161
+rect 298458 251161 298499 251279
+rect 298617 251161 298658 251279
+rect 298458 241279 298658 251161
+rect 298458 241161 298499 241279
+rect 298617 241161 298658 241279
+rect 298458 231279 298658 241161
+rect 298458 231161 298499 231279
+rect 298617 231161 298658 231279
+rect 298458 221279 298658 231161
+rect 298458 221161 298499 221279
+rect 298617 221161 298658 221279
+rect 298458 211279 298658 221161
+rect 298458 211161 298499 211279
+rect 298617 211161 298658 211279
+rect 298458 201279 298658 211161
+rect 298458 201161 298499 201279
+rect 298617 201161 298658 201279
+rect 298458 191279 298658 201161
+rect 298458 191161 298499 191279
+rect 298617 191161 298658 191279
+rect 298458 181279 298658 191161
+rect 298458 181161 298499 181279
+rect 298617 181161 298658 181279
+rect 298458 171279 298658 181161
+rect 298458 171161 298499 171279
+rect 298617 171161 298658 171279
+rect 298458 161279 298658 171161
+rect 298458 161161 298499 161279
+rect 298617 161161 298658 161279
+rect 298458 151279 298658 161161
+rect 298458 151161 298499 151279
+rect 298617 151161 298658 151279
+rect 298458 141279 298658 151161
+rect 298458 141161 298499 141279
+rect 298617 141161 298658 141279
+rect 298458 131279 298658 141161
+rect 298458 131161 298499 131279
+rect 298617 131161 298658 131279
+rect 298458 121279 298658 131161
+rect 298458 121161 298499 121279
+rect 298617 121161 298658 121279
+rect 298458 111279 298658 121161
+rect 298458 111161 298499 111279
+rect 298617 111161 298658 111279
+rect 298458 101279 298658 111161
+rect 298458 101161 298499 101279
+rect 298617 101161 298658 101279
+rect 298458 91279 298658 101161
+rect 298458 91161 298499 91279
+rect 298617 91161 298658 91279
+rect 298458 81279 298658 91161
+rect 298458 81161 298499 81279
+rect 298617 81161 298658 81279
+rect 298458 71279 298658 81161
+rect 298458 71161 298499 71279
+rect 298617 71161 298658 71279
+rect 298458 61279 298658 71161
+rect 298458 61161 298499 61279
+rect 298617 61161 298658 61279
+rect 298458 51279 298658 61161
+rect 298458 51161 298499 51279
+rect 298617 51161 298658 51279
+rect 298458 41279 298658 51161
+rect 298458 41161 298499 41279
+rect 298617 41161 298658 41279
+rect 298458 31279 298658 41161
+rect 298458 31161 298499 31279
+rect 298617 31161 298658 31279
+rect 298458 21279 298658 31161
+rect 298458 21161 298499 21279
+rect 298617 21161 298658 21279
+rect 298458 11279 298658 21161
+rect 298458 11161 298499 11279
+rect 298617 11161 298658 11279
+rect 298458 959 298658 11161
+rect 298458 841 298499 959
+rect 298617 841 298658 959
+rect 298458 800 298658 841
+rect 298858 347239 299058 357833
+rect 298858 347121 298899 347239
+rect 299017 347121 299058 347239
+rect 298858 337239 299058 347121
+rect 298858 337121 298899 337239
+rect 299017 337121 299058 337239
+rect 298858 327239 299058 337121
+rect 298858 327121 298899 327239
+rect 299017 327121 299058 327239
+rect 298858 317239 299058 327121
+rect 298858 317121 298899 317239
+rect 299017 317121 299058 317239
+rect 298858 307239 299058 317121
+rect 298858 307121 298899 307239
+rect 299017 307121 299058 307239
+rect 298858 297239 299058 307121
+rect 298858 297121 298899 297239
+rect 299017 297121 299058 297239
+rect 298858 287239 299058 297121
+rect 298858 287121 298899 287239
+rect 299017 287121 299058 287239
+rect 298858 277239 299058 287121
+rect 298858 277121 298899 277239
+rect 299017 277121 299058 277239
+rect 298858 267239 299058 277121
+rect 298858 267121 298899 267239
+rect 299017 267121 299058 267239
+rect 298858 257239 299058 267121
+rect 298858 257121 298899 257239
+rect 299017 257121 299058 257239
+rect 298858 247239 299058 257121
+rect 298858 247121 298899 247239
+rect 299017 247121 299058 247239
+rect 298858 237239 299058 247121
+rect 298858 237121 298899 237239
+rect 299017 237121 299058 237239
+rect 298858 227239 299058 237121
+rect 298858 227121 298899 227239
+rect 299017 227121 299058 227239
+rect 298858 217239 299058 227121
+rect 298858 217121 298899 217239
+rect 299017 217121 299058 217239
+rect 298858 207239 299058 217121
+rect 298858 207121 298899 207239
+rect 299017 207121 299058 207239
+rect 298858 197239 299058 207121
+rect 298858 197121 298899 197239
+rect 299017 197121 299058 197239
+rect 298858 187239 299058 197121
+rect 298858 187121 298899 187239
+rect 299017 187121 299058 187239
+rect 298858 177239 299058 187121
+rect 298858 177121 298899 177239
+rect 299017 177121 299058 177239
+rect 298858 167239 299058 177121
+rect 298858 167121 298899 167239
+rect 299017 167121 299058 167239
+rect 298858 157239 299058 167121
+rect 298858 157121 298899 157239
+rect 299017 157121 299058 157239
+rect 298858 147239 299058 157121
+rect 298858 147121 298899 147239
+rect 299017 147121 299058 147239
+rect 298858 137239 299058 147121
+rect 298858 137121 298899 137239
+rect 299017 137121 299058 137239
+rect 298858 127239 299058 137121
+rect 298858 127121 298899 127239
+rect 299017 127121 299058 127239
+rect 298858 117239 299058 127121
+rect 298858 117121 298899 117239
+rect 299017 117121 299058 117239
+rect 298858 107239 299058 117121
+rect 298858 107121 298899 107239
+rect 299017 107121 299058 107239
+rect 298858 97239 299058 107121
+rect 298858 97121 298899 97239
+rect 299017 97121 299058 97239
+rect 298858 87239 299058 97121
+rect 298858 87121 298899 87239
+rect 299017 87121 299058 87239
+rect 298858 77239 299058 87121
+rect 298858 77121 298899 77239
+rect 299017 77121 299058 77239
+rect 298858 67239 299058 77121
+rect 298858 67121 298899 67239
+rect 299017 67121 299058 67239
+rect 298858 57239 299058 67121
+rect 298858 57121 298899 57239
+rect 299017 57121 299058 57239
+rect 298858 47239 299058 57121
+rect 298858 47121 298899 47239
+rect 299017 47121 299058 47239
+rect 298858 37239 299058 47121
+rect 298858 37121 298899 37239
+rect 299017 37121 299058 37239
+rect 298858 27239 299058 37121
+rect 298858 27121 298899 27239
+rect 299017 27121 299058 27239
+rect 298858 17239 299058 27121
+rect 298858 17121 298899 17239
+rect 299017 17121 299058 17239
+rect 298858 7239 299058 17121
+rect 298858 7121 298899 7239
+rect 299017 7121 299058 7239
+rect 298858 559 299058 7121
+rect 298858 441 298899 559
+rect 299017 441 299058 559
+rect 298858 400 299058 441
+rect 299258 352239 299458 358233
+rect 299258 352121 299299 352239
+rect 299417 352121 299458 352239
+rect 299258 342239 299458 352121
+rect 299258 342121 299299 342239
+rect 299417 342121 299458 342239
+rect 299258 332239 299458 342121
+rect 299258 332121 299299 332239
+rect 299417 332121 299458 332239
+rect 299258 322239 299458 332121
+rect 299258 322121 299299 322239
+rect 299417 322121 299458 322239
+rect 299258 312239 299458 322121
+rect 299258 312121 299299 312239
+rect 299417 312121 299458 312239
+rect 299258 302239 299458 312121
+rect 299258 302121 299299 302239
+rect 299417 302121 299458 302239
+rect 299258 292239 299458 302121
+rect 299258 292121 299299 292239
+rect 299417 292121 299458 292239
+rect 299258 282239 299458 292121
+rect 299258 282121 299299 282239
+rect 299417 282121 299458 282239
+rect 299258 272239 299458 282121
+rect 299258 272121 299299 272239
+rect 299417 272121 299458 272239
+rect 299258 262239 299458 272121
+rect 299258 262121 299299 262239
+rect 299417 262121 299458 262239
+rect 299258 252239 299458 262121
+rect 299258 252121 299299 252239
+rect 299417 252121 299458 252239
+rect 299258 242239 299458 252121
+rect 299258 242121 299299 242239
+rect 299417 242121 299458 242239
+rect 299258 232239 299458 242121
+rect 299258 232121 299299 232239
+rect 299417 232121 299458 232239
+rect 299258 222239 299458 232121
+rect 299258 222121 299299 222239
+rect 299417 222121 299458 222239
+rect 299258 212239 299458 222121
+rect 299258 212121 299299 212239
+rect 299417 212121 299458 212239
+rect 299258 202239 299458 212121
+rect 299258 202121 299299 202239
+rect 299417 202121 299458 202239
+rect 299258 192239 299458 202121
+rect 299258 192121 299299 192239
+rect 299417 192121 299458 192239
+rect 299258 182239 299458 192121
+rect 299258 182121 299299 182239
+rect 299417 182121 299458 182239
+rect 299258 172239 299458 182121
+rect 299258 172121 299299 172239
+rect 299417 172121 299458 172239
+rect 299258 162239 299458 172121
+rect 299258 162121 299299 162239
+rect 299417 162121 299458 162239
+rect 299258 152239 299458 162121
+rect 299258 152121 299299 152239
+rect 299417 152121 299458 152239
+rect 299258 142239 299458 152121
+rect 299258 142121 299299 142239
+rect 299417 142121 299458 142239
+rect 299258 132239 299458 142121
+rect 299258 132121 299299 132239
+rect 299417 132121 299458 132239
+rect 299258 122239 299458 132121
+rect 299258 122121 299299 122239
+rect 299417 122121 299458 122239
+rect 299258 112239 299458 122121
+rect 299258 112121 299299 112239
+rect 299417 112121 299458 112239
+rect 299258 102239 299458 112121
+rect 299258 102121 299299 102239
+rect 299417 102121 299458 102239
+rect 299258 92239 299458 102121
+rect 299258 92121 299299 92239
+rect 299417 92121 299458 92239
+rect 299258 82239 299458 92121
+rect 299258 82121 299299 82239
+rect 299417 82121 299458 82239
+rect 299258 72239 299458 82121
+rect 299258 72121 299299 72239
+rect 299417 72121 299458 72239
+rect 299258 62239 299458 72121
+rect 299258 62121 299299 62239
+rect 299417 62121 299458 62239
+rect 299258 52239 299458 62121
+rect 299258 52121 299299 52239
+rect 299417 52121 299458 52239
+rect 299258 42239 299458 52121
+rect 299258 42121 299299 42239
+rect 299417 42121 299458 42239
+rect 299258 32239 299458 42121
+rect 299258 32121 299299 32239
+rect 299417 32121 299458 32239
+rect 299258 22239 299458 32121
+rect 299258 22121 299299 22239
+rect 299417 22121 299458 22239
+rect 299258 12239 299458 22121
+rect 299258 12121 299299 12239
+rect 299417 12121 299458 12239
+rect 292080 41 292121 159
+rect 292239 41 292280 159
+rect 292080 0 292280 41
+rect 299258 159 299458 12121
+rect 299258 41 299299 159
+rect 299417 41 299458 159
+rect 299258 0 299458 41
 << via4 >>
-rect 82 703042 318 703278
-rect 584598 703042 584834 703278
-rect 82 694698 318 694934
-rect 82 664062 318 664298
-rect 82 633426 318 633662
-rect 82 602790 318 603026
-rect 82 572154 318 572390
-rect 82 541518 318 541754
-rect 82 510882 318 511118
-rect 82 480246 318 480482
-rect 82 449610 318 449846
-rect 82 418974 318 419210
-rect 82 388338 318 388574
-rect 82 357702 318 357938
-rect 82 327066 318 327302
-rect 82 296430 318 296666
-rect 82 265794 318 266030
-rect 82 235158 318 235394
-rect 82 204522 318 204758
-rect 82 173886 318 174122
-rect 82 143250 318 143486
-rect 82 112614 318 112850
-rect 82 81978 318 82214
-rect 82 51342 318 51578
-rect 82 20706 318 20942
-rect 882 702242 1118 702478
-rect 882 679380 1118 679616
-rect 882 648744 1118 648980
-rect 882 618108 1118 618344
-rect 583798 702242 584034 702478
-rect 583798 679380 584034 679616
-rect 583798 648744 584034 648980
-rect 583798 618108 584034 618344
-rect 882 587472 1118 587708
-rect 882 556836 1118 557072
-rect 583798 587472 584034 587708
-rect 583798 556836 584034 557072
-rect 882 526200 1118 526436
-rect 583798 526200 584034 526436
-rect 882 495564 1118 495800
-rect 882 464928 1118 465164
-rect 583798 495564 584034 495800
-rect 583798 464928 584034 465164
-rect 882 434292 1118 434528
-rect 882 403656 1118 403892
-rect 882 373020 1118 373256
-rect 882 342384 1118 342620
-rect 882 311748 1118 311984
-rect 882 281112 1118 281348
-rect 882 250476 1118 250712
-rect 882 219840 1118 220076
-rect 882 189204 1118 189440
-rect 882 158568 1118 158804
-rect 882 127932 1118 128168
-rect 882 97296 1118 97532
-rect 882 66660 1118 66896
-rect 882 36024 1118 36260
-rect 234640 434292 234876 434528
-rect 234640 403656 234876 403892
-rect 234640 373020 234876 373256
-rect 234640 342384 234876 342620
-rect 250000 449610 250236 449846
-rect 250000 418974 250236 419210
-rect 250000 388338 250236 388574
-rect 250000 357702 250236 357938
-rect 583798 434292 584034 434528
-rect 583798 403656 584034 403892
-rect 583798 373020 584034 373256
-rect 583798 342384 584034 342620
-rect 583798 311748 584034 311984
-rect 583798 281112 584034 281348
-rect 583798 250476 584034 250712
-rect 583798 219840 584034 220076
-rect 583798 189204 584034 189440
-rect 583798 158568 584034 158804
-rect 583798 127932 584034 128168
-rect 583798 97296 584034 97532
-rect 583798 66660 584034 66896
-rect 583798 36024 584034 36260
-rect 882 5388 1118 5624
-rect 583798 5388 584034 5624
-rect 882 1458 1118 1694
-rect 583798 1458 584034 1694
-rect 584598 694698 584834 694934
-rect 584598 664062 584834 664298
-rect 584598 633426 584834 633662
-rect 584598 602790 584834 603026
-rect 584598 572154 584834 572390
-rect 584598 541518 584834 541754
-rect 584598 510882 584834 511118
-rect 584598 480246 584834 480482
-rect 584598 449610 584834 449846
-rect 584598 418974 584834 419210
-rect 584598 388338 584834 388574
-rect 584598 357702 584834 357938
-rect 584598 327066 584834 327302
-rect 584598 296430 584834 296666
-rect 584598 265794 584834 266030
-rect 584598 235158 584834 235394
-rect 584598 204522 584834 204758
-rect 584598 173886 584834 174122
-rect 584598 143250 584834 143486
-rect 584598 112614 584834 112850
-rect 584598 81978 584834 82214
-rect 584598 51342 584834 51578
-rect 584598 20706 584834 20942
-rect 82 658 318 894
-rect 584598 658 584834 894
+rect 41 358233 159 358351
+rect 41 352121 159 352239
+rect 41 342121 159 342239
+rect 41 332121 159 332239
+rect 41 322121 159 322239
+rect 41 312121 159 312239
+rect 41 302121 159 302239
+rect 41 292121 159 292239
+rect 41 282121 159 282239
+rect 41 272121 159 272239
+rect 41 262121 159 262239
+rect 41 252121 159 252239
+rect 41 242121 159 242239
+rect 41 232121 159 232239
+rect 41 222121 159 222239
+rect 41 212121 159 212239
+rect 41 202121 159 202239
+rect 41 192121 159 192239
+rect 41 182121 159 182239
+rect 41 172121 159 172239
+rect 41 162121 159 162239
+rect 41 152121 159 152239
+rect 41 142121 159 142239
+rect 41 132121 159 132239
+rect 41 122121 159 122239
+rect 41 112121 159 112239
+rect 41 102121 159 102239
+rect 41 92121 159 92239
+rect 41 82121 159 82239
+rect 41 72121 159 72239
+rect 41 62121 159 62239
+rect 41 52121 159 52239
+rect 41 42121 159 42239
+rect 41 32121 159 32239
+rect 41 22121 159 22239
+rect 41 12121 159 12239
+rect 441 357833 559 357951
+rect 7121 357833 7239 357951
+rect 441 347121 559 347239
+rect 441 337121 559 337239
+rect 441 327121 559 327239
+rect 441 317121 559 317239
+rect 441 307121 559 307239
+rect 441 297121 559 297239
+rect 441 287121 559 287239
+rect 441 277121 559 277239
+rect 441 267121 559 267239
+rect 441 257121 559 257239
+rect 441 247121 559 247239
+rect 441 237121 559 237239
+rect 441 227121 559 227239
+rect 441 217121 559 217239
+rect 441 207121 559 207239
+rect 441 197121 559 197239
+rect 441 187121 559 187239
+rect 441 177121 559 177239
+rect 441 167121 559 167239
+rect 441 157121 559 157239
+rect 441 147121 559 147239
+rect 441 137121 559 137239
+rect 441 127121 559 127239
+rect 441 117121 559 117239
+rect 441 107121 559 107239
+rect 441 97121 559 97239
+rect 441 87121 559 87239
+rect 441 77121 559 77239
+rect 441 67121 559 67239
+rect 441 57121 559 57239
+rect 441 47121 559 47239
+rect 441 37121 559 37239
+rect 441 27121 559 27239
+rect 441 17121 559 17239
+rect 441 7121 559 7239
+rect 841 357433 959 357551
+rect 841 351161 959 351279
+rect 841 341161 959 341279
+rect 841 331161 959 331279
+rect 841 321161 959 321279
+rect 841 311161 959 311279
+rect 841 301161 959 301279
+rect 841 291161 959 291279
+rect 841 281161 959 281279
+rect 841 271161 959 271279
+rect 841 261161 959 261279
+rect 841 251161 959 251279
+rect 841 241161 959 241279
+rect 841 231161 959 231279
+rect 841 221161 959 221279
+rect 841 211161 959 211279
+rect 841 201161 959 201279
+rect 841 191161 959 191279
+rect 841 181161 959 181279
+rect 841 171161 959 171279
+rect 841 161161 959 161279
+rect 841 151161 959 151279
+rect 841 141161 959 141279
+rect 841 131161 959 131279
+rect 841 121161 959 121279
+rect 841 111161 959 111279
+rect 841 101161 959 101279
+rect 841 91161 959 91279
+rect 841 81161 959 81279
+rect 841 71161 959 71279
+rect 841 61161 959 61279
+rect 841 51161 959 51279
+rect 841 41161 959 41279
+rect 841 31161 959 31279
+rect 841 21161 959 21279
+rect 841 11161 959 11279
+rect 1241 357033 1359 357151
+rect 6161 357033 6279 357151
+rect 1241 346161 1359 346279
+rect 1241 336161 1359 336279
+rect 1241 326161 1359 326279
+rect 1241 316161 1359 316279
+rect 1241 306161 1359 306279
+rect 1241 296161 1359 296279
+rect 1241 286161 1359 286279
+rect 1241 276161 1359 276279
+rect 1241 266161 1359 266279
+rect 1241 256161 1359 256279
+rect 1241 246161 1359 246279
+rect 1241 236161 1359 236279
+rect 1241 226161 1359 226279
+rect 1241 216161 1359 216279
+rect 1241 206161 1359 206279
+rect 1241 196161 1359 196279
+rect 1241 186161 1359 186279
+rect 1241 176161 1359 176279
+rect 1241 166161 1359 166279
+rect 1241 156161 1359 156279
+rect 1241 146161 1359 146279
+rect 1241 136161 1359 136279
+rect 1241 126161 1359 126279
+rect 1241 116161 1359 116279
+rect 1241 106161 1359 106279
+rect 1241 96161 1359 96279
+rect 1241 86161 1359 86279
+rect 1241 76161 1359 76279
+rect 1241 66161 1359 66279
+rect 1241 56161 1359 56279
+rect 1241 46161 1359 46279
+rect 1241 36161 1359 36279
+rect 1241 26161 1359 26279
+rect 1241 16161 1359 16279
+rect 1241 6161 1359 6279
+rect 1641 356633 1759 356751
+rect 1641 350201 1759 350319
+rect 1641 340201 1759 340319
+rect 1641 330201 1759 330319
+rect 1641 320201 1759 320319
+rect 1641 310201 1759 310319
+rect 1641 300201 1759 300319
+rect 1641 290201 1759 290319
+rect 1641 280201 1759 280319
+rect 1641 270201 1759 270319
+rect 1641 260201 1759 260319
+rect 1641 250201 1759 250319
+rect 1641 240201 1759 240319
+rect 1641 230201 1759 230319
+rect 1641 220201 1759 220319
+rect 1641 210201 1759 210319
+rect 1641 200201 1759 200319
+rect 1641 190201 1759 190319
+rect 1641 180201 1759 180319
+rect 1641 170201 1759 170319
+rect 1641 160201 1759 160319
+rect 1641 150201 1759 150319
+rect 1641 140201 1759 140319
+rect 1641 130201 1759 130319
+rect 1641 120201 1759 120319
+rect 1641 110201 1759 110319
+rect 1641 100201 1759 100319
+rect 1641 90201 1759 90319
+rect 1641 80201 1759 80319
+rect 1641 70201 1759 70319
+rect 1641 60201 1759 60319
+rect 1641 50201 1759 50319
+rect 1641 40201 1759 40319
+rect 1641 30201 1759 30319
+rect 1641 20201 1759 20319
+rect 1641 10201 1759 10319
+rect 2041 356233 2159 356351
+rect 5201 356233 5319 356351
+rect 2041 345201 2159 345319
+rect 2041 335201 2159 335319
+rect 2041 325201 2159 325319
+rect 2041 315201 2159 315319
+rect 2041 305201 2159 305319
+rect 2041 295201 2159 295319
+rect 2041 285201 2159 285319
+rect 2041 275201 2159 275319
+rect 2041 265201 2159 265319
+rect 2041 255201 2159 255319
+rect 2041 245201 2159 245319
+rect 2041 235201 2159 235319
+rect 2041 225201 2159 225319
+rect 2041 215201 2159 215319
+rect 2041 205201 2159 205319
+rect 2041 195201 2159 195319
+rect 2041 185201 2159 185319
+rect 2041 175201 2159 175319
+rect 2041 165201 2159 165319
+rect 2041 155201 2159 155319
+rect 2041 145201 2159 145319
+rect 2041 135201 2159 135319
+rect 2041 125201 2159 125319
+rect 2041 115201 2159 115319
+rect 2041 105201 2159 105319
+rect 2041 95201 2159 95319
+rect 2041 85201 2159 85319
+rect 2041 75201 2159 75319
+rect 2041 65201 2159 65319
+rect 2041 55201 2159 55319
+rect 2041 45201 2159 45319
+rect 2041 35201 2159 35319
+rect 2041 25201 2159 25319
+rect 2041 15201 2159 15319
+rect 2041 5201 2159 5319
+rect 2441 355833 2559 355951
+rect 2441 349241 2559 349359
+rect 2441 339241 2559 339359
+rect 2441 329241 2559 329359
+rect 2441 319241 2559 319359
+rect 2441 309241 2559 309359
+rect 2441 299241 2559 299359
+rect 2441 289241 2559 289359
+rect 2441 279241 2559 279359
+rect 2441 269241 2559 269359
+rect 2441 259241 2559 259359
+rect 2441 249241 2559 249359
+rect 2441 239241 2559 239359
+rect 2441 229241 2559 229359
+rect 2441 219241 2559 219359
+rect 2441 209241 2559 209359
+rect 2441 199241 2559 199359
+rect 2441 189241 2559 189359
+rect 2441 179241 2559 179359
+rect 2441 169241 2559 169359
+rect 2441 159241 2559 159359
+rect 2441 149241 2559 149359
+rect 2441 139241 2559 139359
+rect 2441 129241 2559 129359
+rect 2441 119241 2559 119359
+rect 2441 109241 2559 109359
+rect 2441 99241 2559 99359
+rect 2441 89241 2559 89359
+rect 2441 79241 2559 79359
+rect 2441 69241 2559 69359
+rect 2441 59241 2559 59359
+rect 2441 49241 2559 49359
+rect 2441 39241 2559 39359
+rect 2441 29241 2559 29359
+rect 2441 19241 2559 19359
+rect 2441 9241 2559 9359
+rect 2841 355433 2959 355551
+rect 4241 355433 4359 355551
+rect 12121 358233 12239 358351
+rect 11161 357433 11279 357551
+rect 10201 356633 10319 356751
+rect 9241 355833 9359 355951
+rect 17121 357833 17239 357951
+rect 16161 357033 16279 357151
+rect 15201 356233 15319 356351
+rect 14241 355433 14359 355551
+rect 22121 358233 22239 358351
+rect 21161 357433 21279 357551
+rect 20201 356633 20319 356751
+rect 19241 355833 19359 355951
+rect 27121 357833 27239 357951
+rect 26161 357033 26279 357151
+rect 25201 356233 25319 356351
+rect 24241 355433 24359 355551
+rect 32121 358233 32239 358351
+rect 31161 357433 31279 357551
+rect 30201 356633 30319 356751
+rect 29241 355833 29359 355951
+rect 37121 357833 37239 357951
+rect 36161 357033 36279 357151
+rect 35201 356233 35319 356351
+rect 34241 355433 34359 355551
+rect 42121 358233 42239 358351
+rect 41161 357433 41279 357551
+rect 40201 356633 40319 356751
+rect 39241 355833 39359 355951
+rect 47121 357833 47239 357951
+rect 46161 357033 46279 357151
+rect 45201 356233 45319 356351
+rect 44241 355433 44359 355551
+rect 52121 358233 52239 358351
+rect 51161 357433 51279 357551
+rect 50201 356633 50319 356751
+rect 49241 355833 49359 355951
+rect 57121 357833 57239 357951
+rect 56161 357033 56279 357151
+rect 55201 356233 55319 356351
+rect 54241 355433 54359 355551
+rect 62121 358233 62239 358351
+rect 61161 357433 61279 357551
+rect 60201 356633 60319 356751
+rect 59241 355833 59359 355951
+rect 67121 357833 67239 357951
+rect 66161 357033 66279 357151
+rect 65201 356233 65319 356351
+rect 64241 355433 64359 355551
+rect 72121 358233 72239 358351
+rect 71161 357433 71279 357551
+rect 70201 356633 70319 356751
+rect 69241 355833 69359 355951
+rect 77121 357833 77239 357951
+rect 76161 357033 76279 357151
+rect 75201 356233 75319 356351
+rect 74241 355433 74359 355551
+rect 82121 358233 82239 358351
+rect 81161 357433 81279 357551
+rect 80201 356633 80319 356751
+rect 79241 355833 79359 355951
+rect 87121 357833 87239 357951
+rect 86161 357033 86279 357151
+rect 85201 356233 85319 356351
+rect 84241 355433 84359 355551
+rect 92121 358233 92239 358351
+rect 91161 357433 91279 357551
+rect 90201 356633 90319 356751
+rect 89241 355833 89359 355951
+rect 97121 357833 97239 357951
+rect 96161 357033 96279 357151
+rect 95201 356233 95319 356351
+rect 94241 355433 94359 355551
+rect 102121 358233 102239 358351
+rect 101161 357433 101279 357551
+rect 100201 356633 100319 356751
+rect 99241 355833 99359 355951
+rect 107121 357833 107239 357951
+rect 106161 357033 106279 357151
+rect 105201 356233 105319 356351
+rect 104241 355433 104359 355551
+rect 112121 358233 112239 358351
+rect 111161 357433 111279 357551
+rect 110201 356633 110319 356751
+rect 109241 355833 109359 355951
+rect 117121 357833 117239 357951
+rect 116161 357033 116279 357151
+rect 115201 356233 115319 356351
+rect 114241 355433 114359 355551
+rect 122121 358233 122239 358351
+rect 121161 357433 121279 357551
+rect 120201 356633 120319 356751
+rect 119241 355833 119359 355951
+rect 127121 357833 127239 357951
+rect 126161 357033 126279 357151
+rect 125201 356233 125319 356351
+rect 124241 355433 124359 355551
+rect 132121 358233 132239 358351
+rect 131161 357433 131279 357551
+rect 130201 356633 130319 356751
+rect 129241 355833 129359 355951
+rect 137121 357833 137239 357951
+rect 136161 357033 136279 357151
+rect 135201 356233 135319 356351
+rect 134241 355433 134359 355551
+rect 142121 358233 142239 358351
+rect 141161 357433 141279 357551
+rect 140201 356633 140319 356751
+rect 139241 355833 139359 355951
+rect 147121 357833 147239 357951
+rect 146161 357033 146279 357151
+rect 145201 356233 145319 356351
+rect 144241 355433 144359 355551
+rect 152121 358233 152239 358351
+rect 151161 357433 151279 357551
+rect 150201 356633 150319 356751
+rect 149241 355833 149359 355951
+rect 157121 357833 157239 357951
+rect 156161 357033 156279 357151
+rect 155201 356233 155319 356351
+rect 154241 355433 154359 355551
+rect 162121 358233 162239 358351
+rect 161161 357433 161279 357551
+rect 160201 356633 160319 356751
+rect 159241 355833 159359 355951
+rect 167121 357833 167239 357951
+rect 166161 357033 166279 357151
+rect 165201 356233 165319 356351
+rect 164241 355433 164359 355551
+rect 172121 358233 172239 358351
+rect 171161 357433 171279 357551
+rect 170201 356633 170319 356751
+rect 169241 355833 169359 355951
+rect 177121 357833 177239 357951
+rect 176161 357033 176279 357151
+rect 175201 356233 175319 356351
+rect 174241 355433 174359 355551
+rect 182121 358233 182239 358351
+rect 181161 357433 181279 357551
+rect 180201 356633 180319 356751
+rect 179241 355833 179359 355951
+rect 187121 357833 187239 357951
+rect 186161 357033 186279 357151
+rect 185201 356233 185319 356351
+rect 184241 355433 184359 355551
+rect 192121 358233 192239 358351
+rect 191161 357433 191279 357551
+rect 190201 356633 190319 356751
+rect 189241 355833 189359 355951
+rect 197121 357833 197239 357951
+rect 196161 357033 196279 357151
+rect 195201 356233 195319 356351
+rect 194241 355433 194359 355551
+rect 202121 358233 202239 358351
+rect 201161 357433 201279 357551
+rect 200201 356633 200319 356751
+rect 199241 355833 199359 355951
+rect 207121 357833 207239 357951
+rect 206161 357033 206279 357151
+rect 205201 356233 205319 356351
+rect 204241 355433 204359 355551
+rect 212121 358233 212239 358351
+rect 211161 357433 211279 357551
+rect 210201 356633 210319 356751
+rect 209241 355833 209359 355951
+rect 217121 357833 217239 357951
+rect 216161 357033 216279 357151
+rect 215201 356233 215319 356351
+rect 214241 355433 214359 355551
+rect 222121 358233 222239 358351
+rect 221161 357433 221279 357551
+rect 220201 356633 220319 356751
+rect 219241 355833 219359 355951
+rect 227121 357833 227239 357951
+rect 226161 357033 226279 357151
+rect 225201 356233 225319 356351
+rect 224241 355433 224359 355551
+rect 232121 358233 232239 358351
+rect 231161 357433 231279 357551
+rect 230201 356633 230319 356751
+rect 229241 355833 229359 355951
+rect 237121 357833 237239 357951
+rect 236161 357033 236279 357151
+rect 235201 356233 235319 356351
+rect 234241 355433 234359 355551
+rect 242121 358233 242239 358351
+rect 241161 357433 241279 357551
+rect 240201 356633 240319 356751
+rect 239241 355833 239359 355951
+rect 247121 357833 247239 357951
+rect 246161 357033 246279 357151
+rect 245201 356233 245319 356351
+rect 244241 355433 244359 355551
+rect 252121 358233 252239 358351
+rect 251161 357433 251279 357551
+rect 250201 356633 250319 356751
+rect 249241 355833 249359 355951
+rect 257121 357833 257239 357951
+rect 256161 357033 256279 357151
+rect 255201 356233 255319 356351
+rect 254241 355433 254359 355551
+rect 262121 358233 262239 358351
+rect 261161 357433 261279 357551
+rect 260201 356633 260319 356751
+rect 259241 355833 259359 355951
+rect 267121 357833 267239 357951
+rect 266161 357033 266279 357151
+rect 265201 356233 265319 356351
+rect 264241 355433 264359 355551
+rect 272121 358233 272239 358351
+rect 271161 357433 271279 357551
+rect 270201 356633 270319 356751
+rect 269241 355833 269359 355951
+rect 277121 357833 277239 357951
+rect 276161 357033 276279 357151
+rect 275201 356233 275319 356351
+rect 274241 355433 274359 355551
+rect 282121 358233 282239 358351
+rect 281161 357433 281279 357551
+rect 280201 356633 280319 356751
+rect 279241 355833 279359 355951
+rect 287121 357833 287239 357951
+rect 286161 357033 286279 357151
+rect 285201 356233 285319 356351
+rect 284241 355433 284359 355551
+rect 292121 358233 292239 358351
+rect 291161 357433 291279 357551
+rect 290201 356633 290319 356751
+rect 289241 355833 289359 355951
+rect 299299 358233 299417 358351
+rect 298899 357833 299017 357951
+rect 298499 357433 298617 357551
+rect 298099 357033 298217 357151
+rect 297699 356633 297817 356751
+rect 297299 356233 297417 356351
+rect 296899 355833 297017 355951
+rect 294241 355433 294359 355551
+rect 296499 355433 296617 355551
+rect 2841 344241 2959 344359
+rect 2841 334241 2959 334359
+rect 2841 324241 2959 324359
+rect 2841 314241 2959 314359
+rect 2841 304241 2959 304359
+rect 2841 294241 2959 294359
+rect 2841 284241 2959 284359
+rect 2841 274241 2959 274359
+rect 2841 264241 2959 264359
+rect 2841 254241 2959 254359
+rect 2841 244241 2959 244359
+rect 2841 234241 2959 234359
+rect 2841 224241 2959 224359
+rect 2841 214241 2959 214359
+rect 2841 204241 2959 204359
+rect 2841 194241 2959 194359
+rect 2841 184241 2959 184359
+rect 2841 174241 2959 174359
+rect 2841 164241 2959 164359
+rect 2841 154241 2959 154359
+rect 2841 144241 2959 144359
+rect 2841 134241 2959 134359
+rect 2841 124241 2959 124359
+rect 2841 114241 2959 114359
+rect 2841 104241 2959 104359
+rect 2841 94241 2959 94359
+rect 2841 84241 2959 84359
+rect 2841 74241 2959 74359
+rect 2841 64241 2959 64359
+rect 2841 54241 2959 54359
+rect 2841 44241 2959 44359
+rect 2841 34241 2959 34359
+rect 2841 24241 2959 24359
+rect 2841 14241 2959 14359
+rect 2841 4241 2959 4359
+rect 296499 344241 296617 344359
+rect 296499 334241 296617 334359
+rect 296499 324241 296617 324359
+rect 296499 314241 296617 314359
+rect 296499 304241 296617 304359
+rect 296499 294241 296617 294359
+rect 296499 284241 296617 284359
+rect 296499 274241 296617 274359
+rect 296499 264241 296617 264359
+rect 296499 254241 296617 254359
+rect 296499 244241 296617 244359
+rect 296499 234241 296617 234359
+rect 296499 224241 296617 224359
+rect 296499 214241 296617 214359
+rect 296499 204241 296617 204359
+rect 296499 194241 296617 194359
+rect 296499 184241 296617 184359
+rect 296499 174241 296617 174359
+rect 296499 164241 296617 164359
+rect 296499 154241 296617 154359
+rect 296499 144241 296617 144359
+rect 296499 134241 296617 134359
+rect 296499 124241 296617 124359
+rect 296499 114241 296617 114359
+rect 296499 104241 296617 104359
+rect 296499 94241 296617 94359
+rect 296499 84241 296617 84359
+rect 296499 74241 296617 74359
+rect 296499 64241 296617 64359
+rect 296499 54241 296617 54359
+rect 296499 44241 296617 44359
+rect 296499 34241 296617 34359
+rect 296499 24241 296617 24359
+rect 296499 14241 296617 14359
+rect 296499 4241 296617 4359
+rect 2841 2841 2959 2959
+rect 4241 2841 4359 2959
+rect 2441 2441 2559 2559
+rect 2041 2041 2159 2159
+rect 5201 2041 5319 2159
+rect 1641 1641 1759 1759
+rect 1241 1241 1359 1359
+rect 6161 1241 6279 1359
+rect 841 841 959 959
+rect 441 441 559 559
+rect 9241 2441 9359 2559
+rect 10201 1641 10319 1759
+rect 11161 841 11279 959
+rect 7121 441 7239 559
+rect 41 41 159 159
+rect 14241 2841 14359 2959
+rect 15201 2041 15319 2159
+rect 16161 1241 16279 1359
+rect 12121 41 12239 159
+rect 19241 2441 19359 2559
+rect 20201 1641 20319 1759
+rect 21161 841 21279 959
+rect 17121 441 17239 559
+rect 24241 2841 24359 2959
+rect 25201 2041 25319 2159
+rect 26161 1241 26279 1359
+rect 22121 41 22239 159
+rect 29241 2441 29359 2559
+rect 30201 1641 30319 1759
+rect 31161 841 31279 959
+rect 27121 441 27239 559
+rect 34241 2841 34359 2959
+rect 35201 2041 35319 2159
+rect 36161 1241 36279 1359
+rect 32121 41 32239 159
+rect 39241 2441 39359 2559
+rect 40201 1641 40319 1759
+rect 41161 841 41279 959
+rect 37121 441 37239 559
+rect 44241 2841 44359 2959
+rect 45201 2041 45319 2159
+rect 46161 1241 46279 1359
+rect 42121 41 42239 159
+rect 49241 2441 49359 2559
+rect 50201 1641 50319 1759
+rect 51161 841 51279 959
+rect 47121 441 47239 559
+rect 54241 2841 54359 2959
+rect 55201 2041 55319 2159
+rect 56161 1241 56279 1359
+rect 52121 41 52239 159
+rect 59241 2441 59359 2559
+rect 60201 1641 60319 1759
+rect 61161 841 61279 959
+rect 57121 441 57239 559
+rect 64241 2841 64359 2959
+rect 65201 2041 65319 2159
+rect 66161 1241 66279 1359
+rect 62121 41 62239 159
+rect 69241 2441 69359 2559
+rect 70201 1641 70319 1759
+rect 71161 841 71279 959
+rect 67121 441 67239 559
+rect 74241 2841 74359 2959
+rect 75201 2041 75319 2159
+rect 76161 1241 76279 1359
+rect 72121 41 72239 159
+rect 79241 2441 79359 2559
+rect 80201 1641 80319 1759
+rect 81161 841 81279 959
+rect 77121 441 77239 559
+rect 84241 2841 84359 2959
+rect 85201 2041 85319 2159
+rect 86161 1241 86279 1359
+rect 82121 41 82239 159
+rect 89241 2441 89359 2559
+rect 90201 1641 90319 1759
+rect 91161 841 91279 959
+rect 87121 441 87239 559
+rect 94241 2841 94359 2959
+rect 95201 2041 95319 2159
+rect 96161 1241 96279 1359
+rect 92121 41 92239 159
+rect 99241 2441 99359 2559
+rect 100201 1641 100319 1759
+rect 101161 841 101279 959
+rect 97121 441 97239 559
+rect 104241 2841 104359 2959
+rect 105201 2041 105319 2159
+rect 106161 1241 106279 1359
+rect 102121 41 102239 159
+rect 109241 2441 109359 2559
+rect 110201 1641 110319 1759
+rect 111161 841 111279 959
+rect 107121 441 107239 559
+rect 114241 2841 114359 2959
+rect 115201 2041 115319 2159
+rect 116161 1241 116279 1359
+rect 112121 41 112239 159
+rect 119241 2441 119359 2559
+rect 120201 1641 120319 1759
+rect 121161 841 121279 959
+rect 117121 441 117239 559
+rect 124241 2841 124359 2959
+rect 125201 2041 125319 2159
+rect 126161 1241 126279 1359
+rect 122121 41 122239 159
+rect 129241 2441 129359 2559
+rect 130201 1641 130319 1759
+rect 131161 841 131279 959
+rect 127121 441 127239 559
+rect 134241 2841 134359 2959
+rect 135201 2041 135319 2159
+rect 136161 1241 136279 1359
+rect 132121 41 132239 159
+rect 139241 2441 139359 2559
+rect 140201 1641 140319 1759
+rect 141161 841 141279 959
+rect 137121 441 137239 559
+rect 144241 2841 144359 2959
+rect 145201 2041 145319 2159
+rect 146161 1241 146279 1359
+rect 142121 41 142239 159
+rect 149241 2441 149359 2559
+rect 150201 1641 150319 1759
+rect 151161 841 151279 959
+rect 147121 441 147239 559
+rect 154241 2841 154359 2959
+rect 155201 2041 155319 2159
+rect 156161 1241 156279 1359
+rect 152121 41 152239 159
+rect 159241 2441 159359 2559
+rect 160201 1641 160319 1759
+rect 161161 841 161279 959
+rect 157121 441 157239 559
+rect 164241 2841 164359 2959
+rect 165201 2041 165319 2159
+rect 166161 1241 166279 1359
+rect 162121 41 162239 159
+rect 169241 2441 169359 2559
+rect 170201 1641 170319 1759
+rect 171161 841 171279 959
+rect 167121 441 167239 559
+rect 174241 2841 174359 2959
+rect 175201 2041 175319 2159
+rect 176161 1241 176279 1359
+rect 172121 41 172239 159
+rect 179241 2441 179359 2559
+rect 180201 1641 180319 1759
+rect 181161 841 181279 959
+rect 177121 441 177239 559
+rect 184241 2841 184359 2959
+rect 185201 2041 185319 2159
+rect 186161 1241 186279 1359
+rect 182121 41 182239 159
+rect 189241 2441 189359 2559
+rect 190201 1641 190319 1759
+rect 191161 841 191279 959
+rect 187121 441 187239 559
+rect 194241 2841 194359 2959
+rect 195201 2041 195319 2159
+rect 196161 1241 196279 1359
+rect 192121 41 192239 159
+rect 199241 2441 199359 2559
+rect 200201 1641 200319 1759
+rect 201161 841 201279 959
+rect 197121 441 197239 559
+rect 204241 2841 204359 2959
+rect 205201 2041 205319 2159
+rect 206161 1241 206279 1359
+rect 202121 41 202239 159
+rect 209241 2441 209359 2559
+rect 210201 1641 210319 1759
+rect 211161 841 211279 959
+rect 207121 441 207239 559
+rect 214241 2841 214359 2959
+rect 215201 2041 215319 2159
+rect 216161 1241 216279 1359
+rect 212121 41 212239 159
+rect 219241 2441 219359 2559
+rect 220201 1641 220319 1759
+rect 221161 841 221279 959
+rect 217121 441 217239 559
+rect 224241 2841 224359 2959
+rect 225201 2041 225319 2159
+rect 226161 1241 226279 1359
+rect 222121 41 222239 159
+rect 229241 2441 229359 2559
+rect 230201 1641 230319 1759
+rect 231161 841 231279 959
+rect 227121 441 227239 559
+rect 234241 2841 234359 2959
+rect 235201 2041 235319 2159
+rect 236161 1241 236279 1359
+rect 232121 41 232239 159
+rect 239241 2441 239359 2559
+rect 240201 1641 240319 1759
+rect 241161 841 241279 959
+rect 237121 441 237239 559
+rect 244241 2841 244359 2959
+rect 245201 2041 245319 2159
+rect 246161 1241 246279 1359
+rect 242121 41 242239 159
+rect 249241 2441 249359 2559
+rect 250201 1641 250319 1759
+rect 251161 841 251279 959
+rect 247121 441 247239 559
+rect 254241 2841 254359 2959
+rect 255201 2041 255319 2159
+rect 256161 1241 256279 1359
+rect 252121 41 252239 159
+rect 259241 2441 259359 2559
+rect 260201 1641 260319 1759
+rect 261161 841 261279 959
+rect 257121 441 257239 559
+rect 264241 2841 264359 2959
+rect 265201 2041 265319 2159
+rect 266161 1241 266279 1359
+rect 262121 41 262239 159
+rect 269241 2441 269359 2559
+rect 270201 1641 270319 1759
+rect 271161 841 271279 959
+rect 267121 441 267239 559
+rect 274241 2841 274359 2959
+rect 275201 2041 275319 2159
+rect 276161 1241 276279 1359
+rect 272121 41 272239 159
+rect 279241 2441 279359 2559
+rect 280201 1641 280319 1759
+rect 281161 841 281279 959
+rect 277121 441 277239 559
+rect 284241 2841 284359 2959
+rect 285201 2041 285319 2159
+rect 286161 1241 286279 1359
+rect 282121 41 282239 159
+rect 289241 2441 289359 2559
+rect 290201 1641 290319 1759
+rect 291161 841 291279 959
+rect 287121 441 287239 559
+rect 294241 2841 294359 2959
+rect 296499 2841 296617 2959
+rect 296899 349241 297017 349359
+rect 296899 339241 297017 339359
+rect 296899 329241 297017 329359
+rect 296899 319241 297017 319359
+rect 296899 309241 297017 309359
+rect 296899 299241 297017 299359
+rect 296899 289241 297017 289359
+rect 296899 279241 297017 279359
+rect 296899 269241 297017 269359
+rect 296899 259241 297017 259359
+rect 296899 249241 297017 249359
+rect 296899 239241 297017 239359
+rect 296899 229241 297017 229359
+rect 296899 219241 297017 219359
+rect 296899 209241 297017 209359
+rect 296899 199241 297017 199359
+rect 296899 189241 297017 189359
+rect 296899 179241 297017 179359
+rect 296899 169241 297017 169359
+rect 296899 159241 297017 159359
+rect 296899 149241 297017 149359
+rect 296899 139241 297017 139359
+rect 296899 129241 297017 129359
+rect 296899 119241 297017 119359
+rect 296899 109241 297017 109359
+rect 296899 99241 297017 99359
+rect 296899 89241 297017 89359
+rect 296899 79241 297017 79359
+rect 296899 69241 297017 69359
+rect 296899 59241 297017 59359
+rect 296899 49241 297017 49359
+rect 296899 39241 297017 39359
+rect 296899 29241 297017 29359
+rect 296899 19241 297017 19359
+rect 296899 9241 297017 9359
+rect 296899 2441 297017 2559
+rect 297299 345201 297417 345319
+rect 297299 335201 297417 335319
+rect 297299 325201 297417 325319
+rect 297299 315201 297417 315319
+rect 297299 305201 297417 305319
+rect 297299 295201 297417 295319
+rect 297299 285201 297417 285319
+rect 297299 275201 297417 275319
+rect 297299 265201 297417 265319
+rect 297299 255201 297417 255319
+rect 297299 245201 297417 245319
+rect 297299 235201 297417 235319
+rect 297299 225201 297417 225319
+rect 297299 215201 297417 215319
+rect 297299 205201 297417 205319
+rect 297299 195201 297417 195319
+rect 297299 185201 297417 185319
+rect 297299 175201 297417 175319
+rect 297299 165201 297417 165319
+rect 297299 155201 297417 155319
+rect 297299 145201 297417 145319
+rect 297299 135201 297417 135319
+rect 297299 125201 297417 125319
+rect 297299 115201 297417 115319
+rect 297299 105201 297417 105319
+rect 297299 95201 297417 95319
+rect 297299 85201 297417 85319
+rect 297299 75201 297417 75319
+rect 297299 65201 297417 65319
+rect 297299 55201 297417 55319
+rect 297299 45201 297417 45319
+rect 297299 35201 297417 35319
+rect 297299 25201 297417 25319
+rect 297299 15201 297417 15319
+rect 297299 5201 297417 5319
+rect 297299 2041 297417 2159
+rect 297699 350201 297817 350319
+rect 297699 340201 297817 340319
+rect 297699 330201 297817 330319
+rect 297699 320201 297817 320319
+rect 297699 310201 297817 310319
+rect 297699 300201 297817 300319
+rect 297699 290201 297817 290319
+rect 297699 280201 297817 280319
+rect 297699 270201 297817 270319
+rect 297699 260201 297817 260319
+rect 297699 250201 297817 250319
+rect 297699 240201 297817 240319
+rect 297699 230201 297817 230319
+rect 297699 220201 297817 220319
+rect 297699 210201 297817 210319
+rect 297699 200201 297817 200319
+rect 297699 190201 297817 190319
+rect 297699 180201 297817 180319
+rect 297699 170201 297817 170319
+rect 297699 160201 297817 160319
+rect 297699 150201 297817 150319
+rect 297699 140201 297817 140319
+rect 297699 130201 297817 130319
+rect 297699 120201 297817 120319
+rect 297699 110201 297817 110319
+rect 297699 100201 297817 100319
+rect 297699 90201 297817 90319
+rect 297699 80201 297817 80319
+rect 297699 70201 297817 70319
+rect 297699 60201 297817 60319
+rect 297699 50201 297817 50319
+rect 297699 40201 297817 40319
+rect 297699 30201 297817 30319
+rect 297699 20201 297817 20319
+rect 297699 10201 297817 10319
+rect 297699 1641 297817 1759
+rect 298099 346161 298217 346279
+rect 298099 336161 298217 336279
+rect 298099 326161 298217 326279
+rect 298099 316161 298217 316279
+rect 298099 306161 298217 306279
+rect 298099 296161 298217 296279
+rect 298099 286161 298217 286279
+rect 298099 276161 298217 276279
+rect 298099 266161 298217 266279
+rect 298099 256161 298217 256279
+rect 298099 246161 298217 246279
+rect 298099 236161 298217 236279
+rect 298099 226161 298217 226279
+rect 298099 216161 298217 216279
+rect 298099 206161 298217 206279
+rect 298099 196161 298217 196279
+rect 298099 186161 298217 186279
+rect 298099 176161 298217 176279
+rect 298099 166161 298217 166279
+rect 298099 156161 298217 156279
+rect 298099 146161 298217 146279
+rect 298099 136161 298217 136279
+rect 298099 126161 298217 126279
+rect 298099 116161 298217 116279
+rect 298099 106161 298217 106279
+rect 298099 96161 298217 96279
+rect 298099 86161 298217 86279
+rect 298099 76161 298217 76279
+rect 298099 66161 298217 66279
+rect 298099 56161 298217 56279
+rect 298099 46161 298217 46279
+rect 298099 36161 298217 36279
+rect 298099 26161 298217 26279
+rect 298099 16161 298217 16279
+rect 298099 6161 298217 6279
+rect 298099 1241 298217 1359
+rect 298499 351161 298617 351279
+rect 298499 341161 298617 341279
+rect 298499 331161 298617 331279
+rect 298499 321161 298617 321279
+rect 298499 311161 298617 311279
+rect 298499 301161 298617 301279
+rect 298499 291161 298617 291279
+rect 298499 281161 298617 281279
+rect 298499 271161 298617 271279
+rect 298499 261161 298617 261279
+rect 298499 251161 298617 251279
+rect 298499 241161 298617 241279
+rect 298499 231161 298617 231279
+rect 298499 221161 298617 221279
+rect 298499 211161 298617 211279
+rect 298499 201161 298617 201279
+rect 298499 191161 298617 191279
+rect 298499 181161 298617 181279
+rect 298499 171161 298617 171279
+rect 298499 161161 298617 161279
+rect 298499 151161 298617 151279
+rect 298499 141161 298617 141279
+rect 298499 131161 298617 131279
+rect 298499 121161 298617 121279
+rect 298499 111161 298617 111279
+rect 298499 101161 298617 101279
+rect 298499 91161 298617 91279
+rect 298499 81161 298617 81279
+rect 298499 71161 298617 71279
+rect 298499 61161 298617 61279
+rect 298499 51161 298617 51279
+rect 298499 41161 298617 41279
+rect 298499 31161 298617 31279
+rect 298499 21161 298617 21279
+rect 298499 11161 298617 11279
+rect 298499 841 298617 959
+rect 298899 347121 299017 347239
+rect 298899 337121 299017 337239
+rect 298899 327121 299017 327239
+rect 298899 317121 299017 317239
+rect 298899 307121 299017 307239
+rect 298899 297121 299017 297239
+rect 298899 287121 299017 287239
+rect 298899 277121 299017 277239
+rect 298899 267121 299017 267239
+rect 298899 257121 299017 257239
+rect 298899 247121 299017 247239
+rect 298899 237121 299017 237239
+rect 298899 227121 299017 227239
+rect 298899 217121 299017 217239
+rect 298899 207121 299017 207239
+rect 298899 197121 299017 197239
+rect 298899 187121 299017 187239
+rect 298899 177121 299017 177239
+rect 298899 167121 299017 167239
+rect 298899 157121 299017 157239
+rect 298899 147121 299017 147239
+rect 298899 137121 299017 137239
+rect 298899 127121 299017 127239
+rect 298899 117121 299017 117239
+rect 298899 107121 299017 107239
+rect 298899 97121 299017 97239
+rect 298899 87121 299017 87239
+rect 298899 77121 299017 77239
+rect 298899 67121 299017 67239
+rect 298899 57121 299017 57239
+rect 298899 47121 299017 47239
+rect 298899 37121 299017 37239
+rect 298899 27121 299017 27239
+rect 298899 17121 299017 17239
+rect 298899 7121 299017 7239
+rect 298899 441 299017 559
+rect 299299 352121 299417 352239
+rect 299299 342121 299417 342239
+rect 299299 332121 299417 332239
+rect 299299 322121 299417 322239
+rect 299299 312121 299417 312239
+rect 299299 302121 299417 302239
+rect 299299 292121 299417 292239
+rect 299299 282121 299417 282239
+rect 299299 272121 299417 272239
+rect 299299 262121 299417 262239
+rect 299299 252121 299417 252239
+rect 299299 242121 299417 242239
+rect 299299 232121 299417 232239
+rect 299299 222121 299417 222239
+rect 299299 212121 299417 212239
+rect 299299 202121 299417 202239
+rect 299299 192121 299417 192239
+rect 299299 182121 299417 182239
+rect 299299 172121 299417 172239
+rect 299299 162121 299417 162239
+rect 299299 152121 299417 152239
+rect 299299 142121 299417 142239
+rect 299299 132121 299417 132239
+rect 299299 122121 299417 122239
+rect 299299 112121 299417 112239
+rect 299299 102121 299417 102239
+rect 299299 92121 299417 92239
+rect 299299 82121 299417 82239
+rect 299299 72121 299417 72239
+rect 299299 62121 299417 62239
+rect 299299 52121 299417 52239
+rect 299299 42121 299417 42239
+rect 299299 32121 299417 32239
+rect 299299 22121 299417 22239
+rect 299299 12121 299417 12239
+rect 292121 41 292239 159
+rect 299299 41 299417 159
 << metal5 >>
-rect 0 703278 584916 703360
-rect 0 703042 82 703278
-rect 318 703042 584598 703278
-rect 584834 703042 584916 703278
-rect 0 702960 584916 703042
-rect 800 702478 584116 702560
-rect 800 702242 882 702478
-rect 1118 702242 583798 702478
-rect 584034 702242 584116 702478
-rect 800 702160 584116 702242
-rect 0 694934 584916 694976
-rect 0 694698 82 694934
-rect 318 694698 584598 694934
-rect 584834 694698 584916 694934
-rect 0 694656 584916 694698
-rect 0 679616 584916 679658
-rect 0 679380 882 679616
-rect 1118 679380 583798 679616
-rect 584034 679380 584916 679616
-rect 0 679338 584916 679380
-rect 0 664298 584916 664340
-rect 0 664062 82 664298
-rect 318 664062 584598 664298
-rect 584834 664062 584916 664298
-rect 0 664020 584916 664062
-rect 0 648980 584916 649022
-rect 0 648744 882 648980
-rect 1118 648744 583798 648980
-rect 584034 648744 584916 648980
-rect 0 648702 584916 648744
-rect 0 633662 584916 633704
-rect 0 633426 82 633662
-rect 318 633426 584598 633662
-rect 584834 633426 584916 633662
-rect 0 633384 584916 633426
-rect 0 618344 584916 618386
-rect 0 618108 882 618344
-rect 1118 618108 583798 618344
-rect 584034 618108 584916 618344
-rect 0 618066 584916 618108
-rect 0 603026 584916 603068
-rect 0 602790 82 603026
-rect 318 602790 584598 603026
-rect 584834 602790 584916 603026
-rect 0 602748 584916 602790
-rect 0 587708 584916 587750
-rect 0 587472 882 587708
-rect 1118 587472 583798 587708
-rect 584034 587472 584916 587708
-rect 0 587430 584916 587472
-rect 0 572390 584916 572432
-rect 0 572154 82 572390
-rect 318 572154 584598 572390
-rect 584834 572154 584916 572390
-rect 0 572112 584916 572154
-rect 0 557072 584916 557114
-rect 0 556836 882 557072
-rect 1118 556836 583798 557072
-rect 584034 556836 584916 557072
-rect 0 556794 584916 556836
-rect 0 541754 584916 541796
-rect 0 541518 82 541754
-rect 318 541518 584598 541754
-rect 584834 541518 584916 541754
-rect 0 541476 584916 541518
-rect 0 526436 584916 526478
-rect 0 526200 882 526436
-rect 1118 526200 583798 526436
-rect 584034 526200 584916 526436
-rect 0 526158 584916 526200
-rect 0 511118 584916 511160
-rect 0 510882 82 511118
-rect 318 510882 584598 511118
-rect 584834 510882 584916 511118
-rect 0 510840 584916 510882
-rect 0 495800 584916 495842
-rect 0 495564 882 495800
-rect 1118 495564 583798 495800
-rect 584034 495564 584916 495800
-rect 0 495522 584916 495564
-rect 0 480482 584916 480524
-rect 0 480246 82 480482
-rect 318 480246 584598 480482
-rect 584834 480246 584916 480482
-rect 0 480204 584916 480246
-rect 0 465164 584916 465206
-rect 0 464928 882 465164
-rect 1118 464928 583798 465164
-rect 584034 464928 584916 465164
-rect 0 464886 584916 464928
-rect 0 449846 584916 449888
-rect 0 449610 82 449846
-rect 318 449610 250000 449846
-rect 250236 449610 584598 449846
-rect 584834 449610 584916 449846
-rect 0 449568 584916 449610
-rect 0 434528 584916 434570
-rect 0 434292 882 434528
-rect 1118 434292 234640 434528
-rect 234876 434292 583798 434528
-rect 584034 434292 584916 434528
-rect 0 434250 584916 434292
-rect 0 419210 584916 419252
-rect 0 418974 82 419210
-rect 318 418974 250000 419210
-rect 250236 418974 584598 419210
-rect 584834 418974 584916 419210
-rect 0 418932 584916 418974
-rect 0 403892 584916 403934
-rect 0 403656 882 403892
-rect 1118 403656 234640 403892
-rect 234876 403656 583798 403892
-rect 584034 403656 584916 403892
-rect 0 403614 584916 403656
-rect 0 388574 584916 388616
-rect 0 388338 82 388574
-rect 318 388338 250000 388574
-rect 250236 388338 584598 388574
-rect 584834 388338 584916 388574
-rect 0 388296 584916 388338
-rect 0 373256 584916 373298
-rect 0 373020 882 373256
-rect 1118 373020 234640 373256
-rect 234876 373020 583798 373256
-rect 584034 373020 584916 373256
-rect 0 372978 584916 373020
-rect 0 357938 584916 357980
-rect 0 357702 82 357938
-rect 318 357702 250000 357938
-rect 250236 357702 584598 357938
-rect 584834 357702 584916 357938
-rect 0 357660 584916 357702
-rect 0 342620 584916 342662
-rect 0 342384 882 342620
-rect 1118 342384 234640 342620
-rect 234876 342384 583798 342620
-rect 584034 342384 584916 342620
-rect 0 342342 584916 342384
-rect 0 327302 584916 327344
-rect 0 327066 82 327302
-rect 318 327066 584598 327302
-rect 584834 327066 584916 327302
-rect 0 327024 584916 327066
-rect 0 311984 584916 312026
-rect 0 311748 882 311984
-rect 1118 311748 583798 311984
-rect 584034 311748 584916 311984
-rect 0 311706 584916 311748
-rect 0 296666 584916 296708
-rect 0 296430 82 296666
-rect 318 296430 584598 296666
-rect 584834 296430 584916 296666
-rect 0 296388 584916 296430
-rect 0 281348 584916 281390
-rect 0 281112 882 281348
-rect 1118 281112 583798 281348
-rect 584034 281112 584916 281348
-rect 0 281070 584916 281112
-rect 0 266030 584916 266072
-rect 0 265794 82 266030
-rect 318 265794 584598 266030
-rect 584834 265794 584916 266030
-rect 0 265752 584916 265794
-rect 0 250712 584916 250754
-rect 0 250476 882 250712
-rect 1118 250476 583798 250712
-rect 584034 250476 584916 250712
-rect 0 250434 584916 250476
-rect 0 235394 584916 235436
-rect 0 235158 82 235394
-rect 318 235158 584598 235394
-rect 584834 235158 584916 235394
-rect 0 235116 584916 235158
-rect 0 220076 584916 220118
-rect 0 219840 882 220076
-rect 1118 219840 583798 220076
-rect 584034 219840 584916 220076
-rect 0 219798 584916 219840
-rect 0 204758 584916 204800
-rect 0 204522 82 204758
-rect 318 204522 584598 204758
-rect 584834 204522 584916 204758
-rect 0 204480 584916 204522
-rect 0 189440 584916 189482
-rect 0 189204 882 189440
-rect 1118 189204 583798 189440
-rect 584034 189204 584916 189440
-rect 0 189162 584916 189204
-rect 0 174122 584916 174164
-rect 0 173886 82 174122
-rect 318 173886 584598 174122
-rect 584834 173886 584916 174122
-rect 0 173844 584916 173886
-rect 0 158804 584916 158846
-rect 0 158568 882 158804
-rect 1118 158568 583798 158804
-rect 584034 158568 584916 158804
-rect 0 158526 584916 158568
-rect 0 143486 584916 143528
-rect 0 143250 82 143486
-rect 318 143250 584598 143486
-rect 584834 143250 584916 143486
-rect 0 143208 584916 143250
-rect 0 128168 584916 128210
-rect 0 127932 882 128168
-rect 1118 127932 583798 128168
-rect 584034 127932 584916 128168
-rect 0 127890 584916 127932
-rect 0 112850 584916 112892
-rect 0 112614 82 112850
-rect 318 112614 584598 112850
-rect 584834 112614 584916 112850
-rect 0 112572 584916 112614
-rect 0 97532 584916 97574
-rect 0 97296 882 97532
-rect 1118 97296 583798 97532
-rect 584034 97296 584916 97532
-rect 0 97254 584916 97296
-rect 0 82214 584916 82256
-rect 0 81978 82 82214
-rect 318 81978 584598 82214
-rect 584834 81978 584916 82214
-rect 0 81936 584916 81978
-rect 0 66896 584916 66938
-rect 0 66660 882 66896
-rect 1118 66660 583798 66896
-rect 584034 66660 584916 66896
-rect 0 66618 584916 66660
-rect 0 51578 584916 51620
-rect 0 51342 82 51578
-rect 318 51342 584598 51578
-rect 584834 51342 584916 51578
-rect 0 51300 584916 51342
-rect 0 36260 584916 36302
-rect 0 36024 882 36260
-rect 1118 36024 583798 36260
-rect 584034 36024 584916 36260
-rect 0 35982 584916 36024
-rect 0 20942 584916 20984
-rect 0 20706 82 20942
-rect 318 20706 584598 20942
-rect 584834 20706 584916 20942
-rect 0 20664 584916 20706
-rect 0 5624 584916 5666
-rect 0 5388 882 5624
-rect 1118 5388 583798 5624
-rect 584034 5388 584916 5624
-rect 0 5346 584916 5388
-rect 800 1694 584116 1776
-rect 800 1458 882 1694
-rect 1118 1458 583798 1694
-rect 584034 1458 584116 1694
-rect 800 1376 584116 1458
-rect 0 894 584916 976
-rect 0 658 82 894
-rect 318 658 584598 894
-rect 584834 658 584916 894
-rect 0 576 584916 658
+rect 0 358351 299458 358392
+rect 0 358233 41 358351
+rect 159 358233 12121 358351
+rect 12239 358233 22121 358351
+rect 22239 358233 32121 358351
+rect 32239 358233 42121 358351
+rect 42239 358233 52121 358351
+rect 52239 358233 62121 358351
+rect 62239 358233 72121 358351
+rect 72239 358233 82121 358351
+rect 82239 358233 92121 358351
+rect 92239 358233 102121 358351
+rect 102239 358233 112121 358351
+rect 112239 358233 122121 358351
+rect 122239 358233 132121 358351
+rect 132239 358233 142121 358351
+rect 142239 358233 152121 358351
+rect 152239 358233 162121 358351
+rect 162239 358233 172121 358351
+rect 172239 358233 182121 358351
+rect 182239 358233 192121 358351
+rect 192239 358233 202121 358351
+rect 202239 358233 212121 358351
+rect 212239 358233 222121 358351
+rect 222239 358233 232121 358351
+rect 232239 358233 242121 358351
+rect 242239 358233 252121 358351
+rect 252239 358233 262121 358351
+rect 262239 358233 272121 358351
+rect 272239 358233 282121 358351
+rect 282239 358233 292121 358351
+rect 292239 358233 299299 358351
+rect 299417 358233 299458 358351
+rect 0 358192 299458 358233
+rect 400 357951 299058 357992
+rect 400 357833 441 357951
+rect 559 357833 7121 357951
+rect 7239 357833 17121 357951
+rect 17239 357833 27121 357951
+rect 27239 357833 37121 357951
+rect 37239 357833 47121 357951
+rect 47239 357833 57121 357951
+rect 57239 357833 67121 357951
+rect 67239 357833 77121 357951
+rect 77239 357833 87121 357951
+rect 87239 357833 97121 357951
+rect 97239 357833 107121 357951
+rect 107239 357833 117121 357951
+rect 117239 357833 127121 357951
+rect 127239 357833 137121 357951
+rect 137239 357833 147121 357951
+rect 147239 357833 157121 357951
+rect 157239 357833 167121 357951
+rect 167239 357833 177121 357951
+rect 177239 357833 187121 357951
+rect 187239 357833 197121 357951
+rect 197239 357833 207121 357951
+rect 207239 357833 217121 357951
+rect 217239 357833 227121 357951
+rect 227239 357833 237121 357951
+rect 237239 357833 247121 357951
+rect 247239 357833 257121 357951
+rect 257239 357833 267121 357951
+rect 267239 357833 277121 357951
+rect 277239 357833 287121 357951
+rect 287239 357833 298899 357951
+rect 299017 357833 299058 357951
+rect 400 357792 299058 357833
+rect 800 357551 298658 357592
+rect 800 357433 841 357551
+rect 959 357433 11161 357551
+rect 11279 357433 21161 357551
+rect 21279 357433 31161 357551
+rect 31279 357433 41161 357551
+rect 41279 357433 51161 357551
+rect 51279 357433 61161 357551
+rect 61279 357433 71161 357551
+rect 71279 357433 81161 357551
+rect 81279 357433 91161 357551
+rect 91279 357433 101161 357551
+rect 101279 357433 111161 357551
+rect 111279 357433 121161 357551
+rect 121279 357433 131161 357551
+rect 131279 357433 141161 357551
+rect 141279 357433 151161 357551
+rect 151279 357433 161161 357551
+rect 161279 357433 171161 357551
+rect 171279 357433 181161 357551
+rect 181279 357433 191161 357551
+rect 191279 357433 201161 357551
+rect 201279 357433 211161 357551
+rect 211279 357433 221161 357551
+rect 221279 357433 231161 357551
+rect 231279 357433 241161 357551
+rect 241279 357433 251161 357551
+rect 251279 357433 261161 357551
+rect 261279 357433 271161 357551
+rect 271279 357433 281161 357551
+rect 281279 357433 291161 357551
+rect 291279 357433 298499 357551
+rect 298617 357433 298658 357551
+rect 800 357392 298658 357433
+rect 1200 357151 298258 357192
+rect 1200 357033 1241 357151
+rect 1359 357033 6161 357151
+rect 6279 357033 16161 357151
+rect 16279 357033 26161 357151
+rect 26279 357033 36161 357151
+rect 36279 357033 46161 357151
+rect 46279 357033 56161 357151
+rect 56279 357033 66161 357151
+rect 66279 357033 76161 357151
+rect 76279 357033 86161 357151
+rect 86279 357033 96161 357151
+rect 96279 357033 106161 357151
+rect 106279 357033 116161 357151
+rect 116279 357033 126161 357151
+rect 126279 357033 136161 357151
+rect 136279 357033 146161 357151
+rect 146279 357033 156161 357151
+rect 156279 357033 166161 357151
+rect 166279 357033 176161 357151
+rect 176279 357033 186161 357151
+rect 186279 357033 196161 357151
+rect 196279 357033 206161 357151
+rect 206279 357033 216161 357151
+rect 216279 357033 226161 357151
+rect 226279 357033 236161 357151
+rect 236279 357033 246161 357151
+rect 246279 357033 256161 357151
+rect 256279 357033 266161 357151
+rect 266279 357033 276161 357151
+rect 276279 357033 286161 357151
+rect 286279 357033 298099 357151
+rect 298217 357033 298258 357151
+rect 1200 356992 298258 357033
+rect 1600 356751 297858 356792
+rect 1600 356633 1641 356751
+rect 1759 356633 10201 356751
+rect 10319 356633 20201 356751
+rect 20319 356633 30201 356751
+rect 30319 356633 40201 356751
+rect 40319 356633 50201 356751
+rect 50319 356633 60201 356751
+rect 60319 356633 70201 356751
+rect 70319 356633 80201 356751
+rect 80319 356633 90201 356751
+rect 90319 356633 100201 356751
+rect 100319 356633 110201 356751
+rect 110319 356633 120201 356751
+rect 120319 356633 130201 356751
+rect 130319 356633 140201 356751
+rect 140319 356633 150201 356751
+rect 150319 356633 160201 356751
+rect 160319 356633 170201 356751
+rect 170319 356633 180201 356751
+rect 180319 356633 190201 356751
+rect 190319 356633 200201 356751
+rect 200319 356633 210201 356751
+rect 210319 356633 220201 356751
+rect 220319 356633 230201 356751
+rect 230319 356633 240201 356751
+rect 240319 356633 250201 356751
+rect 250319 356633 260201 356751
+rect 260319 356633 270201 356751
+rect 270319 356633 280201 356751
+rect 280319 356633 290201 356751
+rect 290319 356633 297699 356751
+rect 297817 356633 297858 356751
+rect 1600 356592 297858 356633
+rect 2000 356351 297458 356392
+rect 2000 356233 2041 356351
+rect 2159 356233 5201 356351
+rect 5319 356233 15201 356351
+rect 15319 356233 25201 356351
+rect 25319 356233 35201 356351
+rect 35319 356233 45201 356351
+rect 45319 356233 55201 356351
+rect 55319 356233 65201 356351
+rect 65319 356233 75201 356351
+rect 75319 356233 85201 356351
+rect 85319 356233 95201 356351
+rect 95319 356233 105201 356351
+rect 105319 356233 115201 356351
+rect 115319 356233 125201 356351
+rect 125319 356233 135201 356351
+rect 135319 356233 145201 356351
+rect 145319 356233 155201 356351
+rect 155319 356233 165201 356351
+rect 165319 356233 175201 356351
+rect 175319 356233 185201 356351
+rect 185319 356233 195201 356351
+rect 195319 356233 205201 356351
+rect 205319 356233 215201 356351
+rect 215319 356233 225201 356351
+rect 225319 356233 235201 356351
+rect 235319 356233 245201 356351
+rect 245319 356233 255201 356351
+rect 255319 356233 265201 356351
+rect 265319 356233 275201 356351
+rect 275319 356233 285201 356351
+rect 285319 356233 297299 356351
+rect 297417 356233 297458 356351
+rect 2000 356192 297458 356233
+rect 2400 355951 297058 355992
+rect 2400 355833 2441 355951
+rect 2559 355833 9241 355951
+rect 9359 355833 19241 355951
+rect 19359 355833 29241 355951
+rect 29359 355833 39241 355951
+rect 39359 355833 49241 355951
+rect 49359 355833 59241 355951
+rect 59359 355833 69241 355951
+rect 69359 355833 79241 355951
+rect 79359 355833 89241 355951
+rect 89359 355833 99241 355951
+rect 99359 355833 109241 355951
+rect 109359 355833 119241 355951
+rect 119359 355833 129241 355951
+rect 129359 355833 139241 355951
+rect 139359 355833 149241 355951
+rect 149359 355833 159241 355951
+rect 159359 355833 169241 355951
+rect 169359 355833 179241 355951
+rect 179359 355833 189241 355951
+rect 189359 355833 199241 355951
+rect 199359 355833 209241 355951
+rect 209359 355833 219241 355951
+rect 219359 355833 229241 355951
+rect 229359 355833 239241 355951
+rect 239359 355833 249241 355951
+rect 249359 355833 259241 355951
+rect 259359 355833 269241 355951
+rect 269359 355833 279241 355951
+rect 279359 355833 289241 355951
+rect 289359 355833 296899 355951
+rect 297017 355833 297058 355951
+rect 2400 355792 297058 355833
+rect 2800 355551 296658 355592
+rect 2800 355433 2841 355551
+rect 2959 355433 4241 355551
+rect 4359 355433 14241 355551
+rect 14359 355433 24241 355551
+rect 24359 355433 34241 355551
+rect 34359 355433 44241 355551
+rect 44359 355433 54241 355551
+rect 54359 355433 64241 355551
+rect 64359 355433 74241 355551
+rect 74359 355433 84241 355551
+rect 84359 355433 94241 355551
+rect 94359 355433 104241 355551
+rect 104359 355433 114241 355551
+rect 114359 355433 124241 355551
+rect 124359 355433 134241 355551
+rect 134359 355433 144241 355551
+rect 144359 355433 154241 355551
+rect 154359 355433 164241 355551
+rect 164359 355433 174241 355551
+rect 174359 355433 184241 355551
+rect 184359 355433 194241 355551
+rect 194359 355433 204241 355551
+rect 204359 355433 214241 355551
+rect 214359 355433 224241 355551
+rect 224359 355433 234241 355551
+rect 234359 355433 244241 355551
+rect 244359 355433 254241 355551
+rect 254359 355433 264241 355551
+rect 264359 355433 274241 355551
+rect 274359 355433 284241 355551
+rect 284359 355433 294241 355551
+rect 294359 355433 296499 355551
+rect 296617 355433 296658 355551
+rect 2800 355392 296658 355433
+rect 0 352239 3988 352280
+rect 0 352121 41 352239
+rect 159 352121 3988 352239
+rect 0 352080 3988 352121
+rect 295508 352239 299458 352280
+rect 295508 352121 299299 352239
+rect 299417 352121 299458 352239
+rect 295508 352080 299458 352121
+rect 800 351279 3988 351320
+rect 800 351161 841 351279
+rect 959 351161 3988 351279
+rect 800 351120 3988 351161
+rect 295508 351279 298658 351320
+rect 295508 351161 298499 351279
+rect 298617 351161 298658 351279
+rect 295508 351120 298658 351161
+rect 1600 350319 3988 350360
+rect 1600 350201 1641 350319
+rect 1759 350201 3988 350319
+rect 1600 350160 3988 350201
+rect 295508 350319 297858 350360
+rect 295508 350201 297699 350319
+rect 297817 350201 297858 350319
+rect 295508 350160 297858 350201
+rect 2400 349359 3988 349400
+rect 2400 349241 2441 349359
+rect 2559 349241 3988 349359
+rect 2400 349200 3988 349241
+rect 295508 349359 297058 349400
+rect 295508 349241 296899 349359
+rect 297017 349241 297058 349359
+rect 295508 349200 297058 349241
+rect 0 347239 3988 347280
+rect 0 347121 441 347239
+rect 559 347121 3988 347239
+rect 0 347080 3988 347121
+rect 295508 347239 299458 347280
+rect 295508 347121 298899 347239
+rect 299017 347121 299458 347239
+rect 295508 347080 299458 347121
+rect 800 346279 3988 346320
+rect 800 346161 1241 346279
+rect 1359 346161 3988 346279
+rect 800 346120 3988 346161
+rect 295508 346279 298658 346320
+rect 295508 346161 298099 346279
+rect 298217 346161 298658 346279
+rect 295508 346120 298658 346161
+rect 1600 345319 3988 345360
+rect 1600 345201 2041 345319
+rect 2159 345201 3988 345319
+rect 1600 345160 3988 345201
+rect 295508 345319 297858 345360
+rect 295508 345201 297299 345319
+rect 297417 345201 297858 345319
+rect 295508 345160 297858 345201
+rect 2400 344359 3988 344400
+rect 2400 344241 2841 344359
+rect 2959 344241 3988 344359
+rect 2400 344200 3988 344241
+rect 295508 344359 297058 344400
+rect 295508 344241 296499 344359
+rect 296617 344241 297058 344359
+rect 295508 344200 297058 344241
+rect 0 342239 3988 342280
+rect 0 342121 41 342239
+rect 159 342121 3988 342239
+rect 0 342080 3988 342121
+rect 295508 342239 299458 342280
+rect 295508 342121 299299 342239
+rect 299417 342121 299458 342239
+rect 295508 342080 299458 342121
+rect 800 341279 3988 341320
+rect 800 341161 841 341279
+rect 959 341161 3988 341279
+rect 800 341120 3988 341161
+rect 295508 341279 298658 341320
+rect 295508 341161 298499 341279
+rect 298617 341161 298658 341279
+rect 295508 341120 298658 341161
+rect 1600 340319 3988 340360
+rect 1600 340201 1641 340319
+rect 1759 340201 3988 340319
+rect 1600 340160 3988 340201
+rect 295508 340319 297858 340360
+rect 295508 340201 297699 340319
+rect 297817 340201 297858 340319
+rect 295508 340160 297858 340201
+rect 2400 339359 3988 339400
+rect 2400 339241 2441 339359
+rect 2559 339241 3988 339359
+rect 2400 339200 3988 339241
+rect 295508 339359 297058 339400
+rect 295508 339241 296899 339359
+rect 297017 339241 297058 339359
+rect 295508 339200 297058 339241
+rect 0 337239 3988 337280
+rect 0 337121 441 337239
+rect 559 337121 3988 337239
+rect 0 337080 3988 337121
+rect 295508 337239 299458 337280
+rect 295508 337121 298899 337239
+rect 299017 337121 299458 337239
+rect 295508 337080 299458 337121
+rect 800 336279 3988 336320
+rect 800 336161 1241 336279
+rect 1359 336161 3988 336279
+rect 800 336120 3988 336161
+rect 295508 336279 298658 336320
+rect 295508 336161 298099 336279
+rect 298217 336161 298658 336279
+rect 295508 336120 298658 336161
+rect 1600 335319 3988 335360
+rect 1600 335201 2041 335319
+rect 2159 335201 3988 335319
+rect 1600 335160 3988 335201
+rect 295508 335319 297858 335360
+rect 295508 335201 297299 335319
+rect 297417 335201 297858 335319
+rect 295508 335160 297858 335201
+rect 2400 334359 3988 334400
+rect 2400 334241 2841 334359
+rect 2959 334241 3988 334359
+rect 2400 334200 3988 334241
+rect 295508 334359 297058 334400
+rect 295508 334241 296499 334359
+rect 296617 334241 297058 334359
+rect 295508 334200 297058 334241
+rect 0 332239 3988 332280
+rect 0 332121 41 332239
+rect 159 332121 3988 332239
+rect 0 332080 3988 332121
+rect 295508 332239 299458 332280
+rect 295508 332121 299299 332239
+rect 299417 332121 299458 332239
+rect 295508 332080 299458 332121
+rect 800 331279 3988 331320
+rect 800 331161 841 331279
+rect 959 331161 3988 331279
+rect 800 331120 3988 331161
+rect 295508 331279 298658 331320
+rect 295508 331161 298499 331279
+rect 298617 331161 298658 331279
+rect 295508 331120 298658 331161
+rect 1600 330319 3988 330360
+rect 1600 330201 1641 330319
+rect 1759 330201 3988 330319
+rect 1600 330160 3988 330201
+rect 295508 330319 297858 330360
+rect 295508 330201 297699 330319
+rect 297817 330201 297858 330319
+rect 295508 330160 297858 330201
+rect 2400 329359 3988 329400
+rect 2400 329241 2441 329359
+rect 2559 329241 3988 329359
+rect 2400 329200 3988 329241
+rect 295508 329359 297058 329400
+rect 295508 329241 296899 329359
+rect 297017 329241 297058 329359
+rect 295508 329200 297058 329241
+rect 0 327239 3988 327280
+rect 0 327121 441 327239
+rect 559 327121 3988 327239
+rect 0 327080 3988 327121
+rect 295508 327239 299458 327280
+rect 295508 327121 298899 327239
+rect 299017 327121 299458 327239
+rect 295508 327080 299458 327121
+rect 800 326279 3988 326320
+rect 800 326161 1241 326279
+rect 1359 326161 3988 326279
+rect 800 326120 3988 326161
+rect 295508 326279 298658 326320
+rect 295508 326161 298099 326279
+rect 298217 326161 298658 326279
+rect 295508 326120 298658 326161
+rect 1600 325319 3988 325360
+rect 1600 325201 2041 325319
+rect 2159 325201 3988 325319
+rect 1600 325160 3988 325201
+rect 295508 325319 297858 325360
+rect 295508 325201 297299 325319
+rect 297417 325201 297858 325319
+rect 295508 325160 297858 325201
+rect 2400 324359 3988 324400
+rect 2400 324241 2841 324359
+rect 2959 324241 3988 324359
+rect 2400 324200 3988 324241
+rect 295508 324359 297058 324400
+rect 295508 324241 296499 324359
+rect 296617 324241 297058 324359
+rect 295508 324200 297058 324241
+rect 0 322239 3988 322280
+rect 0 322121 41 322239
+rect 159 322121 3988 322239
+rect 0 322080 3988 322121
+rect 295508 322239 299458 322280
+rect 295508 322121 299299 322239
+rect 299417 322121 299458 322239
+rect 295508 322080 299458 322121
+rect 800 321279 3988 321320
+rect 800 321161 841 321279
+rect 959 321161 3988 321279
+rect 800 321120 3988 321161
+rect 295508 321279 298658 321320
+rect 295508 321161 298499 321279
+rect 298617 321161 298658 321279
+rect 295508 321120 298658 321161
+rect 1600 320319 3988 320360
+rect 1600 320201 1641 320319
+rect 1759 320201 3988 320319
+rect 1600 320160 3988 320201
+rect 295508 320319 297858 320360
+rect 295508 320201 297699 320319
+rect 297817 320201 297858 320319
+rect 295508 320160 297858 320201
+rect 2400 319359 3988 319400
+rect 2400 319241 2441 319359
+rect 2559 319241 3988 319359
+rect 2400 319200 3988 319241
+rect 295508 319359 297058 319400
+rect 295508 319241 296899 319359
+rect 297017 319241 297058 319359
+rect 295508 319200 297058 319241
+rect 0 317239 3988 317280
+rect 0 317121 441 317239
+rect 559 317121 3988 317239
+rect 0 317080 3988 317121
+rect 295508 317239 299458 317280
+rect 295508 317121 298899 317239
+rect 299017 317121 299458 317239
+rect 295508 317080 299458 317121
+rect 800 316279 3988 316320
+rect 800 316161 1241 316279
+rect 1359 316161 3988 316279
+rect 800 316120 3988 316161
+rect 295508 316279 298658 316320
+rect 295508 316161 298099 316279
+rect 298217 316161 298658 316279
+rect 295508 316120 298658 316161
+rect 1600 315319 3988 315360
+rect 1600 315201 2041 315319
+rect 2159 315201 3988 315319
+rect 1600 315160 3988 315201
+rect 295508 315319 297858 315360
+rect 295508 315201 297299 315319
+rect 297417 315201 297858 315319
+rect 295508 315160 297858 315201
+rect 2400 314359 3988 314400
+rect 2400 314241 2841 314359
+rect 2959 314241 3988 314359
+rect 2400 314200 3988 314241
+rect 295508 314359 297058 314400
+rect 295508 314241 296499 314359
+rect 296617 314241 297058 314359
+rect 295508 314200 297058 314241
+rect 0 312239 3988 312280
+rect 0 312121 41 312239
+rect 159 312121 3988 312239
+rect 0 312080 3988 312121
+rect 295508 312239 299458 312280
+rect 295508 312121 299299 312239
+rect 299417 312121 299458 312239
+rect 295508 312080 299458 312121
+rect 800 311279 3988 311320
+rect 800 311161 841 311279
+rect 959 311161 3988 311279
+rect 800 311120 3988 311161
+rect 295508 311279 298658 311320
+rect 295508 311161 298499 311279
+rect 298617 311161 298658 311279
+rect 295508 311120 298658 311161
+rect 1600 310319 3988 310360
+rect 1600 310201 1641 310319
+rect 1759 310201 3988 310319
+rect 1600 310160 3988 310201
+rect 295508 310319 297858 310360
+rect 295508 310201 297699 310319
+rect 297817 310201 297858 310319
+rect 295508 310160 297858 310201
+rect 2400 309359 3988 309400
+rect 2400 309241 2441 309359
+rect 2559 309241 3988 309359
+rect 2400 309200 3988 309241
+rect 295508 309359 297058 309400
+rect 295508 309241 296899 309359
+rect 297017 309241 297058 309359
+rect 295508 309200 297058 309241
+rect 0 307239 3988 307280
+rect 0 307121 441 307239
+rect 559 307121 3988 307239
+rect 0 307080 3988 307121
+rect 295508 307239 299458 307280
+rect 295508 307121 298899 307239
+rect 299017 307121 299458 307239
+rect 295508 307080 299458 307121
+rect 800 306279 3988 306320
+rect 800 306161 1241 306279
+rect 1359 306161 3988 306279
+rect 800 306120 3988 306161
+rect 295508 306279 298658 306320
+rect 295508 306161 298099 306279
+rect 298217 306161 298658 306279
+rect 295508 306120 298658 306161
+rect 1600 305319 3988 305360
+rect 1600 305201 2041 305319
+rect 2159 305201 3988 305319
+rect 1600 305160 3988 305201
+rect 295508 305319 297858 305360
+rect 295508 305201 297299 305319
+rect 297417 305201 297858 305319
+rect 295508 305160 297858 305201
+rect 2400 304359 3988 304400
+rect 2400 304241 2841 304359
+rect 2959 304241 3988 304359
+rect 2400 304200 3988 304241
+rect 295508 304359 297058 304400
+rect 295508 304241 296499 304359
+rect 296617 304241 297058 304359
+rect 295508 304200 297058 304241
+rect 0 302239 3988 302280
+rect 0 302121 41 302239
+rect 159 302121 3988 302239
+rect 0 302080 3988 302121
+rect 295508 302239 299458 302280
+rect 295508 302121 299299 302239
+rect 299417 302121 299458 302239
+rect 295508 302080 299458 302121
+rect 800 301279 3988 301320
+rect 800 301161 841 301279
+rect 959 301161 3988 301279
+rect 800 301120 3988 301161
+rect 295508 301279 298658 301320
+rect 295508 301161 298499 301279
+rect 298617 301161 298658 301279
+rect 295508 301120 298658 301161
+rect 1600 300319 3988 300360
+rect 1600 300201 1641 300319
+rect 1759 300201 3988 300319
+rect 1600 300160 3988 300201
+rect 295508 300319 297858 300360
+rect 295508 300201 297699 300319
+rect 297817 300201 297858 300319
+rect 295508 300160 297858 300201
+rect 2400 299359 3988 299400
+rect 2400 299241 2441 299359
+rect 2559 299241 3988 299359
+rect 2400 299200 3988 299241
+rect 295508 299359 297058 299400
+rect 295508 299241 296899 299359
+rect 297017 299241 297058 299359
+rect 295508 299200 297058 299241
+rect 0 297239 3988 297280
+rect 0 297121 441 297239
+rect 559 297121 3988 297239
+rect 0 297080 3988 297121
+rect 295508 297239 299458 297280
+rect 295508 297121 298899 297239
+rect 299017 297121 299458 297239
+rect 295508 297080 299458 297121
+rect 800 296279 3988 296320
+rect 800 296161 1241 296279
+rect 1359 296161 3988 296279
+rect 800 296120 3988 296161
+rect 295508 296279 298658 296320
+rect 295508 296161 298099 296279
+rect 298217 296161 298658 296279
+rect 295508 296120 298658 296161
+rect 1600 295319 3988 295360
+rect 1600 295201 2041 295319
+rect 2159 295201 3988 295319
+rect 1600 295160 3988 295201
+rect 295508 295319 297858 295360
+rect 295508 295201 297299 295319
+rect 297417 295201 297858 295319
+rect 295508 295160 297858 295201
+rect 2400 294359 3988 294400
+rect 2400 294241 2841 294359
+rect 2959 294241 3988 294359
+rect 2400 294200 3988 294241
+rect 295508 294359 297058 294400
+rect 295508 294241 296499 294359
+rect 296617 294241 297058 294359
+rect 295508 294200 297058 294241
+rect 0 292239 3988 292280
+rect 0 292121 41 292239
+rect 159 292121 3988 292239
+rect 0 292080 3988 292121
+rect 295508 292239 299458 292280
+rect 295508 292121 299299 292239
+rect 299417 292121 299458 292239
+rect 295508 292080 299458 292121
+rect 800 291279 3988 291320
+rect 800 291161 841 291279
+rect 959 291161 3988 291279
+rect 800 291120 3988 291161
+rect 295508 291279 298658 291320
+rect 295508 291161 298499 291279
+rect 298617 291161 298658 291279
+rect 295508 291120 298658 291161
+rect 1600 290319 3988 290360
+rect 1600 290201 1641 290319
+rect 1759 290201 3988 290319
+rect 1600 290160 3988 290201
+rect 295508 290319 297858 290360
+rect 295508 290201 297699 290319
+rect 297817 290201 297858 290319
+rect 295508 290160 297858 290201
+rect 2400 289359 3988 289400
+rect 2400 289241 2441 289359
+rect 2559 289241 3988 289359
+rect 2400 289200 3988 289241
+rect 295508 289359 297058 289400
+rect 295508 289241 296899 289359
+rect 297017 289241 297058 289359
+rect 295508 289200 297058 289241
+rect 0 287239 3988 287280
+rect 0 287121 441 287239
+rect 559 287121 3988 287239
+rect 0 287080 3988 287121
+rect 295508 287239 299458 287280
+rect 295508 287121 298899 287239
+rect 299017 287121 299458 287239
+rect 295508 287080 299458 287121
+rect 800 286279 3988 286320
+rect 800 286161 1241 286279
+rect 1359 286161 3988 286279
+rect 800 286120 3988 286161
+rect 295508 286279 298658 286320
+rect 295508 286161 298099 286279
+rect 298217 286161 298658 286279
+rect 295508 286120 298658 286161
+rect 1600 285319 3988 285360
+rect 1600 285201 2041 285319
+rect 2159 285201 3988 285319
+rect 1600 285160 3988 285201
+rect 295508 285319 297858 285360
+rect 295508 285201 297299 285319
+rect 297417 285201 297858 285319
+rect 295508 285160 297858 285201
+rect 2400 284359 3988 284400
+rect 2400 284241 2841 284359
+rect 2959 284241 3988 284359
+rect 2400 284200 3988 284241
+rect 295508 284359 297058 284400
+rect 295508 284241 296499 284359
+rect 296617 284241 297058 284359
+rect 295508 284200 297058 284241
+rect 0 282239 3988 282280
+rect 0 282121 41 282239
+rect 159 282121 3988 282239
+rect 0 282080 3988 282121
+rect 295508 282239 299458 282280
+rect 295508 282121 299299 282239
+rect 299417 282121 299458 282239
+rect 295508 282080 299458 282121
+rect 800 281279 3988 281320
+rect 800 281161 841 281279
+rect 959 281161 3988 281279
+rect 800 281120 3988 281161
+rect 295508 281279 298658 281320
+rect 295508 281161 298499 281279
+rect 298617 281161 298658 281279
+rect 295508 281120 298658 281161
+rect 1600 280319 3988 280360
+rect 1600 280201 1641 280319
+rect 1759 280201 3988 280319
+rect 1600 280160 3988 280201
+rect 295508 280319 297858 280360
+rect 295508 280201 297699 280319
+rect 297817 280201 297858 280319
+rect 295508 280160 297858 280201
+rect 2400 279359 3988 279400
+rect 2400 279241 2441 279359
+rect 2559 279241 3988 279359
+rect 2400 279200 3988 279241
+rect 295508 279359 297058 279400
+rect 295508 279241 296899 279359
+rect 297017 279241 297058 279359
+rect 295508 279200 297058 279241
+rect 0 277239 3988 277280
+rect 0 277121 441 277239
+rect 559 277121 3988 277239
+rect 0 277080 3988 277121
+rect 295508 277239 299458 277280
+rect 295508 277121 298899 277239
+rect 299017 277121 299458 277239
+rect 295508 277080 299458 277121
+rect 800 276279 3988 276320
+rect 800 276161 1241 276279
+rect 1359 276161 3988 276279
+rect 800 276120 3988 276161
+rect 295508 276279 298658 276320
+rect 295508 276161 298099 276279
+rect 298217 276161 298658 276279
+rect 295508 276120 298658 276161
+rect 1600 275319 3988 275360
+rect 1600 275201 2041 275319
+rect 2159 275201 3988 275319
+rect 1600 275160 3988 275201
+rect 295508 275319 297858 275360
+rect 295508 275201 297299 275319
+rect 297417 275201 297858 275319
+rect 295508 275160 297858 275201
+rect 2400 274359 3988 274400
+rect 2400 274241 2841 274359
+rect 2959 274241 3988 274359
+rect 2400 274200 3988 274241
+rect 295508 274359 297058 274400
+rect 295508 274241 296499 274359
+rect 296617 274241 297058 274359
+rect 295508 274200 297058 274241
+rect 0 272239 3988 272280
+rect 0 272121 41 272239
+rect 159 272121 3988 272239
+rect 0 272080 3988 272121
+rect 295508 272239 299458 272280
+rect 295508 272121 299299 272239
+rect 299417 272121 299458 272239
+rect 295508 272080 299458 272121
+rect 800 271279 3988 271320
+rect 800 271161 841 271279
+rect 959 271161 3988 271279
+rect 800 271120 3988 271161
+rect 295508 271279 298658 271320
+rect 295508 271161 298499 271279
+rect 298617 271161 298658 271279
+rect 295508 271120 298658 271161
+rect 1600 270319 3988 270360
+rect 1600 270201 1641 270319
+rect 1759 270201 3988 270319
+rect 1600 270160 3988 270201
+rect 295508 270319 297858 270360
+rect 295508 270201 297699 270319
+rect 297817 270201 297858 270319
+rect 295508 270160 297858 270201
+rect 2400 269359 3988 269400
+rect 2400 269241 2441 269359
+rect 2559 269241 3988 269359
+rect 2400 269200 3988 269241
+rect 295508 269359 297058 269400
+rect 295508 269241 296899 269359
+rect 297017 269241 297058 269359
+rect 295508 269200 297058 269241
+rect 0 267239 3988 267280
+rect 0 267121 441 267239
+rect 559 267121 3988 267239
+rect 0 267080 3988 267121
+rect 295508 267239 299458 267280
+rect 295508 267121 298899 267239
+rect 299017 267121 299458 267239
+rect 295508 267080 299458 267121
+rect 800 266279 3988 266320
+rect 800 266161 1241 266279
+rect 1359 266161 3988 266279
+rect 800 266120 3988 266161
+rect 295508 266279 298658 266320
+rect 295508 266161 298099 266279
+rect 298217 266161 298658 266279
+rect 295508 266120 298658 266161
+rect 1600 265319 3988 265360
+rect 1600 265201 2041 265319
+rect 2159 265201 3988 265319
+rect 1600 265160 3988 265201
+rect 295508 265319 297858 265360
+rect 295508 265201 297299 265319
+rect 297417 265201 297858 265319
+rect 295508 265160 297858 265201
+rect 2400 264359 3988 264400
+rect 2400 264241 2841 264359
+rect 2959 264241 3988 264359
+rect 2400 264200 3988 264241
+rect 295508 264359 297058 264400
+rect 295508 264241 296499 264359
+rect 296617 264241 297058 264359
+rect 295508 264200 297058 264241
+rect 0 262239 3988 262280
+rect 0 262121 41 262239
+rect 159 262121 3988 262239
+rect 0 262080 3988 262121
+rect 295508 262239 299458 262280
+rect 295508 262121 299299 262239
+rect 299417 262121 299458 262239
+rect 295508 262080 299458 262121
+rect 800 261279 3988 261320
+rect 800 261161 841 261279
+rect 959 261161 3988 261279
+rect 800 261120 3988 261161
+rect 295508 261279 298658 261320
+rect 295508 261161 298499 261279
+rect 298617 261161 298658 261279
+rect 295508 261120 298658 261161
+rect 1600 260319 3988 260360
+rect 1600 260201 1641 260319
+rect 1759 260201 3988 260319
+rect 1600 260160 3988 260201
+rect 295508 260319 297858 260360
+rect 295508 260201 297699 260319
+rect 297817 260201 297858 260319
+rect 295508 260160 297858 260201
+rect 2400 259359 3988 259400
+rect 2400 259241 2441 259359
+rect 2559 259241 3988 259359
+rect 2400 259200 3988 259241
+rect 295508 259359 297058 259400
+rect 295508 259241 296899 259359
+rect 297017 259241 297058 259359
+rect 295508 259200 297058 259241
+rect 0 257239 3988 257280
+rect 0 257121 441 257239
+rect 559 257121 3988 257239
+rect 0 257080 3988 257121
+rect 295508 257239 299458 257280
+rect 295508 257121 298899 257239
+rect 299017 257121 299458 257239
+rect 295508 257080 299458 257121
+rect 800 256279 3988 256320
+rect 800 256161 1241 256279
+rect 1359 256161 3988 256279
+rect 800 256120 3988 256161
+rect 295508 256279 298658 256320
+rect 295508 256161 298099 256279
+rect 298217 256161 298658 256279
+rect 295508 256120 298658 256161
+rect 1600 255319 3988 255360
+rect 1600 255201 2041 255319
+rect 2159 255201 3988 255319
+rect 1600 255160 3988 255201
+rect 295508 255319 297858 255360
+rect 295508 255201 297299 255319
+rect 297417 255201 297858 255319
+rect 295508 255160 297858 255201
+rect 2400 254359 3988 254400
+rect 2400 254241 2841 254359
+rect 2959 254241 3988 254359
+rect 2400 254200 3988 254241
+rect 295508 254359 297058 254400
+rect 295508 254241 296499 254359
+rect 296617 254241 297058 254359
+rect 295508 254200 297058 254241
+rect 0 252239 3988 252280
+rect 0 252121 41 252239
+rect 159 252121 3988 252239
+rect 0 252080 3988 252121
+rect 295508 252239 299458 252280
+rect 295508 252121 299299 252239
+rect 299417 252121 299458 252239
+rect 295508 252080 299458 252121
+rect 800 251279 3988 251320
+rect 800 251161 841 251279
+rect 959 251161 3988 251279
+rect 800 251120 3988 251161
+rect 295508 251279 298658 251320
+rect 295508 251161 298499 251279
+rect 298617 251161 298658 251279
+rect 295508 251120 298658 251161
+rect 1600 250319 3988 250360
+rect 1600 250201 1641 250319
+rect 1759 250201 3988 250319
+rect 1600 250160 3988 250201
+rect 295508 250319 297858 250360
+rect 295508 250201 297699 250319
+rect 297817 250201 297858 250319
+rect 295508 250160 297858 250201
+rect 2400 249359 3988 249400
+rect 2400 249241 2441 249359
+rect 2559 249241 3988 249359
+rect 2400 249200 3988 249241
+rect 295508 249359 297058 249400
+rect 295508 249241 296899 249359
+rect 297017 249241 297058 249359
+rect 295508 249200 297058 249241
+rect 0 247239 3988 247280
+rect 0 247121 441 247239
+rect 559 247121 3988 247239
+rect 0 247080 3988 247121
+rect 295508 247239 299458 247280
+rect 295508 247121 298899 247239
+rect 299017 247121 299458 247239
+rect 295508 247080 299458 247121
+rect 800 246279 3988 246320
+rect 800 246161 1241 246279
+rect 1359 246161 3988 246279
+rect 800 246120 3988 246161
+rect 295508 246279 298658 246320
+rect 295508 246161 298099 246279
+rect 298217 246161 298658 246279
+rect 295508 246120 298658 246161
+rect 1600 245319 3988 245360
+rect 1600 245201 2041 245319
+rect 2159 245201 3988 245319
+rect 1600 245160 3988 245201
+rect 295508 245319 297858 245360
+rect 295508 245201 297299 245319
+rect 297417 245201 297858 245319
+rect 295508 245160 297858 245201
+rect 2400 244359 3988 244400
+rect 2400 244241 2841 244359
+rect 2959 244241 3988 244359
+rect 2400 244200 3988 244241
+rect 295508 244359 297058 244400
+rect 295508 244241 296499 244359
+rect 296617 244241 297058 244359
+rect 295508 244200 297058 244241
+rect 0 242239 3988 242280
+rect 0 242121 41 242239
+rect 159 242121 3988 242239
+rect 0 242080 3988 242121
+rect 295508 242239 299458 242280
+rect 295508 242121 299299 242239
+rect 299417 242121 299458 242239
+rect 295508 242080 299458 242121
+rect 800 241279 3988 241320
+rect 800 241161 841 241279
+rect 959 241161 3988 241279
+rect 800 241120 3988 241161
+rect 295508 241279 298658 241320
+rect 295508 241161 298499 241279
+rect 298617 241161 298658 241279
+rect 295508 241120 298658 241161
+rect 1600 240319 3988 240360
+rect 1600 240201 1641 240319
+rect 1759 240201 3988 240319
+rect 1600 240160 3988 240201
+rect 295508 240319 297858 240360
+rect 295508 240201 297699 240319
+rect 297817 240201 297858 240319
+rect 295508 240160 297858 240201
+rect 2400 239359 3988 239400
+rect 2400 239241 2441 239359
+rect 2559 239241 3988 239359
+rect 2400 239200 3988 239241
+rect 295508 239359 297058 239400
+rect 295508 239241 296899 239359
+rect 297017 239241 297058 239359
+rect 295508 239200 297058 239241
+rect 0 237239 3988 237280
+rect 0 237121 441 237239
+rect 559 237121 3988 237239
+rect 0 237080 3988 237121
+rect 295508 237239 299458 237280
+rect 295508 237121 298899 237239
+rect 299017 237121 299458 237239
+rect 295508 237080 299458 237121
+rect 800 236279 3988 236320
+rect 800 236161 1241 236279
+rect 1359 236161 3988 236279
+rect 800 236120 3988 236161
+rect 295508 236279 298658 236320
+rect 295508 236161 298099 236279
+rect 298217 236161 298658 236279
+rect 295508 236120 298658 236161
+rect 1600 235319 3988 235360
+rect 1600 235201 2041 235319
+rect 2159 235201 3988 235319
+rect 1600 235160 3988 235201
+rect 295508 235319 297858 235360
+rect 295508 235201 297299 235319
+rect 297417 235201 297858 235319
+rect 295508 235160 297858 235201
+rect 2400 234359 3988 234400
+rect 2400 234241 2841 234359
+rect 2959 234241 3988 234359
+rect 2400 234200 3988 234241
+rect 295508 234359 297058 234400
+rect 295508 234241 296499 234359
+rect 296617 234241 297058 234359
+rect 295508 234200 297058 234241
+rect 0 232239 3988 232280
+rect 0 232121 41 232239
+rect 159 232121 3988 232239
+rect 0 232080 3988 232121
+rect 295508 232239 299458 232280
+rect 295508 232121 299299 232239
+rect 299417 232121 299458 232239
+rect 295508 232080 299458 232121
+rect 800 231279 3988 231320
+rect 800 231161 841 231279
+rect 959 231161 3988 231279
+rect 800 231120 3988 231161
+rect 295508 231279 298658 231320
+rect 295508 231161 298499 231279
+rect 298617 231161 298658 231279
+rect 295508 231120 298658 231161
+rect 1600 230319 3988 230360
+rect 1600 230201 1641 230319
+rect 1759 230201 3988 230319
+rect 1600 230160 3988 230201
+rect 295508 230319 297858 230360
+rect 295508 230201 297699 230319
+rect 297817 230201 297858 230319
+rect 295508 230160 297858 230201
+rect 2400 229359 3988 229400
+rect 2400 229241 2441 229359
+rect 2559 229241 3988 229359
+rect 2400 229200 3988 229241
+rect 295508 229359 297058 229400
+rect 295508 229241 296899 229359
+rect 297017 229241 297058 229359
+rect 295508 229200 297058 229241
+rect 0 227239 3988 227280
+rect 0 227121 441 227239
+rect 559 227121 3988 227239
+rect 0 227080 3988 227121
+rect 295508 227239 299458 227280
+rect 295508 227121 298899 227239
+rect 299017 227121 299458 227239
+rect 295508 227080 299458 227121
+rect 800 226279 3988 226320
+rect 800 226161 1241 226279
+rect 1359 226161 3988 226279
+rect 800 226120 3988 226161
+rect 295508 226279 298658 226320
+rect 295508 226161 298099 226279
+rect 298217 226161 298658 226279
+rect 295508 226120 298658 226161
+rect 1600 225319 3988 225360
+rect 1600 225201 2041 225319
+rect 2159 225201 3988 225319
+rect 1600 225160 3988 225201
+rect 295508 225319 297858 225360
+rect 295508 225201 297299 225319
+rect 297417 225201 297858 225319
+rect 295508 225160 297858 225201
+rect 2400 224359 3988 224400
+rect 2400 224241 2841 224359
+rect 2959 224241 3988 224359
+rect 2400 224200 3988 224241
+rect 295508 224359 297058 224400
+rect 295508 224241 296499 224359
+rect 296617 224241 297058 224359
+rect 295508 224200 297058 224241
+rect 0 222239 3988 222280
+rect 0 222121 41 222239
+rect 159 222121 3988 222239
+rect 0 222080 3988 222121
+rect 295508 222239 299458 222280
+rect 295508 222121 299299 222239
+rect 299417 222121 299458 222239
+rect 295508 222080 299458 222121
+rect 800 221279 3988 221320
+rect 800 221161 841 221279
+rect 959 221161 3988 221279
+rect 800 221120 3988 221161
+rect 295508 221279 298658 221320
+rect 295508 221161 298499 221279
+rect 298617 221161 298658 221279
+rect 295508 221120 298658 221161
+rect 1600 220319 3988 220360
+rect 1600 220201 1641 220319
+rect 1759 220201 3988 220319
+rect 1600 220160 3988 220201
+rect 295508 220319 297858 220360
+rect 295508 220201 297699 220319
+rect 297817 220201 297858 220319
+rect 295508 220160 297858 220201
+rect 2400 219359 3988 219400
+rect 2400 219241 2441 219359
+rect 2559 219241 3988 219359
+rect 2400 219200 3988 219241
+rect 295508 219359 297058 219400
+rect 295508 219241 296899 219359
+rect 297017 219241 297058 219359
+rect 295508 219200 297058 219241
+rect 0 217239 3988 217280
+rect 0 217121 441 217239
+rect 559 217121 3988 217239
+rect 0 217080 3988 217121
+rect 295508 217239 299458 217280
+rect 295508 217121 298899 217239
+rect 299017 217121 299458 217239
+rect 295508 217080 299458 217121
+rect 800 216279 3988 216320
+rect 800 216161 1241 216279
+rect 1359 216161 3988 216279
+rect 800 216120 3988 216161
+rect 295508 216279 298658 216320
+rect 295508 216161 298099 216279
+rect 298217 216161 298658 216279
+rect 295508 216120 298658 216161
+rect 1600 215319 3988 215360
+rect 1600 215201 2041 215319
+rect 2159 215201 3988 215319
+rect 1600 215160 3988 215201
+rect 295508 215319 297858 215360
+rect 295508 215201 297299 215319
+rect 297417 215201 297858 215319
+rect 295508 215160 297858 215201
+rect 2400 214359 3988 214400
+rect 2400 214241 2841 214359
+rect 2959 214241 3988 214359
+rect 2400 214200 3988 214241
+rect 295508 214359 297058 214400
+rect 295508 214241 296499 214359
+rect 296617 214241 297058 214359
+rect 295508 214200 297058 214241
+rect 0 212239 3988 212280
+rect 0 212121 41 212239
+rect 159 212121 3988 212239
+rect 0 212080 3988 212121
+rect 295508 212239 299458 212280
+rect 295508 212121 299299 212239
+rect 299417 212121 299458 212239
+rect 295508 212080 299458 212121
+rect 800 211279 3988 211320
+rect 800 211161 841 211279
+rect 959 211161 3988 211279
+rect 800 211120 3988 211161
+rect 295508 211279 298658 211320
+rect 295508 211161 298499 211279
+rect 298617 211161 298658 211279
+rect 295508 211120 298658 211161
+rect 1600 210319 3988 210360
+rect 1600 210201 1641 210319
+rect 1759 210201 3988 210319
+rect 1600 210160 3988 210201
+rect 295508 210319 297858 210360
+rect 295508 210201 297699 210319
+rect 297817 210201 297858 210319
+rect 295508 210160 297858 210201
+rect 2400 209359 3988 209400
+rect 2400 209241 2441 209359
+rect 2559 209241 3988 209359
+rect 2400 209200 3988 209241
+rect 295508 209359 297058 209400
+rect 295508 209241 296899 209359
+rect 297017 209241 297058 209359
+rect 295508 209200 297058 209241
+rect 0 207239 3988 207280
+rect 0 207121 441 207239
+rect 559 207121 3988 207239
+rect 0 207080 3988 207121
+rect 295508 207239 299458 207280
+rect 295508 207121 298899 207239
+rect 299017 207121 299458 207239
+rect 295508 207080 299458 207121
+rect 800 206279 3988 206320
+rect 800 206161 1241 206279
+rect 1359 206161 3988 206279
+rect 800 206120 3988 206161
+rect 295508 206279 298658 206320
+rect 295508 206161 298099 206279
+rect 298217 206161 298658 206279
+rect 295508 206120 298658 206161
+rect 1600 205319 3988 205360
+rect 1600 205201 2041 205319
+rect 2159 205201 3988 205319
+rect 1600 205160 3988 205201
+rect 295508 205319 297858 205360
+rect 295508 205201 297299 205319
+rect 297417 205201 297858 205319
+rect 295508 205160 297858 205201
+rect 2400 204359 3988 204400
+rect 2400 204241 2841 204359
+rect 2959 204241 3988 204359
+rect 2400 204200 3988 204241
+rect 295508 204359 297058 204400
+rect 295508 204241 296499 204359
+rect 296617 204241 297058 204359
+rect 295508 204200 297058 204241
+rect 0 202239 3988 202280
+rect 0 202121 41 202239
+rect 159 202121 3988 202239
+rect 0 202080 3988 202121
+rect 295508 202239 299458 202280
+rect 295508 202121 299299 202239
+rect 299417 202121 299458 202239
+rect 295508 202080 299458 202121
+rect 800 201279 3988 201320
+rect 800 201161 841 201279
+rect 959 201161 3988 201279
+rect 800 201120 3988 201161
+rect 295508 201279 298658 201320
+rect 295508 201161 298499 201279
+rect 298617 201161 298658 201279
+rect 295508 201120 298658 201161
+rect 1600 200319 3988 200360
+rect 1600 200201 1641 200319
+rect 1759 200201 3988 200319
+rect 1600 200160 3988 200201
+rect 295508 200319 297858 200360
+rect 295508 200201 297699 200319
+rect 297817 200201 297858 200319
+rect 295508 200160 297858 200201
+rect 2400 199359 3988 199400
+rect 2400 199241 2441 199359
+rect 2559 199241 3988 199359
+rect 2400 199200 3988 199241
+rect 295508 199359 297058 199400
+rect 295508 199241 296899 199359
+rect 297017 199241 297058 199359
+rect 295508 199200 297058 199241
+rect 0 197239 3988 197280
+rect 0 197121 441 197239
+rect 559 197121 3988 197239
+rect 0 197080 3988 197121
+rect 295508 197239 299458 197280
+rect 295508 197121 298899 197239
+rect 299017 197121 299458 197239
+rect 295508 197080 299458 197121
+rect 800 196279 3988 196320
+rect 800 196161 1241 196279
+rect 1359 196161 3988 196279
+rect 800 196120 3988 196161
+rect 295508 196279 298658 196320
+rect 295508 196161 298099 196279
+rect 298217 196161 298658 196279
+rect 295508 196120 298658 196161
+rect 1600 195319 3988 195360
+rect 1600 195201 2041 195319
+rect 2159 195201 3988 195319
+rect 1600 195160 3988 195201
+rect 295508 195319 297858 195360
+rect 295508 195201 297299 195319
+rect 297417 195201 297858 195319
+rect 295508 195160 297858 195201
+rect 2400 194359 3988 194400
+rect 2400 194241 2841 194359
+rect 2959 194241 3988 194359
+rect 2400 194200 3988 194241
+rect 295508 194359 297058 194400
+rect 295508 194241 296499 194359
+rect 296617 194241 297058 194359
+rect 295508 194200 297058 194241
+rect 0 192239 3988 192280
+rect 0 192121 41 192239
+rect 159 192121 3988 192239
+rect 0 192080 3988 192121
+rect 295508 192239 299458 192280
+rect 295508 192121 299299 192239
+rect 299417 192121 299458 192239
+rect 295508 192080 299458 192121
+rect 800 191279 3988 191320
+rect 800 191161 841 191279
+rect 959 191161 3988 191279
+rect 800 191120 3988 191161
+rect 295508 191279 298658 191320
+rect 295508 191161 298499 191279
+rect 298617 191161 298658 191279
+rect 295508 191120 298658 191161
+rect 1600 190319 3988 190360
+rect 1600 190201 1641 190319
+rect 1759 190201 3988 190319
+rect 1600 190160 3988 190201
+rect 295508 190319 297858 190360
+rect 295508 190201 297699 190319
+rect 297817 190201 297858 190319
+rect 295508 190160 297858 190201
+rect 2400 189359 3988 189400
+rect 2400 189241 2441 189359
+rect 2559 189241 3988 189359
+rect 2400 189200 3988 189241
+rect 295508 189359 297058 189400
+rect 295508 189241 296899 189359
+rect 297017 189241 297058 189359
+rect 295508 189200 297058 189241
+rect 0 187239 3988 187280
+rect 0 187121 441 187239
+rect 559 187121 3988 187239
+rect 0 187080 3988 187121
+rect 295508 187239 299458 187280
+rect 295508 187121 298899 187239
+rect 299017 187121 299458 187239
+rect 295508 187080 299458 187121
+rect 800 186279 3988 186320
+rect 800 186161 1241 186279
+rect 1359 186161 3988 186279
+rect 800 186120 3988 186161
+rect 295508 186279 298658 186320
+rect 295508 186161 298099 186279
+rect 298217 186161 298658 186279
+rect 295508 186120 298658 186161
+rect 1600 185319 3988 185360
+rect 1600 185201 2041 185319
+rect 2159 185201 3988 185319
+rect 1600 185160 3988 185201
+rect 295508 185319 297858 185360
+rect 295508 185201 297299 185319
+rect 297417 185201 297858 185319
+rect 295508 185160 297858 185201
+rect 2400 184359 3988 184400
+rect 2400 184241 2841 184359
+rect 2959 184241 3988 184359
+rect 2400 184200 3988 184241
+rect 295508 184359 297058 184400
+rect 295508 184241 296499 184359
+rect 296617 184241 297058 184359
+rect 295508 184200 297058 184241
+rect 0 182239 3988 182280
+rect 0 182121 41 182239
+rect 159 182121 3988 182239
+rect 0 182080 3988 182121
+rect 295508 182239 299458 182280
+rect 295508 182121 299299 182239
+rect 299417 182121 299458 182239
+rect 295508 182080 299458 182121
+rect 800 181279 3988 181320
+rect 800 181161 841 181279
+rect 959 181161 3988 181279
+rect 800 181120 3988 181161
+rect 295508 181279 298658 181320
+rect 295508 181161 298499 181279
+rect 298617 181161 298658 181279
+rect 295508 181120 298658 181161
+rect 1600 180319 3988 180360
+rect 1600 180201 1641 180319
+rect 1759 180201 3988 180319
+rect 1600 180160 3988 180201
+rect 295508 180319 297858 180360
+rect 295508 180201 297699 180319
+rect 297817 180201 297858 180319
+rect 295508 180160 297858 180201
+rect 2400 179359 3988 179400
+rect 2400 179241 2441 179359
+rect 2559 179241 3988 179359
+rect 2400 179200 3988 179241
+rect 295508 179359 297058 179400
+rect 295508 179241 296899 179359
+rect 297017 179241 297058 179359
+rect 295508 179200 297058 179241
+rect 0 177239 3988 177280
+rect 0 177121 441 177239
+rect 559 177121 3988 177239
+rect 0 177080 3988 177121
+rect 295508 177239 299458 177280
+rect 295508 177121 298899 177239
+rect 299017 177121 299458 177239
+rect 295508 177080 299458 177121
+rect 800 176279 3988 176320
+rect 800 176161 1241 176279
+rect 1359 176161 3988 176279
+rect 800 176120 3988 176161
+rect 295508 176279 298658 176320
+rect 295508 176161 298099 176279
+rect 298217 176161 298658 176279
+rect 295508 176120 298658 176161
+rect 1600 175319 3988 175360
+rect 1600 175201 2041 175319
+rect 2159 175201 3988 175319
+rect 1600 175160 3988 175201
+rect 295508 175319 297858 175360
+rect 295508 175201 297299 175319
+rect 297417 175201 297858 175319
+rect 295508 175160 297858 175201
+rect 2400 174359 3988 174400
+rect 2400 174241 2841 174359
+rect 2959 174241 3988 174359
+rect 2400 174200 3988 174241
+rect 295508 174359 297058 174400
+rect 295508 174241 296499 174359
+rect 296617 174241 297058 174359
+rect 295508 174200 297058 174241
+rect 0 172239 3988 172280
+rect 0 172121 41 172239
+rect 159 172121 3988 172239
+rect 0 172080 3988 172121
+rect 295508 172239 299458 172280
+rect 295508 172121 299299 172239
+rect 299417 172121 299458 172239
+rect 295508 172080 299458 172121
+rect 800 171279 3988 171320
+rect 800 171161 841 171279
+rect 959 171161 3988 171279
+rect 800 171120 3988 171161
+rect 295508 171279 298658 171320
+rect 295508 171161 298499 171279
+rect 298617 171161 298658 171279
+rect 295508 171120 298658 171161
+rect 1600 170319 3988 170360
+rect 1600 170201 1641 170319
+rect 1759 170201 3988 170319
+rect 1600 170160 3988 170201
+rect 295508 170319 297858 170360
+rect 295508 170201 297699 170319
+rect 297817 170201 297858 170319
+rect 295508 170160 297858 170201
+rect 2400 169359 3988 169400
+rect 2400 169241 2441 169359
+rect 2559 169241 3988 169359
+rect 2400 169200 3988 169241
+rect 295508 169359 297058 169400
+rect 295508 169241 296899 169359
+rect 297017 169241 297058 169359
+rect 295508 169200 297058 169241
+rect 0 167239 3988 167280
+rect 0 167121 441 167239
+rect 559 167121 3988 167239
+rect 0 167080 3988 167121
+rect 295508 167239 299458 167280
+rect 295508 167121 298899 167239
+rect 299017 167121 299458 167239
+rect 295508 167080 299458 167121
+rect 800 166279 3988 166320
+rect 800 166161 1241 166279
+rect 1359 166161 3988 166279
+rect 800 166120 3988 166161
+rect 295508 166279 298658 166320
+rect 295508 166161 298099 166279
+rect 298217 166161 298658 166279
+rect 295508 166120 298658 166161
+rect 1600 165319 3988 165360
+rect 1600 165201 2041 165319
+rect 2159 165201 3988 165319
+rect 1600 165160 3988 165201
+rect 295508 165319 297858 165360
+rect 295508 165201 297299 165319
+rect 297417 165201 297858 165319
+rect 295508 165160 297858 165201
+rect 2400 164359 3988 164400
+rect 2400 164241 2841 164359
+rect 2959 164241 3988 164359
+rect 2400 164200 3988 164241
+rect 295508 164359 297058 164400
+rect 295508 164241 296499 164359
+rect 296617 164241 297058 164359
+rect 295508 164200 297058 164241
+rect 0 162239 3988 162280
+rect 0 162121 41 162239
+rect 159 162121 3988 162239
+rect 0 162080 3988 162121
+rect 295508 162239 299458 162280
+rect 295508 162121 299299 162239
+rect 299417 162121 299458 162239
+rect 295508 162080 299458 162121
+rect 800 161279 3988 161320
+rect 800 161161 841 161279
+rect 959 161161 3988 161279
+rect 800 161120 3988 161161
+rect 295508 161279 298658 161320
+rect 295508 161161 298499 161279
+rect 298617 161161 298658 161279
+rect 295508 161120 298658 161161
+rect 1600 160319 3988 160360
+rect 1600 160201 1641 160319
+rect 1759 160201 3988 160319
+rect 1600 160160 3988 160201
+rect 295508 160319 297858 160360
+rect 295508 160201 297699 160319
+rect 297817 160201 297858 160319
+rect 295508 160160 297858 160201
+rect 2400 159359 3988 159400
+rect 2400 159241 2441 159359
+rect 2559 159241 3988 159359
+rect 2400 159200 3988 159241
+rect 295508 159359 297058 159400
+rect 295508 159241 296899 159359
+rect 297017 159241 297058 159359
+rect 295508 159200 297058 159241
+rect 0 157239 3988 157280
+rect 0 157121 441 157239
+rect 559 157121 3988 157239
+rect 0 157080 3988 157121
+rect 295508 157239 299458 157280
+rect 295508 157121 298899 157239
+rect 299017 157121 299458 157239
+rect 295508 157080 299458 157121
+rect 800 156279 3988 156320
+rect 800 156161 1241 156279
+rect 1359 156161 3988 156279
+rect 800 156120 3988 156161
+rect 295508 156279 298658 156320
+rect 295508 156161 298099 156279
+rect 298217 156161 298658 156279
+rect 295508 156120 298658 156161
+rect 1600 155319 3988 155360
+rect 1600 155201 2041 155319
+rect 2159 155201 3988 155319
+rect 1600 155160 3988 155201
+rect 295508 155319 297858 155360
+rect 295508 155201 297299 155319
+rect 297417 155201 297858 155319
+rect 295508 155160 297858 155201
+rect 2400 154359 3988 154400
+rect 2400 154241 2841 154359
+rect 2959 154241 3988 154359
+rect 2400 154200 3988 154241
+rect 295508 154359 297058 154400
+rect 295508 154241 296499 154359
+rect 296617 154241 297058 154359
+rect 295508 154200 297058 154241
+rect 0 152239 3988 152280
+rect 0 152121 41 152239
+rect 159 152121 3988 152239
+rect 0 152080 3988 152121
+rect 295508 152239 299458 152280
+rect 295508 152121 299299 152239
+rect 299417 152121 299458 152239
+rect 295508 152080 299458 152121
+rect 800 151279 3988 151320
+rect 800 151161 841 151279
+rect 959 151161 3988 151279
+rect 800 151120 3988 151161
+rect 295508 151279 298658 151320
+rect 295508 151161 298499 151279
+rect 298617 151161 298658 151279
+rect 295508 151120 298658 151161
+rect 1600 150319 3988 150360
+rect 1600 150201 1641 150319
+rect 1759 150201 3988 150319
+rect 1600 150160 3988 150201
+rect 295508 150319 297858 150360
+rect 295508 150201 297699 150319
+rect 297817 150201 297858 150319
+rect 295508 150160 297858 150201
+rect 2400 149359 3988 149400
+rect 2400 149241 2441 149359
+rect 2559 149241 3988 149359
+rect 2400 149200 3988 149241
+rect 295508 149359 297058 149400
+rect 295508 149241 296899 149359
+rect 297017 149241 297058 149359
+rect 295508 149200 297058 149241
+rect 0 147239 3988 147280
+rect 0 147121 441 147239
+rect 559 147121 3988 147239
+rect 0 147080 3988 147121
+rect 295508 147239 299458 147280
+rect 295508 147121 298899 147239
+rect 299017 147121 299458 147239
+rect 295508 147080 299458 147121
+rect 800 146279 3988 146320
+rect 800 146161 1241 146279
+rect 1359 146161 3988 146279
+rect 800 146120 3988 146161
+rect 295508 146279 298658 146320
+rect 295508 146161 298099 146279
+rect 298217 146161 298658 146279
+rect 295508 146120 298658 146161
+rect 1600 145319 3988 145360
+rect 1600 145201 2041 145319
+rect 2159 145201 3988 145319
+rect 1600 145160 3988 145201
+rect 295508 145319 297858 145360
+rect 295508 145201 297299 145319
+rect 297417 145201 297858 145319
+rect 295508 145160 297858 145201
+rect 2400 144359 3988 144400
+rect 2400 144241 2841 144359
+rect 2959 144241 3988 144359
+rect 2400 144200 3988 144241
+rect 295508 144359 297058 144400
+rect 295508 144241 296499 144359
+rect 296617 144241 297058 144359
+rect 295508 144200 297058 144241
+rect 0 142239 3988 142280
+rect 0 142121 41 142239
+rect 159 142121 3988 142239
+rect 0 142080 3988 142121
+rect 295508 142239 299458 142280
+rect 295508 142121 299299 142239
+rect 299417 142121 299458 142239
+rect 295508 142080 299458 142121
+rect 800 141279 3988 141320
+rect 800 141161 841 141279
+rect 959 141161 3988 141279
+rect 800 141120 3988 141161
+rect 295508 141279 298658 141320
+rect 295508 141161 298499 141279
+rect 298617 141161 298658 141279
+rect 295508 141120 298658 141161
+rect 1600 140319 3988 140360
+rect 1600 140201 1641 140319
+rect 1759 140201 3988 140319
+rect 1600 140160 3988 140201
+rect 295508 140319 297858 140360
+rect 295508 140201 297699 140319
+rect 297817 140201 297858 140319
+rect 295508 140160 297858 140201
+rect 2400 139359 3988 139400
+rect 2400 139241 2441 139359
+rect 2559 139241 3988 139359
+rect 2400 139200 3988 139241
+rect 295508 139359 297058 139400
+rect 295508 139241 296899 139359
+rect 297017 139241 297058 139359
+rect 295508 139200 297058 139241
+rect 0 137239 3988 137280
+rect 0 137121 441 137239
+rect 559 137121 3988 137239
+rect 0 137080 3988 137121
+rect 295508 137239 299458 137280
+rect 295508 137121 298899 137239
+rect 299017 137121 299458 137239
+rect 295508 137080 299458 137121
+rect 800 136279 3988 136320
+rect 800 136161 1241 136279
+rect 1359 136161 3988 136279
+rect 800 136120 3988 136161
+rect 295508 136279 298658 136320
+rect 295508 136161 298099 136279
+rect 298217 136161 298658 136279
+rect 295508 136120 298658 136161
+rect 1600 135319 3988 135360
+rect 1600 135201 2041 135319
+rect 2159 135201 3988 135319
+rect 1600 135160 3988 135201
+rect 295508 135319 297858 135360
+rect 295508 135201 297299 135319
+rect 297417 135201 297858 135319
+rect 295508 135160 297858 135201
+rect 2400 134359 3988 134400
+rect 2400 134241 2841 134359
+rect 2959 134241 3988 134359
+rect 2400 134200 3988 134241
+rect 295508 134359 297058 134400
+rect 295508 134241 296499 134359
+rect 296617 134241 297058 134359
+rect 295508 134200 297058 134241
+rect 0 132239 3988 132280
+rect 0 132121 41 132239
+rect 159 132121 3988 132239
+rect 0 132080 3988 132121
+rect 295508 132239 299458 132280
+rect 295508 132121 299299 132239
+rect 299417 132121 299458 132239
+rect 295508 132080 299458 132121
+rect 800 131279 3988 131320
+rect 800 131161 841 131279
+rect 959 131161 3988 131279
+rect 800 131120 3988 131161
+rect 295508 131279 298658 131320
+rect 295508 131161 298499 131279
+rect 298617 131161 298658 131279
+rect 295508 131120 298658 131161
+rect 1600 130319 3988 130360
+rect 1600 130201 1641 130319
+rect 1759 130201 3988 130319
+rect 1600 130160 3988 130201
+rect 295508 130319 297858 130360
+rect 295508 130201 297699 130319
+rect 297817 130201 297858 130319
+rect 295508 130160 297858 130201
+rect 2400 129359 3988 129400
+rect 2400 129241 2441 129359
+rect 2559 129241 3988 129359
+rect 2400 129200 3988 129241
+rect 295508 129359 297058 129400
+rect 295508 129241 296899 129359
+rect 297017 129241 297058 129359
+rect 295508 129200 297058 129241
+rect 0 127239 3988 127280
+rect 0 127121 441 127239
+rect 559 127121 3988 127239
+rect 0 127080 3988 127121
+rect 295508 127239 299458 127280
+rect 295508 127121 298899 127239
+rect 299017 127121 299458 127239
+rect 295508 127080 299458 127121
+rect 800 126279 3988 126320
+rect 800 126161 1241 126279
+rect 1359 126161 3988 126279
+rect 800 126120 3988 126161
+rect 295508 126279 298658 126320
+rect 295508 126161 298099 126279
+rect 298217 126161 298658 126279
+rect 295508 126120 298658 126161
+rect 1600 125319 3988 125360
+rect 1600 125201 2041 125319
+rect 2159 125201 3988 125319
+rect 1600 125160 3988 125201
+rect 295508 125319 297858 125360
+rect 295508 125201 297299 125319
+rect 297417 125201 297858 125319
+rect 295508 125160 297858 125201
+rect 2400 124359 3988 124400
+rect 2400 124241 2841 124359
+rect 2959 124241 3988 124359
+rect 2400 124200 3988 124241
+rect 295508 124359 297058 124400
+rect 295508 124241 296499 124359
+rect 296617 124241 297058 124359
+rect 295508 124200 297058 124241
+rect 0 122239 3988 122280
+rect 0 122121 41 122239
+rect 159 122121 3988 122239
+rect 0 122080 3988 122121
+rect 295508 122239 299458 122280
+rect 295508 122121 299299 122239
+rect 299417 122121 299458 122239
+rect 295508 122080 299458 122121
+rect 800 121279 3988 121320
+rect 800 121161 841 121279
+rect 959 121161 3988 121279
+rect 800 121120 3988 121161
+rect 295508 121279 298658 121320
+rect 295508 121161 298499 121279
+rect 298617 121161 298658 121279
+rect 295508 121120 298658 121161
+rect 1600 120319 3988 120360
+rect 1600 120201 1641 120319
+rect 1759 120201 3988 120319
+rect 1600 120160 3988 120201
+rect 295508 120319 297858 120360
+rect 295508 120201 297699 120319
+rect 297817 120201 297858 120319
+rect 295508 120160 297858 120201
+rect 2400 119359 3988 119400
+rect 2400 119241 2441 119359
+rect 2559 119241 3988 119359
+rect 2400 119200 3988 119241
+rect 295508 119359 297058 119400
+rect 295508 119241 296899 119359
+rect 297017 119241 297058 119359
+rect 295508 119200 297058 119241
+rect 0 117239 3988 117280
+rect 0 117121 441 117239
+rect 559 117121 3988 117239
+rect 0 117080 3988 117121
+rect 295508 117239 299458 117280
+rect 295508 117121 298899 117239
+rect 299017 117121 299458 117239
+rect 295508 117080 299458 117121
+rect 800 116279 3988 116320
+rect 800 116161 1241 116279
+rect 1359 116161 3988 116279
+rect 800 116120 3988 116161
+rect 295508 116279 298658 116320
+rect 295508 116161 298099 116279
+rect 298217 116161 298658 116279
+rect 295508 116120 298658 116161
+rect 1600 115319 3988 115360
+rect 1600 115201 2041 115319
+rect 2159 115201 3988 115319
+rect 1600 115160 3988 115201
+rect 295508 115319 297858 115360
+rect 295508 115201 297299 115319
+rect 297417 115201 297858 115319
+rect 295508 115160 297858 115201
+rect 2400 114359 3988 114400
+rect 2400 114241 2841 114359
+rect 2959 114241 3988 114359
+rect 2400 114200 3988 114241
+rect 295508 114359 297058 114400
+rect 295508 114241 296499 114359
+rect 296617 114241 297058 114359
+rect 295508 114200 297058 114241
+rect 0 112239 3988 112280
+rect 0 112121 41 112239
+rect 159 112121 3988 112239
+rect 0 112080 3988 112121
+rect 295508 112239 299458 112280
+rect 295508 112121 299299 112239
+rect 299417 112121 299458 112239
+rect 295508 112080 299458 112121
+rect 800 111279 3988 111320
+rect 800 111161 841 111279
+rect 959 111161 3988 111279
+rect 800 111120 3988 111161
+rect 295508 111279 298658 111320
+rect 295508 111161 298499 111279
+rect 298617 111161 298658 111279
+rect 295508 111120 298658 111161
+rect 1600 110319 3988 110360
+rect 1600 110201 1641 110319
+rect 1759 110201 3988 110319
+rect 1600 110160 3988 110201
+rect 295508 110319 297858 110360
+rect 295508 110201 297699 110319
+rect 297817 110201 297858 110319
+rect 295508 110160 297858 110201
+rect 2400 109359 3988 109400
+rect 2400 109241 2441 109359
+rect 2559 109241 3988 109359
+rect 2400 109200 3988 109241
+rect 295508 109359 297058 109400
+rect 295508 109241 296899 109359
+rect 297017 109241 297058 109359
+rect 295508 109200 297058 109241
+rect 0 107239 3988 107280
+rect 0 107121 441 107239
+rect 559 107121 3988 107239
+rect 0 107080 3988 107121
+rect 295508 107239 299458 107280
+rect 295508 107121 298899 107239
+rect 299017 107121 299458 107239
+rect 295508 107080 299458 107121
+rect 800 106279 3988 106320
+rect 800 106161 1241 106279
+rect 1359 106161 3988 106279
+rect 800 106120 3988 106161
+rect 295508 106279 298658 106320
+rect 295508 106161 298099 106279
+rect 298217 106161 298658 106279
+rect 295508 106120 298658 106161
+rect 1600 105319 3988 105360
+rect 1600 105201 2041 105319
+rect 2159 105201 3988 105319
+rect 1600 105160 3988 105201
+rect 295508 105319 297858 105360
+rect 295508 105201 297299 105319
+rect 297417 105201 297858 105319
+rect 295508 105160 297858 105201
+rect 2400 104359 3988 104400
+rect 2400 104241 2841 104359
+rect 2959 104241 3988 104359
+rect 2400 104200 3988 104241
+rect 295508 104359 297058 104400
+rect 295508 104241 296499 104359
+rect 296617 104241 297058 104359
+rect 295508 104200 297058 104241
+rect 0 102239 3988 102280
+rect 0 102121 41 102239
+rect 159 102121 3988 102239
+rect 0 102080 3988 102121
+rect 295508 102239 299458 102280
+rect 295508 102121 299299 102239
+rect 299417 102121 299458 102239
+rect 295508 102080 299458 102121
+rect 800 101279 3988 101320
+rect 800 101161 841 101279
+rect 959 101161 3988 101279
+rect 800 101120 3988 101161
+rect 295508 101279 298658 101320
+rect 295508 101161 298499 101279
+rect 298617 101161 298658 101279
+rect 295508 101120 298658 101161
+rect 1600 100319 3988 100360
+rect 1600 100201 1641 100319
+rect 1759 100201 3988 100319
+rect 1600 100160 3988 100201
+rect 295508 100319 297858 100360
+rect 295508 100201 297699 100319
+rect 297817 100201 297858 100319
+rect 295508 100160 297858 100201
+rect 2400 99359 3988 99400
+rect 2400 99241 2441 99359
+rect 2559 99241 3988 99359
+rect 2400 99200 3988 99241
+rect 295508 99359 297058 99400
+rect 295508 99241 296899 99359
+rect 297017 99241 297058 99359
+rect 295508 99200 297058 99241
+rect 0 97239 3988 97280
+rect 0 97121 441 97239
+rect 559 97121 3988 97239
+rect 0 97080 3988 97121
+rect 295508 97239 299458 97280
+rect 295508 97121 298899 97239
+rect 299017 97121 299458 97239
+rect 295508 97080 299458 97121
+rect 800 96279 3988 96320
+rect 800 96161 1241 96279
+rect 1359 96161 3988 96279
+rect 800 96120 3988 96161
+rect 295508 96279 298658 96320
+rect 295508 96161 298099 96279
+rect 298217 96161 298658 96279
+rect 295508 96120 298658 96161
+rect 1600 95319 3988 95360
+rect 1600 95201 2041 95319
+rect 2159 95201 3988 95319
+rect 1600 95160 3988 95201
+rect 295508 95319 297858 95360
+rect 295508 95201 297299 95319
+rect 297417 95201 297858 95319
+rect 295508 95160 297858 95201
+rect 2400 94359 3988 94400
+rect 2400 94241 2841 94359
+rect 2959 94241 3988 94359
+rect 2400 94200 3988 94241
+rect 295508 94359 297058 94400
+rect 295508 94241 296499 94359
+rect 296617 94241 297058 94359
+rect 295508 94200 297058 94241
+rect 0 92239 3988 92280
+rect 0 92121 41 92239
+rect 159 92121 3988 92239
+rect 0 92080 3988 92121
+rect 295508 92239 299458 92280
+rect 295508 92121 299299 92239
+rect 299417 92121 299458 92239
+rect 295508 92080 299458 92121
+rect 800 91279 3988 91320
+rect 800 91161 841 91279
+rect 959 91161 3988 91279
+rect 800 91120 3988 91161
+rect 295508 91279 298658 91320
+rect 295508 91161 298499 91279
+rect 298617 91161 298658 91279
+rect 295508 91120 298658 91161
+rect 1600 90319 3988 90360
+rect 1600 90201 1641 90319
+rect 1759 90201 3988 90319
+rect 1600 90160 3988 90201
+rect 295508 90319 297858 90360
+rect 295508 90201 297699 90319
+rect 297817 90201 297858 90319
+rect 295508 90160 297858 90201
+rect 2400 89359 3988 89400
+rect 2400 89241 2441 89359
+rect 2559 89241 3988 89359
+rect 2400 89200 3988 89241
+rect 295508 89359 297058 89400
+rect 295508 89241 296899 89359
+rect 297017 89241 297058 89359
+rect 295508 89200 297058 89241
+rect 0 87239 3988 87280
+rect 0 87121 441 87239
+rect 559 87121 3988 87239
+rect 0 87080 3988 87121
+rect 295508 87239 299458 87280
+rect 295508 87121 298899 87239
+rect 299017 87121 299458 87239
+rect 295508 87080 299458 87121
+rect 800 86279 3988 86320
+rect 800 86161 1241 86279
+rect 1359 86161 3988 86279
+rect 800 86120 3988 86161
+rect 295508 86279 298658 86320
+rect 295508 86161 298099 86279
+rect 298217 86161 298658 86279
+rect 295508 86120 298658 86161
+rect 1600 85319 3988 85360
+rect 1600 85201 2041 85319
+rect 2159 85201 3988 85319
+rect 1600 85160 3988 85201
+rect 295508 85319 297858 85360
+rect 295508 85201 297299 85319
+rect 297417 85201 297858 85319
+rect 295508 85160 297858 85201
+rect 2400 84359 3988 84400
+rect 2400 84241 2841 84359
+rect 2959 84241 3988 84359
+rect 2400 84200 3988 84241
+rect 295508 84359 297058 84400
+rect 295508 84241 296499 84359
+rect 296617 84241 297058 84359
+rect 295508 84200 297058 84241
+rect 0 82239 3988 82280
+rect 0 82121 41 82239
+rect 159 82121 3988 82239
+rect 0 82080 3988 82121
+rect 295508 82239 299458 82280
+rect 295508 82121 299299 82239
+rect 299417 82121 299458 82239
+rect 295508 82080 299458 82121
+rect 800 81279 3988 81320
+rect 800 81161 841 81279
+rect 959 81161 3988 81279
+rect 800 81120 3988 81161
+rect 295508 81279 298658 81320
+rect 295508 81161 298499 81279
+rect 298617 81161 298658 81279
+rect 295508 81120 298658 81161
+rect 1600 80319 3988 80360
+rect 1600 80201 1641 80319
+rect 1759 80201 3988 80319
+rect 1600 80160 3988 80201
+rect 295508 80319 297858 80360
+rect 295508 80201 297699 80319
+rect 297817 80201 297858 80319
+rect 295508 80160 297858 80201
+rect 2400 79359 3988 79400
+rect 2400 79241 2441 79359
+rect 2559 79241 3988 79359
+rect 2400 79200 3988 79241
+rect 295508 79359 297058 79400
+rect 295508 79241 296899 79359
+rect 297017 79241 297058 79359
+rect 295508 79200 297058 79241
+rect 0 77239 3988 77280
+rect 0 77121 441 77239
+rect 559 77121 3988 77239
+rect 0 77080 3988 77121
+rect 295508 77239 299458 77280
+rect 295508 77121 298899 77239
+rect 299017 77121 299458 77239
+rect 295508 77080 299458 77121
+rect 800 76279 3988 76320
+rect 800 76161 1241 76279
+rect 1359 76161 3988 76279
+rect 800 76120 3988 76161
+rect 295508 76279 298658 76320
+rect 295508 76161 298099 76279
+rect 298217 76161 298658 76279
+rect 295508 76120 298658 76161
+rect 1600 75319 3988 75360
+rect 1600 75201 2041 75319
+rect 2159 75201 3988 75319
+rect 1600 75160 3988 75201
+rect 295508 75319 297858 75360
+rect 295508 75201 297299 75319
+rect 297417 75201 297858 75319
+rect 295508 75160 297858 75201
+rect 2400 74359 3988 74400
+rect 2400 74241 2841 74359
+rect 2959 74241 3988 74359
+rect 2400 74200 3988 74241
+rect 295508 74359 297058 74400
+rect 295508 74241 296499 74359
+rect 296617 74241 297058 74359
+rect 295508 74200 297058 74241
+rect 0 72239 3988 72280
+rect 0 72121 41 72239
+rect 159 72121 3988 72239
+rect 0 72080 3988 72121
+rect 295508 72239 299458 72280
+rect 295508 72121 299299 72239
+rect 299417 72121 299458 72239
+rect 295508 72080 299458 72121
+rect 800 71279 3988 71320
+rect 800 71161 841 71279
+rect 959 71161 3988 71279
+rect 800 71120 3988 71161
+rect 295508 71279 298658 71320
+rect 295508 71161 298499 71279
+rect 298617 71161 298658 71279
+rect 295508 71120 298658 71161
+rect 1600 70319 3988 70360
+rect 1600 70201 1641 70319
+rect 1759 70201 3988 70319
+rect 1600 70160 3988 70201
+rect 295508 70319 297858 70360
+rect 295508 70201 297699 70319
+rect 297817 70201 297858 70319
+rect 295508 70160 297858 70201
+rect 2400 69359 3988 69400
+rect 2400 69241 2441 69359
+rect 2559 69241 3988 69359
+rect 2400 69200 3988 69241
+rect 295508 69359 297058 69400
+rect 295508 69241 296899 69359
+rect 297017 69241 297058 69359
+rect 295508 69200 297058 69241
+rect 0 67239 3988 67280
+rect 0 67121 441 67239
+rect 559 67121 3988 67239
+rect 0 67080 3988 67121
+rect 295508 67239 299458 67280
+rect 295508 67121 298899 67239
+rect 299017 67121 299458 67239
+rect 295508 67080 299458 67121
+rect 800 66279 3988 66320
+rect 800 66161 1241 66279
+rect 1359 66161 3988 66279
+rect 800 66120 3988 66161
+rect 295508 66279 298658 66320
+rect 295508 66161 298099 66279
+rect 298217 66161 298658 66279
+rect 295508 66120 298658 66161
+rect 1600 65319 3988 65360
+rect 1600 65201 2041 65319
+rect 2159 65201 3988 65319
+rect 1600 65160 3988 65201
+rect 295508 65319 297858 65360
+rect 295508 65201 297299 65319
+rect 297417 65201 297858 65319
+rect 295508 65160 297858 65201
+rect 2400 64359 3988 64400
+rect 2400 64241 2841 64359
+rect 2959 64241 3988 64359
+rect 2400 64200 3988 64241
+rect 295508 64359 297058 64400
+rect 295508 64241 296499 64359
+rect 296617 64241 297058 64359
+rect 295508 64200 297058 64241
+rect 0 62239 3988 62280
+rect 0 62121 41 62239
+rect 159 62121 3988 62239
+rect 0 62080 3988 62121
+rect 295508 62239 299458 62280
+rect 295508 62121 299299 62239
+rect 299417 62121 299458 62239
+rect 295508 62080 299458 62121
+rect 800 61279 3988 61320
+rect 800 61161 841 61279
+rect 959 61161 3988 61279
+rect 800 61120 3988 61161
+rect 295508 61279 298658 61320
+rect 295508 61161 298499 61279
+rect 298617 61161 298658 61279
+rect 295508 61120 298658 61161
+rect 1600 60319 3988 60360
+rect 1600 60201 1641 60319
+rect 1759 60201 3988 60319
+rect 1600 60160 3988 60201
+rect 295508 60319 297858 60360
+rect 295508 60201 297699 60319
+rect 297817 60201 297858 60319
+rect 295508 60160 297858 60201
+rect 2400 59359 3988 59400
+rect 2400 59241 2441 59359
+rect 2559 59241 3988 59359
+rect 2400 59200 3988 59241
+rect 295508 59359 297058 59400
+rect 295508 59241 296899 59359
+rect 297017 59241 297058 59359
+rect 295508 59200 297058 59241
+rect 0 57239 3988 57280
+rect 0 57121 441 57239
+rect 559 57121 3988 57239
+rect 0 57080 3988 57121
+rect 295508 57239 299458 57280
+rect 295508 57121 298899 57239
+rect 299017 57121 299458 57239
+rect 295508 57080 299458 57121
+rect 800 56279 3988 56320
+rect 800 56161 1241 56279
+rect 1359 56161 3988 56279
+rect 800 56120 3988 56161
+rect 295508 56279 298658 56320
+rect 295508 56161 298099 56279
+rect 298217 56161 298658 56279
+rect 295508 56120 298658 56161
+rect 1600 55319 3988 55360
+rect 1600 55201 2041 55319
+rect 2159 55201 3988 55319
+rect 1600 55160 3988 55201
+rect 295508 55319 297858 55360
+rect 295508 55201 297299 55319
+rect 297417 55201 297858 55319
+rect 295508 55160 297858 55201
+rect 2400 54359 3988 54400
+rect 2400 54241 2841 54359
+rect 2959 54241 3988 54359
+rect 2400 54200 3988 54241
+rect 295508 54359 297058 54400
+rect 295508 54241 296499 54359
+rect 296617 54241 297058 54359
+rect 295508 54200 297058 54241
+rect 0 52239 3988 52280
+rect 0 52121 41 52239
+rect 159 52121 3988 52239
+rect 0 52080 3988 52121
+rect 295508 52239 299458 52280
+rect 295508 52121 299299 52239
+rect 299417 52121 299458 52239
+rect 295508 52080 299458 52121
+rect 800 51279 3988 51320
+rect 800 51161 841 51279
+rect 959 51161 3988 51279
+rect 800 51120 3988 51161
+rect 295508 51279 298658 51320
+rect 295508 51161 298499 51279
+rect 298617 51161 298658 51279
+rect 295508 51120 298658 51161
+rect 1600 50319 3988 50360
+rect 1600 50201 1641 50319
+rect 1759 50201 3988 50319
+rect 1600 50160 3988 50201
+rect 295508 50319 297858 50360
+rect 295508 50201 297699 50319
+rect 297817 50201 297858 50319
+rect 295508 50160 297858 50201
+rect 2400 49359 3988 49400
+rect 2400 49241 2441 49359
+rect 2559 49241 3988 49359
+rect 2400 49200 3988 49241
+rect 295508 49359 297058 49400
+rect 295508 49241 296899 49359
+rect 297017 49241 297058 49359
+rect 295508 49200 297058 49241
+rect 0 47239 3988 47280
+rect 0 47121 441 47239
+rect 559 47121 3988 47239
+rect 0 47080 3988 47121
+rect 295508 47239 299458 47280
+rect 295508 47121 298899 47239
+rect 299017 47121 299458 47239
+rect 295508 47080 299458 47121
+rect 800 46279 3988 46320
+rect 800 46161 1241 46279
+rect 1359 46161 3988 46279
+rect 800 46120 3988 46161
+rect 295508 46279 298658 46320
+rect 295508 46161 298099 46279
+rect 298217 46161 298658 46279
+rect 295508 46120 298658 46161
+rect 1600 45319 3988 45360
+rect 1600 45201 2041 45319
+rect 2159 45201 3988 45319
+rect 1600 45160 3988 45201
+rect 295508 45319 297858 45360
+rect 295508 45201 297299 45319
+rect 297417 45201 297858 45319
+rect 295508 45160 297858 45201
+rect 2400 44359 3988 44400
+rect 2400 44241 2841 44359
+rect 2959 44241 3988 44359
+rect 2400 44200 3988 44241
+rect 295508 44359 297058 44400
+rect 295508 44241 296499 44359
+rect 296617 44241 297058 44359
+rect 295508 44200 297058 44241
+rect 0 42239 3988 42280
+rect 0 42121 41 42239
+rect 159 42121 3988 42239
+rect 0 42080 3988 42121
+rect 295508 42239 299458 42280
+rect 295508 42121 299299 42239
+rect 299417 42121 299458 42239
+rect 295508 42080 299458 42121
+rect 800 41279 3988 41320
+rect 800 41161 841 41279
+rect 959 41161 3988 41279
+rect 800 41120 3988 41161
+rect 295508 41279 298658 41320
+rect 295508 41161 298499 41279
+rect 298617 41161 298658 41279
+rect 295508 41120 298658 41161
+rect 1600 40319 3988 40360
+rect 1600 40201 1641 40319
+rect 1759 40201 3988 40319
+rect 1600 40160 3988 40201
+rect 295508 40319 297858 40360
+rect 295508 40201 297699 40319
+rect 297817 40201 297858 40319
+rect 295508 40160 297858 40201
+rect 2400 39359 3988 39400
+rect 2400 39241 2441 39359
+rect 2559 39241 3988 39359
+rect 2400 39200 3988 39241
+rect 295508 39359 297058 39400
+rect 295508 39241 296899 39359
+rect 297017 39241 297058 39359
+rect 295508 39200 297058 39241
+rect 0 37239 3988 37280
+rect 0 37121 441 37239
+rect 559 37121 3988 37239
+rect 0 37080 3988 37121
+rect 295508 37239 299458 37280
+rect 295508 37121 298899 37239
+rect 299017 37121 299458 37239
+rect 295508 37080 299458 37121
+rect 800 36279 3988 36320
+rect 800 36161 1241 36279
+rect 1359 36161 3988 36279
+rect 800 36120 3988 36161
+rect 295508 36279 298658 36320
+rect 295508 36161 298099 36279
+rect 298217 36161 298658 36279
+rect 295508 36120 298658 36161
+rect 1600 35319 3988 35360
+rect 1600 35201 2041 35319
+rect 2159 35201 3988 35319
+rect 1600 35160 3988 35201
+rect 295508 35319 297858 35360
+rect 295508 35201 297299 35319
+rect 297417 35201 297858 35319
+rect 295508 35160 297858 35201
+rect 2400 34359 3988 34400
+rect 2400 34241 2841 34359
+rect 2959 34241 3988 34359
+rect 2400 34200 3988 34241
+rect 295508 34359 297058 34400
+rect 295508 34241 296499 34359
+rect 296617 34241 297058 34359
+rect 295508 34200 297058 34241
+rect 0 32239 3988 32280
+rect 0 32121 41 32239
+rect 159 32121 3988 32239
+rect 0 32080 3988 32121
+rect 295508 32239 299458 32280
+rect 295508 32121 299299 32239
+rect 299417 32121 299458 32239
+rect 295508 32080 299458 32121
+rect 800 31279 3988 31320
+rect 800 31161 841 31279
+rect 959 31161 3988 31279
+rect 800 31120 3988 31161
+rect 295508 31279 298658 31320
+rect 295508 31161 298499 31279
+rect 298617 31161 298658 31279
+rect 295508 31120 298658 31161
+rect 1600 30319 3988 30360
+rect 1600 30201 1641 30319
+rect 1759 30201 3988 30319
+rect 1600 30160 3988 30201
+rect 295508 30319 297858 30360
+rect 295508 30201 297699 30319
+rect 297817 30201 297858 30319
+rect 295508 30160 297858 30201
+rect 2400 29359 3988 29400
+rect 2400 29241 2441 29359
+rect 2559 29241 3988 29359
+rect 2400 29200 3988 29241
+rect 295508 29359 297058 29400
+rect 295508 29241 296899 29359
+rect 297017 29241 297058 29359
+rect 295508 29200 297058 29241
+rect 0 27239 3988 27280
+rect 0 27121 441 27239
+rect 559 27121 3988 27239
+rect 0 27080 3988 27121
+rect 295508 27239 299458 27280
+rect 295508 27121 298899 27239
+rect 299017 27121 299458 27239
+rect 295508 27080 299458 27121
+rect 800 26279 3988 26320
+rect 800 26161 1241 26279
+rect 1359 26161 3988 26279
+rect 800 26120 3988 26161
+rect 295508 26279 298658 26320
+rect 295508 26161 298099 26279
+rect 298217 26161 298658 26279
+rect 295508 26120 298658 26161
+rect 1600 25319 3988 25360
+rect 1600 25201 2041 25319
+rect 2159 25201 3988 25319
+rect 1600 25160 3988 25201
+rect 295508 25319 297858 25360
+rect 295508 25201 297299 25319
+rect 297417 25201 297858 25319
+rect 295508 25160 297858 25201
+rect 2400 24359 3988 24400
+rect 2400 24241 2841 24359
+rect 2959 24241 3988 24359
+rect 2400 24200 3988 24241
+rect 295508 24359 297058 24400
+rect 295508 24241 296499 24359
+rect 296617 24241 297058 24359
+rect 295508 24200 297058 24241
+rect 0 22239 3988 22280
+rect 0 22121 41 22239
+rect 159 22121 3988 22239
+rect 0 22080 3988 22121
+rect 295508 22239 299458 22280
+rect 295508 22121 299299 22239
+rect 299417 22121 299458 22239
+rect 295508 22080 299458 22121
+rect 800 21279 3988 21320
+rect 800 21161 841 21279
+rect 959 21161 3988 21279
+rect 800 21120 3988 21161
+rect 295508 21279 298658 21320
+rect 295508 21161 298499 21279
+rect 298617 21161 298658 21279
+rect 295508 21120 298658 21161
+rect 1600 20319 3988 20360
+rect 1600 20201 1641 20319
+rect 1759 20201 3988 20319
+rect 1600 20160 3988 20201
+rect 295508 20319 297858 20360
+rect 295508 20201 297699 20319
+rect 297817 20201 297858 20319
+rect 295508 20160 297858 20201
+rect 2400 19359 3988 19400
+rect 2400 19241 2441 19359
+rect 2559 19241 3988 19359
+rect 2400 19200 3988 19241
+rect 295508 19359 297058 19400
+rect 295508 19241 296899 19359
+rect 297017 19241 297058 19359
+rect 295508 19200 297058 19241
+rect 0 17239 3988 17280
+rect 0 17121 441 17239
+rect 559 17121 3988 17239
+rect 0 17080 3988 17121
+rect 295508 17239 299458 17280
+rect 295508 17121 298899 17239
+rect 299017 17121 299458 17239
+rect 295508 17080 299458 17121
+rect 800 16279 3988 16320
+rect 800 16161 1241 16279
+rect 1359 16161 3988 16279
+rect 800 16120 3988 16161
+rect 295508 16279 298658 16320
+rect 295508 16161 298099 16279
+rect 298217 16161 298658 16279
+rect 295508 16120 298658 16161
+rect 1600 15319 3988 15360
+rect 1600 15201 2041 15319
+rect 2159 15201 3988 15319
+rect 1600 15160 3988 15201
+rect 295508 15319 297858 15360
+rect 295508 15201 297299 15319
+rect 297417 15201 297858 15319
+rect 295508 15160 297858 15201
+rect 2400 14359 3988 14400
+rect 2400 14241 2841 14359
+rect 2959 14241 3988 14359
+rect 2400 14200 3988 14241
+rect 295508 14359 297058 14400
+rect 295508 14241 296499 14359
+rect 296617 14241 297058 14359
+rect 295508 14200 297058 14241
+rect 0 12239 3988 12280
+rect 0 12121 41 12239
+rect 159 12121 3988 12239
+rect 0 12080 3988 12121
+rect 295508 12239 299458 12280
+rect 295508 12121 299299 12239
+rect 299417 12121 299458 12239
+rect 295508 12080 299458 12121
+rect 800 11279 3988 11320
+rect 800 11161 841 11279
+rect 959 11161 3988 11279
+rect 800 11120 3988 11161
+rect 295508 11279 298658 11320
+rect 295508 11161 298499 11279
+rect 298617 11161 298658 11279
+rect 295508 11120 298658 11161
+rect 1600 10319 3988 10360
+rect 1600 10201 1641 10319
+rect 1759 10201 3988 10319
+rect 1600 10160 3988 10201
+rect 295508 10319 297858 10360
+rect 295508 10201 297699 10319
+rect 297817 10201 297858 10319
+rect 295508 10160 297858 10201
+rect 2400 9359 3988 9400
+rect 2400 9241 2441 9359
+rect 2559 9241 3988 9359
+rect 2400 9200 3988 9241
+rect 295508 9359 297058 9400
+rect 295508 9241 296899 9359
+rect 297017 9241 297058 9359
+rect 295508 9200 297058 9241
+rect 0 7239 3988 7280
+rect 0 7121 441 7239
+rect 559 7121 3988 7239
+rect 0 7080 3988 7121
+rect 295508 7239 299458 7280
+rect 295508 7121 298899 7239
+rect 299017 7121 299458 7239
+rect 295508 7080 299458 7121
+rect 800 6279 3988 6320
+rect 800 6161 1241 6279
+rect 1359 6161 3988 6279
+rect 800 6120 3988 6161
+rect 295508 6279 298658 6320
+rect 295508 6161 298099 6279
+rect 298217 6161 298658 6279
+rect 295508 6120 298658 6161
+rect 1600 5319 3988 5360
+rect 1600 5201 2041 5319
+rect 2159 5201 3988 5319
+rect 1600 5160 3988 5201
+rect 295508 5319 297858 5360
+rect 295508 5201 297299 5319
+rect 297417 5201 297858 5319
+rect 295508 5160 297858 5201
+rect 2400 4359 3988 4400
+rect 2400 4241 2841 4359
+rect 2959 4241 3988 4359
+rect 2400 4200 3988 4241
+rect 295508 4359 297058 4400
+rect 295508 4241 296499 4359
+rect 296617 4241 297058 4359
+rect 295508 4200 297058 4241
+rect 2800 2959 296658 3000
+rect 2800 2841 2841 2959
+rect 2959 2841 4241 2959
+rect 4359 2841 14241 2959
+rect 14359 2841 24241 2959
+rect 24359 2841 34241 2959
+rect 34359 2841 44241 2959
+rect 44359 2841 54241 2959
+rect 54359 2841 64241 2959
+rect 64359 2841 74241 2959
+rect 74359 2841 84241 2959
+rect 84359 2841 94241 2959
+rect 94359 2841 104241 2959
+rect 104359 2841 114241 2959
+rect 114359 2841 124241 2959
+rect 124359 2841 134241 2959
+rect 134359 2841 144241 2959
+rect 144359 2841 154241 2959
+rect 154359 2841 164241 2959
+rect 164359 2841 174241 2959
+rect 174359 2841 184241 2959
+rect 184359 2841 194241 2959
+rect 194359 2841 204241 2959
+rect 204359 2841 214241 2959
+rect 214359 2841 224241 2959
+rect 224359 2841 234241 2959
+rect 234359 2841 244241 2959
+rect 244359 2841 254241 2959
+rect 254359 2841 264241 2959
+rect 264359 2841 274241 2959
+rect 274359 2841 284241 2959
+rect 284359 2841 294241 2959
+rect 294359 2841 296499 2959
+rect 296617 2841 296658 2959
+rect 2800 2800 296658 2841
+rect 2400 2559 297058 2600
+rect 2400 2441 2441 2559
+rect 2559 2441 9241 2559
+rect 9359 2441 19241 2559
+rect 19359 2441 29241 2559
+rect 29359 2441 39241 2559
+rect 39359 2441 49241 2559
+rect 49359 2441 59241 2559
+rect 59359 2441 69241 2559
+rect 69359 2441 79241 2559
+rect 79359 2441 89241 2559
+rect 89359 2441 99241 2559
+rect 99359 2441 109241 2559
+rect 109359 2441 119241 2559
+rect 119359 2441 129241 2559
+rect 129359 2441 139241 2559
+rect 139359 2441 149241 2559
+rect 149359 2441 159241 2559
+rect 159359 2441 169241 2559
+rect 169359 2441 179241 2559
+rect 179359 2441 189241 2559
+rect 189359 2441 199241 2559
+rect 199359 2441 209241 2559
+rect 209359 2441 219241 2559
+rect 219359 2441 229241 2559
+rect 229359 2441 239241 2559
+rect 239359 2441 249241 2559
+rect 249359 2441 259241 2559
+rect 259359 2441 269241 2559
+rect 269359 2441 279241 2559
+rect 279359 2441 289241 2559
+rect 289359 2441 296899 2559
+rect 297017 2441 297058 2559
+rect 2400 2400 297058 2441
+rect 2000 2159 297458 2200
+rect 2000 2041 2041 2159
+rect 2159 2041 5201 2159
+rect 5319 2041 15201 2159
+rect 15319 2041 25201 2159
+rect 25319 2041 35201 2159
+rect 35319 2041 45201 2159
+rect 45319 2041 55201 2159
+rect 55319 2041 65201 2159
+rect 65319 2041 75201 2159
+rect 75319 2041 85201 2159
+rect 85319 2041 95201 2159
+rect 95319 2041 105201 2159
+rect 105319 2041 115201 2159
+rect 115319 2041 125201 2159
+rect 125319 2041 135201 2159
+rect 135319 2041 145201 2159
+rect 145319 2041 155201 2159
+rect 155319 2041 165201 2159
+rect 165319 2041 175201 2159
+rect 175319 2041 185201 2159
+rect 185319 2041 195201 2159
+rect 195319 2041 205201 2159
+rect 205319 2041 215201 2159
+rect 215319 2041 225201 2159
+rect 225319 2041 235201 2159
+rect 235319 2041 245201 2159
+rect 245319 2041 255201 2159
+rect 255319 2041 265201 2159
+rect 265319 2041 275201 2159
+rect 275319 2041 285201 2159
+rect 285319 2041 297299 2159
+rect 297417 2041 297458 2159
+rect 2000 2000 297458 2041
+rect 1600 1759 297858 1800
+rect 1600 1641 1641 1759
+rect 1759 1641 10201 1759
+rect 10319 1641 20201 1759
+rect 20319 1641 30201 1759
+rect 30319 1641 40201 1759
+rect 40319 1641 50201 1759
+rect 50319 1641 60201 1759
+rect 60319 1641 70201 1759
+rect 70319 1641 80201 1759
+rect 80319 1641 90201 1759
+rect 90319 1641 100201 1759
+rect 100319 1641 110201 1759
+rect 110319 1641 120201 1759
+rect 120319 1641 130201 1759
+rect 130319 1641 140201 1759
+rect 140319 1641 150201 1759
+rect 150319 1641 160201 1759
+rect 160319 1641 170201 1759
+rect 170319 1641 180201 1759
+rect 180319 1641 190201 1759
+rect 190319 1641 200201 1759
+rect 200319 1641 210201 1759
+rect 210319 1641 220201 1759
+rect 220319 1641 230201 1759
+rect 230319 1641 240201 1759
+rect 240319 1641 250201 1759
+rect 250319 1641 260201 1759
+rect 260319 1641 270201 1759
+rect 270319 1641 280201 1759
+rect 280319 1641 290201 1759
+rect 290319 1641 297699 1759
+rect 297817 1641 297858 1759
+rect 1600 1600 297858 1641
+rect 1200 1359 298258 1400
+rect 1200 1241 1241 1359
+rect 1359 1241 6161 1359
+rect 6279 1241 16161 1359
+rect 16279 1241 26161 1359
+rect 26279 1241 36161 1359
+rect 36279 1241 46161 1359
+rect 46279 1241 56161 1359
+rect 56279 1241 66161 1359
+rect 66279 1241 76161 1359
+rect 76279 1241 86161 1359
+rect 86279 1241 96161 1359
+rect 96279 1241 106161 1359
+rect 106279 1241 116161 1359
+rect 116279 1241 126161 1359
+rect 126279 1241 136161 1359
+rect 136279 1241 146161 1359
+rect 146279 1241 156161 1359
+rect 156279 1241 166161 1359
+rect 166279 1241 176161 1359
+rect 176279 1241 186161 1359
+rect 186279 1241 196161 1359
+rect 196279 1241 206161 1359
+rect 206279 1241 216161 1359
+rect 216279 1241 226161 1359
+rect 226279 1241 236161 1359
+rect 236279 1241 246161 1359
+rect 246279 1241 256161 1359
+rect 256279 1241 266161 1359
+rect 266279 1241 276161 1359
+rect 276279 1241 286161 1359
+rect 286279 1241 298099 1359
+rect 298217 1241 298258 1359
+rect 1200 1200 298258 1241
+rect 800 959 298658 1000
+rect 800 841 841 959
+rect 959 841 11161 959
+rect 11279 841 21161 959
+rect 21279 841 31161 959
+rect 31279 841 41161 959
+rect 41279 841 51161 959
+rect 51279 841 61161 959
+rect 61279 841 71161 959
+rect 71279 841 81161 959
+rect 81279 841 91161 959
+rect 91279 841 101161 959
+rect 101279 841 111161 959
+rect 111279 841 121161 959
+rect 121279 841 131161 959
+rect 131279 841 141161 959
+rect 141279 841 151161 959
+rect 151279 841 161161 959
+rect 161279 841 171161 959
+rect 171279 841 181161 959
+rect 181279 841 191161 959
+rect 191279 841 201161 959
+rect 201279 841 211161 959
+rect 211279 841 221161 959
+rect 221279 841 231161 959
+rect 231279 841 241161 959
+rect 241279 841 251161 959
+rect 251279 841 261161 959
+rect 261279 841 271161 959
+rect 271279 841 281161 959
+rect 281279 841 291161 959
+rect 291279 841 298499 959
+rect 298617 841 298658 959
+rect 800 800 298658 841
+rect 400 559 299058 600
+rect 400 441 441 559
+rect 559 441 7121 559
+rect 7239 441 17121 559
+rect 17239 441 27121 559
+rect 27239 441 37121 559
+rect 37239 441 47121 559
+rect 47239 441 57121 559
+rect 57239 441 67121 559
+rect 67239 441 77121 559
+rect 77239 441 87121 559
+rect 87239 441 97121 559
+rect 97239 441 107121 559
+rect 107239 441 117121 559
+rect 117239 441 127121 559
+rect 127239 441 137121 559
+rect 137239 441 147121 559
+rect 147239 441 157121 559
+rect 157239 441 167121 559
+rect 167239 441 177121 559
+rect 177239 441 187121 559
+rect 187239 441 197121 559
+rect 197239 441 207121 559
+rect 207239 441 217121 559
+rect 217239 441 227121 559
+rect 227239 441 237121 559
+rect 237239 441 247121 559
+rect 247239 441 257121 559
+rect 257239 441 267121 559
+rect 267239 441 277121 559
+rect 277239 441 287121 559
+rect 287239 441 298899 559
+rect 299017 441 299058 559
+rect 400 400 299058 441
+rect 0 159 299458 200
+rect 0 41 41 159
+rect 159 41 12121 159
+rect 12239 41 22121 159
+rect 22239 41 32121 159
+rect 32239 41 42121 159
+rect 42239 41 52121 159
+rect 52239 41 62121 159
+rect 62239 41 72121 159
+rect 72239 41 82121 159
+rect 82239 41 92121 159
+rect 92239 41 102121 159
+rect 102239 41 112121 159
+rect 112239 41 122121 159
+rect 122239 41 132121 159
+rect 132239 41 142121 159
+rect 142239 41 152121 159
+rect 152239 41 162121 159
+rect 162239 41 172121 159
+rect 172239 41 182121 159
+rect 182239 41 192121 159
+rect 192239 41 202121 159
+rect 202239 41 212121 159
+rect 212239 41 222121 159
+rect 222239 41 232121 159
+rect 232239 41 242121 159
+rect 242239 41 252121 159
+rect 252239 41 262121 159
+rect 262239 41 272121 159
+rect 272239 41 282121 159
+rect 282239 41 292121 159
+rect 292239 41 299299 159
+rect 299417 41 299458 159
+rect 0 0 299458 41
 use user_proj_example  mprj
-timestamp 1605730173
-transform 1 0 230496 0 1 340000
-box 0 0 119752 120000
+timestamp 1606369131
+transform 1 0 3748 0 1 3212
+box 0 0 59876 60000
 << labels >>
-rlabel metal3 s 584016 7760 584496 7880 4 io_in[0]
+rlabel metal3 s 295508 6140 295748 6200 4 analog_io[0]
 port 1 nsew
-rlabel metal3 s 584016 476960 584496 477080 4 io_in[10]
+rlabel metal3 s 295508 240740 295748 240800 4 analog_io[10]
 port 2 nsew
-rlabel metal3 s 584016 523880 584496 524000 4 io_in[11]
+rlabel metal3 s 295508 264200 295748 264260 4 analog_io[11]
 port 3 nsew
-rlabel metal3 s 584016 570800 584496 570920 4 io_in[12]
+rlabel metal3 s 295508 287660 295748 287720 4 analog_io[12]
 port 4 nsew
-rlabel metal3 s 584016 617720 584496 617840 4 io_in[13]
+rlabel metal3 s 295508 311120 295748 311180 4 analog_io[13]
 port 5 nsew
-rlabel metal3 s 584016 664640 584496 664760 4 io_in[14]
+rlabel metal3 s 295508 334580 295748 334640 4 analog_io[14]
 port 6 nsew
-rlabel metal2 s 573582 703520 573638 704000 4 io_in[15]
+rlabel metal2 s 291671 354972 291699 355212 4 analog_io[15]
 port 7 nsew
-rlabel metal2 s 508722 703520 508778 704000 4 io_in[16]
+rlabel metal2 s 259241 354972 259269 355212 4 analog_io[16]
 port 8 nsew
-rlabel metal2 s 443862 703520 443918 704000 4 io_in[17]
+rlabel metal2 s 226811 354972 226839 355212 4 analog_io[17]
 port 9 nsew
-rlabel metal2 s 378910 703520 378966 704000 4 io_in[18]
+rlabel metal2 s 194335 354972 194363 355212 4 analog_io[18]
 port 10 nsew
-rlabel metal2 s 314050 703520 314106 704000 4 io_in[19]
+rlabel metal2 s 161905 354972 161933 355212 4 analog_io[19]
 port 11 nsew
-rlabel metal3 s 584016 54680 584496 54800 4 io_in[1]
+rlabel metal3 s 295508 29600 295748 29660 4 analog_io[1]
 port 12 nsew
-rlabel metal2 s 249190 703520 249246 704000 4 io_in[20]
+rlabel metal2 s 129475 354972 129503 355212 4 analog_io[20]
 port 13 nsew
-rlabel metal2 s 184238 703520 184294 704000 4 io_in[21]
+rlabel metal2 s 96999 354972 97027 355212 4 analog_io[21]
 port 14 nsew
-rlabel metal2 s 119378 703520 119434 704000 4 io_in[22]
+rlabel metal2 s 64569 354972 64597 355212 4 analog_io[22]
 port 15 nsew
-rlabel metal2 s 54518 703520 54574 704000 4 io_in[23]
+rlabel metal2 s 32139 354972 32167 355212 4 analog_io[23]
 port 16 nsew
-rlabel metal3 s 496 695376 976 695496 4 io_in[24]
+rlabel metal3 s 3748 351512 3988 351572 4 analog_io[24]
 port 17 nsew
-rlabel metal3 s 496 645192 976 645312 4 io_in[25]
+rlabel metal3 s 3748 322748 3988 322808 4 analog_io[25]
 port 18 nsew
-rlabel metal3 s 496 594872 976 594992 4 io_in[26]
+rlabel metal3 s 3748 294052 3988 294112 4 analog_io[26]
 port 19 nsew
-rlabel metal3 s 496 544552 976 544672 4 io_in[27]
+rlabel metal3 s 3748 265288 3988 265348 4 analog_io[27]
 port 20 nsew
-rlabel metal3 s 496 494232 976 494352 4 io_in[28]
+rlabel metal3 s 3748 236592 3988 236652 4 analog_io[28]
 port 21 nsew
-rlabel metal3 s 496 444048 976 444168 4 io_in[29]
+rlabel metal3 s 3748 207828 3988 207888 4 analog_io[29]
 port 22 nsew
-rlabel metal3 s 584016 101600 584496 101720 4 io_in[2]
+rlabel metal3 s 295508 53060 295748 53120 4 analog_io[2]
 port 23 nsew
-rlabel metal3 s 496 393728 976 393848 4 io_in[30]
+rlabel metal3 s 3748 179132 3988 179192 4 analog_io[30]
 port 24 nsew
-rlabel metal3 s 496 343408 976 343528 4 io_in[31]
+rlabel metal3 s 295508 76520 295748 76580 4 analog_io[3]
 port 25 nsew
-rlabel metal3 s 496 293224 976 293344 4 io_in[32]
+rlabel metal3 s 295508 99980 295748 100040 4 analog_io[4]
 port 26 nsew
-rlabel metal3 s 496 242904 976 243024 4 io_in[33]
+rlabel metal3 s 295508 123440 295748 123500 4 analog_io[5]
 port 27 nsew
-rlabel metal3 s 496 192584 976 192704 4 io_in[34]
+rlabel metal3 s 295508 146900 295748 146960 4 analog_io[6]
 port 28 nsew
-rlabel metal3 s 496 142264 976 142384 4 io_in[35]
+rlabel metal3 s 295508 170360 295748 170420 4 analog_io[7]
 port 29 nsew
-rlabel metal3 s 496 92080 976 92200 4 io_in[36]
+rlabel metal3 s 295508 193820 295748 193880 4 analog_io[8]
 port 30 nsew
-rlabel metal3 s 496 41760 976 41880 4 io_in[37]
+rlabel metal3 s 295508 217280 295748 217340 4 analog_io[9]
 port 31 nsew
-rlabel metal3 s 584016 148520 584496 148640 4 io_in[3]
+rlabel metal3 s 295508 11988 295748 12048 4 io_in[0]
 port 32 nsew
-rlabel metal3 s 584016 195440 584496 195560 4 io_in[4]
+rlabel metal3 s 295508 246588 295748 246648 4 io_in[10]
 port 33 nsew
-rlabel metal3 s 584016 242360 584496 242480 4 io_in[5]
+rlabel metal3 s 295508 270116 295748 270176 4 io_in[11]
 port 34 nsew
-rlabel metal3 s 584016 289280 584496 289400 4 io_in[6]
+rlabel metal3 s 295508 293576 295748 293636 4 io_in[12]
 port 35 nsew
-rlabel metal3 s 584016 336200 584496 336320 4 io_in[7]
+rlabel metal3 s 295508 317036 295748 317096 4 io_in[13]
 port 36 nsew
-rlabel metal3 s 584016 383120 584496 383240 4 io_in[8]
+rlabel metal3 s 295508 340496 295748 340556 4 io_in[14]
 port 37 nsew
-rlabel metal3 s 584016 430040 584496 430160 4 io_in[9]
+rlabel metal2 s 283575 354972 283603 355212 4 io_in[15]
 port 38 nsew
-rlabel metal3 s 584016 39040 584496 39160 4 io_oeb[0]
+rlabel metal2 s 251145 354972 251173 355212 4 io_in[16]
 port 39 nsew
-rlabel metal3 s 584016 508240 584496 508360 4 io_oeb[10]
+rlabel metal2 s 218669 354972 218697 355212 4 io_in[17]
 port 40 nsew
-rlabel metal3 s 584016 555160 584496 555280 4 io_oeb[11]
+rlabel metal2 s 186239 354972 186267 355212 4 io_in[18]
 port 41 nsew
-rlabel metal3 s 584016 602080 584496 602200 4 io_oeb[12]
+rlabel metal2 s 153809 354972 153837 355212 4 io_in[19]
 port 42 nsew
-rlabel metal3 s 584016 649000 584496 649120 4 io_oeb[13]
+rlabel metal3 s 295508 35448 295748 35508 4 io_in[1]
 port 43 nsew
-rlabel metal3 s 584016 695920 584496 696040 4 io_oeb[14]
+rlabel metal2 s 121333 354972 121361 355212 4 io_in[20]
 port 44 nsew
-rlabel metal2 s 530342 703520 530398 704000 4 io_oeb[15]
+rlabel metal2 s 88903 354972 88931 355212 4 io_in[21]
 port 45 nsew
-rlabel metal2 s 465482 703520 465538 704000 4 io_oeb[16]
+rlabel metal2 s 56473 354972 56501 355212 4 io_in[22]
 port 46 nsew
-rlabel metal2 s 400622 703520 400678 704000 4 io_oeb[17]
+rlabel metal2 s 23997 354972 24025 355212 4 io_in[23]
 port 47 nsew
-rlabel metal2 s 335670 703520 335726 704000 4 io_oeb[18]
+rlabel metal3 s 3748 344304 3988 344364 4 io_in[24]
 port 48 nsew
-rlabel metal2 s 270810 703520 270866 704000 4 io_oeb[19]
+rlabel metal3 s 3748 315608 3988 315668 4 io_in[25]
 port 49 nsew
-rlabel metal3 s 584016 85960 584496 86080 4 io_oeb[1]
+rlabel metal3 s 3748 286844 3988 286904 4 io_in[26]
 port 50 nsew
-rlabel metal2 s 205950 703520 206006 704000 4 io_oeb[20]
+rlabel metal3 s 3748 258148 3988 258208 4 io_in[27]
 port 51 nsew
-rlabel metal2 s 140998 703520 141054 704000 4 io_oeb[21]
+rlabel metal3 s 3748 229384 3988 229444 4 io_in[28]
 port 52 nsew
-rlabel metal2 s 76138 703520 76194 704000 4 io_oeb[22]
+rlabel metal3 s 3748 200688 3988 200748 4 io_in[29]
 port 53 nsew
-rlabel metal2 s 11278 703520 11334 704000 4 io_oeb[23]
+rlabel metal3 s 295508 58908 295748 58968 4 io_in[2]
 port 54 nsew
-rlabel metal3 s 496 661920 976 662040 4 io_oeb[24]
+rlabel metal3 s 3748 171924 3988 171984 4 io_in[30]
 port 55 nsew
-rlabel metal3 s 496 611600 976 611720 4 io_oeb[25]
+rlabel metal3 s 3748 150368 3988 150428 4 io_in[31]
 port 56 nsew
-rlabel metal3 s 496 561280 976 561400 4 io_oeb[26]
+rlabel metal3 s 3748 128812 3988 128872 4 io_in[32]
 port 57 nsew
-rlabel metal3 s 496 511096 976 511216 4 io_oeb[27]
+rlabel metal3 s 3748 107256 3988 107316 4 io_in[33]
 port 58 nsew
-rlabel metal3 s 496 460776 976 460896 4 io_oeb[28]
+rlabel metal3 s 3748 85700 3988 85760 4 io_in[34]
 port 59 nsew
-rlabel metal3 s 496 410456 976 410576 4 io_oeb[29]
+rlabel metal3 s 3748 64212 3988 64272 4 io_in[35]
 port 60 nsew
-rlabel metal3 s 584016 132880 584496 133000 4 io_oeb[2]
+rlabel metal3 s 3748 42656 3988 42716 4 io_in[36]
 port 61 nsew
-rlabel metal3 s 496 360272 976 360392 4 io_oeb[30]
+rlabel metal3 s 3748 21100 3988 21160 4 io_in[37]
 port 62 nsew
-rlabel metal3 s 496 309952 976 310072 4 io_oeb[31]
+rlabel metal3 s 295508 82368 295748 82428 4 io_in[3]
 port 63 nsew
-rlabel metal3 s 496 259632 976 259752 4 io_oeb[32]
+rlabel metal3 s 295508 105828 295748 105888 4 io_in[4]
 port 64 nsew
-rlabel metal3 s 496 209312 976 209432 4 io_oeb[33]
+rlabel metal3 s 295508 129288 295748 129348 4 io_in[5]
 port 65 nsew
-rlabel metal3 s 496 159128 976 159248 4 io_oeb[34]
+rlabel metal3 s 295508 152748 295748 152808 4 io_in[6]
 port 66 nsew
-rlabel metal3 s 496 108808 976 108928 4 io_oeb[35]
+rlabel metal3 s 295508 176208 295748 176268 4 io_in[7]
 port 67 nsew
-rlabel metal3 s 496 58488 976 58608 4 io_oeb[36]
+rlabel metal3 s 295508 199668 295748 199728 4 io_in[8]
 port 68 nsew
-rlabel metal3 s 496 8304 976 8424 4 io_oeb[37]
+rlabel metal3 s 295508 223128 295748 223188 4 io_in[9]
 port 69 nsew
-rlabel metal3 s 584016 179800 584496 179920 4 io_oeb[3]
+rlabel metal3 s 295508 23684 295748 23744 4 io_oeb[0]
 port 70 nsew
-rlabel metal3 s 584016 226720 584496 226840 4 io_oeb[4]
+rlabel metal3 s 295508 258352 295748 258412 4 io_oeb[10]
 port 71 nsew
-rlabel metal3 s 584016 273640 584496 273760 4 io_oeb[5]
+rlabel metal3 s 295508 281812 295748 281872 4 io_oeb[11]
 port 72 nsew
-rlabel metal3 s 584016 320560 584496 320680 4 io_oeb[6]
+rlabel metal3 s 295508 305272 295748 305332 4 io_oeb[12]
 port 73 nsew
-rlabel metal3 s 584016 367480 584496 367600 4 io_oeb[7]
+rlabel metal3 s 295508 328732 295748 328792 4 io_oeb[13]
 port 74 nsew
-rlabel metal3 s 584016 414400 584496 414520 4 io_oeb[8]
+rlabel metal3 s 295508 352192 295748 352252 4 io_oeb[14]
 port 75 nsew
-rlabel metal3 s 584016 461320 584496 461440 4 io_oeb[9]
+rlabel metal2 s 267337 354972 267365 355212 4 io_oeb[15]
 port 76 nsew
-rlabel metal3 s 584016 23400 584496 23520 4 io_out[0]
+rlabel metal2 s 234907 354972 234935 355212 4 io_oeb[16]
 port 77 nsew
-rlabel metal3 s 584016 492600 584496 492720 4 io_out[10]
+rlabel metal2 s 202477 354972 202505 355212 4 io_oeb[17]
 port 78 nsew
-rlabel metal3 s 584016 539520 584496 539640 4 io_out[11]
+rlabel metal2 s 170001 354972 170029 355212 4 io_oeb[18]
 port 79 nsew
-rlabel metal3 s 584016 586440 584496 586560 4 io_out[12]
+rlabel metal2 s 137571 354972 137599 355212 4 io_oeb[19]
 port 80 nsew
-rlabel metal3 s 584016 633360 584496 633480 4 io_out[13]
+rlabel metal3 s 295508 47144 295748 47204 4 io_oeb[1]
 port 81 nsew
-rlabel metal3 s 584016 680280 584496 680400 4 io_out[14]
+rlabel metal2 s 105141 354972 105169 355212 4 io_oeb[20]
 port 82 nsew
-rlabel metal2 s 551962 703520 552018 704000 4 io_out[15]
+rlabel metal2 s 72665 354972 72693 355212 4 io_oeb[21]
 port 83 nsew
-rlabel metal2 s 487102 703520 487158 704000 4 io_out[16]
+rlabel metal2 s 40235 354972 40263 355212 4 io_oeb[22]
 port 84 nsew
-rlabel metal2 s 422242 703520 422298 704000 4 io_out[17]
+rlabel metal2 s 7805 354972 7833 355212 4 io_oeb[23]
 port 85 nsew
-rlabel metal2 s 357290 703520 357346 704000 4 io_out[18]
+rlabel metal3 s 3748 329956 3988 330016 4 io_oeb[24]
 port 86 nsew
-rlabel metal2 s 292430 703520 292486 704000 4 io_out[19]
+rlabel metal3 s 3748 301192 3988 301252 4 io_oeb[25]
 port 87 nsew
-rlabel metal3 s 584016 70320 584496 70440 4 io_out[1]
+rlabel metal3 s 3748 272496 3988 272556 4 io_oeb[26]
 port 88 nsew
-rlabel metal2 s 227570 703520 227626 704000 4 io_out[20]
+rlabel metal3 s 3748 243732 3988 243792 4 io_oeb[27]
 port 89 nsew
-rlabel metal2 s 162618 703520 162674 704000 4 io_out[21]
+rlabel metal3 s 3748 215036 3988 215096 4 io_oeb[28]
 port 90 nsew
-rlabel metal2 s 97758 703520 97814 704000 4 io_out[22]
+rlabel metal3 s 3748 186272 3988 186332 4 io_oeb[29]
 port 91 nsew
-rlabel metal2 s 32898 703520 32954 704000 4 io_out[23]
+rlabel metal3 s 295508 70604 295748 70664 4 io_oeb[2]
 port 92 nsew
-rlabel metal3 s 496 678648 976 678768 4 io_out[24]
+rlabel metal3 s 3748 157576 3988 157636 4 io_oeb[30]
 port 93 nsew
-rlabel metal3 s 496 628328 976 628448 4 io_out[25]
+rlabel metal3 s 3748 136020 3988 136080 4 io_oeb[31]
 port 94 nsew
-rlabel metal3 s 496 578144 976 578264 4 io_out[26]
+rlabel metal3 s 3748 114464 3988 114524 4 io_oeb[32]
 port 95 nsew
-rlabel metal3 s 496 527824 976 527944 4 io_out[27]
+rlabel metal3 s 3748 92908 3988 92968 4 io_oeb[33]
 port 96 nsew
-rlabel metal3 s 496 477504 976 477624 4 io_out[28]
+rlabel metal3 s 3748 71352 3988 71412 4 io_oeb[34]
 port 97 nsew
-rlabel metal3 s 496 427184 976 427304 4 io_out[29]
+rlabel metal3 s 3748 49796 3988 49856 4 io_oeb[35]
 port 98 nsew
-rlabel metal3 s 584016 117240 584496 117360 4 io_out[2]
+rlabel metal3 s 3748 28240 3988 28300 4 io_oeb[36]
 port 99 nsew
-rlabel metal3 s 496 377000 976 377120 4 io_out[30]
+rlabel metal3 s 3748 6752 3988 6812 4 io_oeb[37]
 port 100 nsew
-rlabel metal3 s 496 326680 976 326800 4 io_out[31]
+rlabel metal3 s 295508 94132 295748 94192 4 io_oeb[3]
 port 101 nsew
-rlabel metal3 s 496 276360 976 276480 4 io_out[32]
+rlabel metal3 s 295508 117592 295748 117652 4 io_oeb[4]
 port 102 nsew
-rlabel metal3 s 496 226176 976 226296 4 io_out[33]
+rlabel metal3 s 295508 141052 295748 141112 4 io_oeb[5]
 port 103 nsew
-rlabel metal3 s 496 175856 976 175976 4 io_out[34]
+rlabel metal3 s 295508 164512 295748 164572 4 io_oeb[6]
 port 104 nsew
-rlabel metal3 s 496 125536 976 125656 4 io_out[35]
+rlabel metal3 s 295508 187972 295748 188032 4 io_oeb[7]
 port 105 nsew
-rlabel metal3 s 496 75216 976 75336 4 io_out[36]
+rlabel metal3 s 295508 211432 295748 211492 4 io_oeb[8]
 port 106 nsew
-rlabel metal3 s 496 25032 976 25152 4 io_out[37]
+rlabel metal3 s 295508 234892 295748 234952 4 io_oeb[9]
 port 107 nsew
-rlabel metal3 s 584016 164160 584496 164280 4 io_out[3]
+rlabel metal3 s 295508 17836 295748 17896 4 io_out[0]
 port 108 nsew
-rlabel metal3 s 584016 211080 584496 211200 4 io_out[4]
+rlabel metal3 s 295508 252504 295748 252564 4 io_out[10]
 port 109 nsew
-rlabel metal3 s 584016 258000 584496 258120 4 io_out[5]
+rlabel metal3 s 295508 275964 295748 276024 4 io_out[11]
 port 110 nsew
-rlabel metal3 s 584016 304920 584496 305040 4 io_out[6]
+rlabel metal3 s 295508 299424 295748 299484 4 io_out[12]
 port 111 nsew
-rlabel metal3 s 584016 351840 584496 351960 4 io_out[7]
+rlabel metal3 s 295508 322884 295748 322944 4 io_out[13]
 port 112 nsew
-rlabel metal3 s 584016 398760 584496 398880 4 io_out[8]
+rlabel metal3 s 295508 346344 295748 346404 4 io_out[14]
 port 113 nsew
-rlabel metal3 s 584016 445680 584496 445800 4 io_out[9]
+rlabel metal2 s 275479 354972 275507 355212 4 io_out[15]
 port 114 nsew
-rlabel metal2 s 127106 0 127162 480 4 la_data_in[0]
+rlabel metal2 s 243003 354972 243031 355212 4 io_out[16]
 port 115 nsew
-rlabel metal2 s 483974 0 484030 480 4 la_data_in[100]
+rlabel metal2 s 210573 354972 210601 355212 4 io_out[17]
 port 116 nsew
-rlabel metal2 s 487470 0 487526 480 4 la_data_in[101]
+rlabel metal2 s 178143 354972 178171 355212 4 io_out[18]
 port 117 nsew
-rlabel metal2 s 491058 0 491114 480 4 la_data_in[102]
+rlabel metal2 s 145667 354972 145695 355212 4 io_out[19]
 port 118 nsew
-rlabel metal2 s 494646 0 494702 480 4 la_data_in[103]
+rlabel metal3 s 295508 41296 295748 41356 4 io_out[1]
 port 119 nsew
-rlabel metal2 s 498234 0 498290 480 4 la_data_in[104]
+rlabel metal2 s 113237 354972 113265 355212 4 io_out[20]
 port 120 nsew
-rlabel metal2 s 501730 0 501786 480 4 la_data_in[105]
+rlabel metal2 s 80807 354972 80835 355212 4 io_out[21]
 port 121 nsew
-rlabel metal2 s 505318 0 505374 480 4 la_data_in[106]
+rlabel metal2 s 48331 354972 48359 355212 4 io_out[22]
 port 122 nsew
-rlabel metal2 s 508906 0 508962 480 4 la_data_in[107]
+rlabel metal2 s 15901 354972 15929 355212 4 io_out[23]
 port 123 nsew
-rlabel metal2 s 512494 0 512550 480 4 la_data_in[108]
+rlabel metal3 s 3748 337164 3988 337224 4 io_out[24]
 port 124 nsew
-rlabel metal2 s 516082 0 516138 480 4 la_data_in[109]
+rlabel metal3 s 3748 308400 3988 308460 4 io_out[25]
 port 125 nsew
-rlabel metal2 s 162802 0 162858 480 4 la_data_in[10]
+rlabel metal3 s 3748 279704 3988 279764 4 io_out[26]
 port 126 nsew
-rlabel metal2 s 519578 0 519634 480 4 la_data_in[110]
+rlabel metal3 s 3748 250940 3988 251000 4 io_out[27]
 port 127 nsew
-rlabel metal2 s 523166 0 523222 480 4 la_data_in[111]
+rlabel metal3 s 3748 222176 3988 222236 4 io_out[28]
 port 128 nsew
-rlabel metal2 s 526754 0 526810 480 4 la_data_in[112]
+rlabel metal3 s 3748 193480 3988 193540 4 io_out[29]
 port 129 nsew
-rlabel metal2 s 530342 0 530398 480 4 la_data_in[113]
+rlabel metal3 s 295508 64756 295748 64816 4 io_out[2]
 port 130 nsew
-rlabel metal2 s 533930 0 533986 480 4 la_data_in[114]
+rlabel metal3 s 3748 164716 3988 164776 4 io_out[30]
 port 131 nsew
-rlabel metal2 s 537426 0 537482 480 4 la_data_in[115]
+rlabel metal3 s 3748 143228 3988 143288 4 io_out[31]
 port 132 nsew
-rlabel metal2 s 541014 0 541070 480 4 la_data_in[116]
+rlabel metal3 s 3748 121672 3988 121732 4 io_out[32]
 port 133 nsew
-rlabel metal2 s 544602 0 544658 480 4 la_data_in[117]
+rlabel metal3 s 3748 100116 3988 100176 4 io_out[33]
 port 134 nsew
-rlabel metal2 s 548190 0 548246 480 4 la_data_in[118]
+rlabel metal3 s 3748 78560 3988 78620 4 io_out[34]
 port 135 nsew
-rlabel metal2 s 551686 0 551742 480 4 la_data_in[119]
+rlabel metal3 s 3748 57004 3988 57064 4 io_out[35]
 port 136 nsew
-rlabel metal2 s 166390 0 166446 480 4 la_data_in[11]
+rlabel metal3 s 3748 35448 3988 35508 4 io_out[36]
 port 137 nsew
-rlabel metal2 s 555274 0 555330 480 4 la_data_in[120]
+rlabel metal3 s 3748 13892 3988 13952 4 io_out[37]
 port 138 nsew
-rlabel metal2 s 558862 0 558918 480 4 la_data_in[121]
+rlabel metal3 s 295508 88216 295748 88276 4 io_out[3]
 port 139 nsew
-rlabel metal2 s 562450 0 562506 480 4 la_data_in[122]
+rlabel metal3 s 295508 111676 295748 111736 4 io_out[4]
 port 140 nsew
-rlabel metal2 s 566038 0 566094 480 4 la_data_in[123]
+rlabel metal3 s 295508 135136 295748 135196 4 io_out[5]
 port 141 nsew
-rlabel metal2 s 569534 0 569590 480 4 la_data_in[124]
+rlabel metal3 s 295508 158596 295748 158656 4 io_out[6]
 port 142 nsew
-rlabel metal2 s 573122 0 573178 480 4 la_data_in[125]
+rlabel metal3 s 295508 182124 295748 182184 4 io_out[7]
 port 143 nsew
-rlabel metal2 s 576710 0 576766 480 4 la_data_in[126]
+rlabel metal3 s 295508 205584 295748 205644 4 io_out[8]
 port 144 nsew
-rlabel metal2 s 580298 0 580354 480 4 la_data_in[127]
+rlabel metal3 s 295508 229044 295748 229104 4 io_out[9]
 port 145 nsew
-rlabel metal2 s 169886 0 169942 480 4 la_data_in[12]
+rlabel metal2 s 67053 3212 67081 3452 4 la_data_in[0]
 port 146 nsew
-rlabel metal2 s 173474 0 173530 480 4 la_data_in[13]
+rlabel metal2 s 245487 3212 245515 3452 4 la_data_in[100]
 port 147 nsew
-rlabel metal2 s 177062 0 177118 480 4 la_data_in[14]
+rlabel metal2 s 247235 3212 247263 3452 4 la_data_in[101]
 port 148 nsew
-rlabel metal2 s 180650 0 180706 480 4 la_data_in[15]
+rlabel metal2 s 249029 3212 249057 3452 4 la_data_in[102]
 port 149 nsew
-rlabel metal2 s 184238 0 184294 480 4 la_data_in[16]
+rlabel metal2 s 250823 3212 250851 3452 4 la_data_in[103]
 port 150 nsew
-rlabel metal2 s 187734 0 187790 480 4 la_data_in[17]
+rlabel metal2 s 252617 3212 252645 3452 4 la_data_in[104]
 port 151 nsew
-rlabel metal2 s 191322 0 191378 480 4 la_data_in[18]
+rlabel metal2 s 254365 3212 254393 3452 4 la_data_in[105]
 port 152 nsew
-rlabel metal2 s 194910 0 194966 480 4 la_data_in[19]
+rlabel metal2 s 256159 3212 256187 3452 4 la_data_in[106]
 port 153 nsew
-rlabel metal2 s 130694 0 130750 480 4 la_data_in[1]
+rlabel metal2 s 257953 3212 257981 3452 4 la_data_in[107]
 port 154 nsew
-rlabel metal2 s 198498 0 198554 480 4 la_data_in[20]
+rlabel metal2 s 259747 3212 259775 3452 4 la_data_in[108]
 port 155 nsew
-rlabel metal2 s 201994 0 202050 480 4 la_data_in[21]
+rlabel metal2 s 261541 3212 261569 3452 4 la_data_in[109]
 port 156 nsew
-rlabel metal2 s 205582 0 205638 480 4 la_data_in[22]
+rlabel metal2 s 84901 3212 84929 3452 4 la_data_in[10]
 port 157 nsew
-rlabel metal2 s 209170 0 209226 480 4 la_data_in[23]
+rlabel metal2 s 263289 3212 263317 3452 4 la_data_in[110]
 port 158 nsew
-rlabel metal2 s 212758 0 212814 480 4 la_data_in[24]
+rlabel metal2 s 265083 3212 265111 3452 4 la_data_in[111]
 port 159 nsew
-rlabel metal2 s 216346 0 216402 480 4 la_data_in[25]
+rlabel metal2 s 266877 3212 266905 3452 4 la_data_in[112]
 port 160 nsew
-rlabel metal2 s 219842 0 219898 480 4 la_data_in[26]
+rlabel metal2 s 268671 3212 268699 3452 4 la_data_in[113]
 port 161 nsew
-rlabel metal2 s 223430 0 223486 480 4 la_data_in[27]
+rlabel metal2 s 270465 3212 270493 3452 4 la_data_in[114]
 port 162 nsew
-rlabel metal2 s 227018 0 227074 480 4 la_data_in[28]
+rlabel metal2 s 272213 3212 272241 3452 4 la_data_in[115]
 port 163 nsew
-rlabel metal2 s 230606 0 230662 480 4 la_data_in[29]
+rlabel metal2 s 274007 3212 274035 3452 4 la_data_in[116]
 port 164 nsew
-rlabel metal2 s 134282 0 134338 480 4 la_data_in[2]
+rlabel metal2 s 275801 3212 275829 3452 4 la_data_in[117]
 port 165 nsew
-rlabel metal2 s 234194 0 234250 480 4 la_data_in[30]
+rlabel metal2 s 277595 3212 277623 3452 4 la_data_in[118]
 port 166 nsew
-rlabel metal2 s 237690 0 237746 480 4 la_data_in[31]
+rlabel metal2 s 279343 3212 279371 3452 4 la_data_in[119]
 port 167 nsew
-rlabel metal2 s 241278 0 241334 480 4 la_data_in[32]
+rlabel metal2 s 86695 3212 86723 3452 4 la_data_in[11]
 port 168 nsew
-rlabel metal2 s 244866 0 244922 480 4 la_data_in[33]
+rlabel metal2 s 281137 3212 281165 3452 4 la_data_in[120]
 port 169 nsew
-rlabel metal2 s 248454 0 248510 480 4 la_data_in[34]
+rlabel metal2 s 282931 3212 282959 3452 4 la_data_in[121]
 port 170 nsew
-rlabel metal2 s 251950 0 252006 480 4 la_data_in[35]
+rlabel metal2 s 284725 3212 284753 3452 4 la_data_in[122]
 port 171 nsew
-rlabel metal2 s 255538 0 255594 480 4 la_data_in[36]
+rlabel metal2 s 286519 3212 286547 3452 4 la_data_in[123]
 port 172 nsew
-rlabel metal2 s 259126 0 259182 480 4 la_data_in[37]
+rlabel metal2 s 288267 3212 288295 3452 4 la_data_in[124]
 port 173 nsew
-rlabel metal2 s 262714 0 262770 480 4 la_data_in[38]
+rlabel metal2 s 290061 3212 290089 3452 4 la_data_in[125]
 port 174 nsew
-rlabel metal2 s 266302 0 266358 480 4 la_data_in[39]
+rlabel metal2 s 291855 3212 291883 3452 4 la_data_in[126]
 port 175 nsew
-rlabel metal2 s 137778 0 137834 480 4 la_data_in[3]
+rlabel metal2 s 293649 3212 293677 3452 4 la_data_in[127]
 port 176 nsew
-rlabel metal2 s 269798 0 269854 480 4 la_data_in[40]
+rlabel metal2 s 88443 3212 88471 3452 4 la_data_in[12]
 port 177 nsew
-rlabel metal2 s 273386 0 273442 480 4 la_data_in[41]
+rlabel metal2 s 90237 3212 90265 3452 4 la_data_in[13]
 port 178 nsew
-rlabel metal2 s 276974 0 277030 480 4 la_data_in[42]
+rlabel metal2 s 92031 3212 92059 3452 4 la_data_in[14]
 port 179 nsew
-rlabel metal2 s 280562 0 280618 480 4 la_data_in[43]
+rlabel metal2 s 93825 3212 93853 3452 4 la_data_in[15]
 port 180 nsew
-rlabel metal2 s 284150 0 284206 480 4 la_data_in[44]
+rlabel metal2 s 95619 3212 95647 3452 4 la_data_in[16]
 port 181 nsew
-rlabel metal2 s 287646 0 287702 480 4 la_data_in[45]
+rlabel metal2 s 97367 3212 97395 3452 4 la_data_in[17]
 port 182 nsew
-rlabel metal2 s 291234 0 291290 480 4 la_data_in[46]
+rlabel metal2 s 99161 3212 99189 3452 4 la_data_in[18]
 port 183 nsew
-rlabel metal2 s 294822 0 294878 480 4 la_data_in[47]
+rlabel metal2 s 100955 3212 100983 3452 4 la_data_in[19]
 port 184 nsew
-rlabel metal2 s 298410 0 298466 480 4 la_data_in[48]
+rlabel metal2 s 68847 3212 68875 3452 4 la_data_in[1]
 port 185 nsew
-rlabel metal2 s 301906 0 301962 480 4 la_data_in[49]
+rlabel metal2 s 102749 3212 102777 3452 4 la_data_in[20]
 port 186 nsew
-rlabel metal2 s 141366 0 141422 480 4 la_data_in[4]
+rlabel metal2 s 104497 3212 104525 3452 4 la_data_in[21]
 port 187 nsew
-rlabel metal2 s 305494 0 305550 480 4 la_data_in[50]
+rlabel metal2 s 106291 3212 106319 3452 4 la_data_in[22]
 port 188 nsew
-rlabel metal2 s 309082 0 309138 480 4 la_data_in[51]
+rlabel metal2 s 108085 3212 108113 3452 4 la_data_in[23]
 port 189 nsew
-rlabel metal2 s 312670 0 312726 480 4 la_data_in[52]
+rlabel metal2 s 109879 3212 109907 3452 4 la_data_in[24]
 port 190 nsew
-rlabel metal2 s 316258 0 316314 480 4 la_data_in[53]
+rlabel metal2 s 111673 3212 111701 3452 4 la_data_in[25]
 port 191 nsew
-rlabel metal2 s 319754 0 319810 480 4 la_data_in[54]
+rlabel metal2 s 113421 3212 113449 3452 4 la_data_in[26]
 port 192 nsew
-rlabel metal2 s 323342 0 323398 480 4 la_data_in[55]
+rlabel metal2 s 115215 3212 115243 3452 4 la_data_in[27]
 port 193 nsew
-rlabel metal2 s 326930 0 326986 480 4 la_data_in[56]
+rlabel metal2 s 117009 3212 117037 3452 4 la_data_in[28]
 port 194 nsew
-rlabel metal2 s 330518 0 330574 480 4 la_data_in[57]
+rlabel metal2 s 118803 3212 118831 3452 4 la_data_in[29]
 port 195 nsew
-rlabel metal2 s 334106 0 334162 480 4 la_data_in[58]
+rlabel metal2 s 70641 3212 70669 3452 4 la_data_in[2]
 port 196 nsew
-rlabel metal2 s 337602 0 337658 480 4 la_data_in[59]
+rlabel metal2 s 120597 3212 120625 3452 4 la_data_in[30]
 port 197 nsew
-rlabel metal2 s 144954 0 145010 480 4 la_data_in[5]
+rlabel metal2 s 122345 3212 122373 3452 4 la_data_in[31]
 port 198 nsew
-rlabel metal2 s 341190 0 341246 480 4 la_data_in[60]
+rlabel metal2 s 124139 3212 124167 3452 4 la_data_in[32]
 port 199 nsew
-rlabel metal2 s 344778 0 344834 480 4 la_data_in[61]
+rlabel metal2 s 125933 3212 125961 3452 4 la_data_in[33]
 port 200 nsew
-rlabel metal2 s 348366 0 348422 480 4 la_data_in[62]
+rlabel metal2 s 127727 3212 127755 3452 4 la_data_in[34]
 port 201 nsew
-rlabel metal2 s 351862 0 351918 480 4 la_data_in[63]
+rlabel metal2 s 129475 3212 129503 3452 4 la_data_in[35]
 port 202 nsew
-rlabel metal2 s 355450 0 355506 480 4 la_data_in[64]
+rlabel metal2 s 131269 3212 131297 3452 4 la_data_in[36]
 port 203 nsew
-rlabel metal2 s 359038 0 359094 480 4 la_data_in[65]
+rlabel metal2 s 133063 3212 133091 3452 4 la_data_in[37]
 port 204 nsew
-rlabel metal2 s 362626 0 362682 480 4 la_data_in[66]
+rlabel metal2 s 134857 3212 134885 3452 4 la_data_in[38]
 port 205 nsew
-rlabel metal2 s 366214 0 366270 480 4 la_data_in[67]
+rlabel metal2 s 136651 3212 136679 3452 4 la_data_in[39]
 port 206 nsew
-rlabel metal2 s 369710 0 369766 480 4 la_data_in[68]
+rlabel metal2 s 72389 3212 72417 3452 4 la_data_in[3]
 port 207 nsew
-rlabel metal2 s 373298 0 373354 480 4 la_data_in[69]
+rlabel metal2 s 138399 3212 138427 3452 4 la_data_in[40]
 port 208 nsew
-rlabel metal2 s 148542 0 148598 480 4 la_data_in[6]
+rlabel metal2 s 140193 3212 140221 3452 4 la_data_in[41]
 port 209 nsew
-rlabel metal2 s 376886 0 376942 480 4 la_data_in[70]
+rlabel metal2 s 141987 3212 142015 3452 4 la_data_in[42]
 port 210 nsew
-rlabel metal2 s 380474 0 380530 480 4 la_data_in[71]
+rlabel metal2 s 143781 3212 143809 3452 4 la_data_in[43]
 port 211 nsew
-rlabel metal2 s 384062 0 384118 480 4 la_data_in[72]
+rlabel metal2 s 145575 3212 145603 3452 4 la_data_in[44]
 port 212 nsew
-rlabel metal2 s 387558 0 387614 480 4 la_data_in[73]
+rlabel metal2 s 147323 3212 147351 3452 4 la_data_in[45]
 port 213 nsew
-rlabel metal2 s 391146 0 391202 480 4 la_data_in[74]
+rlabel metal2 s 149117 3212 149145 3452 4 la_data_in[46]
 port 214 nsew
-rlabel metal2 s 394734 0 394790 480 4 la_data_in[75]
+rlabel metal2 s 150911 3212 150939 3452 4 la_data_in[47]
 port 215 nsew
-rlabel metal2 s 398322 0 398378 480 4 la_data_in[76]
+rlabel metal2 s 152705 3212 152733 3452 4 la_data_in[48]
 port 216 nsew
-rlabel metal2 s 401818 0 401874 480 4 la_data_in[77]
+rlabel metal2 s 154453 3212 154481 3452 4 la_data_in[49]
 port 217 nsew
-rlabel metal2 s 405406 0 405462 480 4 la_data_in[78]
+rlabel metal2 s 74183 3212 74211 3452 4 la_data_in[4]
 port 218 nsew
-rlabel metal2 s 408994 0 409050 480 4 la_data_in[79]
+rlabel metal2 s 156247 3212 156275 3452 4 la_data_in[50]
 port 219 nsew
-rlabel metal2 s 152038 0 152094 480 4 la_data_in[7]
+rlabel metal2 s 158041 3212 158069 3452 4 la_data_in[51]
 port 220 nsew
-rlabel metal2 s 412582 0 412638 480 4 la_data_in[80]
+rlabel metal2 s 159835 3212 159863 3452 4 la_data_in[52]
 port 221 nsew
-rlabel metal2 s 416170 0 416226 480 4 la_data_in[81]
+rlabel metal2 s 161629 3212 161657 3452 4 la_data_in[53]
 port 222 nsew
-rlabel metal2 s 419666 0 419722 480 4 la_data_in[82]
+rlabel metal2 s 163377 3212 163405 3452 4 la_data_in[54]
 port 223 nsew
-rlabel metal2 s 423254 0 423310 480 4 la_data_in[83]
+rlabel metal2 s 165171 3212 165199 3452 4 la_data_in[55]
 port 224 nsew
-rlabel metal2 s 426842 0 426898 480 4 la_data_in[84]
+rlabel metal2 s 166965 3212 166993 3452 4 la_data_in[56]
 port 225 nsew
-rlabel metal2 s 430430 0 430486 480 4 la_data_in[85]
+rlabel metal2 s 168759 3212 168787 3452 4 la_data_in[57]
 port 226 nsew
-rlabel metal2 s 434018 0 434074 480 4 la_data_in[86]
+rlabel metal2 s 170553 3212 170581 3452 4 la_data_in[58]
 port 227 nsew
-rlabel metal2 s 437514 0 437570 480 4 la_data_in[87]
+rlabel metal2 s 172301 3212 172329 3452 4 la_data_in[59]
 port 228 nsew
-rlabel metal2 s 441102 0 441158 480 4 la_data_in[88]
+rlabel metal2 s 75977 3212 76005 3452 4 la_data_in[5]
 port 229 nsew
-rlabel metal2 s 444690 0 444746 480 4 la_data_in[89]
+rlabel metal2 s 174095 3212 174123 3452 4 la_data_in[60]
 port 230 nsew
-rlabel metal2 s 155626 0 155682 480 4 la_data_in[8]
+rlabel metal2 s 175889 3212 175917 3452 4 la_data_in[61]
 port 231 nsew
-rlabel metal2 s 448278 0 448334 480 4 la_data_in[90]
+rlabel metal2 s 177683 3212 177711 3452 4 la_data_in[62]
 port 232 nsew
-rlabel metal2 s 451774 0 451830 480 4 la_data_in[91]
+rlabel metal2 s 179431 3212 179459 3452 4 la_data_in[63]
 port 233 nsew
-rlabel metal2 s 455362 0 455418 480 4 la_data_in[92]
+rlabel metal2 s 181225 3212 181253 3452 4 la_data_in[64]
 port 234 nsew
-rlabel metal2 s 458950 0 459006 480 4 la_data_in[93]
+rlabel metal2 s 183019 3212 183047 3452 4 la_data_in[65]
 port 235 nsew
-rlabel metal2 s 462538 0 462594 480 4 la_data_in[94]
+rlabel metal2 s 184813 3212 184841 3452 4 la_data_in[66]
 port 236 nsew
-rlabel metal2 s 466126 0 466182 480 4 la_data_in[95]
+rlabel metal2 s 186607 3212 186635 3452 4 la_data_in[67]
 port 237 nsew
-rlabel metal2 s 469622 0 469678 480 4 la_data_in[96]
+rlabel metal2 s 188355 3212 188383 3452 4 la_data_in[68]
 port 238 nsew
-rlabel metal2 s 473210 0 473266 480 4 la_data_in[97]
+rlabel metal2 s 190149 3212 190177 3452 4 la_data_in[69]
 port 239 nsew
-rlabel metal2 s 476798 0 476854 480 4 la_data_in[98]
+rlabel metal2 s 77771 3212 77799 3452 4 la_data_in[6]
 port 240 nsew
-rlabel metal2 s 480386 0 480442 480 4 la_data_in[99]
+rlabel metal2 s 191943 3212 191971 3452 4 la_data_in[70]
 port 241 nsew
-rlabel metal2 s 159214 0 159270 480 4 la_data_in[9]
+rlabel metal2 s 193737 3212 193765 3452 4 la_data_in[71]
 port 242 nsew
-rlabel metal2 s 128302 0 128358 480 4 la_data_out[0]
+rlabel metal2 s 195531 3212 195559 3452 4 la_data_in[72]
 port 243 nsew
-rlabel metal2 s 485078 0 485134 480 4 la_data_out[100]
+rlabel metal2 s 197279 3212 197307 3452 4 la_data_in[73]
 port 244 nsew
-rlabel metal2 s 488666 0 488722 480 4 la_data_out[101]
+rlabel metal2 s 199073 3212 199101 3452 4 la_data_in[74]
 port 245 nsew
-rlabel metal2 s 492254 0 492310 480 4 la_data_out[102]
+rlabel metal2 s 200867 3212 200895 3452 4 la_data_in[75]
 port 246 nsew
-rlabel metal2 s 495842 0 495898 480 4 la_data_out[103]
+rlabel metal2 s 202661 3212 202689 3452 4 la_data_in[76]
 port 247 nsew
-rlabel metal2 s 499430 0 499486 480 4 la_data_out[104]
+rlabel metal2 s 204409 3212 204437 3452 4 la_data_in[77]
 port 248 nsew
-rlabel metal2 s 502926 0 502982 480 4 la_data_out[105]
+rlabel metal2 s 206203 3212 206231 3452 4 la_data_in[78]
 port 249 nsew
-rlabel metal2 s 506514 0 506570 480 4 la_data_out[106]
+rlabel metal2 s 207997 3212 208025 3452 4 la_data_in[79]
 port 250 nsew
-rlabel metal2 s 510102 0 510158 480 4 la_data_out[107]
+rlabel metal2 s 79519 3212 79547 3452 4 la_data_in[7]
 port 251 nsew
-rlabel metal2 s 513690 0 513746 480 4 la_data_out[108]
+rlabel metal2 s 209791 3212 209819 3452 4 la_data_in[80]
 port 252 nsew
-rlabel metal2 s 517278 0 517334 480 4 la_data_out[109]
+rlabel metal2 s 211585 3212 211613 3452 4 la_data_in[81]
 port 253 nsew
-rlabel metal2 s 163998 0 164054 480 4 la_data_out[10]
+rlabel metal2 s 213333 3212 213361 3452 4 la_data_in[82]
 port 254 nsew
-rlabel metal2 s 520774 0 520830 480 4 la_data_out[110]
+rlabel metal2 s 215127 3212 215155 3452 4 la_data_in[83]
 port 255 nsew
-rlabel metal2 s 524362 0 524418 480 4 la_data_out[111]
+rlabel metal2 s 216921 3212 216949 3452 4 la_data_in[84]
 port 256 nsew
-rlabel metal2 s 527950 0 528006 480 4 la_data_out[112]
+rlabel metal2 s 218715 3212 218743 3452 4 la_data_in[85]
 port 257 nsew
-rlabel metal2 s 531538 0 531594 480 4 la_data_out[113]
+rlabel metal2 s 220509 3212 220537 3452 4 la_data_in[86]
 port 258 nsew
-rlabel metal2 s 535034 0 535090 480 4 la_data_out[114]
+rlabel metal2 s 222257 3212 222285 3452 4 la_data_in[87]
 port 259 nsew
-rlabel metal2 s 538622 0 538678 480 4 la_data_out[115]
+rlabel metal2 s 224051 3212 224079 3452 4 la_data_in[88]
 port 260 nsew
-rlabel metal2 s 542210 0 542266 480 4 la_data_out[116]
+rlabel metal2 s 225845 3212 225873 3452 4 la_data_in[89]
 port 261 nsew
-rlabel metal2 s 545798 0 545854 480 4 la_data_out[117]
+rlabel metal2 s 81313 3212 81341 3452 4 la_data_in[8]
 port 262 nsew
-rlabel metal2 s 549386 0 549442 480 4 la_data_out[118]
+rlabel metal2 s 227639 3212 227667 3452 4 la_data_in[90]
 port 263 nsew
-rlabel metal2 s 552882 0 552938 480 4 la_data_out[119]
+rlabel metal2 s 229387 3212 229415 3452 4 la_data_in[91]
 port 264 nsew
-rlabel metal2 s 167586 0 167642 480 4 la_data_out[11]
+rlabel metal2 s 231181 3212 231209 3452 4 la_data_in[92]
 port 265 nsew
-rlabel metal2 s 556470 0 556526 480 4 la_data_out[120]
+rlabel metal2 s 232975 3212 233003 3452 4 la_data_in[93]
 port 266 nsew
-rlabel metal2 s 560058 0 560114 480 4 la_data_out[121]
+rlabel metal2 s 234769 3212 234797 3452 4 la_data_in[94]
 port 267 nsew
-rlabel metal2 s 563646 0 563702 480 4 la_data_out[122]
+rlabel metal2 s 236563 3212 236591 3452 4 la_data_in[95]
 port 268 nsew
-rlabel metal2 s 567234 0 567290 480 4 la_data_out[123]
+rlabel metal2 s 238311 3212 238339 3452 4 la_data_in[96]
 port 269 nsew
-rlabel metal2 s 570730 0 570786 480 4 la_data_out[124]
+rlabel metal2 s 240105 3212 240133 3452 4 la_data_in[97]
 port 270 nsew
-rlabel metal2 s 574318 0 574374 480 4 la_data_out[125]
+rlabel metal2 s 241899 3212 241927 3452 4 la_data_in[98]
 port 271 nsew
-rlabel metal2 s 577906 0 577962 480 4 la_data_out[126]
+rlabel metal2 s 243693 3212 243721 3452 4 la_data_in[99]
 port 272 nsew
-rlabel metal2 s 581494 0 581550 480 4 la_data_out[127]
+rlabel metal2 s 83107 3212 83135 3452 4 la_data_in[9]
 port 273 nsew
-rlabel metal2 s 171082 0 171138 480 4 la_data_out[12]
+rlabel metal2 s 67651 3212 67679 3452 4 la_data_out[0]
 port 274 nsew
-rlabel metal2 s 174670 0 174726 480 4 la_data_out[13]
+rlabel metal2 s 246039 3212 246067 3452 4 la_data_out[100]
 port 275 nsew
-rlabel metal2 s 178258 0 178314 480 4 la_data_out[14]
+rlabel metal2 s 247833 3212 247861 3452 4 la_data_out[101]
 port 276 nsew
-rlabel metal2 s 181846 0 181902 480 4 la_data_out[15]
+rlabel metal2 s 249627 3212 249655 3452 4 la_data_out[102]
 port 277 nsew
-rlabel metal2 s 185342 0 185398 480 4 la_data_out[16]
+rlabel metal2 s 251421 3212 251449 3452 4 la_data_out[103]
 port 278 nsew
-rlabel metal2 s 188930 0 188986 480 4 la_data_out[17]
+rlabel metal2 s 253215 3212 253243 3452 4 la_data_out[104]
 port 279 nsew
-rlabel metal2 s 192518 0 192574 480 4 la_data_out[18]
+rlabel metal2 s 254963 3212 254991 3452 4 la_data_out[105]
 port 280 nsew
-rlabel metal2 s 196106 0 196162 480 4 la_data_out[19]
+rlabel metal2 s 256757 3212 256785 3452 4 la_data_out[106]
 port 281 nsew
-rlabel metal2 s 131890 0 131946 480 4 la_data_out[1]
+rlabel metal2 s 258551 3212 258579 3452 4 la_data_out[107]
 port 282 nsew
-rlabel metal2 s 199694 0 199750 480 4 la_data_out[20]
+rlabel metal2 s 260345 3212 260373 3452 4 la_data_out[108]
 port 283 nsew
-rlabel metal2 s 203190 0 203246 480 4 la_data_out[21]
+rlabel metal2 s 262139 3212 262167 3452 4 la_data_out[109]
 port 284 nsew
-rlabel metal2 s 206778 0 206834 480 4 la_data_out[22]
+rlabel metal2 s 85499 3212 85527 3452 4 la_data_out[10]
 port 285 nsew
-rlabel metal2 s 210366 0 210422 480 4 la_data_out[23]
+rlabel metal2 s 263887 3212 263915 3452 4 la_data_out[110]
 port 286 nsew
-rlabel metal2 s 213954 0 214010 480 4 la_data_out[24]
+rlabel metal2 s 265681 3212 265709 3452 4 la_data_out[111]
 port 287 nsew
-rlabel metal2 s 217542 0 217598 480 4 la_data_out[25]
+rlabel metal2 s 267475 3212 267503 3452 4 la_data_out[112]
 port 288 nsew
-rlabel metal2 s 221038 0 221094 480 4 la_data_out[26]
+rlabel metal2 s 269269 3212 269297 3452 4 la_data_out[113]
 port 289 nsew
-rlabel metal2 s 224626 0 224682 480 4 la_data_out[27]
+rlabel metal2 s 271017 3212 271045 3452 4 la_data_out[114]
 port 290 nsew
-rlabel metal2 s 228214 0 228270 480 4 la_data_out[28]
+rlabel metal2 s 272811 3212 272839 3452 4 la_data_out[115]
 port 291 nsew
-rlabel metal2 s 231802 0 231858 480 4 la_data_out[29]
+rlabel metal2 s 274605 3212 274633 3452 4 la_data_out[116]
 port 292 nsew
-rlabel metal2 s 135386 0 135442 480 4 la_data_out[2]
+rlabel metal2 s 276399 3212 276427 3452 4 la_data_out[117]
 port 293 nsew
-rlabel metal2 s 235298 0 235354 480 4 la_data_out[30]
+rlabel metal2 s 278193 3212 278221 3452 4 la_data_out[118]
 port 294 nsew
-rlabel metal2 s 238886 0 238942 480 4 la_data_out[31]
+rlabel metal2 s 279941 3212 279969 3452 4 la_data_out[119]
 port 295 nsew
-rlabel metal2 s 242474 0 242530 480 4 la_data_out[32]
+rlabel metal2 s 87293 3212 87321 3452 4 la_data_out[11]
 port 296 nsew
-rlabel metal2 s 246062 0 246118 480 4 la_data_out[33]
+rlabel metal2 s 281735 3212 281763 3452 4 la_data_out[120]
 port 297 nsew
-rlabel metal2 s 249650 0 249706 480 4 la_data_out[34]
+rlabel metal2 s 283529 3212 283557 3452 4 la_data_out[121]
 port 298 nsew
-rlabel metal2 s 253146 0 253202 480 4 la_data_out[35]
+rlabel metal2 s 285323 3212 285351 3452 4 la_data_out[122]
 port 299 nsew
-rlabel metal2 s 256734 0 256790 480 4 la_data_out[36]
+rlabel metal2 s 287117 3212 287145 3452 4 la_data_out[123]
 port 300 nsew
-rlabel metal2 s 260322 0 260378 480 4 la_data_out[37]
+rlabel metal2 s 288865 3212 288893 3452 4 la_data_out[124]
 port 301 nsew
-rlabel metal2 s 263910 0 263966 480 4 la_data_out[38]
+rlabel metal2 s 290659 3212 290687 3452 4 la_data_out[125]
 port 302 nsew
-rlabel metal2 s 267498 0 267554 480 4 la_data_out[39]
+rlabel metal2 s 292453 3212 292481 3452 4 la_data_out[126]
 port 303 nsew
-rlabel metal2 s 138974 0 139030 480 4 la_data_out[3]
+rlabel metal2 s 294247 3212 294275 3452 4 la_data_out[127]
 port 304 nsew
-rlabel metal2 s 270994 0 271050 480 4 la_data_out[40]
+rlabel metal2 s 89041 3212 89069 3452 4 la_data_out[12]
 port 305 nsew
-rlabel metal2 s 274582 0 274638 480 4 la_data_out[41]
+rlabel metal2 s 90835 3212 90863 3452 4 la_data_out[13]
 port 306 nsew
-rlabel metal2 s 278170 0 278226 480 4 la_data_out[42]
+rlabel metal2 s 92629 3212 92657 3452 4 la_data_out[14]
 port 307 nsew
-rlabel metal2 s 281758 0 281814 480 4 la_data_out[43]
+rlabel metal2 s 94423 3212 94451 3452 4 la_data_out[15]
 port 308 nsew
-rlabel metal2 s 285254 0 285310 480 4 la_data_out[44]
+rlabel metal2 s 96171 3212 96199 3452 4 la_data_out[16]
 port 309 nsew
-rlabel metal2 s 288842 0 288898 480 4 la_data_out[45]
+rlabel metal2 s 97965 3212 97993 3452 4 la_data_out[17]
 port 310 nsew
-rlabel metal2 s 292430 0 292486 480 4 la_data_out[46]
+rlabel metal2 s 99759 3212 99787 3452 4 la_data_out[18]
 port 311 nsew
-rlabel metal2 s 296018 0 296074 480 4 la_data_out[47]
+rlabel metal2 s 101553 3212 101581 3452 4 la_data_out[19]
 port 312 nsew
-rlabel metal2 s 299606 0 299662 480 4 la_data_out[48]
+rlabel metal2 s 69445 3212 69473 3452 4 la_data_out[1]
 port 313 nsew
-rlabel metal2 s 303102 0 303158 480 4 la_data_out[49]
+rlabel metal2 s 103347 3212 103375 3452 4 la_data_out[20]
 port 314 nsew
-rlabel metal2 s 142562 0 142618 480 4 la_data_out[4]
+rlabel metal2 s 105095 3212 105123 3452 4 la_data_out[21]
 port 315 nsew
-rlabel metal2 s 306690 0 306746 480 4 la_data_out[50]
+rlabel metal2 s 106889 3212 106917 3452 4 la_data_out[22]
 port 316 nsew
-rlabel metal2 s 310278 0 310334 480 4 la_data_out[51]
+rlabel metal2 s 108683 3212 108711 3452 4 la_data_out[23]
 port 317 nsew
-rlabel metal2 s 313866 0 313922 480 4 la_data_out[52]
+rlabel metal2 s 110477 3212 110505 3452 4 la_data_out[24]
 port 318 nsew
-rlabel metal2 s 317454 0 317510 480 4 la_data_out[53]
+rlabel metal2 s 112271 3212 112299 3452 4 la_data_out[25]
 port 319 nsew
-rlabel metal2 s 320950 0 321006 480 4 la_data_out[54]
+rlabel metal2 s 114019 3212 114047 3452 4 la_data_out[26]
 port 320 nsew
-rlabel metal2 s 324538 0 324594 480 4 la_data_out[55]
+rlabel metal2 s 115813 3212 115841 3452 4 la_data_out[27]
 port 321 nsew
-rlabel metal2 s 328126 0 328182 480 4 la_data_out[56]
+rlabel metal2 s 117607 3212 117635 3452 4 la_data_out[28]
 port 322 nsew
-rlabel metal2 s 331714 0 331770 480 4 la_data_out[57]
+rlabel metal2 s 119401 3212 119429 3452 4 la_data_out[29]
 port 323 nsew
-rlabel metal2 s 335210 0 335266 480 4 la_data_out[58]
+rlabel metal2 s 71193 3212 71221 3452 4 la_data_out[2]
 port 324 nsew
-rlabel metal2 s 338798 0 338854 480 4 la_data_out[59]
+rlabel metal2 s 121149 3212 121177 3452 4 la_data_out[30]
 port 325 nsew
-rlabel metal2 s 146150 0 146206 480 4 la_data_out[5]
+rlabel metal2 s 122943 3212 122971 3452 4 la_data_out[31]
 port 326 nsew
-rlabel metal2 s 342386 0 342442 480 4 la_data_out[60]
+rlabel metal2 s 124737 3212 124765 3452 4 la_data_out[32]
 port 327 nsew
-rlabel metal2 s 345974 0 346030 480 4 la_data_out[61]
+rlabel metal2 s 126531 3212 126559 3452 4 la_data_out[33]
 port 328 nsew
-rlabel metal2 s 349562 0 349618 480 4 la_data_out[62]
+rlabel metal2 s 128325 3212 128353 3452 4 la_data_out[34]
 port 329 nsew
-rlabel metal2 s 353058 0 353114 480 4 la_data_out[63]
+rlabel metal2 s 130073 3212 130101 3452 4 la_data_out[35]
 port 330 nsew
-rlabel metal2 s 356646 0 356702 480 4 la_data_out[64]
+rlabel metal2 s 131867 3212 131895 3452 4 la_data_out[36]
 port 331 nsew
-rlabel metal2 s 360234 0 360290 480 4 la_data_out[65]
+rlabel metal2 s 133661 3212 133689 3452 4 la_data_out[37]
 port 332 nsew
-rlabel metal2 s 363822 0 363878 480 4 la_data_out[66]
+rlabel metal2 s 135455 3212 135483 3452 4 la_data_out[38]
 port 333 nsew
-rlabel metal2 s 367410 0 367466 480 4 la_data_out[67]
+rlabel metal2 s 137249 3212 137277 3452 4 la_data_out[39]
 port 334 nsew
-rlabel metal2 s 370906 0 370962 480 4 la_data_out[68]
+rlabel metal2 s 72987 3212 73015 3452 4 la_data_out[3]
 port 335 nsew
-rlabel metal2 s 374494 0 374550 480 4 la_data_out[69]
+rlabel metal2 s 138997 3212 139025 3452 4 la_data_out[40]
 port 336 nsew
-rlabel metal2 s 149738 0 149794 480 4 la_data_out[6]
+rlabel metal2 s 140791 3212 140819 3452 4 la_data_out[41]
 port 337 nsew
-rlabel metal2 s 378082 0 378138 480 4 la_data_out[70]
+rlabel metal2 s 142585 3212 142613 3452 4 la_data_out[42]
 port 338 nsew
-rlabel metal2 s 381670 0 381726 480 4 la_data_out[71]
+rlabel metal2 s 144379 3212 144407 3452 4 la_data_out[43]
 port 339 nsew
-rlabel metal2 s 385166 0 385222 480 4 la_data_out[72]
+rlabel metal2 s 146127 3212 146155 3452 4 la_data_out[44]
 port 340 nsew
-rlabel metal2 s 388754 0 388810 480 4 la_data_out[73]
+rlabel metal2 s 147921 3212 147949 3452 4 la_data_out[45]
 port 341 nsew
-rlabel metal2 s 392342 0 392398 480 4 la_data_out[74]
+rlabel metal2 s 149715 3212 149743 3452 4 la_data_out[46]
 port 342 nsew
-rlabel metal2 s 395930 0 395986 480 4 la_data_out[75]
+rlabel metal2 s 151509 3212 151537 3452 4 la_data_out[47]
 port 343 nsew
-rlabel metal2 s 399518 0 399574 480 4 la_data_out[76]
+rlabel metal2 s 153303 3212 153331 3452 4 la_data_out[48]
 port 344 nsew
-rlabel metal2 s 403014 0 403070 480 4 la_data_out[77]
+rlabel metal2 s 155051 3212 155079 3452 4 la_data_out[49]
 port 345 nsew
-rlabel metal2 s 406602 0 406658 480 4 la_data_out[78]
+rlabel metal2 s 74781 3212 74809 3452 4 la_data_out[4]
 port 346 nsew
-rlabel metal2 s 410190 0 410246 480 4 la_data_out[79]
+rlabel metal2 s 156845 3212 156873 3452 4 la_data_out[50]
 port 347 nsew
-rlabel metal2 s 153234 0 153290 480 4 la_data_out[7]
+rlabel metal2 s 158639 3212 158667 3452 4 la_data_out[51]
 port 348 nsew
-rlabel metal2 s 413778 0 413834 480 4 la_data_out[80]
+rlabel metal2 s 160433 3212 160461 3452 4 la_data_out[52]
 port 349 nsew
-rlabel metal2 s 417366 0 417422 480 4 la_data_out[81]
+rlabel metal2 s 162227 3212 162255 3452 4 la_data_out[53]
 port 350 nsew
-rlabel metal2 s 420862 0 420918 480 4 la_data_out[82]
+rlabel metal2 s 163975 3212 164003 3452 4 la_data_out[54]
 port 351 nsew
-rlabel metal2 s 424450 0 424506 480 4 la_data_out[83]
+rlabel metal2 s 165769 3212 165797 3452 4 la_data_out[55]
 port 352 nsew
-rlabel metal2 s 428038 0 428094 480 4 la_data_out[84]
+rlabel metal2 s 167563 3212 167591 3452 4 la_data_out[56]
 port 353 nsew
-rlabel metal2 s 431626 0 431682 480 4 la_data_out[85]
+rlabel metal2 s 169357 3212 169385 3452 4 la_data_out[57]
 port 354 nsew
-rlabel metal2 s 435122 0 435178 480 4 la_data_out[86]
+rlabel metal2 s 171105 3212 171133 3452 4 la_data_out[58]
 port 355 nsew
-rlabel metal2 s 438710 0 438766 480 4 la_data_out[87]
+rlabel metal2 s 172899 3212 172927 3452 4 la_data_out[59]
 port 356 nsew
-rlabel metal2 s 442298 0 442354 480 4 la_data_out[88]
+rlabel metal2 s 76575 3212 76603 3452 4 la_data_out[5]
 port 357 nsew
-rlabel metal2 s 445886 0 445942 480 4 la_data_out[89]
+rlabel metal2 s 174693 3212 174721 3452 4 la_data_out[60]
 port 358 nsew
-rlabel metal2 s 156822 0 156878 480 4 la_data_out[8]
+rlabel metal2 s 176487 3212 176515 3452 4 la_data_out[61]
 port 359 nsew
-rlabel metal2 s 449474 0 449530 480 4 la_data_out[90]
+rlabel metal2 s 178281 3212 178309 3452 4 la_data_out[62]
 port 360 nsew
-rlabel metal2 s 452970 0 453026 480 4 la_data_out[91]
+rlabel metal2 s 180029 3212 180057 3452 4 la_data_out[63]
 port 361 nsew
-rlabel metal2 s 456558 0 456614 480 4 la_data_out[92]
+rlabel metal2 s 181823 3212 181851 3452 4 la_data_out[64]
 port 362 nsew
-rlabel metal2 s 460146 0 460202 480 4 la_data_out[93]
+rlabel metal2 s 183617 3212 183645 3452 4 la_data_out[65]
 port 363 nsew
-rlabel metal2 s 463734 0 463790 480 4 la_data_out[94]
+rlabel metal2 s 185411 3212 185439 3452 4 la_data_out[66]
 port 364 nsew
-rlabel metal2 s 467322 0 467378 480 4 la_data_out[95]
+rlabel metal2 s 187205 3212 187233 3452 4 la_data_out[67]
 port 365 nsew
-rlabel metal2 s 470818 0 470874 480 4 la_data_out[96]
+rlabel metal2 s 188953 3212 188981 3452 4 la_data_out[68]
 port 366 nsew
-rlabel metal2 s 474406 0 474462 480 4 la_data_out[97]
+rlabel metal2 s 190747 3212 190775 3452 4 la_data_out[69]
 port 367 nsew
-rlabel metal2 s 477994 0 478050 480 4 la_data_out[98]
+rlabel metal2 s 78369 3212 78397 3452 4 la_data_out[6]
 port 368 nsew
-rlabel metal2 s 481582 0 481638 480 4 la_data_out[99]
+rlabel metal2 s 192541 3212 192569 3452 4 la_data_out[70]
 port 369 nsew
-rlabel metal2 s 160410 0 160466 480 4 la_data_out[9]
+rlabel metal2 s 194335 3212 194363 3452 4 la_data_out[71]
 port 370 nsew
-rlabel metal2 s 129498 0 129554 480 4 la_oen[0]
+rlabel metal2 s 196083 3212 196111 3452 4 la_data_out[72]
 port 371 nsew
-rlabel metal2 s 486274 0 486330 480 4 la_oen[100]
+rlabel metal2 s 197877 3212 197905 3452 4 la_data_out[73]
 port 372 nsew
-rlabel metal2 s 489862 0 489918 480 4 la_oen[101]
+rlabel metal2 s 199671 3212 199699 3452 4 la_data_out[74]
 port 373 nsew
-rlabel metal2 s 493450 0 493506 480 4 la_oen[102]
+rlabel metal2 s 201465 3212 201493 3452 4 la_data_out[75]
 port 374 nsew
-rlabel metal2 s 497038 0 497094 480 4 la_oen[103]
+rlabel metal2 s 203259 3212 203287 3452 4 la_data_out[76]
 port 375 nsew
-rlabel metal2 s 500626 0 500682 480 4 la_oen[104]
+rlabel metal2 s 205007 3212 205035 3452 4 la_data_out[77]
 port 376 nsew
-rlabel metal2 s 504122 0 504178 480 4 la_oen[105]
+rlabel metal2 s 206801 3212 206829 3452 4 la_data_out[78]
 port 377 nsew
-rlabel metal2 s 507710 0 507766 480 4 la_oen[106]
+rlabel metal2 s 208595 3212 208623 3452 4 la_data_out[79]
 port 378 nsew
-rlabel metal2 s 511298 0 511354 480 4 la_oen[107]
+rlabel metal2 s 80117 3212 80145 3452 4 la_data_out[7]
 port 379 nsew
-rlabel metal2 s 514886 0 514942 480 4 la_oen[108]
+rlabel metal2 s 210389 3212 210417 3452 4 la_data_out[80]
 port 380 nsew
-rlabel metal2 s 518382 0 518438 480 4 la_oen[109]
+rlabel metal2 s 212183 3212 212211 3452 4 la_data_out[81]
 port 381 nsew
-rlabel metal2 s 165194 0 165250 480 4 la_oen[10]
+rlabel metal2 s 213931 3212 213959 3452 4 la_data_out[82]
 port 382 nsew
-rlabel metal2 s 521970 0 522026 480 4 la_oen[110]
+rlabel metal2 s 215725 3212 215753 3452 4 la_data_out[83]
 port 383 nsew
-rlabel metal2 s 525558 0 525614 480 4 la_oen[111]
+rlabel metal2 s 217519 3212 217547 3452 4 la_data_out[84]
 port 384 nsew
-rlabel metal2 s 529146 0 529202 480 4 la_oen[112]
+rlabel metal2 s 219313 3212 219341 3452 4 la_data_out[85]
 port 385 nsew
-rlabel metal2 s 532734 0 532790 480 4 la_oen[113]
+rlabel metal2 s 221061 3212 221089 3452 4 la_data_out[86]
 port 386 nsew
-rlabel metal2 s 536230 0 536286 480 4 la_oen[114]
+rlabel metal2 s 222855 3212 222883 3452 4 la_data_out[87]
 port 387 nsew
-rlabel metal2 s 539818 0 539874 480 4 la_oen[115]
+rlabel metal2 s 224649 3212 224677 3452 4 la_data_out[88]
 port 388 nsew
-rlabel metal2 s 543406 0 543462 480 4 la_oen[116]
+rlabel metal2 s 226443 3212 226471 3452 4 la_data_out[89]
 port 389 nsew
-rlabel metal2 s 546994 0 547050 480 4 la_oen[117]
+rlabel metal2 s 81911 3212 81939 3452 4 la_data_out[8]
 port 390 nsew
-rlabel metal2 s 550582 0 550638 480 4 la_oen[118]
+rlabel metal2 s 228237 3212 228265 3452 4 la_data_out[90]
 port 391 nsew
-rlabel metal2 s 554078 0 554134 480 4 la_oen[119]
+rlabel metal2 s 229985 3212 230013 3452 4 la_data_out[91]
 port 392 nsew
-rlabel metal2 s 168690 0 168746 480 4 la_oen[11]
+rlabel metal2 s 231779 3212 231807 3452 4 la_data_out[92]
 port 393 nsew
-rlabel metal2 s 557666 0 557722 480 4 la_oen[120]
+rlabel metal2 s 233573 3212 233601 3452 4 la_data_out[93]
 port 394 nsew
-rlabel metal2 s 561254 0 561310 480 4 la_oen[121]
+rlabel metal2 s 235367 3212 235395 3452 4 la_data_out[94]
 port 395 nsew
-rlabel metal2 s 564842 0 564898 480 4 la_oen[122]
+rlabel metal2 s 237161 3212 237189 3452 4 la_data_out[95]
 port 396 nsew
-rlabel metal2 s 568338 0 568394 480 4 la_oen[123]
+rlabel metal2 s 238909 3212 238937 3452 4 la_data_out[96]
 port 397 nsew
-rlabel metal2 s 571926 0 571982 480 4 la_oen[124]
+rlabel metal2 s 240703 3212 240731 3452 4 la_data_out[97]
 port 398 nsew
-rlabel metal2 s 575514 0 575570 480 4 la_oen[125]
+rlabel metal2 s 242497 3212 242525 3452 4 la_data_out[98]
 port 399 nsew
-rlabel metal2 s 579102 0 579158 480 4 la_oen[126]
+rlabel metal2 s 244291 3212 244319 3452 4 la_data_out[99]
 port 400 nsew
-rlabel metal2 s 582690 0 582746 480 4 la_oen[127]
+rlabel metal2 s 83705 3212 83733 3452 4 la_data_out[9]
 port 401 nsew
-rlabel metal2 s 172278 0 172334 480 4 la_oen[12]
+rlabel metal2 s 68249 3212 68277 3452 4 la_oen[0]
 port 402 nsew
-rlabel metal2 s 175866 0 175922 480 4 la_oen[13]
+rlabel metal2 s 246637 3212 246665 3452 4 la_oen[100]
 port 403 nsew
-rlabel metal2 s 179454 0 179510 480 4 la_oen[14]
+rlabel metal2 s 248431 3212 248459 3452 4 la_oen[101]
 port 404 nsew
-rlabel metal2 s 183042 0 183098 480 4 la_oen[15]
+rlabel metal2 s 250225 3212 250253 3452 4 la_oen[102]
 port 405 nsew
-rlabel metal2 s 186538 0 186594 480 4 la_oen[16]
+rlabel metal2 s 252019 3212 252047 3452 4 la_oen[103]
 port 406 nsew
-rlabel metal2 s 190126 0 190182 480 4 la_oen[17]
+rlabel metal2 s 253813 3212 253841 3452 4 la_oen[104]
 port 407 nsew
-rlabel metal2 s 193714 0 193770 480 4 la_oen[18]
+rlabel metal2 s 255561 3212 255589 3452 4 la_oen[105]
 port 408 nsew
-rlabel metal2 s 197302 0 197358 480 4 la_oen[19]
+rlabel metal2 s 257355 3212 257383 3452 4 la_oen[106]
 port 409 nsew
-rlabel metal2 s 133086 0 133142 480 4 la_oen[1]
+rlabel metal2 s 259149 3212 259177 3452 4 la_oen[107]
 port 410 nsew
-rlabel metal2 s 200890 0 200946 480 4 la_oen[20]
+rlabel metal2 s 260943 3212 260971 3452 4 la_oen[108]
 port 411 nsew
-rlabel metal2 s 204386 0 204442 480 4 la_oen[21]
+rlabel metal2 s 262691 3212 262719 3452 4 la_oen[109]
 port 412 nsew
-rlabel metal2 s 207974 0 208030 480 4 la_oen[22]
+rlabel metal2 s 86097 3212 86125 3452 4 la_oen[10]
 port 413 nsew
-rlabel metal2 s 211562 0 211618 480 4 la_oen[23]
+rlabel metal2 s 264485 3212 264513 3452 4 la_oen[110]
 port 414 nsew
-rlabel metal2 s 215150 0 215206 480 4 la_oen[24]
+rlabel metal2 s 266279 3212 266307 3452 4 la_oen[111]
 port 415 nsew
-rlabel metal2 s 218646 0 218702 480 4 la_oen[25]
+rlabel metal2 s 268073 3212 268101 3452 4 la_oen[112]
 port 416 nsew
-rlabel metal2 s 222234 0 222290 480 4 la_oen[26]
+rlabel metal2 s 269867 3212 269895 3452 4 la_oen[113]
 port 417 nsew
-rlabel metal2 s 225822 0 225878 480 4 la_oen[27]
+rlabel metal2 s 271615 3212 271643 3452 4 la_oen[114]
 port 418 nsew
-rlabel metal2 s 229410 0 229466 480 4 la_oen[28]
+rlabel metal2 s 273409 3212 273437 3452 4 la_oen[115]
 port 419 nsew
-rlabel metal2 s 232998 0 233054 480 4 la_oen[29]
+rlabel metal2 s 275203 3212 275231 3452 4 la_oen[116]
 port 420 nsew
-rlabel metal2 s 136582 0 136638 480 4 la_oen[2]
+rlabel metal2 s 276997 3212 277025 3452 4 la_oen[117]
 port 421 nsew
-rlabel metal2 s 236494 0 236550 480 4 la_oen[30]
+rlabel metal2 s 278791 3212 278819 3452 4 la_oen[118]
 port 422 nsew
-rlabel metal2 s 240082 0 240138 480 4 la_oen[31]
+rlabel metal2 s 280539 3212 280567 3452 4 la_oen[119]
 port 423 nsew
-rlabel metal2 s 243670 0 243726 480 4 la_oen[32]
+rlabel metal2 s 87845 3212 87873 3452 4 la_oen[11]
 port 424 nsew
-rlabel metal2 s 247258 0 247314 480 4 la_oen[33]
+rlabel metal2 s 282333 3212 282361 3452 4 la_oen[120]
 port 425 nsew
-rlabel metal2 s 250846 0 250902 480 4 la_oen[34]
+rlabel metal2 s 284127 3212 284155 3452 4 la_oen[121]
 port 426 nsew
-rlabel metal2 s 254342 0 254398 480 4 la_oen[35]
+rlabel metal2 s 285921 3212 285949 3452 4 la_oen[122]
 port 427 nsew
-rlabel metal2 s 257930 0 257986 480 4 la_oen[36]
+rlabel metal2 s 287669 3212 287697 3452 4 la_oen[123]
 port 428 nsew
-rlabel metal2 s 261518 0 261574 480 4 la_oen[37]
+rlabel metal2 s 289463 3212 289491 3452 4 la_oen[124]
 port 429 nsew
-rlabel metal2 s 265106 0 265162 480 4 la_oen[38]
+rlabel metal2 s 291257 3212 291285 3452 4 la_oen[125]
 port 430 nsew
-rlabel metal2 s 268602 0 268658 480 4 la_oen[39]
+rlabel metal2 s 293051 3212 293079 3452 4 la_oen[126]
 port 431 nsew
-rlabel metal2 s 140170 0 140226 480 4 la_oen[3]
+rlabel metal2 s 294845 3212 294873 3452 4 la_oen[127]
 port 432 nsew
-rlabel metal2 s 272190 0 272246 480 4 la_oen[40]
+rlabel metal2 s 89639 3212 89667 3452 4 la_oen[12]
 port 433 nsew
-rlabel metal2 s 275778 0 275834 480 4 la_oen[41]
+rlabel metal2 s 91433 3212 91461 3452 4 la_oen[13]
 port 434 nsew
-rlabel metal2 s 279366 0 279422 480 4 la_oen[42]
+rlabel metal2 s 93227 3212 93255 3452 4 la_oen[14]
 port 435 nsew
-rlabel metal2 s 282954 0 283010 480 4 la_oen[43]
+rlabel metal2 s 95021 3212 95049 3452 4 la_oen[15]
 port 436 nsew
-rlabel metal2 s 286450 0 286506 480 4 la_oen[44]
+rlabel metal2 s 96769 3212 96797 3452 4 la_oen[16]
 port 437 nsew
-rlabel metal2 s 290038 0 290094 480 4 la_oen[45]
+rlabel metal2 s 98563 3212 98591 3452 4 la_oen[17]
 port 438 nsew
-rlabel metal2 s 293626 0 293682 480 4 la_oen[46]
+rlabel metal2 s 100357 3212 100385 3452 4 la_oen[18]
 port 439 nsew
-rlabel metal2 s 297214 0 297270 480 4 la_oen[47]
+rlabel metal2 s 102151 3212 102179 3452 4 la_oen[19]
 port 440 nsew
-rlabel metal2 s 300802 0 300858 480 4 la_oen[48]
+rlabel metal2 s 70043 3212 70071 3452 4 la_oen[1]
 port 441 nsew
-rlabel metal2 s 304298 0 304354 480 4 la_oen[49]
+rlabel metal2 s 103945 3212 103973 3452 4 la_oen[20]
 port 442 nsew
-rlabel metal2 s 143758 0 143814 480 4 la_oen[4]
+rlabel metal2 s 105693 3212 105721 3452 4 la_oen[21]
 port 443 nsew
-rlabel metal2 s 307886 0 307942 480 4 la_oen[50]
+rlabel metal2 s 107487 3212 107515 3452 4 la_oen[22]
 port 444 nsew
-rlabel metal2 s 311474 0 311530 480 4 la_oen[51]
+rlabel metal2 s 109281 3212 109309 3452 4 la_oen[23]
 port 445 nsew
-rlabel metal2 s 315062 0 315118 480 4 la_oen[52]
+rlabel metal2 s 111075 3212 111103 3452 4 la_oen[24]
 port 446 nsew
-rlabel metal2 s 318558 0 318614 480 4 la_oen[53]
+rlabel metal2 s 112823 3212 112851 3452 4 la_oen[25]
 port 447 nsew
-rlabel metal2 s 322146 0 322202 480 4 la_oen[54]
+rlabel metal2 s 114617 3212 114645 3452 4 la_oen[26]
 port 448 nsew
-rlabel metal2 s 325734 0 325790 480 4 la_oen[55]
+rlabel metal2 s 116411 3212 116439 3452 4 la_oen[27]
 port 449 nsew
-rlabel metal2 s 329322 0 329378 480 4 la_oen[56]
+rlabel metal2 s 118205 3212 118233 3452 4 la_oen[28]
 port 450 nsew
-rlabel metal2 s 332910 0 332966 480 4 la_oen[57]
+rlabel metal2 s 119999 3212 120027 3452 4 la_oen[29]
 port 451 nsew
-rlabel metal2 s 336406 0 336462 480 4 la_oen[58]
+rlabel metal2 s 71791 3212 71819 3452 4 la_oen[2]
 port 452 nsew
-rlabel metal2 s 339994 0 340050 480 4 la_oen[59]
+rlabel metal2 s 121747 3212 121775 3452 4 la_oen[30]
 port 453 nsew
-rlabel metal2 s 147346 0 147402 480 4 la_oen[5]
+rlabel metal2 s 123541 3212 123569 3452 4 la_oen[31]
 port 454 nsew
-rlabel metal2 s 343582 0 343638 480 4 la_oen[60]
+rlabel metal2 s 125335 3212 125363 3452 4 la_oen[32]
 port 455 nsew
-rlabel metal2 s 347170 0 347226 480 4 la_oen[61]
+rlabel metal2 s 127129 3212 127157 3452 4 la_oen[33]
 port 456 nsew
-rlabel metal2 s 350758 0 350814 480 4 la_oen[62]
+rlabel metal2 s 128923 3212 128951 3452 4 la_oen[34]
 port 457 nsew
-rlabel metal2 s 354254 0 354310 480 4 la_oen[63]
+rlabel metal2 s 130671 3212 130699 3452 4 la_oen[35]
 port 458 nsew
-rlabel metal2 s 357842 0 357898 480 4 la_oen[64]
+rlabel metal2 s 132465 3212 132493 3452 4 la_oen[36]
 port 459 nsew
-rlabel metal2 s 361430 0 361486 480 4 la_oen[65]
+rlabel metal2 s 134259 3212 134287 3452 4 la_oen[37]
 port 460 nsew
-rlabel metal2 s 365018 0 365074 480 4 la_oen[66]
+rlabel metal2 s 136053 3212 136081 3452 4 la_oen[38]
 port 461 nsew
-rlabel metal2 s 368514 0 368570 480 4 la_oen[67]
+rlabel metal2 s 137801 3212 137829 3452 4 la_oen[39]
 port 462 nsew
-rlabel metal2 s 372102 0 372158 480 4 la_oen[68]
+rlabel metal2 s 73585 3212 73613 3452 4 la_oen[3]
 port 463 nsew
-rlabel metal2 s 375690 0 375746 480 4 la_oen[69]
+rlabel metal2 s 139595 3212 139623 3452 4 la_oen[40]
 port 464 nsew
-rlabel metal2 s 150934 0 150990 480 4 la_oen[6]
+rlabel metal2 s 141389 3212 141417 3452 4 la_oen[41]
 port 465 nsew
-rlabel metal2 s 379278 0 379334 480 4 la_oen[70]
+rlabel metal2 s 143183 3212 143211 3452 4 la_oen[42]
 port 466 nsew
-rlabel metal2 s 382866 0 382922 480 4 la_oen[71]
+rlabel metal2 s 144977 3212 145005 3452 4 la_oen[43]
 port 467 nsew
-rlabel metal2 s 386362 0 386418 480 4 la_oen[72]
+rlabel metal2 s 146725 3212 146753 3452 4 la_oen[44]
 port 468 nsew
-rlabel metal2 s 389950 0 390006 480 4 la_oen[73]
+rlabel metal2 s 148519 3212 148547 3452 4 la_oen[45]
 port 469 nsew
-rlabel metal2 s 393538 0 393594 480 4 la_oen[74]
+rlabel metal2 s 150313 3212 150341 3452 4 la_oen[46]
 port 470 nsew
-rlabel metal2 s 397126 0 397182 480 4 la_oen[75]
+rlabel metal2 s 152107 3212 152135 3452 4 la_oen[47]
 port 471 nsew
-rlabel metal2 s 400714 0 400770 480 4 la_oen[76]
+rlabel metal2 s 153901 3212 153929 3452 4 la_oen[48]
 port 472 nsew
-rlabel metal2 s 404210 0 404266 480 4 la_oen[77]
+rlabel metal2 s 155649 3212 155677 3452 4 la_oen[49]
 port 473 nsew
-rlabel metal2 s 407798 0 407854 480 4 la_oen[78]
+rlabel metal2 s 75379 3212 75407 3452 4 la_oen[4]
 port 474 nsew
-rlabel metal2 s 411386 0 411442 480 4 la_oen[79]
+rlabel metal2 s 157443 3212 157471 3452 4 la_oen[50]
 port 475 nsew
-rlabel metal2 s 154430 0 154486 480 4 la_oen[7]
+rlabel metal2 s 159237 3212 159265 3452 4 la_oen[51]
 port 476 nsew
-rlabel metal2 s 414974 0 415030 480 4 la_oen[80]
+rlabel metal2 s 161031 3212 161059 3452 4 la_oen[52]
 port 477 nsew
-rlabel metal2 s 418470 0 418526 480 4 la_oen[81]
+rlabel metal2 s 162779 3212 162807 3452 4 la_oen[53]
 port 478 nsew
-rlabel metal2 s 422058 0 422114 480 4 la_oen[82]
+rlabel metal2 s 164573 3212 164601 3452 4 la_oen[54]
 port 479 nsew
-rlabel metal2 s 425646 0 425702 480 4 la_oen[83]
+rlabel metal2 s 166367 3212 166395 3452 4 la_oen[55]
 port 480 nsew
-rlabel metal2 s 429234 0 429290 480 4 la_oen[84]
+rlabel metal2 s 168161 3212 168189 3452 4 la_oen[56]
 port 481 nsew
-rlabel metal2 s 432822 0 432878 480 4 la_oen[85]
+rlabel metal2 s 169955 3212 169983 3452 4 la_oen[57]
 port 482 nsew
-rlabel metal2 s 436318 0 436374 480 4 la_oen[86]
+rlabel metal2 s 171703 3212 171731 3452 4 la_oen[58]
 port 483 nsew
-rlabel metal2 s 439906 0 439962 480 4 la_oen[87]
+rlabel metal2 s 173497 3212 173525 3452 4 la_oen[59]
 port 484 nsew
-rlabel metal2 s 443494 0 443550 480 4 la_oen[88]
+rlabel metal2 s 77173 3212 77201 3452 4 la_oen[5]
 port 485 nsew
-rlabel metal2 s 447082 0 447138 480 4 la_oen[89]
+rlabel metal2 s 175291 3212 175319 3452 4 la_oen[60]
 port 486 nsew
-rlabel metal2 s 158018 0 158074 480 4 la_oen[8]
+rlabel metal2 s 177085 3212 177113 3452 4 la_oen[61]
 port 487 nsew
-rlabel metal2 s 450670 0 450726 480 4 la_oen[90]
+rlabel metal2 s 178879 3212 178907 3452 4 la_oen[62]
 port 488 nsew
-rlabel metal2 s 454166 0 454222 480 4 la_oen[91]
+rlabel metal2 s 180627 3212 180655 3452 4 la_oen[63]
 port 489 nsew
-rlabel metal2 s 457754 0 457810 480 4 la_oen[92]
+rlabel metal2 s 182421 3212 182449 3452 4 la_oen[64]
 port 490 nsew
-rlabel metal2 s 461342 0 461398 480 4 la_oen[93]
+rlabel metal2 s 184215 3212 184243 3452 4 la_oen[65]
 port 491 nsew
-rlabel metal2 s 464930 0 464986 480 4 la_oen[94]
+rlabel metal2 s 186009 3212 186037 3452 4 la_oen[66]
 port 492 nsew
-rlabel metal2 s 468426 0 468482 480 4 la_oen[95]
+rlabel metal2 s 187757 3212 187785 3452 4 la_oen[67]
 port 493 nsew
-rlabel metal2 s 472014 0 472070 480 4 la_oen[96]
+rlabel metal2 s 189551 3212 189579 3452 4 la_oen[68]
 port 494 nsew
-rlabel metal2 s 475602 0 475658 480 4 la_oen[97]
+rlabel metal2 s 191345 3212 191373 3452 4 la_oen[69]
 port 495 nsew
-rlabel metal2 s 479190 0 479246 480 4 la_oen[98]
+rlabel metal2 s 78967 3212 78995 3452 4 la_oen[6]
 port 496 nsew
-rlabel metal2 s 482778 0 482834 480 4 la_oen[99]
+rlabel metal2 s 193139 3212 193167 3452 4 la_oen[70]
 port 497 nsew
-rlabel metal2 s 161606 0 161662 480 4 la_oen[9]
+rlabel metal2 s 194933 3212 194961 3452 4 la_oen[71]
 port 498 nsew
-rlabel metal2 s 583886 0 583942 480 4 user_clock2
+rlabel metal2 s 196681 3212 196709 3452 4 la_oen[72]
 port 499 nsew
-rlabel metal2 s 1066 0 1122 480 4 wb_clk_i
+rlabel metal2 s 198475 3212 198503 3452 4 la_oen[73]
 port 500 nsew
-rlabel metal2 s 2170 0 2226 480 4 wb_rst_i
+rlabel metal2 s 200269 3212 200297 3452 4 la_oen[74]
 port 501 nsew
-rlabel metal2 s 3366 0 3422 480 4 wbs_ack_o
+rlabel metal2 s 202063 3212 202091 3452 4 la_oen[75]
 port 502 nsew
-rlabel metal2 s 8150 0 8206 480 4 wbs_adr_i[0]
+rlabel metal2 s 203857 3212 203885 3452 4 la_oen[76]
 port 503 nsew
-rlabel metal2 s 48630 0 48686 480 4 wbs_adr_i[10]
+rlabel metal2 s 205605 3212 205633 3452 4 la_oen[77]
 port 504 nsew
-rlabel metal2 s 52126 0 52182 480 4 wbs_adr_i[11]
+rlabel metal2 s 207399 3212 207427 3452 4 la_oen[78]
 port 505 nsew
-rlabel metal2 s 55714 0 55770 480 4 wbs_adr_i[12]
+rlabel metal2 s 209193 3212 209221 3452 4 la_oen[79]
 port 506 nsew
-rlabel metal2 s 59302 0 59358 480 4 wbs_adr_i[13]
+rlabel metal2 s 80715 3212 80743 3452 4 la_oen[7]
 port 507 nsew
-rlabel metal2 s 62890 0 62946 480 4 wbs_adr_i[14]
+rlabel metal2 s 210987 3212 211015 3452 4 la_oen[80]
 port 508 nsew
-rlabel metal2 s 66478 0 66534 480 4 wbs_adr_i[15]
+rlabel metal2 s 212735 3212 212763 3452 4 la_oen[81]
 port 509 nsew
-rlabel metal2 s 69974 0 70030 480 4 wbs_adr_i[16]
+rlabel metal2 s 214529 3212 214557 3452 4 la_oen[82]
 port 510 nsew
-rlabel metal2 s 73562 0 73618 480 4 wbs_adr_i[17]
+rlabel metal2 s 216323 3212 216351 3452 4 la_oen[83]
 port 511 nsew
-rlabel metal2 s 77150 0 77206 480 4 wbs_adr_i[18]
+rlabel metal2 s 218117 3212 218145 3452 4 la_oen[84]
 port 512 nsew
-rlabel metal2 s 80738 0 80794 480 4 wbs_adr_i[19]
+rlabel metal2 s 219911 3212 219939 3452 4 la_oen[85]
 port 513 nsew
-rlabel metal2 s 12934 0 12990 480 4 wbs_adr_i[1]
+rlabel metal2 s 221659 3212 221687 3452 4 la_oen[86]
 port 514 nsew
-rlabel metal2 s 84326 0 84382 480 4 wbs_adr_i[20]
+rlabel metal2 s 223453 3212 223481 3452 4 la_oen[87]
 port 515 nsew
-rlabel metal2 s 87822 0 87878 480 4 wbs_adr_i[21]
+rlabel metal2 s 225247 3212 225275 3452 4 la_oen[88]
 port 516 nsew
-rlabel metal2 s 91410 0 91466 480 4 wbs_adr_i[22]
+rlabel metal2 s 227041 3212 227069 3452 4 la_oen[89]
 port 517 nsew
-rlabel metal2 s 94998 0 95054 480 4 wbs_adr_i[23]
+rlabel metal2 s 82509 3212 82537 3452 4 la_oen[8]
 port 518 nsew
-rlabel metal2 s 98586 0 98642 480 4 wbs_adr_i[24]
+rlabel metal2 s 228835 3212 228863 3452 4 la_oen[90]
 port 519 nsew
-rlabel metal2 s 102082 0 102138 480 4 wbs_adr_i[25]
+rlabel metal2 s 230583 3212 230611 3452 4 la_oen[91]
 port 520 nsew
-rlabel metal2 s 105670 0 105726 480 4 wbs_adr_i[26]
+rlabel metal2 s 232377 3212 232405 3452 4 la_oen[92]
 port 521 nsew
-rlabel metal2 s 109258 0 109314 480 4 wbs_adr_i[27]
+rlabel metal2 s 234171 3212 234199 3452 4 la_oen[93]
 port 522 nsew
-rlabel metal2 s 112846 0 112902 480 4 wbs_adr_i[28]
+rlabel metal2 s 235965 3212 235993 3452 4 la_oen[94]
 port 523 nsew
-rlabel metal2 s 116434 0 116490 480 4 wbs_adr_i[29]
+rlabel metal2 s 237713 3212 237741 3452 4 la_oen[95]
 port 524 nsew
-rlabel metal2 s 17718 0 17774 480 4 wbs_adr_i[2]
+rlabel metal2 s 239507 3212 239535 3452 4 la_oen[96]
 port 525 nsew
-rlabel metal2 s 119930 0 119986 480 4 wbs_adr_i[30]
+rlabel metal2 s 241301 3212 241329 3452 4 la_oen[97]
 port 526 nsew
-rlabel metal2 s 123518 0 123574 480 4 wbs_adr_i[31]
+rlabel metal2 s 243095 3212 243123 3452 4 la_oen[98]
 port 527 nsew
-rlabel metal2 s 22410 0 22466 480 4 wbs_adr_i[3]
+rlabel metal2 s 244889 3212 244917 3452 4 la_oen[99]
 port 528 nsew
-rlabel metal2 s 27194 0 27250 480 4 wbs_adr_i[4]
+rlabel metal2 s 84303 3212 84331 3452 4 la_oen[9]
 port 529 nsew
-rlabel metal2 s 30782 0 30838 480 4 wbs_adr_i[5]
+rlabel metal2 s 295443 3212 295471 3452 4 user_clock2
 port 530 nsew
-rlabel metal2 s 34370 0 34426 480 4 wbs_adr_i[6]
+rlabel metal2 s 4033 3212 4061 3452 4 wb_clk_i
 port 531 nsew
-rlabel metal2 s 37866 0 37922 480 4 wbs_adr_i[7]
+rlabel metal2 s 4585 3212 4613 3452 4 wb_rst_i
 port 532 nsew
-rlabel metal2 s 41454 0 41510 480 4 wbs_adr_i[8]
+rlabel metal2 s 5183 3212 5211 3452 4 wbs_ack_o
 port 533 nsew
-rlabel metal2 s 45042 0 45098 480 4 wbs_adr_i[9]
+rlabel metal2 s 7575 3212 7603 3452 4 wbs_adr_i[0]
 port 534 nsew
-rlabel metal2 s 4562 0 4618 480 4 wbs_cyc_i
+rlabel metal2 s 27815 3212 27843 3452 4 wbs_adr_i[10]
 port 535 nsew
-rlabel metal2 s 9346 0 9402 480 4 wbs_dat_i[0]
+rlabel metal2 s 29563 3212 29591 3452 4 wbs_adr_i[11]
 port 536 nsew
-rlabel metal2 s 49826 0 49882 480 4 wbs_dat_i[10]
+rlabel metal2 s 31357 3212 31385 3452 4 wbs_adr_i[12]
 port 537 nsew
-rlabel metal2 s 53322 0 53378 480 4 wbs_dat_i[11]
+rlabel metal2 s 33151 3212 33179 3452 4 wbs_adr_i[13]
 port 538 nsew
-rlabel metal2 s 56910 0 56966 480 4 wbs_dat_i[12]
+rlabel metal2 s 34945 3212 34973 3452 4 wbs_adr_i[14]
 port 539 nsew
-rlabel metal2 s 60498 0 60554 480 4 wbs_dat_i[13]
+rlabel metal2 s 36739 3212 36767 3452 4 wbs_adr_i[15]
 port 540 nsew
-rlabel metal2 s 64086 0 64142 480 4 wbs_dat_i[14]
+rlabel metal2 s 38487 3212 38515 3452 4 wbs_adr_i[16]
 port 541 nsew
-rlabel metal2 s 67674 0 67730 480 4 wbs_dat_i[15]
+rlabel metal2 s 40281 3212 40309 3452 4 wbs_adr_i[17]
 port 542 nsew
-rlabel metal2 s 71170 0 71226 480 4 wbs_dat_i[16]
+rlabel metal2 s 42075 3212 42103 3452 4 wbs_adr_i[18]
 port 543 nsew
-rlabel metal2 s 74758 0 74814 480 4 wbs_dat_i[17]
+rlabel metal2 s 43869 3212 43897 3452 4 wbs_adr_i[19]
 port 544 nsew
-rlabel metal2 s 78346 0 78402 480 4 wbs_dat_i[18]
+rlabel metal2 s 9967 3212 9995 3452 4 wbs_adr_i[1]
 port 545 nsew
-rlabel metal2 s 81934 0 81990 480 4 wbs_dat_i[19]
+rlabel metal2 s 45663 3212 45691 3452 4 wbs_adr_i[20]
 port 546 nsew
-rlabel metal2 s 14130 0 14186 480 4 wbs_dat_i[1]
+rlabel metal2 s 47411 3212 47439 3452 4 wbs_adr_i[21]
 port 547 nsew
-rlabel metal2 s 85430 0 85486 480 4 wbs_dat_i[20]
+rlabel metal2 s 49205 3212 49233 3452 4 wbs_adr_i[22]
 port 548 nsew
-rlabel metal2 s 89018 0 89074 480 4 wbs_dat_i[21]
+rlabel metal2 s 50999 3212 51027 3452 4 wbs_adr_i[23]
 port 549 nsew
-rlabel metal2 s 92606 0 92662 480 4 wbs_dat_i[22]
+rlabel metal2 s 52793 3212 52821 3452 4 wbs_adr_i[24]
 port 550 nsew
-rlabel metal2 s 96194 0 96250 480 4 wbs_dat_i[23]
+rlabel metal2 s 54541 3212 54569 3452 4 wbs_adr_i[25]
 port 551 nsew
-rlabel metal2 s 99782 0 99838 480 4 wbs_dat_i[24]
+rlabel metal2 s 56335 3212 56363 3452 4 wbs_adr_i[26]
 port 552 nsew
-rlabel metal2 s 103278 0 103334 480 4 wbs_dat_i[25]
+rlabel metal2 s 58129 3212 58157 3452 4 wbs_adr_i[27]
 port 553 nsew
-rlabel metal2 s 106866 0 106922 480 4 wbs_dat_i[26]
+rlabel metal2 s 59923 3212 59951 3452 4 wbs_adr_i[28]
 port 554 nsew
-rlabel metal2 s 110454 0 110510 480 4 wbs_dat_i[27]
+rlabel metal2 s 61717 3212 61745 3452 4 wbs_adr_i[29]
 port 555 nsew
-rlabel metal2 s 114042 0 114098 480 4 wbs_dat_i[28]
+rlabel metal2 s 12359 3212 12387 3452 4 wbs_adr_i[2]
 port 556 nsew
-rlabel metal2 s 117630 0 117686 480 4 wbs_dat_i[29]
+rlabel metal2 s 63465 3212 63493 3452 4 wbs_adr_i[30]
 port 557 nsew
-rlabel metal2 s 18822 0 18878 480 4 wbs_dat_i[2]
+rlabel metal2 s 65259 3212 65287 3452 4 wbs_adr_i[31]
 port 558 nsew
-rlabel metal2 s 121126 0 121182 480 4 wbs_dat_i[30]
+rlabel metal2 s 14705 3212 14733 3452 4 wbs_adr_i[3]
 port 559 nsew
-rlabel metal2 s 124714 0 124770 480 4 wbs_dat_i[31]
+rlabel metal2 s 17097 3212 17125 3452 4 wbs_adr_i[4]
 port 560 nsew
-rlabel metal2 s 23606 0 23662 480 4 wbs_dat_i[3]
+rlabel metal2 s 18891 3212 18919 3452 4 wbs_adr_i[5]
 port 561 nsew
-rlabel metal2 s 28390 0 28446 480 4 wbs_dat_i[4]
+rlabel metal2 s 20685 3212 20713 3452 4 wbs_adr_i[6]
 port 562 nsew
-rlabel metal2 s 31978 0 32034 480 4 wbs_dat_i[5]
+rlabel metal2 s 22433 3212 22461 3452 4 wbs_adr_i[7]
 port 563 nsew
-rlabel metal2 s 35474 0 35530 480 4 wbs_dat_i[6]
+rlabel metal2 s 24227 3212 24255 3452 4 wbs_adr_i[8]
 port 564 nsew
-rlabel metal2 s 39062 0 39118 480 4 wbs_dat_i[7]
+rlabel metal2 s 26021 3212 26049 3452 4 wbs_adr_i[9]
 port 565 nsew
-rlabel metal2 s 42650 0 42706 480 4 wbs_dat_i[8]
+rlabel metal2 s 5781 3212 5809 3452 4 wbs_cyc_i
 port 566 nsew
-rlabel metal2 s 46238 0 46294 480 4 wbs_dat_i[9]
+rlabel metal2 s 8173 3212 8201 3452 4 wbs_dat_i[0]
 port 567 nsew
-rlabel metal2 s 10542 0 10598 480 4 wbs_dat_o[0]
+rlabel metal2 s 28413 3212 28441 3452 4 wbs_dat_i[10]
 port 568 nsew
-rlabel metal2 s 51022 0 51078 480 4 wbs_dat_o[10]
+rlabel metal2 s 30161 3212 30189 3452 4 wbs_dat_i[11]
 port 569 nsew
-rlabel metal2 s 54518 0 54574 480 4 wbs_dat_o[11]
+rlabel metal2 s 31955 3212 31983 3452 4 wbs_dat_i[12]
 port 570 nsew
-rlabel metal2 s 58106 0 58162 480 4 wbs_dat_o[12]
+rlabel metal2 s 33749 3212 33777 3452 4 wbs_dat_i[13]
 port 571 nsew
-rlabel metal2 s 61694 0 61750 480 4 wbs_dat_o[13]
+rlabel metal2 s 35543 3212 35571 3452 4 wbs_dat_i[14]
 port 572 nsew
-rlabel metal2 s 65282 0 65338 480 4 wbs_dat_o[14]
+rlabel metal2 s 37337 3212 37365 3452 4 wbs_dat_i[15]
 port 573 nsew
-rlabel metal2 s 68778 0 68834 480 4 wbs_dat_o[15]
+rlabel metal2 s 39085 3212 39113 3452 4 wbs_dat_i[16]
 port 574 nsew
-rlabel metal2 s 72366 0 72422 480 4 wbs_dat_o[16]
+rlabel metal2 s 40879 3212 40907 3452 4 wbs_dat_i[17]
 port 575 nsew
-rlabel metal2 s 75954 0 76010 480 4 wbs_dat_o[17]
+rlabel metal2 s 42673 3212 42701 3452 4 wbs_dat_i[18]
 port 576 nsew
-rlabel metal2 s 79542 0 79598 480 4 wbs_dat_o[18]
+rlabel metal2 s 44467 3212 44495 3452 4 wbs_dat_i[19]
 port 577 nsew
-rlabel metal2 s 83130 0 83186 480 4 wbs_dat_o[19]
+rlabel metal2 s 10565 3212 10593 3452 4 wbs_dat_i[1]
 port 578 nsew
-rlabel metal2 s 15326 0 15382 480 4 wbs_dat_o[1]
+rlabel metal2 s 46215 3212 46243 3452 4 wbs_dat_i[20]
 port 579 nsew
-rlabel metal2 s 86626 0 86682 480 4 wbs_dat_o[20]
+rlabel metal2 s 48009 3212 48037 3452 4 wbs_dat_i[21]
 port 580 nsew
-rlabel metal2 s 90214 0 90270 480 4 wbs_dat_o[21]
+rlabel metal2 s 49803 3212 49831 3452 4 wbs_dat_i[22]
 port 581 nsew
-rlabel metal2 s 93802 0 93858 480 4 wbs_dat_o[22]
+rlabel metal2 s 51597 3212 51625 3452 4 wbs_dat_i[23]
 port 582 nsew
-rlabel metal2 s 97390 0 97446 480 4 wbs_dat_o[23]
+rlabel metal2 s 53391 3212 53419 3452 4 wbs_dat_i[24]
 port 583 nsew
-rlabel metal2 s 100978 0 101034 480 4 wbs_dat_o[24]
+rlabel metal2 s 55139 3212 55167 3452 4 wbs_dat_i[25]
 port 584 nsew
-rlabel metal2 s 104474 0 104530 480 4 wbs_dat_o[25]
+rlabel metal2 s 56933 3212 56961 3452 4 wbs_dat_i[26]
 port 585 nsew
-rlabel metal2 s 108062 0 108118 480 4 wbs_dat_o[26]
+rlabel metal2 s 58727 3212 58755 3452 4 wbs_dat_i[27]
 port 586 nsew
-rlabel metal2 s 111650 0 111706 480 4 wbs_dat_o[27]
+rlabel metal2 s 60521 3212 60549 3452 4 wbs_dat_i[28]
 port 587 nsew
-rlabel metal2 s 115238 0 115294 480 4 wbs_dat_o[28]
+rlabel metal2 s 62315 3212 62343 3452 4 wbs_dat_i[29]
 port 588 nsew
-rlabel metal2 s 118734 0 118790 480 4 wbs_dat_o[29]
+rlabel metal2 s 12911 3212 12939 3452 4 wbs_dat_i[2]
 port 589 nsew
-rlabel metal2 s 20018 0 20074 480 4 wbs_dat_o[2]
+rlabel metal2 s 64063 3212 64091 3452 4 wbs_dat_i[30]
 port 590 nsew
-rlabel metal2 s 122322 0 122378 480 4 wbs_dat_o[30]
+rlabel metal2 s 65857 3212 65885 3452 4 wbs_dat_i[31]
 port 591 nsew
-rlabel metal2 s 125910 0 125966 480 4 wbs_dat_o[31]
+rlabel metal2 s 15303 3212 15331 3452 4 wbs_dat_i[3]
 port 592 nsew
-rlabel metal2 s 24802 0 24858 480 4 wbs_dat_o[3]
+rlabel metal2 s 17695 3212 17723 3452 4 wbs_dat_i[4]
 port 593 nsew
-rlabel metal2 s 29586 0 29642 480 4 wbs_dat_o[4]
+rlabel metal2 s 19489 3212 19517 3452 4 wbs_dat_i[5]
 port 594 nsew
-rlabel metal2 s 33174 0 33230 480 4 wbs_dat_o[5]
+rlabel metal2 s 21237 3212 21265 3452 4 wbs_dat_i[6]
 port 595 nsew
-rlabel metal2 s 36670 0 36726 480 4 wbs_dat_o[6]
+rlabel metal2 s 23031 3212 23059 3452 4 wbs_dat_i[7]
 port 596 nsew
-rlabel metal2 s 40258 0 40314 480 4 wbs_dat_o[7]
+rlabel metal2 s 24825 3212 24853 3452 4 wbs_dat_i[8]
 port 597 nsew
-rlabel metal2 s 43846 0 43902 480 4 wbs_dat_o[8]
+rlabel metal2 s 26619 3212 26647 3452 4 wbs_dat_i[9]
 port 598 nsew
-rlabel metal2 s 47434 0 47490 480 4 wbs_dat_o[9]
+rlabel metal2 s 8771 3212 8799 3452 4 wbs_dat_o[0]
 port 599 nsew
-rlabel metal2 s 11738 0 11794 480 4 wbs_sel_i[0]
+rlabel metal2 s 29011 3212 29039 3452 4 wbs_dat_o[10]
 port 600 nsew
-rlabel metal2 s 16522 0 16578 480 4 wbs_sel_i[1]
+rlabel metal2 s 30759 3212 30787 3452 4 wbs_dat_o[11]
 port 601 nsew
-rlabel metal2 s 21214 0 21270 480 4 wbs_sel_i[2]
+rlabel metal2 s 32553 3212 32581 3452 4 wbs_dat_o[12]
 port 602 nsew
-rlabel metal2 s 25998 0 26054 480 4 wbs_sel_i[3]
+rlabel metal2 s 34347 3212 34375 3452 4 wbs_dat_o[13]
 port 603 nsew
-rlabel metal2 s 5758 0 5814 480 4 wbs_stb_i
+rlabel metal2 s 36141 3212 36169 3452 4 wbs_dat_o[14]
 port 604 nsew
-rlabel metal2 s 6954 0 7010 480 4 wbs_we_i
+rlabel metal2 s 37889 3212 37917 3452 4 wbs_dat_o[15]
 port 605 nsew
-rlabel metal5 s 800 1376 584116 1776 4 vccd1
+rlabel metal2 s 39683 3212 39711 3452 4 wbs_dat_o[16]
 port 606 nsew
-rlabel metal5 s 0 576 584916 976 4 vssd1
+rlabel metal2 s 41477 3212 41505 3452 4 wbs_dat_o[17]
 port 607 nsew
+rlabel metal2 s 43271 3212 43299 3452 4 wbs_dat_o[18]
+port 608 nsew
+rlabel metal2 s 45065 3212 45093 3452 4 wbs_dat_o[19]
+port 609 nsew
+rlabel metal2 s 11163 3212 11191 3452 4 wbs_dat_o[1]
+port 610 nsew
+rlabel metal2 s 46813 3212 46841 3452 4 wbs_dat_o[20]
+port 611 nsew
+rlabel metal2 s 48607 3212 48635 3452 4 wbs_dat_o[21]
+port 612 nsew
+rlabel metal2 s 50401 3212 50429 3452 4 wbs_dat_o[22]
+port 613 nsew
+rlabel metal2 s 52195 3212 52223 3452 4 wbs_dat_o[23]
+port 614 nsew
+rlabel metal2 s 53989 3212 54017 3452 4 wbs_dat_o[24]
+port 615 nsew
+rlabel metal2 s 55737 3212 55765 3452 4 wbs_dat_o[25]
+port 616 nsew
+rlabel metal2 s 57531 3212 57559 3452 4 wbs_dat_o[26]
+port 617 nsew
+rlabel metal2 s 59325 3212 59353 3452 4 wbs_dat_o[27]
+port 618 nsew
+rlabel metal2 s 61119 3212 61147 3452 4 wbs_dat_o[28]
+port 619 nsew
+rlabel metal2 s 62867 3212 62895 3452 4 wbs_dat_o[29]
+port 620 nsew
+rlabel metal2 s 13509 3212 13537 3452 4 wbs_dat_o[2]
+port 621 nsew
+rlabel metal2 s 64661 3212 64689 3452 4 wbs_dat_o[30]
+port 622 nsew
+rlabel metal2 s 66455 3212 66483 3452 4 wbs_dat_o[31]
+port 623 nsew
+rlabel metal2 s 15901 3212 15929 3452 4 wbs_dat_o[3]
+port 624 nsew
+rlabel metal2 s 18293 3212 18321 3452 4 wbs_dat_o[4]
+port 625 nsew
+rlabel metal2 s 20087 3212 20115 3452 4 wbs_dat_o[5]
+port 626 nsew
+rlabel metal2 s 21835 3212 21863 3452 4 wbs_dat_o[6]
+port 627 nsew
+rlabel metal2 s 23629 3212 23657 3452 4 wbs_dat_o[7]
+port 628 nsew
+rlabel metal2 s 25423 3212 25451 3452 4 wbs_dat_o[8]
+port 629 nsew
+rlabel metal2 s 27217 3212 27245 3452 4 wbs_dat_o[9]
+port 630 nsew
+rlabel metal2 s 9369 3212 9397 3452 4 wbs_sel_i[0]
+port 631 nsew
+rlabel metal2 s 11761 3212 11789 3452 4 wbs_sel_i[1]
+port 632 nsew
+rlabel metal2 s 14107 3212 14135 3452 4 wbs_sel_i[2]
+port 633 nsew
+rlabel metal2 s 16499 3212 16527 3452 4 wbs_sel_i[3]
+port 634 nsew
+rlabel metal2 s 6379 3212 6407 3452 4 wbs_stb_i
+port 635 nsew
+rlabel metal2 s 6977 3212 7005 3452 4 wbs_we_i
+port 636 nsew
+rlabel metal5 s 2800 2800 296658 3000 4 vccd1
+port 637 nsew
+rlabel metal5 s 2400 2400 297058 2600 4 vssd1
+port 638 nsew
+rlabel metal5 s 2000 2000 297458 2200 4 vccd2
+port 639 nsew
+rlabel metal5 s 1600 1600 297858 1800 4 vssd2
+port 640 nsew
+rlabel metal5 s 1200 1200 298258 1400 4 vdda1
+port 641 nsew
+rlabel metal5 s 800 800 298658 1000 4 vssa1
+port 642 nsew
+rlabel metal5 s 400 400 299058 600 4 vdda2
+port 643 nsew
+rlabel metal5 s 0 0 299458 200 4 vssa2
+port 644 nsew
 << properties >>
-string FIXED_BBOX 0 0 584916 704000
+string FIXED_BBOX 0 0 299458 358392
+string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
+string GDS_END 5390882
+string GDS_START 5141968
 << end >>
diff --git a/openlane/user_project_wrapper_empty/config.tcl b/openlane/user_project_wrapper_empty/config.tcl
new file mode 100644
index 0000000..b4bcde0
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/config.tcl
@@ -0,0 +1,30 @@
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) user_project_wrapper
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(CLOCK_PORT) "user_clock2"
+set ::env(CLOCK_NET) "mprj.clk"
+
+set ::env(CLOCK_PERIOD) "10"
+
+set ::env(PDN_CFG) $script_dir/pdn.tcl
+set ::env(FP_PDN_CORE_RING) 1
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 2920 3520"
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
+set ::env(DIODE_INSERTION_STRATEGY) 0
+
+set ::env(VERILOG_FILES) "\
+	$script_dir/../../verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/user_project_wrapper.v"
+
+set ::env(VERILOG_FILES_BLACKBOX) "\
+	$script_dir/../../verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/user_proj_example.v"
+
+set ::env(EXTRA_LEFS) "\
+	$script_dir/../../lef/user_proj_example.lef"
+
+set ::env(EXTRA_GDS_FILES) "\
+	$script_dir/../../gds/user_proj_example.gds"
diff --git a/openlane/user_project_wrapper_empty/interactive.tcl b/openlane/user_project_wrapper_empty/interactive.tcl
new file mode 100644
index 0000000..4b4f885
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/interactive.tcl
@@ -0,0 +1,55 @@
+package require openlane
+set script_dir [file dirname [file normalize [info script]]]
+
+prep -design $script_dir -tag user_project_wrapper -overwrite
+set save_path $script_dir/../..
+
+verilog_elaborate
+
+init_floorplan
+
+place_io_ol
+
+set gap 2.4
+add_macro_obs \
+	-defFile $::env(CURRENT_DEF) \
+	-lefFile $::env(MERGED_LEF_UNPADDED) \
+	-obstruction core_obs \
+	-placementX $gap \
+	-placementY $gap \
+	-sizeWidth [expr [lindex $::env(DIE_AREA) 2]-$gap*2] \
+	-sizeHeight [expr [lindex $::env(DIE_AREA) 3]-$gap*2] \
+	-fixed 1 \
+	-layerNames "met1 met2 met3 met4 met5"
+
+set power_domains [list {vccd1 vssd1} {vccd2 vssd2} {vdda1 vssa1} {vdda2 vssa2}]
+
+set ::env(_VDD_NET_NAME) vccd1
+set ::env(_GND_NET_NAME) vssd1
+set ::env(_V_OFFSET) 14
+set ::env(_H_OFFSET) $::env(_V_OFFSET)
+set ::env(_V_PITCH) 100
+set ::env(_H_PITCH) 100
+set ::env(_V_PDN_OFFSET) 0
+set ::env(_H_PDN_OFFSET) 0
+
+foreach domain $power_domains {
+	set ::env(_VDD_NET_NAME) [lindex $domain 0]
+	set ::env(_GND_NET_NAME) [lindex $domain 1]
+	gen_pdn
+
+	set ::env(_V_OFFSET) [expr $::env(_V_OFFSET) + 8]
+	set ::env(_H_OFFSET) [expr $::env(_H_OFFSET) + 8]
+	set ::env(_V_PDN_OFFSET) [expr $::env(_V_PDN_OFFSET)+9.6]
+	set ::env(_H_PDN_OFFSET) [expr $::env(_H_PDN_OFFSET)+9.6]
+}
+
+run_magic
+run_magic_spice_export
+
+save_views       -lef_path $::env(magic_result_file_tag).lef \
+                 -def_path $::env(tritonRoute_result_file_tag).def \
+                 -gds_path $::env(magic_result_file_tag).gds \
+                 -mag_path $::env(magic_result_file_tag).mag \
+                 -save_path $save_path \
+                 -tag $::env(RUN_TAG)
diff --git a/openlane/user_project_wrapper_empty/pdn.tcl b/openlane/user_project_wrapper_empty/pdn.tcl
new file mode 100644
index 0000000..09b12a0
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/pdn.tcl
@@ -0,0 +1,47 @@
+# Power nets
+set ::power_nets $::env(_VDD_NET_NAME)
+set ::ground_nets $::env(_GND_NET_NAME)
+
+pdngen::specify_grid stdcell {
+    name grid
+	core_ring {
+		met5 {width 2 spacing 2 core_offset $::env(_H_OFFSET)}
+		met4 {width 2 spacing 2 core_offset $::env(_V_OFFSET)}
+	}
+	rails {
+	}
+    straps {
+	    met4 {width 2 pitch $::env(_V_PITCH) offset $::env(_V_PDN_OFFSET)}
+	    met5 {width 2 pitch $::env(_H_PITCH) offset $::env(_H_PDN_OFFSET)}
+    }
+    connect {{met4 met5}}
+}
+
+pdngen::specify_grid macro {
+	instance "obs_core_obs"
+    power_pins $::env(_VDD_NET_NAME)
+    ground_pins $::env(_GND_NET_NAME)
+    blockages "li1 met1 met2 met3 met4 met5"
+    straps { 
+    } 
+    connect {}
+}
+
+
+pdngen::specify_grid macro {
+    power_pins $::env(_VDD_NET_NAME)
+    ground_pins $::env(_GND_NET_NAME)
+    blockages ""
+    straps { 
+    } 
+    connect {}
+}
+
+set ::halo 0
+
+# POWER or GROUND #Std. cell rails starting with power or ground rails at the bottom of the core area
+set ::rails_start_with "POWER" ;
+
+# POWER or GROUND #Upper metal stripes starting with power or ground rails at the left/bottom of the core area
+set ::stripes_start_with "POWER" ;
+
diff --git a/openlane/user_project_wrapper_empty/pin_order.cfg b/openlane/user_project_wrapper_empty/pin_order.cfg
new file mode 100644
index 0000000..6de1406
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/pin_order.cfg
@@ -0,0 +1,157 @@
+#BUS_SORT
+#NR
+analog_io\[15\]
+io_in\[15\]
+io_out\[15\]
+io_oeb\[15\]
+analog_io\[16\]
+io_in\[16\]
+io_out\[16\]
+io_oeb\[16\]
+analog_io\[17\]
+io_in\[17\]
+io_out\[17\]
+io_oeb\[17\]
+analog_io\[18\]
+io_in\[18\]
+io_out\[18\]
+io_oeb\[18\]
+analog_io\[19\]
+io_in\[19\]
+io_out\[19\]
+io_oeb\[19\]
+analog_io\[20\]
+io_in\[20\]
+io_out\[20\]
+io_oeb\[20\]
+analog_io\[21\]
+io_in\[21\]
+io_out\[21\]
+io_oeb\[21\]
+analog_io\[22\]
+io_in\[22\]
+io_out\[22\]
+io_oeb\[22\]
+analog_io\[23\]
+io_in\[23\]
+io_out\[23\]
+io_oeb\[23\]
+
+#S
+wb_.*
+wbs_.*
+la_.*
+user_clock2
+
+#E
+analog_io\[0\]
+io_in\[0\]
+io_out\[0\]
+io_oeb\[0\]
+analog_io\[1\]
+io_in\[1\]
+io_out\[1\]
+io_oeb\[1\]
+analog_io\[2\]
+io_in\[2\]
+io_out\[2\]
+io_oeb\[2\]
+analog_io\[3\]
+io_in\[3\]
+io_out\[3\]
+io_oeb\[3\]
+analog_io\[4\]
+io_in\[4\]
+io_out\[4\]
+io_oeb\[4\]
+analog_io\[5\]
+io_in\[5\]
+io_out\[5\]
+io_oeb\[5\]
+analog_io\[6\]
+io_in\[6\]
+io_out\[6\]
+io_oeb\[6\]
+analog_io\[7\]
+io_in\[7\]
+io_out\[7\]
+io_oeb\[7\]
+analog_io\[8\]
+io_in\[8\]
+io_out\[8\]
+io_oeb\[8\]
+analog_io\[9\]
+io_in\[9\]
+io_out\[9\]
+io_oeb\[9\]
+analog_io\[10\]
+io_in\[10\]
+io_out\[10\]
+io_oeb\[10\]
+analog_io\[11\]
+io_in\[11\]
+io_out\[11\]
+io_oeb\[11\]
+analog_io\[12\]
+io_in\[12\]
+io_out\[12\]
+io_oeb\[12\]
+analog_io\[13\]
+io_in\[13\]
+io_out\[13\]
+io_oeb\[13\]
+analog_io\[14\]
+io_in\[14\]
+io_out\[14\]
+io_oeb\[14\]
+
+#WR
+analog_io\[24\]
+io_in\[24\]
+io_out\[24\]
+io_oeb\[24\]
+analog_io\[25\]
+io_in\[25\]
+io_out\[25\]
+io_oeb\[25\]
+analog_io\[26\]
+io_in\[26\]
+io_out\[26\]
+io_oeb\[26\]
+analog_io\[27\]
+io_in\[27\]
+io_out\[27\]
+io_oeb\[27\]
+analog_io\[28\]
+io_in\[28\]
+io_out\[28\]
+io_oeb\[28\]
+analog_io\[29\]
+io_in\[29\]
+io_out\[29\]
+io_oeb\[29\]
+analog_io\[30\]
+io_in\[30\]
+io_out\[30\]
+io_oeb\[30\]
+io_in\[31\]
+io_out\[31\]
+io_oeb\[31\]
+io_in\[32\]
+io_out\[32\]
+io_oeb\[32\]
+io_in\[33\]
+io_out\[33\]
+io_oeb\[33\]
+io_in\[34\]
+io_out\[34\]
+io_oeb\[34\]
+io_in\[35\]
+io_out\[35\]
+io_oeb\[35\]
+io_in\[36\]
+io_out\[36\]
+io_oeb\[36\]
+io_in\[37\]
+io_out\[37\]
+io_oeb\[37\]
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log
new file mode 100644
index 0000000..ec87876
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log
@@ -0,0 +1,34 @@
+Thu Nov 26 05:38:30 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
+
+Thu Nov 26 05:38:30 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef /project/openlane/user_project_wrapper_empty/../../lef/user_proj_example.lef -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
+
+Thu Nov 26 05:38:30 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib"
+
+Thu Nov 26 05:38:31 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log |& tee >&@stdout"
+
+Thu Nov 26 05:38:31 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper_empty\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl"
+
+Thu Nov 26 05:38:31 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl"
+
+Thu Nov 26 05:38:31 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log"
+
+Thu Nov 26 05:38:31 UTC 2020 - Executing "python3 /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef --input-def /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def --config /project/openlane/user_project_wrapper_empty/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 2 --hor-width-mult 2 --length-mult 4 -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def |& tee /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log >&@stdout"
+
+Thu Nov 26 05:38:32 UTC 2020 - Executing "python3 /openLANE_flow/scripts/addObstruction.py -d /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef -obs core_obs -ln met1 met2 met3 met4 met5 -px 2.4 -py 2.4 -sw 2915.2 -sh 3515.2 -db 1000 -f"
+
+Thu Nov 26 05:38:32 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
+
+Thu Nov 26 05:38:33 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
+
+Thu Nov 26 05:38:33 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
+
+Thu Nov 26 05:38:34 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
+
+Thu Nov 26 05:38:34 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log"
+
+Thu Nov 26 05:38:49 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_mag.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log"
+
+Thu Nov 26 05:38:51 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_maglef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log"
+
+Thu Nov 26 05:38:51 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic_spice.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_spice.log"
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl
new file mode 100644
index 0000000..add4d70
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl
@@ -0,0 +1,84 @@
+# General config
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+set ::env(PDK_ROOT) "/home/xrex/usr/devel/pdks"
+set ::env(CELL_PAD) "8"
+set ::env(MERGED_LEF) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(MERGED_LEF_UNPADDED) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(TRACKS_INFO_FILE) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info"
+set ::env(TECH_LEF) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+# Design config
+set ::env(CLOCK_PERIOD) "10"
+# Synthesis config
+set ::env(LIB_SYNTH) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib"
+set ::env(LIB_SYNTH_COMPLETE) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
+set ::env(SYNTH_CAP_LOAD) "17.65"
+set ::env(SYNTH_MAX_FANOUT) "5"
+set ::env(SYNTH_NO_FLAT) "0"
+set ::env(SYNTH_MAX_TRAN) "[expr {0.1*10}]"
+set ::env(LIB_FASTEST) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
+set ::env(LIB_SLOWEST) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_TYPICAL) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(SYNTH_SCRIPT) "/openLANE_flow/scripts/synth.tcl"
+set ::env(SYNTH_STRATEGY) "2"
+set ::env(CLOCK_BUFFER_FANOUT) "16"
+set ::env(BASE_SDC_FILE) "/openLANE_flow/scripts/base.sdc"
+# Floorplan config
+set ::env(FP_CORE_UTIL) "50"
+set ::env(FP_ASPECT_RATIO) "1"
+set ::env(FP_CORE_MARGIN) "0"
+set ::env(FP_IO_HMETAL) "4"
+set ::env(FP_IO_VMETAL) "3"
+set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
+set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
+set ::env(FP_PDN_VOFFSET) "16.32"
+set ::env(FP_PDN_VPITCH) "153.6"
+set ::env(FP_PDN_HOFFSET) "16.65"
+set ::env(FP_PDN_HPITCH) "153.18"
+set ::env(FP_TAPCELL_DIST) "14"
+# Placement config
+set ::env(PL_TARGET_DENSITY) "0.55"
+set ::env(PL_TIME_DRIVEN) "0"
+set ::env(PL_LIB) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(PL_BASIC_PLACEMENT) "0"
+set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) "0"
+set ::env(PSN_ENABLE_RESIZING) "1"
+set ::env(PSN_ENABLE_PIN_SWAP) "1"
+set ::env(PL_RESIZER_OVERBUFFER) "0"
+# CTS config
+set ::env(CTS_TARGET_SKEW) "200"
+set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(CTS_TECH_DIR) "N/A"
+set ::env(CTS_TOLERANCE) "100"
+# Routing config
+set ::env(GLB_RT_MAXLAYER) "6"
+set ::env(GLB_RT_ADJUSTMENT) "0"
+set ::env(GLB_RT_L1_ADJUSTMENT) "0.99"
+set ::env(GLB_RT_L2_ADJUSTMENT) "0"
+set ::env(GLB_RT_MINLAYER) "1"
+set ::env(GLB_RT_MAXLAYER) "6"
+set ::env(GLB_RT_UNIDIRECTIONAL) "1"
+set ::env(GLB_RT_ALLOW_CONGESTION) "0"
+set ::env(GLB_RT_OVERFLOW_ITERS) "50"
+set ::env(GLB_RT_TILES) "15"
+set ::env(GLB_RT_ESTIMATE_PARASITICS) "0"
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) "1"
+set ::env(DIODE_PADDING) "2"
+set ::env(SPEF_WIRE_MODEL) "PI"
+set ::env(SPEF_EDGE_CAP_FACTOR) "1"
+# Flow control config
+set ::env(RUN_SIMPLE_CTS) "0"
+set ::env(RUN_ROUTING_DETAILED) "1"
+set ::env(CLOCK_TREE_SYNTH) "1"
+set ::env(LEC_ENABLE) "0"
+set ::env(FILL_INSERTION) "1"
+set ::env(DIODE_INSERTION_STRATEGY) "0"
+set ::env(CHECK_ASSIGN_STATEMENTS) "0"
+set ::env(CHECK_UNMAPPED_CELLS) "1"
+set ::env(USE_ARC_ANTENNA_CHECK) "1"
+set ::env(RUN_SPEF_EXTRACTION) "1"
+set ::env(CURRENT_DEF) /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
+set ::env(CURRENT_NETLIST) /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
+set ::env(PREV_NETLIST) 0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log
new file mode 100644
index 0000000..fdecdfc
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log
@@ -0,0 +1,48 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 440 library cells
+Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
+Notice 0: Design: user_project_wrapper
+Notice 0:     Created 642 pins.
+Notice 0:     Created 2 components and 606 component-terminals.
+Notice 0:     Created 6 special nets and 0 connections.
+Notice 0:     Created 636 nets and 604 connections.
+Notice 0: Finished DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
+[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN
+[INFO] [PDNG-0016]   config: /project/openlane/user_project_wrapper_empty/pdn.tcl
+[INFO] [PDNG-0008] Design Name is user_project_wrapper
+[INFO] [PDNG-0009] Reading technology data
+[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance obs_core_obs (obs_core_obs)
+[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance obs_core_obs (obs_core_obs)
+[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance mprj (user_proj_example)
+[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance mprj (user_proj_example)
+[INFO] [PDNG-0011] ****** INFO ******
+Type: stdcell, grid
+    Core Rings
+      Layer: met5 -  width: 2.000  spacing: 2.000  core_offset: 38.000 
+      Layer: met4 -  width: 2.000  spacing: 2.000  core_offset: 38.000 
+    Stdcell Rails
+    Straps
+      Layer: met4 -  width: 2.000  pitch: 100.000  offset: 28.800 
+      Layer: met5 -  width: 2.000  pitch: 100.000  offset: 28.800 
+    Connect: {met4 met5}
+Type: macro, macro_1
+    Instance: obs_core_obs
+    Straps
+    Connect: 
+Type: macro, macro_2
+    Straps
+    Connect: 
+[INFO] [PDNG-0012] **** END INFO ****
+[INFO] [PDNG-0013] Inserting stdcell grid - grid
+[INFO] [PDNG-0010] Inserting macro grid for 2 macros
+[INFO] [PDNG-0034]   - grid for instance obs_core_obs
+[INFO] [PDNG-0034]   - grid for instance mprj
+[INFO] [PDNG-0015] Writing to database
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt
new file mode 100644
index 0000000..38294a6
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt
@@ -0,0 +1,4 @@
+0h0m0s595ms
+0h0m0s606ms
+0h0m0s567ms
+0h0m0s586ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log
new file mode 100644
index 0000000..65c3f6e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log
@@ -0,0 +1,15 @@
+Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 439 library cells
+Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
+Notice 0: 
+Reading DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
+Notice 0: Design: user_project_wrapper
+Notice 0:     Created 636 pins.
+Notice 0:     Created 1 components and 606 component-terminals.
+Notice 0:     Created 636 nets and 604 connections.
+Notice 0: Finished DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
+Top-level design name: user_project_wrapper
+Block boundaries: 0 0 2920000 3520000
+Writing /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log
new file mode 100644
index 0000000..769a1e7
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log
@@ -0,0 +1,20 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
+Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 439 library cells
+Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd1 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd2 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda1 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda2 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa1 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa2 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd1 not found.
+Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd2 not found.
+Warning: LEF master user_proj_example has no liberty cell.
+Info: Added 1286 rows of 6323 sites.
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt
new file mode 100644
index 0000000..dadca98
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt
@@ -0,0 +1 @@
+0h0m0s787ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log
new file mode 100644
index 0000000..c1fb814
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log
@@ -0,0 +1,330 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/openLANE_flow/scripts/magic_mag.tcl" from command line.
+Box tool must be present
+[INFO]: Saving .mag view With BBox Values: 
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__conb_1".
+Reading "sky130_fd_sc_hd__decap_8".
+Reading "sky130_fd_sc_hd__buf_2".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__diode_2".
+Reading "sky130_fd_sc_hd__or2_4".
+Reading "sky130_fd_sc_hd__and2_4".
+Reading "sky130_fd_sc_hd__nand2_4".
+Reading "sky130_fd_sc_hd__and3_4".
+Reading "sky130_fd_sc_hd__dfxtp_4".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__or4_4".
+Reading "sky130_fd_sc_hd__a21bo_4".
+Reading "sky130_fd_sc_hd__buf_4".
+Reading "sky130_fd_sc_hd__a211o_4".
+Reading "sky130_fd_sc_hd__o22a_4".
+Reading "sky130_fd_sc_hd__o21ai_4".
+Reading "sky130_fd_sc_hd__a21o_4".
+Reading "sky130_fd_sc_hd__a2bb2o_4".
+Reading "sky130_fd_sc_hd__clkbuf_1".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__clkbuf_16".
+Reading "user_proj_example".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+Reading "user_project_wrapper".
+[INFO]: MAGIC TAPEOUT STEP DONE
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log
new file mode 100644
index 0000000..7d59f17
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log
@@ -0,0 +1,59 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/openLANE_flow/scripts/magic_maglef.tcl" from command line.
+Reading LEF data from file /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.
+This action cannot be undone.
+LEF read, Line 3733 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 3733 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 3859 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 3859 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 3908 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 3908 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 3986 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 3986 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4056 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4056 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4137 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4137 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4190 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4190 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4218 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4218 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4303 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4303 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4373 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4373 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4406 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4406 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4622 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 4622 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 4928 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 4928 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 5202 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 5202 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 5474 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 5474 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 5746 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 5746 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 6018 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 6018 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 6290 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 6290 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 6562 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 6562 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 6834 (Error): Don't know how to parse layer "m4m5_pr_c"
+LEF read, Line 6834 (Error): Try adding this name to the LEF techfile section
+LEF read, Line 18151 (Error): Don't know how to parse layer "l1m1_pr_c"
+LEF read, Line 18151 (Error): Try adding this name to the LEF techfile section
+LEF read: Processed 38541 lines.
+LEF Read: encountered 42 errors total.
+[INFO]: DONE GENERATING MAGLEF VIEW
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_ext2spice.feedback.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_ext2spice.feedback.txt
new file mode 100644
index 0000000..2a07a9b
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_ext2spice.feedback.txt
@@ -0,0 +1,18 @@
+box 183 7080 480 7200
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 21360 480 21480
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 35776 480 35896
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 50056 480 50176
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 64472 480 64592
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 78888 480 78977
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 78975 480 79008
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 93168 480 93288
+feedback add "Illegal overlap (types do not connect)" medium
+box 183 107584 480 107704
+feedback add "Illegal overlap (types do not connect)" medium
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_spice.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_spice.log
new file mode 100644
index 0000000..e93a9c3
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_spice.log
@@ -0,0 +1,59 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic_spice.tcl" from command line.
+Reading LEF data from file /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
+This action cannot be undone.
+LEF read, Line 77 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 78 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 111 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 112 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 118 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 119 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 120 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 159 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 160 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 162 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 163 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 164 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 200 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 201 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 203 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 204 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 205 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 241 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 242 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 244 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 245 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 246 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 282 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 283 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read: Processed 789 lines.
+Reading LEF data from file /project/openlane/user_project_wrapper_empty/../../lef/user_proj_example.lef.
+This action cannot be undone.
+LEF read: Processed 4870 lines.
+Reading DEF data from file /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def.
+This action cannot be undone.
+  Processed 1 vias total.
+Cell obs_core_obs couldn't be read
+DEF read, Line 1309 (Error): Cell obs_core_obs is not defined.  Maybe you have not read the corresponding LEF file?
+  Processed 2 subcell instances total.
+  Processed 644 pins total.
+  Processed 8 special nets total.
+  Processed 636 nets total.
+DEF read: Processed 4722 lines.
+DEF Read: encountered 1 error total.
+Extracting user_proj_example into user_proj_example.ext:
+Extracting user_project_wrapper into user_project_wrapper.ext:
+user_project_wrapper: 9 fatal errors
+Total of 9 fatal errors.
+exttospice finished.
+Using technology "sky130A", version 1.0.73-5-g748e1a9
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log
new file mode 100644
index 0000000..45f676c
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log
@@ -0,0 +1,115 @@
+
+ /----------------------------------------------------------------------------\
+ |                                                                            |
+ |  yosys -- Yosys Open SYnthesis Suite                                       |
+ |                                                                            |
+ |  Copyright (C) 2012 - 2020  Claire Wolf <claire@symbioticeda.com>          |
+ |                                                                            |
+ |  Permission to use, copy, modify, and/or distribute this software for any  |
+ |  purpose with or without fee is hereby granted, provided that the above    |
+ |  copyright notice and this permission notice appear in all copies.         |
+ |                                                                            |
+ |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
+ |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
+ |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
+ |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
+ |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
+ |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
+ |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
+ |                                                                            |
+ \----------------------------------------------------------------------------/
+
+ Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+
+[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
+
+1. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v
+Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v' to AST representation.
+Successfully finished Verilog frontend.
+
+2. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_proj_example.v
+Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_proj_example.v' to AST representation.
+Generating RTLIL representation for module `\user_proj_example'.
+Generating RTLIL representation for module `\counter'.
+Successfully finished Verilog frontend.
+
+3. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v
+Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v' to AST representation.
+Successfully finished Verilog frontend.
+
+4. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v
+Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v' to AST representation.
+Generating RTLIL representation for module `\user_project_wrapper'.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:68: Warning: Identifier `\vdda1' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:69: Warning: Identifier `\vdda2' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:70: Warning: Identifier `\vssa1' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:71: Warning: Identifier `\vssa2' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:72: Warning: Identifier `\vccd1' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:73: Warning: Identifier `\vccd2' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:74: Warning: Identifier `\vssd1' is implicitly declared.
+/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:75: Warning: Identifier `\vssd2' is implicitly declared.
+Successfully finished Verilog frontend.
+
+5. Generating Graphviz representation of design.
+Writing dot description to `/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot'.
+Dumping module user_project_wrapper to page 1.
+
+6. Executing HIERARCHY pass (managing design hierarchy).
+
+6.1. Analyzing design hierarchy..
+Top module:  \user_project_wrapper
+
+6.2. Analyzing design hierarchy..
+Top module:  \user_project_wrapper
+Removed 0 unused modules.
+
+7. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 26
+   Number of wire bits:            644
+   Number of public wires:          26
+   Number of public wire bits:     644
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     user_proj_example               1
+
+8. Executing SPLITNETS pass (splitting up multi-bit signals).
+
+9. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \user_project_wrapper..
+
+10. Executing CHECK pass (checking for obvious problems).
+checking module user_project_wrapper..
+found and reported 0 problems.
+
+11. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 26
+   Number of wire bits:            644
+   Number of public wires:          26
+   Number of public wire bits:     644
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     user_proj_example               1
+
+   Area for cell type \user_proj_example is unknown!
+
+12. Executing Verilog backend.
+Dumping module `\user_project_wrapper'.
+
+Warnings: 8 unique messages, 8 total
+End of script. Logfile hash: 313ab95b89, CPU: user 0.05s system 0.00s, MEM: 13.04 MB peak
+Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+Time spent: 65% 2x stat (0 sec), 16% 8x read_verilog (0 sec), ...
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt
new file mode 100644
index 0000000..951308b
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt
@@ -0,0 +1 @@
+0h0m0s74ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt
new file mode 100644
index 0000000..c4a50bd
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt
@@ -0,0 +1 @@
+5.5200000000000005 10.88 2914.48 3509.12
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt
new file mode 100644
index 0000000..17b240c
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt
@@ -0,0 +1 @@
+0 0 2920 3520
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt
new file mode 100644
index 0000000..4f10540
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt
@@ -0,0 +1,17 @@
+
+11. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 26
+   Number of wire bits:            644
+   Number of public wires:          26
+   Number of public wire bits:     644
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     user_proj_example               1
+
+   Area for cell type \user_proj_example is unknown!
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt
new file mode 100644
index 0000000..c028384
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt
@@ -0,0 +1,4 @@
+
+10. Executing CHECK pass (checking for obvious problems).
+checking module user_project_wrapper..
+found and reported 0 problems.
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat
new file mode 100644
index 0000000..b8aecbc
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat
@@ -0,0 +1,15 @@
+
+7. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 26
+   Number of wire bits:            644
+   Number of public wires:          26
+   Number of public wire bits:     644
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     user_proj_example               1
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc
new file mode 100644
index 0000000..05a1f94
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc
@@ -0,0 +1,75 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# Put grid on 0.005 pitch.  This is important, as some commands don't
+# rescale the grid automatically (such as lef read?).
+
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 2
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "/home/xrex/usr/devel/pdks/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/current/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/current/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/current/sky130A-BindKeys
+
+# set units to lambda grid 
+snap lambda
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE mag
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc_t18
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc_t18/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_proj_example.ext b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_proj_example.ext
new file mode 100644
index 0000000..19fe2d5
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_proj_example.ext
@@ -0,0 +1,1225 @@
+timestamp 1606369132
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 606 19462 2128 19782 117552 m4
+port "VPWR" 605 4102 2128 4422 117552 m4
+port "la_oen[127]" 401 119696 0 119752 480 m2
+port "la_data_out[127]" 273 119420 0 119476 480 m2
+port "la_data_in[127]" 145 119236 0 119292 480 m2
+port "la_oen[126]" 400 118960 0 119016 480 m2
+port "la_data_out[126]" 272 118684 0 118740 480 m2
+port "la_data_in[126]" 144 118500 0 118556 480 m2
+port "la_oen[125]" 399 118224 0 118280 480 m2
+port "la_data_out[125]" 271 117948 0 118004 480 m2
+port "la_data_in[125]" 143 117764 0 117820 480 m2
+port "la_oen[124]" 398 117488 0 117544 480 m2
+port "la_data_out[124]" 270 117212 0 117268 480 m2
+port "la_data_in[124]" 142 117028 0 117084 480 m2
+port "la_oen[123]" 397 116752 0 116808 480 m2
+port "la_data_out[123]" 269 116476 0 116532 480 m2
+port "la_data_in[123]" 141 116292 0 116348 480 m2
+port "la_oen[122]" 396 116016 0 116072 480 m2
+port "la_data_out[122]" 268 115740 0 115796 480 m2
+port "la_data_in[122]" 140 115556 0 115612 480 m2
+port "la_oen[121]" 395 115280 0 115336 480 m2
+port "la_data_out[121]" 267 115004 0 115060 480 m2
+port "la_data_in[121]" 139 114820 0 114876 480 m2
+port "la_oen[120]" 394 114544 0 114600 480 m2
+port "la_data_out[120]" 266 114268 0 114324 480 m2
+port "la_data_in[120]" 138 114084 0 114140 480 m2
+port "la_oen[119]" 392 113808 0 113864 480 m2
+port "la_data_out[119]" 264 113532 0 113588 480 m2
+port "la_data_in[119]" 136 113348 0 113404 480 m2
+port "la_oen[118]" 391 113072 0 113128 480 m2
+port "la_data_out[118]" 263 112796 0 112852 480 m2
+port "la_data_in[118]" 135 112612 0 112668 480 m2
+port "la_oen[117]" 390 112336 0 112392 480 m2
+port "la_data_out[117]" 262 112060 0 112116 480 m2
+port "la_data_in[117]" 134 111876 0 111932 480 m2
+port "la_oen[116]" 389 111600 0 111656 480 m2
+port "la_data_out[116]" 261 111324 0 111380 480 m2
+port "la_data_in[116]" 133 111140 0 111196 480 m2
+port "la_oen[115]" 388 110864 0 110920 480 m2
+port "la_data_out[115]" 260 110588 0 110644 480 m2
+port "la_data_in[115]" 132 110404 0 110460 480 m2
+port "la_oen[114]" 387 110128 0 110184 480 m2
+port "la_data_out[114]" 259 109852 0 109908 480 m2
+port "la_data_in[114]" 131 109668 0 109724 480 m2
+port "la_oen[113]" 386 109392 0 109448 480 m2
+port "la_data_out[113]" 258 109116 0 109172 480 m2
+port "la_data_in[113]" 130 108932 0 108988 480 m2
+port "la_oen[112]" 385 108656 0 108712 480 m2
+port "la_data_out[112]" 257 108380 0 108436 480 m2
+port "la_data_in[112]" 129 108196 0 108252 480 m2
+port "la_oen[111]" 384 107920 0 107976 480 m2
+port "la_data_out[111]" 256 107644 0 107700 480 m2
+port "la_data_in[111]" 128 107460 0 107516 480 m2
+port "la_oen[110]" 383 107184 0 107240 480 m2
+port "la_data_out[110]" 255 106908 0 106964 480 m2
+port "la_data_in[110]" 127 106724 0 106780 480 m2
+port "la_oen[109]" 381 106448 0 106504 480 m2
+port "la_data_out[109]" 253 106172 0 106228 480 m2
+port "la_data_in[109]" 125 105988 0 106044 480 m2
+port "la_oen[108]" 380 105712 0 105768 480 m2
+port "la_data_out[108]" 252 105436 0 105492 480 m2
+port "la_data_in[108]" 124 105252 0 105308 480 m2
+port "la_oen[107]" 379 104976 0 105032 480 m2
+port "la_data_out[107]" 251 104792 0 104848 480 m2
+port "la_data_in[107]" 123 104516 0 104572 480 m2
+port "la_oen[106]" 378 104240 0 104296 480 m2
+port "la_data_out[106]" 250 104056 0 104112 480 m2
+port "la_data_in[106]" 122 103780 0 103836 480 m2
+port "la_oen[105]" 377 103504 0 103560 480 m2
+port "la_data_out[105]" 249 103320 0 103376 480 m2
+port "la_data_in[105]" 121 103044 0 103100 480 m2
+port "la_oen[104]" 376 102768 0 102824 480 m2
+port "la_data_out[104]" 248 102584 0 102640 480 m2
+port "la_data_in[104]" 120 102308 0 102364 480 m2
+port "la_oen[103]" 375 102032 0 102088 480 m2
+port "la_data_out[103]" 247 101848 0 101904 480 m2
+port "la_data_in[103]" 119 101572 0 101628 480 m2
+port "la_oen[102]" 374 101296 0 101352 480 m2
+port "la_data_out[102]" 246 101112 0 101168 480 m2
+port "la_data_in[102]" 118 100836 0 100892 480 m2
+port "la_oen[101]" 373 100560 0 100616 480 m2
+port "la_data_out[101]" 245 100376 0 100432 480 m2
+port "la_data_in[101]" 117 100100 0 100156 480 m2
+port "la_oen[100]" 372 99824 0 99880 480 m2
+port "la_data_out[100]" 244 99640 0 99696 480 m2
+port "la_data_in[100]" 116 99364 0 99420 480 m2
+port "la_oen[99]" 497 99088 0 99144 480 m2
+port "la_data_out[99]" 369 98904 0 98960 480 m2
+port "la_data_in[99]" 241 98628 0 98684 480 m2
+port "la_oen[98]" 496 98352 0 98408 480 m2
+port "la_data_out[98]" 368 98168 0 98224 480 m2
+port "la_data_in[98]" 240 97892 0 97948 480 m2
+port "la_oen[97]" 495 97616 0 97672 480 m2
+port "la_data_out[97]" 367 97432 0 97488 480 m2
+port "la_data_in[97]" 239 97156 0 97212 480 m2
+port "la_oen[96]" 494 96880 0 96936 480 m2
+port "la_data_out[96]" 366 96696 0 96752 480 m2
+port "la_data_in[96]" 238 96420 0 96476 480 m2
+port "la_oen[95]" 493 96144 0 96200 480 m2
+port "la_data_out[95]" 365 95960 0 96016 480 m2
+port "la_data_in[95]" 237 95684 0 95740 480 m2
+port "la_oen[94]" 492 95408 0 95464 480 m2
+port "la_data_out[94]" 364 95224 0 95280 480 m2
+port "la_data_in[94]" 236 94948 0 95004 480 m2
+port "la_oen[93]" 491 94672 0 94728 480 m2
+port "la_data_out[93]" 363 94488 0 94544 480 m2
+port "la_data_in[93]" 235 94212 0 94268 480 m2
+port "la_oen[92]" 490 93936 0 93992 480 m2
+port "la_data_out[92]" 362 93752 0 93808 480 m2
+port "la_data_in[92]" 234 93476 0 93532 480 m2
+port "la_oen[91]" 489 93200 0 93256 480 m2
+port "la_data_out[91]" 361 93016 0 93072 480 m2
+port "la_data_in[91]" 233 92740 0 92796 480 m2
+port "la_oen[90]" 488 92464 0 92520 480 m2
+port "la_data_out[90]" 360 92280 0 92336 480 m2
+port "la_data_in[90]" 232 92004 0 92060 480 m2
+port "la_oen[89]" 486 91728 0 91784 480 m2
+port "la_data_out[89]" 358 91544 0 91600 480 m2
+port "la_data_in[89]" 230 91268 0 91324 480 m2
+port "la_oen[88]" 485 90992 0 91048 480 m2
+port "la_data_out[88]" 357 90808 0 90864 480 m2
+port "la_data_in[88]" 229 90532 0 90588 480 m2
+port "la_oen[87]" 484 90256 0 90312 480 m2
+port "la_data_out[87]" 356 90072 0 90128 480 m2
+port "la_data_in[87]" 228 89796 0 89852 480 m2
+port "la_oen[86]" 483 89612 0 89668 480 m2
+port "la_data_out[86]" 355 89336 0 89392 480 m2
+port "la_data_in[86]" 227 89060 0 89116 480 m2
+port "la_oen[85]" 482 88876 0 88932 480 m2
+port "la_data_out[85]" 354 88600 0 88656 480 m2
+port "la_data_in[85]" 226 88324 0 88380 480 m2
+port "la_oen[84]" 481 88140 0 88196 480 m2
+port "la_data_out[84]" 353 87864 0 87920 480 m2
+port "la_data_in[84]" 225 87588 0 87644 480 m2
+port "la_oen[83]" 480 87404 0 87460 480 m2
+port "la_data_out[83]" 352 87128 0 87184 480 m2
+port "la_data_in[83]" 224 86852 0 86908 480 m2
+port "la_oen[82]" 479 86668 0 86724 480 m2
+port "la_data_out[82]" 351 86392 0 86448 480 m2
+port "la_data_in[82]" 223 86116 0 86172 480 m2
+port "la_oen[81]" 478 85932 0 85988 480 m2
+port "la_data_out[81]" 350 85656 0 85712 480 m2
+port "la_data_in[81]" 222 85380 0 85436 480 m2
+port "la_oen[80]" 477 85196 0 85252 480 m2
+port "la_data_out[80]" 349 84920 0 84976 480 m2
+port "la_data_in[80]" 221 84644 0 84700 480 m2
+port "la_oen[79]" 475 84460 0 84516 480 m2
+port "la_data_out[79]" 347 84184 0 84240 480 m2
+port "la_data_in[79]" 219 83908 0 83964 480 m2
+port "la_oen[78]" 474 83724 0 83780 480 m2
+port "la_data_out[78]" 346 83448 0 83504 480 m2
+port "la_data_in[78]" 218 83172 0 83228 480 m2
+port "la_oen[77]" 473 82988 0 83044 480 m2
+port "la_data_out[77]" 345 82712 0 82768 480 m2
+port "la_data_in[77]" 217 82436 0 82492 480 m2
+port "la_oen[76]" 472 82252 0 82308 480 m2
+port "la_data_out[76]" 344 81976 0 82032 480 m2
+port "la_data_in[76]" 216 81700 0 81756 480 m2
+port "la_oen[75]" 471 81516 0 81572 480 m2
+port "la_data_out[75]" 343 81240 0 81296 480 m2
+port "la_data_in[75]" 215 80964 0 81020 480 m2
+port "la_oen[74]" 470 80780 0 80836 480 m2
+port "la_data_out[74]" 342 80504 0 80560 480 m2
+port "la_data_in[74]" 214 80228 0 80284 480 m2
+port "la_oen[73]" 469 80044 0 80100 480 m2
+port "la_data_out[73]" 341 79768 0 79824 480 m2
+port "la_data_in[73]" 213 79492 0 79548 480 m2
+port "la_oen[72]" 468 79308 0 79364 480 m2
+port "la_data_out[72]" 340 79032 0 79088 480 m2
+port "la_data_in[72]" 212 78756 0 78812 480 m2
+port "la_oen[71]" 467 78572 0 78628 480 m2
+port "la_data_out[71]" 339 78296 0 78352 480 m2
+port "la_data_in[71]" 211 78020 0 78076 480 m2
+port "la_oen[70]" 466 77836 0 77892 480 m2
+port "la_data_out[70]" 338 77560 0 77616 480 m2
+port "la_data_in[70]" 210 77284 0 77340 480 m2
+port "la_oen[69]" 464 77100 0 77156 480 m2
+port "la_data_out[69]" 336 76824 0 76880 480 m2
+port "la_data_in[69]" 208 76548 0 76604 480 m2
+port "la_oen[68]" 463 76364 0 76420 480 m2
+port "la_data_out[68]" 335 76088 0 76144 480 m2
+port "la_data_in[68]" 207 75812 0 75868 480 m2
+port "la_oen[67]" 462 75628 0 75684 480 m2
+port "la_data_out[67]" 334 75352 0 75408 480 m2
+port "la_data_in[67]" 206 75076 0 75132 480 m2
+port "la_oen[66]" 461 74892 0 74948 480 m2
+port "la_data_out[66]" 333 74616 0 74672 480 m2
+port "la_data_in[66]" 205 74432 0 74488 480 m2
+port "la_oen[65]" 460 74156 0 74212 480 m2
+port "la_data_out[65]" 332 73880 0 73936 480 m2
+port "la_data_in[65]" 204 73696 0 73752 480 m2
+port "la_oen[64]" 459 73420 0 73476 480 m2
+port "la_data_out[64]" 331 73144 0 73200 480 m2
+port "la_data_in[64]" 203 72960 0 73016 480 m2
+port "la_oen[63]" 458 72684 0 72740 480 m2
+port "la_data_out[63]" 330 72408 0 72464 480 m2
+port "la_data_in[63]" 202 72224 0 72280 480 m2
+port "la_oen[62]" 457 71948 0 72004 480 m2
+port "la_data_out[62]" 329 71672 0 71728 480 m2
+port "la_data_in[62]" 201 71488 0 71544 480 m2
+port "la_oen[61]" 456 71212 0 71268 480 m2
+port "la_data_out[61]" 328 70936 0 70992 480 m2
+port "la_data_in[61]" 200 70752 0 70808 480 m2
+port "la_oen[60]" 455 70476 0 70532 480 m2
+port "la_data_out[60]" 327 70200 0 70256 480 m2
+port "la_data_in[60]" 199 70016 0 70072 480 m2
+port "la_oen[59]" 453 69740 0 69796 480 m2
+port "la_data_out[59]" 325 69464 0 69520 480 m2
+port "la_data_in[59]" 197 69280 0 69336 480 m2
+port "la_oen[58]" 452 69004 0 69060 480 m2
+port "la_data_out[58]" 324 68728 0 68784 480 m2
+port "la_data_in[58]" 196 68544 0 68600 480 m2
+port "la_oen[57]" 451 68268 0 68324 480 m2
+port "la_data_out[57]" 323 67992 0 68048 480 m2
+port "la_data_in[57]" 195 67808 0 67864 480 m2
+port "la_oen[56]" 450 67532 0 67588 480 m2
+port "la_data_out[56]" 322 67256 0 67312 480 m2
+port "la_data_in[56]" 194 67072 0 67128 480 m2
+port "la_oen[55]" 449 66796 0 66852 480 m2
+port "la_data_out[55]" 321 66520 0 66576 480 m2
+port "la_data_in[55]" 193 66336 0 66392 480 m2
+port "la_oen[54]" 448 66060 0 66116 480 m2
+port "la_data_out[54]" 320 65784 0 65840 480 m2
+port "la_data_in[54]" 192 65600 0 65656 480 m2
+port "la_oen[53]" 447 65324 0 65380 480 m2
+port "la_data_out[53]" 319 65048 0 65104 480 m2
+port "la_data_in[53]" 191 64864 0 64920 480 m2
+port "la_oen[52]" 446 64588 0 64644 480 m2
+port "la_data_out[52]" 318 64312 0 64368 480 m2
+port "la_data_in[52]" 190 64128 0 64184 480 m2
+port "la_oen[51]" 445 63852 0 63908 480 m2
+port "la_data_out[51]" 317 63576 0 63632 480 m2
+port "la_data_in[51]" 189 63392 0 63448 480 m2
+port "la_oen[50]" 444 63116 0 63172 480 m2
+port "la_data_out[50]" 316 62840 0 62896 480 m2
+port "la_data_in[50]" 188 62656 0 62712 480 m2
+port "la_oen[49]" 442 62380 0 62436 480 m2
+port "la_data_out[49]" 314 62104 0 62160 480 m2
+port "la_data_in[49]" 186 61920 0 61976 480 m2
+port "la_oen[48]" 441 61644 0 61700 480 m2
+port "la_data_out[48]" 313 61368 0 61424 480 m2
+port "la_data_in[48]" 185 61184 0 61240 480 m2
+port "la_oen[47]" 440 60908 0 60964 480 m2
+port "la_data_out[47]" 312 60632 0 60688 480 m2
+port "la_data_in[47]" 184 60448 0 60504 480 m2
+port "la_oen[46]" 439 60172 0 60228 480 m2
+port "la_data_out[46]" 311 59988 0 60044 480 m2
+port "la_data_in[46]" 183 59712 0 59768 480 m2
+port "la_oen[45]" 438 59436 0 59492 480 m2
+port "la_data_out[45]" 310 59252 0 59308 480 m2
+port "la_data_in[45]" 182 58976 0 59032 480 m2
+port "la_oen[44]" 437 58700 0 58756 480 m2
+port "la_data_out[44]" 309 58516 0 58572 480 m2
+port "la_data_in[44]" 181 58240 0 58296 480 m2
+port "la_oen[43]" 436 57964 0 58020 480 m2
+port "la_data_out[43]" 308 57780 0 57836 480 m2
+port "la_data_in[43]" 180 57504 0 57560 480 m2
+port "la_oen[42]" 435 57228 0 57284 480 m2
+port "la_data_out[42]" 307 57044 0 57100 480 m2
+port "la_data_in[42]" 179 56768 0 56824 480 m2
+port "la_oen[41]" 434 56492 0 56548 480 m2
+port "la_data_out[41]" 306 56308 0 56364 480 m2
+port "la_data_in[41]" 178 56032 0 56088 480 m2
+port "la_oen[40]" 433 55756 0 55812 480 m2
+port "la_data_out[40]" 305 55572 0 55628 480 m2
+port "la_data_in[40]" 177 55296 0 55352 480 m2
+port "la_oen[39]" 431 55020 0 55076 480 m2
+port "la_data_out[39]" 303 54836 0 54892 480 m2
+port "la_data_in[39]" 175 54560 0 54616 480 m2
+port "la_oen[38]" 430 54284 0 54340 480 m2
+port "la_data_out[38]" 302 54100 0 54156 480 m2
+port "la_data_in[38]" 174 53824 0 53880 480 m2
+port "la_oen[37]" 429 53548 0 53604 480 m2
+port "la_data_out[37]" 301 53364 0 53420 480 m2
+port "la_data_in[37]" 173 53088 0 53144 480 m2
+port "la_oen[36]" 428 52812 0 52868 480 m2
+port "la_data_out[36]" 300 52628 0 52684 480 m2
+port "la_data_in[36]" 172 52352 0 52408 480 m2
+port "la_oen[35]" 427 52076 0 52132 480 m2
+port "la_data_out[35]" 299 51892 0 51948 480 m2
+port "la_data_in[35]" 171 51616 0 51672 480 m2
+port "la_oen[34]" 426 51340 0 51396 480 m2
+port "la_data_out[34]" 298 51156 0 51212 480 m2
+port "la_data_in[34]" 170 50880 0 50936 480 m2
+port "la_oen[33]" 425 50604 0 50660 480 m2
+port "la_data_out[33]" 297 50420 0 50476 480 m2
+port "la_data_in[33]" 169 50144 0 50200 480 m2
+port "la_oen[32]" 424 49868 0 49924 480 m2
+port "la_data_out[32]" 296 49684 0 49740 480 m2
+port "la_data_in[32]" 168 49408 0 49464 480 m2
+port "la_oen[31]" 423 49132 0 49188 480 m2
+port "la_data_out[31]" 295 48948 0 49004 480 m2
+port "la_data_in[31]" 167 48672 0 48728 480 m2
+port "la_oen[30]" 422 48396 0 48452 480 m2
+port "la_data_out[30]" 294 48212 0 48268 480 m2
+port "la_data_in[30]" 166 47936 0 47992 480 m2
+port "la_oen[29]" 420 47660 0 47716 480 m2
+port "la_data_out[29]" 292 47476 0 47532 480 m2
+port "la_data_in[29]" 164 47200 0 47256 480 m2
+port "la_oen[28]" 419 46924 0 46980 480 m2
+port "la_data_out[28]" 291 46740 0 46796 480 m2
+port "la_data_in[28]" 163 46464 0 46520 480 m2
+port "la_oen[27]" 418 46188 0 46244 480 m2
+port "la_data_out[27]" 290 46004 0 46060 480 m2
+port "la_data_in[27]" 162 45728 0 45784 480 m2
+port "la_oen[26]" 417 45452 0 45508 480 m2
+port "la_data_out[26]" 289 45268 0 45324 480 m2
+port "la_data_in[26]" 161 44992 0 45048 480 m2
+port "la_oen[25]" 416 44808 0 44864 480 m2
+port "la_data_out[25]" 288 44532 0 44588 480 m2
+port "la_data_in[25]" 160 44256 0 44312 480 m2
+port "la_oen[24]" 415 44072 0 44128 480 m2
+port "la_data_out[24]" 287 43796 0 43852 480 m2
+port "la_data_in[24]" 159 43520 0 43576 480 m2
+port "la_oen[23]" 414 43336 0 43392 480 m2
+port "la_data_out[23]" 286 43060 0 43116 480 m2
+port "la_data_in[23]" 158 42784 0 42840 480 m2
+port "la_oen[22]" 413 42600 0 42656 480 m2
+port "la_data_out[22]" 285 42324 0 42380 480 m2
+port "la_data_in[22]" 157 42048 0 42104 480 m2
+port "la_oen[21]" 412 41864 0 41920 480 m2
+port "la_data_out[21]" 284 41588 0 41644 480 m2
+port "la_data_in[21]" 156 41312 0 41368 480 m2
+port "la_oen[20]" 411 41128 0 41184 480 m2
+port "la_data_out[20]" 283 40852 0 40908 480 m2
+port "la_data_in[20]" 155 40576 0 40632 480 m2
+port "la_oen[19]" 409 40392 0 40448 480 m2
+port "la_data_out[19]" 281 40116 0 40172 480 m2
+port "la_data_in[19]" 153 39840 0 39896 480 m2
+port "la_oen[18]" 408 39656 0 39712 480 m2
+port "la_data_out[18]" 280 39380 0 39436 480 m2
+port "la_data_in[18]" 152 39104 0 39160 480 m2
+port "la_oen[17]" 407 38920 0 38976 480 m2
+port "la_data_out[17]" 279 38644 0 38700 480 m2
+port "la_data_in[17]" 151 38368 0 38424 480 m2
+port "la_oen[16]" 406 38184 0 38240 480 m2
+port "la_data_out[16]" 278 37908 0 37964 480 m2
+port "la_data_in[16]" 150 37632 0 37688 480 m2
+port "la_oen[15]" 405 37448 0 37504 480 m2
+port "la_data_out[15]" 277 37172 0 37228 480 m2
+port "la_data_in[15]" 149 36896 0 36952 480 m2
+port "la_oen[14]" 404 36712 0 36768 480 m2
+port "la_data_out[14]" 276 36436 0 36492 480 m2
+port "la_data_in[14]" 148 36160 0 36216 480 m2
+port "la_oen[13]" 403 35976 0 36032 480 m2
+port "la_data_out[13]" 275 35700 0 35756 480 m2
+port "la_data_in[13]" 147 35424 0 35480 480 m2
+port "la_oen[12]" 402 35240 0 35296 480 m2
+port "la_data_out[12]" 274 34964 0 35020 480 m2
+port "la_data_in[12]" 146 34688 0 34744 480 m2
+port "la_oen[11]" 393 34504 0 34560 480 m2
+port "la_data_out[11]" 265 34228 0 34284 480 m2
+port "la_data_in[11]" 137 33952 0 34008 480 m2
+port "la_oen[10]" 382 33768 0 33824 480 m2
+port "la_data_out[10]" 254 33492 0 33548 480 m2
+port "la_data_in[10]" 126 33216 0 33272 480 m2
+port "la_oen[9]" 498 33032 0 33088 480 m2
+port "la_data_out[9]" 370 32756 0 32812 480 m2
+port "la_data_in[9]" 242 32480 0 32536 480 m2
+port "la_oen[8]" 487 32296 0 32352 480 m2
+port "la_data_out[8]" 359 32020 0 32076 480 m2
+port "la_data_in[8]" 231 31744 0 31800 480 m2
+port "la_oen[7]" 476 31560 0 31616 480 m2
+port "la_data_out[7]" 348 31284 0 31340 480 m2
+port "la_data_in[7]" 220 31008 0 31064 480 m2
+port "la_oen[6]" 465 30824 0 30880 480 m2
+port "la_data_out[6]" 337 30548 0 30604 480 m2
+port "la_data_in[6]" 209 30272 0 30328 480 m2
+port "la_oen[5]" 454 30088 0 30144 480 m2
+port "la_data_out[5]" 326 29812 0 29868 480 m2
+port "la_data_in[5]" 198 29628 0 29684 480 m2
+port "la_oen[4]" 443 29352 0 29408 480 m2
+port "la_data_out[4]" 315 29076 0 29132 480 m2
+port "la_data_in[4]" 187 28892 0 28948 480 m2
+port "la_oen[3]" 432 28616 0 28672 480 m2
+port "la_data_out[3]" 304 28340 0 28396 480 m2
+port "la_data_in[3]" 176 28156 0 28212 480 m2
+port "la_oen[2]" 421 27880 0 27936 480 m2
+port "la_data_out[2]" 293 27604 0 27660 480 m2
+port "la_data_in[2]" 165 27420 0 27476 480 m2
+port "la_oen[1]" 410 27144 0 27200 480 m2
+port "la_data_out[1]" 282 26868 0 26924 480 m2
+port "la_data_in[1]" 154 26684 0 26740 480 m2
+port "la_oen[0]" 371 26408 0 26464 480 m2
+port "la_data_out[0]" 243 26132 0 26188 480 m2
+port "la_data_in[0]" 115 25948 0 26004 480 m2
+port "wbs_dat_o[31]" 591 25672 0 25728 480 m2
+port "wbs_dat_i[31]" 559 25396 0 25452 480 m2
+port "wbs_adr_i[31]" 526 25212 0 25268 480 m2
+port "wbs_dat_o[30]" 590 24936 0 24992 480 m2
+port "wbs_dat_i[30]" 558 24660 0 24716 480 m2
+port "wbs_adr_i[30]" 525 24476 0 24532 480 m2
+port "wbs_dat_o[29]" 588 24200 0 24256 480 m2
+port "wbs_dat_i[29]" 556 23924 0 23980 480 m2
+port "wbs_adr_i[29]" 523 23740 0 23796 480 m2
+port "wbs_dat_o[28]" 587 23464 0 23520 480 m2
+port "wbs_dat_i[28]" 555 23188 0 23244 480 m2
+port "wbs_adr_i[28]" 522 23004 0 23060 480 m2
+port "wbs_dat_o[27]" 586 22728 0 22784 480 m2
+port "wbs_dat_i[27]" 554 22452 0 22508 480 m2
+port "wbs_adr_i[27]" 521 22268 0 22324 480 m2
+port "wbs_dat_o[26]" 585 21992 0 22048 480 m2
+port "wbs_dat_i[26]" 553 21716 0 21772 480 m2
+port "wbs_adr_i[26]" 520 21532 0 21588 480 m2
+port "wbs_dat_o[25]" 584 21256 0 21312 480 m2
+port "wbs_dat_i[25]" 552 20980 0 21036 480 m2
+port "wbs_adr_i[25]" 519 20796 0 20852 480 m2
+port "wbs_dat_o[24]" 583 20520 0 20576 480 m2
+port "wbs_dat_i[24]" 551 20244 0 20300 480 m2
+port "wbs_adr_i[24]" 518 20060 0 20116 480 m2
+port "wbs_dat_o[23]" 582 19784 0 19840 480 m2
+port "wbs_dat_i[23]" 550 19508 0 19564 480 m2
+port "wbs_adr_i[23]" 517 19324 0 19380 480 m2
+port "wbs_dat_o[22]" 581 19048 0 19104 480 m2
+port "wbs_dat_i[22]" 549 18772 0 18828 480 m2
+port "wbs_adr_i[22]" 516 18588 0 18644 480 m2
+port "wbs_dat_o[21]" 580 18312 0 18368 480 m2
+port "wbs_dat_i[21]" 548 18036 0 18092 480 m2
+port "wbs_adr_i[21]" 515 17852 0 17908 480 m2
+port "wbs_dat_o[20]" 579 17576 0 17632 480 m2
+port "wbs_dat_i[20]" 547 17300 0 17356 480 m2
+port "wbs_adr_i[20]" 514 17116 0 17172 480 m2
+port "wbs_dat_o[19]" 577 16840 0 16896 480 m2
+port "wbs_dat_i[19]" 545 16564 0 16620 480 m2
+port "wbs_adr_i[19]" 512 16380 0 16436 480 m2
+port "wbs_dat_o[18]" 576 16104 0 16160 480 m2
+port "wbs_dat_i[18]" 544 15828 0 15884 480 m2
+port "wbs_adr_i[18]" 511 15644 0 15700 480 m2
+port "wbs_dat_o[17]" 575 15368 0 15424 480 m2
+port "wbs_dat_i[17]" 543 15092 0 15148 480 m2
+port "wbs_adr_i[17]" 510 14908 0 14964 480 m2
+port "wbs_dat_o[16]" 574 14632 0 14688 480 m2
+port "wbs_dat_i[16]" 542 14448 0 14504 480 m2
+port "wbs_adr_i[16]" 509 14172 0 14228 480 m2
+port "wbs_dat_o[15]" 573 13896 0 13952 480 m2
+port "wbs_dat_i[15]" 541 13712 0 13768 480 m2
+port "wbs_adr_i[15]" 508 13436 0 13492 480 m2
+port "wbs_dat_o[14]" 572 13160 0 13216 480 m2
+port "wbs_dat_i[14]" 540 12976 0 13032 480 m2
+port "wbs_adr_i[14]" 507 12700 0 12756 480 m2
+port "wbs_dat_o[13]" 571 12424 0 12480 480 m2
+port "wbs_dat_i[13]" 539 12240 0 12296 480 m2
+port "wbs_adr_i[13]" 506 11964 0 12020 480 m2
+port "wbs_dat_o[12]" 570 11688 0 11744 480 m2
+port "wbs_dat_i[12]" 538 11504 0 11560 480 m2
+port "wbs_adr_i[12]" 505 11228 0 11284 480 m2
+port "wbs_dat_o[11]" 569 10952 0 11008 480 m2
+port "wbs_dat_i[11]" 537 10768 0 10824 480 m2
+port "wbs_adr_i[11]" 504 10492 0 10548 480 m2
+port "wbs_dat_o[10]" 568 10216 0 10272 480 m2
+port "wbs_dat_i[10]" 536 10032 0 10088 480 m2
+port "wbs_adr_i[10]" 503 9756 0 9812 480 m2
+port "wbs_dat_o[9]" 598 9480 0 9536 480 m2
+port "wbs_dat_i[9]" 566 9296 0 9352 480 m2
+port "wbs_adr_i[9]" 533 9020 0 9076 480 m2
+port "wbs_dat_o[8]" 597 8744 0 8800 480 m2
+port "wbs_dat_i[8]" 565 8560 0 8616 480 m2
+port "wbs_adr_i[8]" 532 8284 0 8340 480 m2
+port "wbs_dat_o[7]" 596 8008 0 8064 480 m2
+port "wbs_dat_i[7]" 564 7824 0 7880 480 m2
+port "wbs_adr_i[7]" 531 7548 0 7604 480 m2
+port "wbs_dat_o[6]" 595 7272 0 7328 480 m2
+port "wbs_dat_i[6]" 563 7088 0 7144 480 m2
+port "wbs_adr_i[6]" 530 6812 0 6868 480 m2
+port "wbs_dat_o[5]" 594 6536 0 6592 480 m2
+port "wbs_dat_i[5]" 562 6352 0 6408 480 m2
+port "wbs_adr_i[5]" 529 6076 0 6132 480 m2
+port "wbs_dat_o[4]" 593 5800 0 5856 480 m2
+port "wbs_dat_i[4]" 561 5616 0 5672 480 m2
+port "wbs_adr_i[4]" 528 5340 0 5396 480 m2
+port "wbs_sel_i[3]" 602 5064 0 5120 480 m2
+port "wbs_dat_o[3]" 592 4880 0 4936 480 m2
+port "wbs_dat_i[3]" 560 4604 0 4660 480 m2
+port "wbs_adr_i[3]" 527 4328 0 4384 480 m2
+port "wbs_sel_i[2]" 601 4144 0 4200 480 m2
+port "wbs_dat_o[2]" 589 3868 0 3924 480 m2
+port "wbs_dat_i[2]" 557 3592 0 3648 480 m2
+port "wbs_adr_i[2]" 524 3408 0 3464 480 m2
+port "wbs_sel_i[1]" 600 3132 0 3188 480 m2
+port "wbs_dat_o[1]" 578 2856 0 2912 480 m2
+port "wbs_dat_i[1]" 546 2672 0 2728 480 m2
+port "wbs_adr_i[1]" 513 2396 0 2452 480 m2
+port "wbs_sel_i[0]" 599 2120 0 2176 480 m2
+port "wbs_dat_o[0]" 567 1936 0 1992 480 m2
+port "wbs_dat_i[0]" 535 1660 0 1716 480 m2
+port "wbs_adr_i[0]" 502 1384 0 1440 480 m2
+port "wbs_we_i" 604 1200 0 1256 480 m2
+port "wbs_stb_i" 603 924 0 980 480 m2
+port "wbs_cyc_i" 534 648 0 704 480 m2
+port "wbs_ack_o" 501 464 0 520 480 m2
+port "wb_rst_i" 500 188 0 244 480 m2
+port "wb_clk_i" 499 4 0 60 480 m2
+port "io_out[37]" 107 119236 119520 119292 120000 m2
+port "io_oeb[37]" 69 118224 119520 118280 120000 m2
+port "io_in[37]" 31 117120 119520 117176 120000 m2
+port "io_out[36]" 106 116108 119520 116164 120000 m2
+port "io_oeb[36]" 68 115004 119520 115060 120000 m2
+port "io_in[36]" 30 113992 119520 114048 120000 m2
+port "io_out[35]" 105 112888 119520 112944 120000 m2
+port "io_oeb[35]" 67 111876 119520 111932 120000 m2
+port "io_in[35]" 29 110864 119520 110920 120000 m2
+port "io_out[34]" 104 109760 119520 109816 120000 m2
+port "io_oeb[34]" 66 108748 119520 108804 120000 m2
+port "io_in[34]" 28 107644 119520 107700 120000 m2
+port "io_out[33]" 103 106632 119520 106688 120000 m2
+port "io_oeb[33]" 65 105528 119520 105584 120000 m2
+port "io_in[33]" 27 104516 119520 104572 120000 m2
+port "io_out[32]" 102 103412 119520 103468 120000 m2
+port "io_oeb[32]" 64 102400 119520 102456 120000 m2
+port "io_in[32]" 26 101388 119520 101444 120000 m2
+port "io_out[31]" 101 100284 119520 100340 120000 m2
+port "io_oeb[31]" 63 99272 119520 99328 120000 m2
+port "io_in[31]" 25 98168 119520 98224 120000 m2
+port "io_out[30]" 100 97156 119520 97212 120000 m2
+port "io_oeb[30]" 62 96052 119520 96108 120000 m2
+port "io_in[30]" 24 95040 119520 95096 120000 m2
+port "io_out[29]" 98 94028 119520 94084 120000 m2
+port "io_oeb[29]" 60 92924 119520 92980 120000 m2
+port "io_in[29]" 22 91912 119520 91968 120000 m2
+port "io_out[28]" 97 90808 119520 90864 120000 m2
+port "io_oeb[28]" 59 89796 119520 89852 120000 m2
+port "io_in[28]" 21 88692 119520 88748 120000 m2
+port "io_out[27]" 96 87680 119520 87736 120000 m2
+port "io_oeb[27]" 58 86576 119520 86632 120000 m2
+port "io_in[27]" 20 85564 119520 85620 120000 m2
+port "io_out[26]" 95 84552 119520 84608 120000 m2
+port "io_oeb[26]" 57 83448 119520 83504 120000 m2
+port "io_in[26]" 19 82436 119520 82492 120000 m2
+port "io_out[25]" 94 81332 119520 81388 120000 m2
+port "io_oeb[25]" 56 80320 119520 80376 120000 m2
+port "io_in[25]" 18 79216 119520 79272 120000 m2
+port "io_out[24]" 93 78204 119520 78260 120000 m2
+port "io_oeb[24]" 55 77192 119520 77248 120000 m2
+port "io_in[24]" 17 76088 119520 76144 120000 m2
+port "io_out[23]" 92 75076 119520 75132 120000 m2
+port "io_oeb[23]" 54 73972 119520 74028 120000 m2
+port "io_in[23]" 16 72960 119520 73016 120000 m2
+port "io_out[22]" 91 71856 119520 71912 120000 m2
+port "io_oeb[22]" 53 70844 119520 70900 120000 m2
+port "io_in[22]" 15 69740 119520 69796 120000 m2
+port "io_out[21]" 90 68728 119520 68784 120000 m2
+port "io_oeb[21]" 52 67716 119520 67772 120000 m2
+port "io_in[21]" 14 66612 119520 66668 120000 m2
+port "io_out[20]" 89 65600 119520 65656 120000 m2
+port "io_oeb[20]" 51 64496 119520 64552 120000 m2
+port "io_in[20]" 13 63484 119520 63540 120000 m2
+port "io_out[19]" 87 62380 119520 62436 120000 m2
+port "io_oeb[19]" 49 61368 119520 61424 120000 m2
+port "io_in[19]" 11 60356 119520 60412 120000 m2
+port "io_out[18]" 86 59252 119520 59308 120000 m2
+port "io_oeb[18]" 48 58240 119520 58296 120000 m2
+port "io_in[18]" 10 57136 119520 57192 120000 m2
+port "io_out[17]" 85 56124 119520 56180 120000 m2
+port "io_oeb[17]" 47 55020 119520 55076 120000 m2
+port "io_in[17]" 9 54008 119520 54064 120000 m2
+port "io_out[16]" 84 52904 119520 52960 120000 m2
+port "io_oeb[16]" 46 51892 119520 51948 120000 m2
+port "io_in[16]" 8 50880 119520 50936 120000 m2
+port "io_out[15]" 83 49776 119520 49832 120000 m2
+port "io_oeb[15]" 45 48764 119520 48820 120000 m2
+port "io_in[15]" 7 47660 119520 47716 120000 m2
+port "io_out[14]" 82 46648 119520 46704 120000 m2
+port "io_oeb[14]" 44 45544 119520 45600 120000 m2
+port "io_in[14]" 6 44532 119520 44588 120000 m2
+port "io_out[13]" 81 43428 119520 43484 120000 m2
+port "io_oeb[13]" 43 42416 119520 42472 120000 m2
+port "io_in[13]" 5 41404 119520 41460 120000 m2
+port "io_out[12]" 80 40300 119520 40356 120000 m2
+port "io_oeb[12]" 42 39288 119520 39344 120000 m2
+port "io_in[12]" 4 38184 119520 38240 120000 m2
+port "io_out[11]" 79 37172 119520 37228 120000 m2
+port "io_oeb[11]" 41 36068 119520 36124 120000 m2
+port "io_in[11]" 3 35056 119520 35112 120000 m2
+port "io_out[10]" 78 34044 119520 34100 120000 m2
+port "io_oeb[10]" 40 32940 119520 32996 120000 m2
+port "io_in[10]" 2 31928 119520 31984 120000 m2
+port "io_out[9]" 114 30824 119520 30880 120000 m2
+port "io_oeb[9]" 76 29812 119520 29868 120000 m2
+port "io_in[9]" 38 28708 119520 28764 120000 m2
+port "io_out[8]" 113 27696 119520 27752 120000 m2
+port "io_oeb[8]" 75 26592 119520 26648 120000 m2
+port "io_in[8]" 37 25580 119520 25636 120000 m2
+port "io_out[7]" 112 24568 119520 24624 120000 m2
+port "io_oeb[7]" 74 23464 119520 23520 120000 m2
+port "io_in[7]" 36 22452 119520 22508 120000 m2
+port "io_out[6]" 111 21348 119520 21404 120000 m2
+port "io_oeb[6]" 73 20336 119520 20392 120000 m2
+port "io_in[6]" 35 19232 119520 19288 120000 m2
+port "io_out[5]" 110 18220 119520 18276 120000 m2
+port "io_oeb[5]" 72 17208 119520 17264 120000 m2
+port "io_in[5]" 34 16104 119520 16160 120000 m2
+port "io_out[4]" 109 15092 119520 15148 120000 m2
+port "io_oeb[4]" 71 13988 119520 14044 120000 m2
+port "io_in[4]" 33 12976 119520 13032 120000 m2
+port "io_out[3]" 108 11872 119520 11928 120000 m2
+port "io_oeb[3]" 70 10860 119520 10916 120000 m2
+port "io_in[3]" 32 9756 119520 9812 120000 m2
+port "io_out[2]" 99 8744 119520 8800 120000 m2
+port "io_oeb[2]" 61 7732 119520 7788 120000 m2
+port "io_in[2]" 23 6628 119520 6684 120000 m2
+port "io_out[1]" 88 5616 119520 5672 120000 m2
+port "io_oeb[1]" 50 4512 119520 4568 120000 m2
+port "io_in[1]" 12 3500 119520 3556 120000 m2
+port "io_out[0]" 77 2396 119520 2452 120000 m2
+port "io_oeb[0]" 39 1384 119520 1440 120000 m2
+port "io_in[0]" 1 372 119520 428 120000 m2
+node "m4_26817_1939#" 0 0 26817 1939 obsm4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 19462 2128 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 4102 2128 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "m3_183_1939#" 0 0 183 1939 obsm3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[127]" 0 0 119696 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[127]" 0 0 119420 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[127]" 0 0 119236 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[126]" 0 0 118960 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[126]" 0 0 118684 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[126]" 0 0 118500 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[125]" 0 0 118224 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[125]" 0 0 117948 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[125]" 0 0 117764 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[124]" 0 0 117488 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[124]" 0 0 117212 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[124]" 0 0 117028 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[123]" 0 0 116752 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[123]" 0 0 116476 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[123]" 0 0 116292 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[122]" 0 0 116016 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[122]" 0 0 115740 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[122]" 0 0 115556 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[121]" 0 0 115280 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[121]" 0 0 115004 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[121]" 0 0 114820 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[120]" 0 0 114544 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[120]" 0 0 114268 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[120]" 0 0 114084 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[119]" 0 0 113808 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[119]" 0 0 113532 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[119]" 0 0 113348 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[118]" 0 0 113072 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[118]" 0 0 112796 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[118]" 0 0 112612 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[117]" 0 0 112336 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[117]" 0 0 112060 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[117]" 0 0 111876 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[116]" 0 0 111600 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[116]" 0 0 111324 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[116]" 0 0 111140 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[115]" 0 0 110864 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[115]" 0 0 110588 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[115]" 0 0 110404 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[114]" 0 0 110128 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[114]" 0 0 109852 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[114]" 0 0 109668 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[113]" 0 0 109392 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[113]" 0 0 109116 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[113]" 0 0 108932 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[112]" 0 0 108656 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[112]" 0 0 108380 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[112]" 0 0 108196 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[111]" 0 0 107920 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[111]" 0 0 107644 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[111]" 0 0 107460 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[110]" 0 0 107184 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[110]" 0 0 106908 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[110]" 0 0 106724 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[109]" 0 0 106448 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[109]" 0 0 106172 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[109]" 0 0 105988 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[108]" 0 0 105712 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[108]" 0 0 105436 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[108]" 0 0 105252 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[107]" 0 0 104976 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[107]" 0 0 104792 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[107]" 0 0 104516 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[106]" 0 0 104240 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[106]" 0 0 104056 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[106]" 0 0 103780 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[105]" 0 0 103504 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[105]" 0 0 103320 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[105]" 0 0 103044 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[104]" 0 0 102768 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[104]" 0 0 102584 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[104]" 0 0 102308 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[103]" 0 0 102032 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[103]" 0 0 101848 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[103]" 0 0 101572 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[102]" 0 0 101296 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[102]" 0 0 101112 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[102]" 0 0 100836 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[101]" 0 0 100560 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[101]" 0 0 100376 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[101]" 0 0 100100 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[100]" 0 0 99824 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[100]" 0 0 99640 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[100]" 0 0 99364 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[99]" 0 0 99088 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[99]" 0 0 98904 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[99]" 0 0 98628 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[98]" 0 0 98352 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[98]" 0 0 98168 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[98]" 0 0 97892 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[97]" 0 0 97616 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[97]" 0 0 97432 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[97]" 0 0 97156 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[96]" 0 0 96880 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[96]" 0 0 96696 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[96]" 0 0 96420 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[95]" 0 0 96144 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[95]" 0 0 95960 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[95]" 0 0 95684 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[94]" 0 0 95408 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[94]" 0 0 95224 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[94]" 0 0 94948 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[93]" 0 0 94672 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[93]" 0 0 94488 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[93]" 0 0 94212 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[92]" 0 0 93936 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[92]" 0 0 93752 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[92]" 0 0 93476 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[91]" 0 0 93200 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[91]" 0 0 93016 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[91]" 0 0 92740 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[90]" 0 0 92464 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[90]" 0 0 92280 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[90]" 0 0 92004 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[89]" 0 0 91728 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[89]" 0 0 91544 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[89]" 0 0 91268 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[88]" 0 0 90992 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[88]" 0 0 90808 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[88]" 0 0 90532 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[87]" 0 0 90256 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[87]" 0 0 90072 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[87]" 0 0 89796 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[86]" 0 0 89612 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[86]" 0 0 89336 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[86]" 0 0 89060 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[85]" 0 0 88876 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[85]" 0 0 88600 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[85]" 0 0 88324 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[84]" 0 0 88140 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[84]" 0 0 87864 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[84]" 0 0 87588 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[83]" 0 0 87404 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[83]" 0 0 87128 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[83]" 0 0 86852 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[82]" 0 0 86668 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[82]" 0 0 86392 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[82]" 0 0 86116 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[81]" 0 0 85932 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[81]" 0 0 85656 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[81]" 0 0 85380 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[80]" 0 0 85196 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[80]" 0 0 84920 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[80]" 0 0 84644 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[79]" 0 0 84460 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[79]" 0 0 84184 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[79]" 0 0 83908 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[78]" 0 0 83724 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[78]" 0 0 83448 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[78]" 0 0 83172 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[77]" 0 0 82988 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[77]" 0 0 82712 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[77]" 0 0 82436 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[76]" 0 0 82252 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[76]" 0 0 81976 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[76]" 0 0 81700 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[75]" 0 0 81516 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[75]" 0 0 81240 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[75]" 0 0 80964 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[74]" 0 0 80780 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[74]" 0 0 80504 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[74]" 0 0 80228 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[73]" 0 0 80044 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[73]" 0 0 79768 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[73]" 0 0 79492 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[72]" 0 0 79308 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[72]" 0 0 79032 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[72]" 0 0 78756 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[71]" 0 0 78572 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[71]" 0 0 78296 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[71]" 0 0 78020 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[70]" 0 0 77836 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[70]" 0 0 77560 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[70]" 0 0 77284 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[69]" 0 0 77100 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[69]" 0 0 76824 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[69]" 0 0 76548 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[68]" 0 0 76364 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[68]" 0 0 76088 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[68]" 0 0 75812 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[67]" 0 0 75628 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[67]" 0 0 75352 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[67]" 0 0 75076 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[66]" 0 0 74892 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[66]" 0 0 74616 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[66]" 0 0 74432 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[65]" 0 0 74156 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[65]" 0 0 73880 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[65]" 0 0 73696 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[64]" 0 0 73420 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[64]" 0 0 73144 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[64]" 0 0 72960 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[63]" 0 0 72684 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[63]" 0 0 72408 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[63]" 0 0 72224 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[62]" 0 0 71948 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[62]" 0 0 71672 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[62]" 0 0 71488 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[61]" 0 0 71212 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[61]" 0 0 70936 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[61]" 0 0 70752 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[60]" 0 0 70476 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[60]" 0 0 70200 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[60]" 0 0 70016 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[59]" 0 0 69740 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[59]" 0 0 69464 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[59]" 0 0 69280 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[58]" 0 0 69004 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[58]" 0 0 68728 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[58]" 0 0 68544 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[57]" 0 0 68268 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[57]" 0 0 67992 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[57]" 0 0 67808 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[56]" 0 0 67532 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[56]" 0 0 67256 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[56]" 0 0 67072 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[55]" 0 0 66796 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[55]" 0 0 66520 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[55]" 0 0 66336 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[54]" 0 0 66060 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[54]" 0 0 65784 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[54]" 0 0 65600 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[53]" 0 0 65324 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[53]" 0 0 65048 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[53]" 0 0 64864 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[52]" 0 0 64588 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[52]" 0 0 64312 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[52]" 0 0 64128 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[51]" 0 0 63852 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[51]" 0 0 63576 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[51]" 0 0 63392 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[50]" 0 0 63116 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[50]" 0 0 62840 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[50]" 0 0 62656 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[49]" 0 0 62380 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[49]" 0 0 62104 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[49]" 0 0 61920 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[48]" 0 0 61644 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[48]" 0 0 61368 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[48]" 0 0 61184 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[47]" 0 0 60908 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[47]" 0 0 60632 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[47]" 0 0 60448 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[46]" 0 0 60172 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[46]" 0 0 59988 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[46]" 0 0 59712 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[45]" 0 0 59436 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[45]" 0 0 59252 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[45]" 0 0 58976 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[44]" 0 0 58700 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[44]" 0 0 58516 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[44]" 0 0 58240 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[43]" 0 0 57964 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[43]" 0 0 57780 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[43]" 0 0 57504 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[42]" 0 0 57228 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[42]" 0 0 57044 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[42]" 0 0 56768 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[41]" 0 0 56492 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[41]" 0 0 56308 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[41]" 0 0 56032 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[40]" 0 0 55756 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[40]" 0 0 55572 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[40]" 0 0 55296 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[39]" 0 0 55020 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[39]" 0 0 54836 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[39]" 0 0 54560 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[38]" 0 0 54284 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[38]" 0 0 54100 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[38]" 0 0 53824 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[37]" 0 0 53548 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[37]" 0 0 53364 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[37]" 0 0 53088 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[36]" 0 0 52812 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[36]" 0 0 52628 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[36]" 0 0 52352 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[35]" 0 0 52076 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[35]" 0 0 51892 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[35]" 0 0 51616 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[34]" 0 0 51340 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[34]" 0 0 51156 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[34]" 0 0 50880 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[33]" 0 0 50604 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[33]" 0 0 50420 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[33]" 0 0 50144 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[32]" 0 0 49868 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[32]" 0 0 49684 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[32]" 0 0 49408 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[31]" 0 0 49132 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[31]" 0 0 48948 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[31]" 0 0 48672 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[30]" 0 0 48396 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[30]" 0 0 48212 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[30]" 0 0 47936 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[29]" 0 0 47660 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[29]" 0 0 47476 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[29]" 0 0 47200 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[28]" 0 0 46924 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[28]" 0 0 46740 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[28]" 0 0 46464 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[27]" 0 0 46188 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[27]" 0 0 46004 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[27]" 0 0 45728 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[26]" 0 0 45452 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[26]" 0 0 45268 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[26]" 0 0 44992 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[25]" 0 0 44808 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[25]" 0 0 44532 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[25]" 0 0 44256 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[24]" 0 0 44072 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[24]" 0 0 43796 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[24]" 0 0 43520 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[23]" 0 0 43336 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[23]" 0 0 43060 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[23]" 0 0 42784 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[22]" 0 0 42600 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[22]" 0 0 42324 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[22]" 0 0 42048 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[21]" 0 0 41864 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[21]" 0 0 41588 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[21]" 0 0 41312 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[20]" 0 0 41128 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[20]" 0 0 40852 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[20]" 0 0 40576 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[19]" 0 0 40392 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[19]" 0 0 40116 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[19]" 0 0 39840 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[18]" 0 0 39656 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[18]" 0 0 39380 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[18]" 0 0 39104 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[17]" 0 0 38920 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[17]" 0 0 38644 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[17]" 0 0 38368 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[16]" 0 0 38184 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[16]" 0 0 37908 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[16]" 0 0 37632 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[15]" 0 0 37448 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[15]" 0 0 37172 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[15]" 0 0 36896 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[14]" 0 0 36712 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[14]" 0 0 36436 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[14]" 0 0 36160 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[13]" 0 0 35976 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[13]" 0 0 35700 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[13]" 0 0 35424 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[12]" 0 0 35240 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[12]" 0 0 34964 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[12]" 0 0 34688 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[11]" 0 0 34504 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[11]" 0 0 34228 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[11]" 0 0 33952 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[10]" 0 0 33768 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[10]" 0 0 33492 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[10]" 0 0 33216 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[9]" 0 0 33032 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[9]" 0 0 32756 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[9]" 0 0 32480 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[8]" 0 0 32296 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[8]" 0 0 32020 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[8]" 0 0 31744 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[7]" 0 0 31560 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[7]" 0 0 31284 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[7]" 0 0 31008 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[6]" 0 0 30824 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[6]" 0 0 30548 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[6]" 0 0 30272 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[5]" 0 0 30088 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[5]" 0 0 29812 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[5]" 0 0 29628 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[4]" 0 0 29352 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[4]" 0 0 29076 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[4]" 0 0 28892 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[3]" 0 0 28616 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[3]" 0 0 28340 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[3]" 0 0 28156 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[2]" 0 0 27880 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[2]" 0 0 27604 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[2]" 0 0 27420 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[1]" 0 0 27144 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[1]" 0 0 26868 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[1]" 0 0 26684 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[0]" 0 0 26408 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[0]" 0 0 26132 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[0]" 0 0 25948 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[31]" 0 0 25672 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[31]" 0 0 25396 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[31]" 0 0 25212 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[30]" 0 0 24936 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[30]" 0 0 24660 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[30]" 0 0 24476 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[29]" 0 0 24200 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[29]" 0 0 23924 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[29]" 0 0 23740 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[28]" 0 0 23464 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[28]" 0 0 23188 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[28]" 0 0 23004 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[27]" 0 0 22728 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[27]" 0 0 22452 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[27]" 0 0 22268 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[26]" 0 0 21992 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[26]" 0 0 21716 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[26]" 0 0 21532 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[25]" 0 0 21256 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[25]" 0 0 20980 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[25]" 0 0 20796 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[24]" 0 0 20520 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[24]" 0 0 20244 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[24]" 0 0 20060 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[23]" 0 0 19784 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[23]" 0 0 19508 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[23]" 0 0 19324 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[22]" 0 0 19048 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[22]" 0 0 18772 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[22]" 0 0 18588 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[21]" 0 0 18312 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[21]" 0 0 18036 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[21]" 0 0 17852 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[20]" 0 0 17576 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[20]" 0 0 17300 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[20]" 0 0 17116 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[19]" 0 0 16840 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[19]" 0 0 16564 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[19]" 0 0 16380 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[18]" 0 0 16104 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[18]" 0 0 15828 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[18]" 0 0 15644 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[17]" 0 0 15368 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[17]" 0 0 15092 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[17]" 0 0 14908 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[16]" 0 0 14632 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[16]" 0 0 14448 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[16]" 0 0 14172 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[15]" 0 0 13896 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[15]" 0 0 13712 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[15]" 0 0 13436 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[14]" 0 0 13160 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[14]" 0 0 12976 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[14]" 0 0 12700 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[13]" 0 0 12424 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[13]" 0 0 12240 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[13]" 0 0 11964 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[12]" 0 0 11688 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[12]" 0 0 11504 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[12]" 0 0 11228 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[11]" 0 0 10952 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[11]" 0 0 10768 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[11]" 0 0 10492 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[10]" 0 0 10216 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[10]" 0 0 10032 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[10]" 0 0 9756 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[9]" 0 0 9480 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[9]" 0 0 9296 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[9]" 0 0 9020 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[8]" 0 0 8744 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[8]" 0 0 8560 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[8]" 0 0 8284 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[7]" 0 0 8008 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[7]" 0 0 7824 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[7]" 0 0 7548 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[6]" 0 0 7272 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[6]" 0 0 7088 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[6]" 0 0 6812 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[5]" 0 0 6536 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[5]" 0 0 6352 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[5]" 0 0 6076 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[4]" 0 0 5800 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[4]" 0 0 5616 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[4]" 0 0 5340 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[3]" 0 0 5064 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[3]" 0 0 4880 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[3]" 0 0 4604 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[3]" 0 0 4328 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[2]" 0 0 4144 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[2]" 0 0 3868 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[2]" 0 0 3592 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[2]" 0 0 3408 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[1]" 0 0 3132 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[1]" 0 0 2856 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[1]" 0 0 2672 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[1]" 0 0 2396 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[0]" 0 0 2120 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[0]" 0 0 1936 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[0]" 0 0 1660 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[0]" 0 0 1384 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_we_i" 0 0 1200 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_stb_i" 0 0 924 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_cyc_i" 0 0 648 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_ack_o" 0 0 464 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wb_rst_i" 0 0 188 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wb_clk_i" 0 0 4 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "m2_6_536#" 0 0 6 536 obsm2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[37]" 0 0 119236 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[37]" 0 0 118224 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[37]" 0 0 117120 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[36]" 0 0 116108 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[36]" 0 0 115004 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[36]" 0 0 113992 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[35]" 0 0 112888 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[35]" 0 0 111876 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[35]" 0 0 110864 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[34]" 0 0 109760 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[34]" 0 0 108748 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[34]" 0 0 107644 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[33]" 0 0 106632 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[33]" 0 0 105528 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[33]" 0 0 104516 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[32]" 0 0 103412 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[32]" 0 0 102400 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[32]" 0 0 101388 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[31]" 0 0 100284 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[31]" 0 0 99272 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[31]" 0 0 98168 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[30]" 0 0 97156 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[30]" 0 0 96052 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[30]" 0 0 95040 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[29]" 0 0 94028 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[29]" 0 0 92924 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[29]" 0 0 91912 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[28]" 0 0 90808 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[28]" 0 0 89796 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[28]" 0 0 88692 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[27]" 0 0 87680 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[27]" 0 0 86576 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[27]" 0 0 85564 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[26]" 0 0 84552 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[26]" 0 0 83448 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[26]" 0 0 82436 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[25]" 0 0 81332 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[25]" 0 0 80320 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[25]" 0 0 79216 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[24]" 0 0 78204 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[24]" 0 0 77192 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[24]" 0 0 76088 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[23]" 0 0 75076 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[23]" 0 0 73972 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[23]" 0 0 72960 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[22]" 0 0 71856 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[22]" 0 0 70844 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[22]" 0 0 69740 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[21]" 0 0 68728 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[21]" 0 0 67716 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[21]" 0 0 66612 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[20]" 0 0 65600 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[20]" 0 0 64496 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[20]" 0 0 63484 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[19]" 0 0 62380 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[19]" 0 0 61368 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[19]" 0 0 60356 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[18]" 0 0 59252 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[18]" 0 0 58240 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[18]" 0 0 57136 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[17]" 0 0 56124 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[17]" 0 0 55020 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[17]" 0 0 54008 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[16]" 0 0 52904 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[16]" 0 0 51892 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[16]" 0 0 50880 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[15]" 0 0 49776 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[15]" 0 0 48764 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[15]" 0 0 47660 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[14]" 0 0 46648 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[14]" 0 0 45544 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[14]" 0 0 44532 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[13]" 0 0 43428 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[13]" 0 0 42416 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[13]" 0 0 41404 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[12]" 0 0 40300 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[12]" 0 0 39288 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[12]" 0 0 38184 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[11]" 0 0 37172 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[11]" 0 0 36068 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[11]" 0 0 35056 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[10]" 0 0 34044 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[10]" 0 0 32940 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[10]" 0 0 31928 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[9]" 0 0 30824 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[9]" 0 0 29812 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[9]" 0 0 28708 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[8]" 0 0 27696 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[8]" 0 0 26592 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[8]" 0 0 25580 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[7]" 0 0 24568 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[7]" 0 0 23464 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[7]" 0 0 22452 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[6]" 0 0 21348 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[6]" 0 0 20336 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[6]" 0 0 19232 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[5]" 0 0 18220 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[5]" 0 0 17208 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[5]" 0 0 16104 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[4]" 0 0 15092 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[4]" 0 0 13988 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[4]" 0 0 12976 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[3]" 0 0 11872 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[3]" 0 0 10860 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[3]" 0 0 9756 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[2]" 0 0 8744 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[2]" 0 0 7732 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[2]" 0 0 6628 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[1]" 0 0 5616 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[1]" 0 0 4512 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[1]" 0 0 3500 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[0]" 0 0 2396 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[0]" 0 0 1384 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[0]" 0 0 372 119520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "m1_0_1028#" 0 0 0 1028 obsm1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_998_1309#" 0 0 998 1309 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.ext b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.ext
new file mode 100644
index 0000000..9c5b503
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.ext
@@ -0,0 +1,1337 @@
+timestamp 1606369132
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+use user_proj_example mprj 1 0 0 0 1 0
+port "vccd1" 636 -1896 -824 585820 -424 m5
+port "vssd1" 637 -2696 -1624 586620 -1224 m5
+port "vccd2" 638 -3496 -2424 587420 -2024 m5
+port "vssd2" 639 -4296 -3224 588220 -2824 m5
+port "vdda1" 640 -5096 -4024 589020 -3624 m5
+port "vssa1" 641 -5896 -4824 589820 -4424 m5
+port "vdda2" 642 -6696 -5624 590620 -5224 m5
+port "vssa2" 643 -7496 -6424 591420 -6024 m5
+port "analog_io[0]" 0 583520 5856 584000 5976 m3
+port "io_oeb[37]" 99 0 7080 480 7200 m3
+port "io_in[0]" 31 583520 17552 584000 17672 m3
+port "io_out[37]" 137 0 21360 480 21480 m3
+port "io_out[0]" 107 583520 29248 584000 29368 m3
+port "io_in[37]" 61 0 35776 480 35896 m3
+port "io_oeb[0]" 69 583520 40944 584000 41064 m3
+port "io_oeb[36]" 98 0 50056 480 50176 m3
+port "analog_io[1]" 11 583520 52776 584000 52896 m3
+port "io_in[1]" 42 583520 64472 584000 64592 m3
+port "io_out[36]" 136 0 64472 480 64592 m3
+port "io_out[1]" 118 583520 76168 584000 76288 m3
+port "io_in[36]" 60 0 78888 480 79008 m3
+port "io_oeb[1]" 80 583520 87864 584000 87984 m3
+port "io_oeb[35]" 97 0 93168 480 93288 m3
+port "analog_io[2]" 22 583520 99696 584000 99816 m3
+port "io_out[35]" 135 0 107584 480 107704 m3
+port "io_in[2]" 53 583520 111392 584000 111512 m3
+port "io_in[35]" 59 0 122000 480 122120 m3
+port "io_out[2]" 129 583520 123088 584000 123208 m3
+port "io_oeb[2]" 91 583520 134784 584000 134904 m3
+port "io_oeb[34]" 96 0 136280 480 136400 m3
+port "analog_io[3]" 24 583520 146616 584000 146736 m3
+port "io_out[34]" 134 0 150696 480 150816 m3
+port "io_in[3]" 62 583520 158312 584000 158432 m3
+port "io_in[34]" 58 0 164976 480 165096 m3
+port "io_out[3]" 138 583520 170008 584000 170128 m3
+port "io_oeb[33]" 95 0 179392 480 179512 m3
+port "io_oeb[3]" 100 583520 181840 584000 181960 m3
+port "analog_io[4]" 25 583520 193536 584000 193656 m3
+port "io_out[33]" 133 0 193808 480 193928 m3
+port "io_in[4]" 63 583520 205232 584000 205352 m3
+port "io_in[33]" 57 0 208088 480 208208 m3
+port "io_out[4]" 139 583520 216928 584000 217048 m3
+port "io_oeb[32]" 94 0 222504 480 222624 m3
+port "io_oeb[4]" 101 583520 228760 584000 228880 m3
+port "io_out[32]" 132 0 236920 480 237040 m3
+port "analog_io[5]" 26 583520 240456 584000 240576 m3
+port "io_in[32]" 56 0 251200 480 251320 m3
+port "io_in[5]" 64 583520 252152 584000 252272 m3
+port "io_out[5]" 140 583520 263848 584000 263968 m3
+port "io_oeb[31]" 93 0 265616 480 265736 m3
+port "io_oeb[5]" 102 583520 275680 584000 275800 m3
+port "io_out[31]" 131 0 280032 480 280152 m3
+port "analog_io[6]" 27 583520 287376 584000 287496 m3
+port "io_in[31]" 55 0 294312 480 294432 m3
+port "io_in[6]" 65 583520 299072 584000 299192 m3
+port "io_oeb[30]" 92 0 308728 480 308848 m3
+port "io_out[6]" 141 583520 310768 584000 310888 m3
+port "io_oeb[6]" 103 583520 322600 584000 322720 m3
+port "io_out[30]" 130 0 323008 480 323128 m3
+port "analog_io[7]" 28 583520 334296 584000 334416 m3
+port "io_in[30]" 54 0 337424 480 337544 m3
+port "io_in[7]" 66 583520 345992 584000 346112 m3
+port "analog_io[30]" 23 0 351840 480 351960 m3
+port "io_out[7]" 142 583520 357824 584000 357944 m3
+port "io_oeb[29]" 90 0 366120 480 366240 m3
+port "io_oeb[7]" 104 583520 369520 584000 369640 m3
+port "io_out[29]" 128 0 380536 480 380656 m3
+port "analog_io[8]" 29 583520 381216 584000 381336 m3
+port "io_in[8]" 67 583520 392912 584000 393032 m3
+port "io_in[29]" 52 0 394952 480 395072 m3
+port "io_out[8]" 143 583520 404744 584000 404864 m3
+port "analog_io[29]" 21 0 409232 480 409352 m3
+port "io_oeb[8]" 105 583520 416440 584000 416560 m3
+port "io_oeb[28]" 89 0 423648 480 423768 m3
+port "analog_io[9]" 30 583520 428136 584000 428256 m3
+port "io_out[28]" 127 0 437928 480 438048 m3
+port "io_in[9]" 68 583520 439832 584000 439952 m3
+port "io_out[9]" 144 583520 451664 584000 451784 m3
+port "io_in[28]" 51 0 452344 480 452464 m3
+port "io_oeb[9]" 106 583520 463360 584000 463480 m3
+port "analog_io[28]" 20 0 466760 480 466880 m3
+port "analog_io[10]" 1 583520 475056 584000 475176 m3
+port "io_oeb[27]" 88 0 481040 480 481160 m3
+port "io_in[10]" 32 583520 486752 584000 486872 m3
+port "io_out[27]" 126 0 495456 480 495576 m3
+port "io_out[10]" 108 583520 498584 584000 498704 m3
+port "io_in[27]" 50 0 509872 480 509992 m3
+port "io_oeb[10]" 70 583520 510280 584000 510400 m3
+port "analog_io[11]" 2 583520 521976 584000 522096 m3
+port "analog_io[27]" 19 0 524152 480 524272 m3
+port "io_in[11]" 33 583520 533808 584000 533928 m3
+port "io_oeb[26]" 87 0 538568 480 538688 m3
+port "io_out[11]" 109 583520 545504 584000 545624 m3
+port "io_out[26]" 125 0 552984 480 553104 m3
+port "io_oeb[11]" 71 583520 557200 584000 557320 m3
+port "io_in[26]" 49 0 567264 480 567384 m3
+port "analog_io[12]" 3 583520 568896 584000 569016 m3
+port "io_in[12]" 34 583520 580728 584000 580848 m3
+port "analog_io[26]" 18 0 581680 480 581800 m3
+port "io_out[12]" 110 583520 592424 584000 592544 m3
+port "io_oeb[25]" 86 0 595960 480 596080 m3
+port "io_oeb[12]" 72 583520 604120 584000 604240 m3
+port "io_out[25]" 124 0 610376 480 610496 m3
+port "analog_io[13]" 4 583520 615816 584000 615936 m3
+port "io_in[25]" 48 0 624792 480 624912 m3
+port "io_in[13]" 35 583520 627648 584000 627768 m3
+port "analog_io[25]" 17 0 639072 480 639192 m3
+port "io_out[13]" 111 583520 639344 584000 639464 m3
+port "io_oeb[13]" 73 583520 651040 584000 651160 m3
+port "io_oeb[24]" 85 0 653488 480 653608 m3
+port "analog_io[14]" 5 583520 662736 584000 662856 m3
+port "io_out[24]" 123 0 667904 480 668024 m3
+port "io_in[14]" 36 583520 674568 584000 674688 m3
+port "io_in[24]" 47 0 682184 480 682304 m3
+port "io_out[14]" 112 583520 686264 584000 686384 m3
+port "analog_io[24]" 16 0 696600 480 696720 m3
+port "io_oeb[14]" 74 583520 697960 584000 698080 m3
+port "user_clock2" 529 583390 0 583446 480 m2
+port "la_oen[127]" 431 582194 0 582250 480 m2
+port "la_data_out[127]" 303 580998 0 581054 480 m2
+port "la_data_in[127]" 175 579802 0 579858 480 m2
+port "la_oen[126]" 430 578606 0 578662 480 m2
+port "la_data_out[126]" 302 577410 0 577466 480 m2
+port "la_data_in[126]" 174 576214 0 576270 480 m2
+port "la_oen[125]" 429 575018 0 575074 480 m2
+port "la_data_out[125]" 301 573822 0 573878 480 m2
+port "la_data_in[125]" 173 572626 0 572682 480 m2
+port "la_oen[124]" 428 571430 0 571486 480 m2
+port "la_data_out[124]" 300 570234 0 570290 480 m2
+port "la_data_in[124]" 172 569038 0 569094 480 m2
+port "la_oen[123]" 427 567842 0 567898 480 m2
+port "la_data_out[123]" 299 566738 0 566794 480 m2
+port "la_data_in[123]" 171 565542 0 565598 480 m2
+port "la_oen[122]" 426 564346 0 564402 480 m2
+port "la_data_out[122]" 298 563150 0 563206 480 m2
+port "la_data_in[122]" 170 561954 0 562010 480 m2
+port "la_oen[121]" 425 560758 0 560814 480 m2
+port "la_data_out[121]" 297 559562 0 559618 480 m2
+port "la_data_in[121]" 169 558366 0 558422 480 m2
+port "la_oen[120]" 424 557170 0 557226 480 m2
+port "la_data_out[120]" 296 555974 0 556030 480 m2
+port "la_data_in[120]" 168 554778 0 554834 480 m2
+port "la_oen[119]" 422 553582 0 553638 480 m2
+port "la_data_out[119]" 294 552386 0 552442 480 m2
+port "la_data_in[119]" 166 551190 0 551246 480 m2
+port "la_oen[118]" 421 550086 0 550142 480 m2
+port "la_data_out[118]" 293 548890 0 548946 480 m2
+port "la_data_in[118]" 165 547694 0 547750 480 m2
+port "la_oen[117]" 420 546498 0 546554 480 m2
+port "la_data_out[117]" 292 545302 0 545358 480 m2
+port "la_data_in[117]" 164 544106 0 544162 480 m2
+port "la_oen[116]" 419 542910 0 542966 480 m2
+port "la_data_out[116]" 291 541714 0 541770 480 m2
+port "la_data_in[116]" 163 540518 0 540574 480 m2
+port "la_oen[115]" 418 539322 0 539378 480 m2
+port "la_data_out[115]" 290 538126 0 538182 480 m2
+port "la_data_in[115]" 162 536930 0 536986 480 m2
+port "la_oen[114]" 417 535734 0 535790 480 m2
+port "la_data_out[114]" 289 534538 0 534594 480 m2
+port "la_data_in[114]" 161 533434 0 533490 480 m2
+port "la_oen[113]" 416 532238 0 532294 480 m2
+port "la_data_out[113]" 288 531042 0 531098 480 m2
+port "la_data_in[113]" 160 529846 0 529902 480 m2
+port "la_oen[112]" 415 528650 0 528706 480 m2
+port "la_data_out[112]" 287 527454 0 527510 480 m2
+port "la_data_in[112]" 159 526258 0 526314 480 m2
+port "la_oen[111]" 414 525062 0 525118 480 m2
+port "la_data_out[111]" 286 523866 0 523922 480 m2
+port "la_data_in[111]" 158 522670 0 522726 480 m2
+port "la_oen[110]" 413 521474 0 521530 480 m2
+port "la_data_out[110]" 285 520278 0 520334 480 m2
+port "la_data_in[110]" 157 519082 0 519138 480 m2
+port "la_oen[109]" 411 517886 0 517942 480 m2
+port "la_data_out[109]" 283 516782 0 516838 480 m2
+port "la_data_in[109]" 155 515586 0 515642 480 m2
+port "la_oen[108]" 410 514390 0 514446 480 m2
+port "la_data_out[108]" 282 513194 0 513250 480 m2
+port "la_data_in[108]" 154 511998 0 512054 480 m2
+port "la_oen[107]" 409 510802 0 510858 480 m2
+port "la_data_out[107]" 281 509606 0 509662 480 m2
+port "la_data_in[107]" 153 508410 0 508466 480 m2
+port "la_oen[106]" 408 507214 0 507270 480 m2
+port "la_data_out[106]" 280 506018 0 506074 480 m2
+port "la_data_in[106]" 152 504822 0 504878 480 m2
+port "la_oen[105]" 407 503626 0 503682 480 m2
+port "la_data_out[105]" 279 502430 0 502486 480 m2
+port "la_data_in[105]" 151 501234 0 501290 480 m2
+port "la_oen[104]" 406 500130 0 500186 480 m2
+port "la_data_out[104]" 278 498934 0 498990 480 m2
+port "la_data_in[104]" 150 497738 0 497794 480 m2
+port "la_oen[103]" 405 496542 0 496598 480 m2
+port "la_data_out[103]" 277 495346 0 495402 480 m2
+port "la_data_in[103]" 149 494150 0 494206 480 m2
+port "la_oen[102]" 404 492954 0 493010 480 m2
+port "la_data_out[102]" 276 491758 0 491814 480 m2
+port "la_data_in[102]" 148 490562 0 490618 480 m2
+port "la_oen[101]" 403 489366 0 489422 480 m2
+port "la_data_out[101]" 275 488170 0 488226 480 m2
+port "la_data_in[101]" 147 486974 0 487030 480 m2
+port "la_oen[100]" 402 485778 0 485834 480 m2
+port "la_data_out[100]" 274 484582 0 484638 480 m2
+port "la_data_in[100]" 146 483478 0 483534 480 m2
+port "la_oen[99]" 527 482282 0 482338 480 m2
+port "la_data_out[99]" 399 481086 0 481142 480 m2
+port "la_data_in[99]" 271 479890 0 479946 480 m2
+port "la_oen[98]" 526 478694 0 478750 480 m2
+port "la_data_out[98]" 398 477498 0 477554 480 m2
+port "la_data_in[98]" 270 476302 0 476358 480 m2
+port "la_oen[97]" 525 475106 0 475162 480 m2
+port "la_data_out[97]" 397 473910 0 473966 480 m2
+port "la_data_in[97]" 269 472714 0 472770 480 m2
+port "la_oen[96]" 524 471518 0 471574 480 m2
+port "la_data_out[96]" 396 470322 0 470378 480 m2
+port "la_data_in[96]" 268 469126 0 469182 480 m2
+port "la_oen[95]" 523 467930 0 467986 480 m2
+port "la_data_out[95]" 395 466826 0 466882 480 m2
+port "la_data_in[95]" 267 465630 0 465686 480 m2
+port "la_oen[94]" 522 464434 0 464490 480 m2
+port "la_data_out[94]" 394 463238 0 463294 480 m2
+port "la_data_in[94]" 266 462042 0 462098 480 m2
+port "la_oen[93]" 521 460846 0 460902 480 m2
+port "la_data_out[93]" 393 459650 0 459706 480 m2
+port "la_data_in[93]" 265 458454 0 458510 480 m2
+port "la_oen[92]" 520 457258 0 457314 480 m2
+port "la_data_out[92]" 392 456062 0 456118 480 m2
+port "la_data_in[92]" 264 454866 0 454922 480 m2
+port "la_oen[91]" 519 453670 0 453726 480 m2
+port "la_data_out[91]" 391 452474 0 452530 480 m2
+port "la_data_in[91]" 263 451278 0 451334 480 m2
+port "la_oen[90]" 518 450174 0 450230 480 m2
+port "la_data_out[90]" 390 448978 0 449034 480 m2
+port "la_data_in[90]" 262 447782 0 447838 480 m2
+port "la_oen[89]" 516 446586 0 446642 480 m2
+port "la_data_out[89]" 388 445390 0 445446 480 m2
+port "la_data_in[89]" 260 444194 0 444250 480 m2
+port "la_oen[88]" 515 442998 0 443054 480 m2
+port "la_data_out[88]" 387 441802 0 441858 480 m2
+port "la_data_in[88]" 259 440606 0 440662 480 m2
+port "la_oen[87]" 514 439410 0 439466 480 m2
+port "la_data_out[87]" 386 438214 0 438270 480 m2
+port "la_data_in[87]" 258 437018 0 437074 480 m2
+port "la_oen[86]" 513 435822 0 435878 480 m2
+port "la_data_out[86]" 385 434626 0 434682 480 m2
+port "la_data_in[86]" 257 433522 0 433578 480 m2
+port "la_oen[85]" 512 432326 0 432382 480 m2
+port "la_data_out[85]" 384 431130 0 431186 480 m2
+port "la_data_in[85]" 256 429934 0 429990 480 m2
+port "la_oen[84]" 511 428738 0 428794 480 m2
+port "la_data_out[84]" 383 427542 0 427598 480 m2
+port "la_data_in[84]" 255 426346 0 426402 480 m2
+port "la_oen[83]" 510 425150 0 425206 480 m2
+port "la_data_out[83]" 382 423954 0 424010 480 m2
+port "la_data_in[83]" 254 422758 0 422814 480 m2
+port "la_oen[82]" 509 421562 0 421618 480 m2
+port "la_data_out[82]" 381 420366 0 420422 480 m2
+port "la_data_in[82]" 253 419170 0 419226 480 m2
+port "la_oen[81]" 508 417974 0 418030 480 m2
+port "la_data_out[81]" 380 416870 0 416926 480 m2
+port "la_data_in[81]" 252 415674 0 415730 480 m2
+port "la_oen[80]" 507 414478 0 414534 480 m2
+port "la_data_out[80]" 379 413282 0 413338 480 m2
+port "la_data_in[80]" 251 412086 0 412142 480 m2
+port "la_oen[79]" 505 410890 0 410946 480 m2
+port "la_data_out[79]" 377 409694 0 409750 480 m2
+port "la_data_in[79]" 249 408498 0 408554 480 m2
+port "la_oen[78]" 504 407302 0 407358 480 m2
+port "la_data_out[78]" 376 406106 0 406162 480 m2
+port "la_data_in[78]" 248 404910 0 404966 480 m2
+port "la_oen[77]" 503 403714 0 403770 480 m2
+port "la_data_out[77]" 375 402518 0 402574 480 m2
+port "la_data_in[77]" 247 401322 0 401378 480 m2
+port "la_oen[76]" 502 400218 0 400274 480 m2
+port "la_data_out[76]" 374 399022 0 399078 480 m2
+port "la_data_in[76]" 246 397826 0 397882 480 m2
+port "la_oen[75]" 501 396630 0 396686 480 m2
+port "la_data_out[75]" 373 395434 0 395490 480 m2
+port "la_data_in[75]" 245 394238 0 394294 480 m2
+port "la_oen[74]" 500 393042 0 393098 480 m2
+port "la_data_out[74]" 372 391846 0 391902 480 m2
+port "la_data_in[74]" 244 390650 0 390706 480 m2
+port "la_oen[73]" 499 389454 0 389510 480 m2
+port "la_data_out[73]" 371 388258 0 388314 480 m2
+port "la_data_in[73]" 243 387062 0 387118 480 m2
+port "la_oen[72]" 498 385866 0 385922 480 m2
+port "la_data_out[72]" 370 384670 0 384726 480 m2
+port "la_data_in[72]" 242 383566 0 383622 480 m2
+port "la_oen[71]" 497 382370 0 382426 480 m2
+port "la_data_out[71]" 369 381174 0 381230 480 m2
+port "la_data_in[71]" 241 379978 0 380034 480 m2
+port "la_oen[70]" 496 378782 0 378838 480 m2
+port "la_data_out[70]" 368 377586 0 377642 480 m2
+port "la_data_in[70]" 240 376390 0 376446 480 m2
+port "la_oen[69]" 494 375194 0 375250 480 m2
+port "la_data_out[69]" 366 373998 0 374054 480 m2
+port "la_data_in[69]" 238 372802 0 372858 480 m2
+port "la_oen[68]" 493 371606 0 371662 480 m2
+port "la_data_out[68]" 365 370410 0 370466 480 m2
+port "la_data_in[68]" 237 369214 0 369270 480 m2
+port "la_oen[67]" 492 368018 0 368074 480 m2
+port "la_data_out[67]" 364 366914 0 366970 480 m2
+port "la_data_in[67]" 236 365718 0 365774 480 m2
+port "la_oen[66]" 491 364522 0 364578 480 m2
+port "la_data_out[66]" 363 363326 0 363382 480 m2
+port "la_data_in[66]" 235 362130 0 362186 480 m2
+port "la_oen[65]" 490 360934 0 360990 480 m2
+port "la_data_out[65]" 362 359738 0 359794 480 m2
+port "la_data_in[65]" 234 358542 0 358598 480 m2
+port "la_oen[64]" 489 357346 0 357402 480 m2
+port "la_data_out[64]" 361 356150 0 356206 480 m2
+port "la_data_in[64]" 233 354954 0 355010 480 m2
+port "la_oen[63]" 488 353758 0 353814 480 m2
+port "la_data_out[63]" 360 352562 0 352618 480 m2
+port "la_data_in[63]" 232 351366 0 351422 480 m2
+port "la_oen[62]" 487 350262 0 350318 480 m2
+port "la_data_out[62]" 359 349066 0 349122 480 m2
+port "la_data_in[62]" 231 347870 0 347926 480 m2
+port "la_oen[61]" 486 346674 0 346730 480 m2
+port "la_data_out[61]" 358 345478 0 345534 480 m2
+port "la_data_in[61]" 230 344282 0 344338 480 m2
+port "la_oen[60]" 485 343086 0 343142 480 m2
+port "la_data_out[60]" 357 341890 0 341946 480 m2
+port "la_data_in[60]" 229 340694 0 340750 480 m2
+port "la_oen[59]" 483 339498 0 339554 480 m2
+port "la_data_out[59]" 355 338302 0 338358 480 m2
+port "la_data_in[59]" 227 337106 0 337162 480 m2
+port "la_oen[58]" 482 335910 0 335966 480 m2
+port "la_data_out[58]" 354 334714 0 334770 480 m2
+port "la_data_in[58]" 226 333610 0 333666 480 m2
+port "la_oen[57]" 481 332414 0 332470 480 m2
+port "la_data_out[57]" 353 331218 0 331274 480 m2
+port "la_data_in[57]" 225 330022 0 330078 480 m2
+port "la_oen[56]" 480 328826 0 328882 480 m2
+port "la_data_out[56]" 352 327630 0 327686 480 m2
+port "la_data_in[56]" 224 326434 0 326490 480 m2
+port "la_oen[55]" 479 325238 0 325294 480 m2
+port "la_data_out[55]" 351 324042 0 324098 480 m2
+port "la_data_in[55]" 223 322846 0 322902 480 m2
+port "la_oen[54]" 478 321650 0 321706 480 m2
+port "la_data_out[54]" 350 320454 0 320510 480 m2
+port "la_data_in[54]" 222 319258 0 319314 480 m2
+port "la_oen[53]" 477 318062 0 318118 480 m2
+port "la_data_out[53]" 349 316958 0 317014 480 m2
+port "la_data_in[53]" 221 315762 0 315818 480 m2
+port "la_oen[52]" 476 314566 0 314622 480 m2
+port "la_data_out[52]" 348 313370 0 313426 480 m2
+port "la_data_in[52]" 220 312174 0 312230 480 m2
+port "la_oen[51]" 475 310978 0 311034 480 m2
+port "la_data_out[51]" 347 309782 0 309838 480 m2
+port "la_data_in[51]" 219 308586 0 308642 480 m2
+port "la_oen[50]" 474 307390 0 307446 480 m2
+port "la_data_out[50]" 346 306194 0 306250 480 m2
+port "la_data_in[50]" 218 304998 0 305054 480 m2
+port "la_oen[49]" 472 303802 0 303858 480 m2
+port "la_data_out[49]" 344 302606 0 302662 480 m2
+port "la_data_in[49]" 216 301410 0 301466 480 m2
+port "la_oen[48]" 471 300306 0 300362 480 m2
+port "la_data_out[48]" 343 299110 0 299166 480 m2
+port "la_data_in[48]" 215 297914 0 297970 480 m2
+port "la_oen[47]" 470 296718 0 296774 480 m2
+port "la_data_out[47]" 342 295522 0 295578 480 m2
+port "la_data_in[47]" 214 294326 0 294382 480 m2
+port "la_oen[46]" 469 293130 0 293186 480 m2
+port "la_data_out[46]" 341 291934 0 291990 480 m2
+port "la_data_in[46]" 213 290738 0 290794 480 m2
+port "la_oen[45]" 468 289542 0 289598 480 m2
+port "la_data_out[45]" 340 288346 0 288402 480 m2
+port "la_data_in[45]" 212 287150 0 287206 480 m2
+port "la_oen[44]" 467 285954 0 286010 480 m2
+port "la_data_out[44]" 339 284758 0 284814 480 m2
+port "la_data_in[44]" 211 283654 0 283710 480 m2
+port "la_oen[43]" 466 282458 0 282514 480 m2
+port "la_data_out[43]" 338 281262 0 281318 480 m2
+port "la_data_in[43]" 210 280066 0 280122 480 m2
+port "la_oen[42]" 465 278870 0 278926 480 m2
+port "la_data_out[42]" 337 277674 0 277730 480 m2
+port "la_data_in[42]" 209 276478 0 276534 480 m2
+port "la_oen[41]" 464 275282 0 275338 480 m2
+port "la_data_out[41]" 336 274086 0 274142 480 m2
+port "la_data_in[41]" 208 272890 0 272946 480 m2
+port "la_oen[40]" 463 271694 0 271750 480 m2
+port "la_data_out[40]" 335 270498 0 270554 480 m2
+port "la_data_in[40]" 207 269302 0 269358 480 m2
+port "la_oen[39]" 461 268106 0 268162 480 m2
+port "la_data_out[39]" 333 267002 0 267058 480 m2
+port "la_data_in[39]" 205 265806 0 265862 480 m2
+port "la_oen[38]" 460 264610 0 264666 480 m2
+port "la_data_out[38]" 332 263414 0 263470 480 m2
+port "la_data_in[38]" 204 262218 0 262274 480 m2
+port "la_oen[37]" 459 261022 0 261078 480 m2
+port "la_data_out[37]" 331 259826 0 259882 480 m2
+port "la_data_in[37]" 203 258630 0 258686 480 m2
+port "la_oen[36]" 458 257434 0 257490 480 m2
+port "la_data_out[36]" 330 256238 0 256294 480 m2
+port "la_data_in[36]" 202 255042 0 255098 480 m2
+port "la_oen[35]" 457 253846 0 253902 480 m2
+port "la_data_out[35]" 329 252650 0 252706 480 m2
+port "la_data_in[35]" 201 251454 0 251510 480 m2
+port "la_oen[34]" 456 250350 0 250406 480 m2
+port "la_data_out[34]" 328 249154 0 249210 480 m2
+port "la_data_in[34]" 200 247958 0 248014 480 m2
+port "la_oen[33]" 455 246762 0 246818 480 m2
+port "la_data_out[33]" 327 245566 0 245622 480 m2
+port "la_data_in[33]" 199 244370 0 244426 480 m2
+port "la_oen[32]" 454 243174 0 243230 480 m2
+port "la_data_out[32]" 326 241978 0 242034 480 m2
+port "la_data_in[32]" 198 240782 0 240838 480 m2
+port "la_oen[31]" 453 239586 0 239642 480 m2
+port "la_data_out[31]" 325 238390 0 238446 480 m2
+port "la_data_in[31]" 197 237194 0 237250 480 m2
+port "la_oen[30]" 452 235998 0 236054 480 m2
+port "la_data_out[30]" 324 234802 0 234858 480 m2
+port "la_data_in[30]" 196 233698 0 233754 480 m2
+port "la_oen[29]" 450 232502 0 232558 480 m2
+port "la_data_out[29]" 322 231306 0 231362 480 m2
+port "la_data_in[29]" 194 230110 0 230166 480 m2
+port "la_oen[28]" 449 228914 0 228970 480 m2
+port "la_data_out[28]" 321 227718 0 227774 480 m2
+port "la_data_in[28]" 193 226522 0 226578 480 m2
+port "la_oen[27]" 448 225326 0 225382 480 m2
+port "la_data_out[27]" 320 224130 0 224186 480 m2
+port "la_data_in[27]" 192 222934 0 222990 480 m2
+port "la_oen[26]" 447 221738 0 221794 480 m2
+port "la_data_out[26]" 319 220542 0 220598 480 m2
+port "la_data_in[26]" 191 219346 0 219402 480 m2
+port "la_oen[25]" 446 218150 0 218206 480 m2
+port "la_data_out[25]" 318 217046 0 217102 480 m2
+port "la_data_in[25]" 190 215850 0 215906 480 m2
+port "la_oen[24]" 445 214654 0 214710 480 m2
+port "la_data_out[24]" 317 213458 0 213514 480 m2
+port "la_data_in[24]" 189 212262 0 212318 480 m2
+port "la_oen[23]" 444 211066 0 211122 480 m2
+port "la_data_out[23]" 316 209870 0 209926 480 m2
+port "la_data_in[23]" 188 208674 0 208730 480 m2
+port "la_oen[22]" 443 207478 0 207534 480 m2
+port "la_data_out[22]" 315 206282 0 206338 480 m2
+port "la_data_in[22]" 187 205086 0 205142 480 m2
+port "la_oen[21]" 442 203890 0 203946 480 m2
+port "la_data_out[21]" 314 202694 0 202750 480 m2
+port "la_data_in[21]" 186 201498 0 201554 480 m2
+port "la_oen[20]" 441 200394 0 200450 480 m2
+port "la_data_out[20]" 313 199198 0 199254 480 m2
+port "la_data_in[20]" 185 198002 0 198058 480 m2
+port "la_oen[19]" 439 196806 0 196862 480 m2
+port "la_data_out[19]" 311 195610 0 195666 480 m2
+port "la_data_in[19]" 183 194414 0 194470 480 m2
+port "la_oen[18]" 438 193218 0 193274 480 m2
+port "la_data_out[18]" 310 192022 0 192078 480 m2
+port "la_data_in[18]" 182 190826 0 190882 480 m2
+port "la_oen[17]" 437 189630 0 189686 480 m2
+port "la_data_out[17]" 309 188434 0 188490 480 m2
+port "la_data_in[17]" 181 187238 0 187294 480 m2
+port "la_oen[16]" 436 186042 0 186098 480 m2
+port "la_data_out[16]" 308 184846 0 184902 480 m2
+port "la_data_in[16]" 180 183742 0 183798 480 m2
+port "la_oen[15]" 435 182546 0 182602 480 m2
+port "la_data_out[15]" 307 181350 0 181406 480 m2
+port "la_data_in[15]" 179 180154 0 180210 480 m2
+port "la_oen[14]" 434 178958 0 179014 480 m2
+port "la_data_out[14]" 306 177762 0 177818 480 m2
+port "la_data_in[14]" 178 176566 0 176622 480 m2
+port "la_oen[13]" 433 175370 0 175426 480 m2
+port "la_data_out[13]" 305 174174 0 174230 480 m2
+port "la_data_in[13]" 177 172978 0 173034 480 m2
+port "la_oen[12]" 432 171782 0 171838 480 m2
+port "la_data_out[12]" 304 170586 0 170642 480 m2
+port "la_data_in[12]" 176 169390 0 169446 480 m2
+port "la_oen[11]" 423 168194 0 168250 480 m2
+port "la_data_out[11]" 295 167090 0 167146 480 m2
+port "la_data_in[11]" 167 165894 0 165950 480 m2
+port "la_oen[10]" 412 164698 0 164754 480 m2
+port "la_data_out[10]" 284 163502 0 163558 480 m2
+port "la_data_in[10]" 156 162306 0 162362 480 m2
+port "la_oen[9]" 528 161110 0 161166 480 m2
+port "la_data_out[9]" 400 159914 0 159970 480 m2
+port "la_data_in[9]" 272 158718 0 158774 480 m2
+port "la_oen[8]" 517 157522 0 157578 480 m2
+port "la_data_out[8]" 389 156326 0 156382 480 m2
+port "la_data_in[8]" 261 155130 0 155186 480 m2
+port "la_oen[7]" 506 153934 0 153990 480 m2
+port "la_data_out[7]" 378 152738 0 152794 480 m2
+port "la_data_in[7]" 250 151542 0 151598 480 m2
+port "la_oen[6]" 495 150438 0 150494 480 m2
+port "la_data_out[6]" 367 149242 0 149298 480 m2
+port "la_data_in[6]" 239 148046 0 148102 480 m2
+port "la_oen[5]" 484 146850 0 146906 480 m2
+port "la_data_out[5]" 356 145654 0 145710 480 m2
+port "la_data_in[5]" 228 144458 0 144514 480 m2
+port "la_oen[4]" 473 143262 0 143318 480 m2
+port "la_data_out[4]" 345 142066 0 142122 480 m2
+port "la_data_in[4]" 217 140870 0 140926 480 m2
+port "la_oen[3]" 462 139674 0 139730 480 m2
+port "la_data_out[3]" 334 138478 0 138534 480 m2
+port "la_data_in[3]" 206 137282 0 137338 480 m2
+port "la_oen[2]" 451 136086 0 136142 480 m2
+port "la_data_out[2]" 323 134890 0 134946 480 m2
+port "la_data_in[2]" 195 133786 0 133842 480 m2
+port "la_oen[1]" 440 132590 0 132646 480 m2
+port "la_data_out[1]" 312 131394 0 131450 480 m2
+port "la_data_in[1]" 184 130198 0 130254 480 m2
+port "la_oen[0]" 401 129002 0 129058 480 m2
+port "la_data_out[0]" 273 127806 0 127862 480 m2
+port "la_data_in[0]" 145 126610 0 126666 480 m2
+port "wbs_dat_o[31]" 622 125414 0 125470 480 m2
+port "wbs_dat_i[31]" 590 124218 0 124274 480 m2
+port "wbs_adr_i[31]" 557 123022 0 123078 480 m2
+port "wbs_dat_o[30]" 621 121826 0 121882 480 m2
+port "wbs_dat_i[30]" 589 120630 0 120686 480 m2
+port "wbs_adr_i[30]" 556 119434 0 119490 480 m2
+port "wbs_dat_o[29]" 619 118238 0 118294 480 m2
+port "wbs_dat_i[29]" 587 117134 0 117190 480 m2
+port "wbs_adr_i[29]" 554 115938 0 115994 480 m2
+port "wbs_dat_o[28]" 618 114742 0 114798 480 m2
+port "wbs_dat_i[28]" 586 113546 0 113602 480 m2
+port "wbs_adr_i[28]" 553 112350 0 112406 480 m2
+port "wbs_dat_o[27]" 617 111154 0 111210 480 m2
+port "wbs_dat_i[27]" 585 109958 0 110014 480 m2
+port "wbs_adr_i[27]" 552 108762 0 108818 480 m2
+port "wbs_dat_o[26]" 616 107566 0 107622 480 m2
+port "wbs_dat_i[26]" 584 106370 0 106426 480 m2
+port "wbs_adr_i[26]" 551 105174 0 105230 480 m2
+port "wbs_dat_o[25]" 615 103978 0 104034 480 m2
+port "wbs_dat_i[25]" 583 102782 0 102838 480 m2
+port "wbs_adr_i[25]" 550 101586 0 101642 480 m2
+port "wbs_dat_o[24]" 614 100482 0 100538 480 m2
+port "wbs_dat_i[24]" 582 99286 0 99342 480 m2
+port "wbs_adr_i[24]" 549 98090 0 98146 480 m2
+port "wbs_dat_o[23]" 613 96894 0 96950 480 m2
+port "wbs_dat_i[23]" 581 95698 0 95754 480 m2
+port "wbs_adr_i[23]" 548 94502 0 94558 480 m2
+port "wbs_dat_o[22]" 612 93306 0 93362 480 m2
+port "wbs_dat_i[22]" 580 92110 0 92166 480 m2
+port "wbs_adr_i[22]" 547 90914 0 90970 480 m2
+port "wbs_dat_o[21]" 611 89718 0 89774 480 m2
+port "wbs_dat_i[21]" 579 88522 0 88578 480 m2
+port "wbs_adr_i[21]" 546 87326 0 87382 480 m2
+port "wbs_dat_o[20]" 610 86130 0 86186 480 m2
+port "wbs_dat_i[20]" 578 84934 0 84990 480 m2
+port "wbs_adr_i[20]" 545 83830 0 83886 480 m2
+port "wbs_dat_o[19]" 608 82634 0 82690 480 m2
+port "wbs_dat_i[19]" 576 81438 0 81494 480 m2
+port "wbs_adr_i[19]" 543 80242 0 80298 480 m2
+port "wbs_dat_o[18]" 607 79046 0 79102 480 m2
+port "wbs_dat_i[18]" 575 77850 0 77906 480 m2
+port "wbs_adr_i[18]" 542 76654 0 76710 480 m2
+port "wbs_dat_o[17]" 606 75458 0 75514 480 m2
+port "wbs_dat_i[17]" 574 74262 0 74318 480 m2
+port "wbs_adr_i[17]" 541 73066 0 73122 480 m2
+port "wbs_dat_o[16]" 605 71870 0 71926 480 m2
+port "wbs_dat_i[16]" 573 70674 0 70730 480 m2
+port "wbs_adr_i[16]" 540 69478 0 69534 480 m2
+port "wbs_dat_o[15]" 604 68282 0 68338 480 m2
+port "wbs_dat_i[15]" 572 67178 0 67234 480 m2
+port "wbs_adr_i[15]" 539 65982 0 66038 480 m2
+port "wbs_dat_o[14]" 603 64786 0 64842 480 m2
+port "wbs_dat_i[14]" 571 63590 0 63646 480 m2
+port "wbs_adr_i[14]" 538 62394 0 62450 480 m2
+port "wbs_dat_o[13]" 602 61198 0 61254 480 m2
+port "wbs_dat_i[13]" 570 60002 0 60058 480 m2
+port "wbs_adr_i[13]" 537 58806 0 58862 480 m2
+port "wbs_dat_o[12]" 601 57610 0 57666 480 m2
+port "wbs_dat_i[12]" 569 56414 0 56470 480 m2
+port "wbs_adr_i[12]" 536 55218 0 55274 480 m2
+port "wbs_dat_o[11]" 600 54022 0 54078 480 m2
+port "wbs_dat_i[11]" 568 52826 0 52882 480 m2
+port "wbs_adr_i[11]" 535 51630 0 51686 480 m2
+port "wbs_dat_o[10]" 599 50526 0 50582 480 m2
+port "wbs_dat_i[10]" 567 49330 0 49386 480 m2
+port "wbs_adr_i[10]" 534 48134 0 48190 480 m2
+port "wbs_dat_o[9]" 629 46938 0 46994 480 m2
+port "wbs_dat_i[9]" 597 45742 0 45798 480 m2
+port "wbs_adr_i[9]" 564 44546 0 44602 480 m2
+port "wbs_dat_o[8]" 628 43350 0 43406 480 m2
+port "wbs_dat_i[8]" 596 42154 0 42210 480 m2
+port "wbs_adr_i[8]" 563 40958 0 41014 480 m2
+port "wbs_dat_o[7]" 627 39762 0 39818 480 m2
+port "wbs_dat_i[7]" 595 38566 0 38622 480 m2
+port "wbs_adr_i[7]" 562 37370 0 37426 480 m2
+port "wbs_dat_o[6]" 626 36174 0 36230 480 m2
+port "wbs_dat_i[6]" 594 34978 0 35034 480 m2
+port "wbs_adr_i[6]" 561 33874 0 33930 480 m2
+port "wbs_dat_o[5]" 625 32678 0 32734 480 m2
+port "wbs_dat_i[5]" 593 31482 0 31538 480 m2
+port "wbs_adr_i[5]" 560 30286 0 30342 480 m2
+port "wbs_dat_o[4]" 624 29090 0 29146 480 m2
+port "wbs_dat_i[4]" 592 27894 0 27950 480 m2
+port "wbs_adr_i[4]" 559 26698 0 26754 480 m2
+port "wbs_sel_i[3]" 633 25502 0 25558 480 m2
+port "wbs_dat_o[3]" 623 24306 0 24362 480 m2
+port "wbs_dat_i[3]" 591 23110 0 23166 480 m2
+port "wbs_adr_i[3]" 558 21914 0 21970 480 m2
+port "wbs_sel_i[2]" 632 20718 0 20774 480 m2
+port "wbs_dat_o[2]" 620 19522 0 19578 480 m2
+port "wbs_dat_i[2]" 588 18326 0 18382 480 m2
+port "wbs_adr_i[2]" 555 17222 0 17278 480 m2
+port "wbs_sel_i[1]" 631 16026 0 16082 480 m2
+port "wbs_dat_o[1]" 609 14830 0 14886 480 m2
+port "wbs_dat_i[1]" 577 13634 0 13690 480 m2
+port "wbs_adr_i[1]" 544 12438 0 12494 480 m2
+port "wbs_sel_i[0]" 630 11242 0 11298 480 m2
+port "wbs_dat_o[0]" 598 10046 0 10102 480 m2
+port "wbs_dat_i[0]" 566 8850 0 8906 480 m2
+port "wbs_adr_i[0]" 533 7654 0 7710 480 m2
+port "wbs_we_i" 635 6458 0 6514 480 m2
+port "wbs_stb_i" 634 5262 0 5318 480 m2
+port "wbs_cyc_i" 565 4066 0 4122 480 m2
+port "wbs_ack_o" 532 2870 0 2926 480 m2
+port "wb_rst_i" 531 1674 0 1730 480 m2
+port "wb_clk_i" 530 570 0 626 480 m2
+port "analog_io[15]" 6 575846 703520 575902 704000 m2
+port "io_in[15]" 37 559654 703520 559710 704000 m2
+port "io_out[15]" 113 543462 703520 543518 704000 m2
+port "io_oeb[15]" 75 527178 703520 527234 704000 m2
+port "analog_io[16]" 7 510986 703520 511042 704000 m2
+port "io_in[16]" 38 494794 703520 494850 704000 m2
+port "io_out[16]" 114 478510 703520 478566 704000 m2
+port "io_oeb[16]" 76 462318 703520 462374 704000 m2
+port "analog_io[17]" 8 446126 703520 446182 704000 m2
+port "io_in[17]" 39 429842 703520 429898 704000 m2
+port "io_out[17]" 115 413650 703520 413706 704000 m2
+port "io_oeb[17]" 77 397458 703520 397514 704000 m2
+port "analog_io[18]" 9 381174 703520 381230 704000 m2
+port "io_in[18]" 40 364982 703520 365038 704000 m2
+port "io_out[18]" 116 348790 703520 348846 704000 m2
+port "io_oeb[18]" 78 332506 703520 332562 704000 m2
+port "analog_io[19]" 10 316314 703520 316370 704000 m2
+port "io_in[19]" 41 300122 703520 300178 704000 m2
+port "io_out[19]" 117 283838 703520 283894 704000 m2
+port "io_oeb[19]" 79 267646 703520 267702 704000 m2
+port "analog_io[20]" 12 251454 703520 251510 704000 m2
+port "io_in[20]" 43 235170 703520 235226 704000 m2
+port "io_out[20]" 119 218978 703520 219034 704000 m2
+port "io_oeb[20]" 81 202786 703520 202842 704000 m2
+port "analog_io[21]" 13 186502 703520 186558 704000 m2
+port "io_in[21]" 44 170310 703520 170366 704000 m2
+port "io_out[21]" 120 154118 703520 154174 704000 m2
+port "io_oeb[21]" 82 137834 703520 137890 704000 m2
+port "analog_io[22]" 14 121642 703520 121698 704000 m2
+port "io_in[22]" 45 105450 703520 105506 704000 m2
+port "io_out[22]" 121 89166 703520 89222 704000 m2
+port "io_oeb[22]" 83 72974 703520 73030 704000 m2
+port "analog_io[23]" 15 56782 703520 56838 704000 m2
+port "io_in[23]" 46 40498 703520 40554 704000 m2
+port "io_out[23]" 122 24306 703520 24362 704000 m2
+port "io_oeb[23]" 84 8114 703520 8170 704000 m2
+node "vccd1" 0 0 -1896 -824 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vssd1" 0 0 -2696 -1624 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vccd2" 0 0 -3496 -2424 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vssd2" 0 0 -4296 -3224 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vdda1" 0 0 -5096 -4024 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vssa1" 0 0 -5896 -4824 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vdda2" 0 0 -6696 -5624 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vssa2" 0 0 -7496 -6424 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[0]" 0 0 583520 5856 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[37]" 0 0 0 7080 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[0]" 0 0 583520 17552 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[37]" 0 0 0 21360 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[0]" 0 0 583520 29248 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[37]" 0 0 0 35776 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[0]" 0 0 583520 40944 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[36]" 0 0 0 50056 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[1]" 0 0 583520 52776 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[1]" 0 0 583520 64472 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[36]" 0 0 0 64472 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[1]" 0 0 583520 76168 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[36]" 0 0 0 78888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[1]" 0 0 583520 87864 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[35]" 0 0 0 93168 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[2]" 0 0 583520 99696 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[35]" 0 0 0 107584 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[2]" 0 0 583520 111392 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[35]" 0 0 0 122000 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[2]" 0 0 583520 123088 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[2]" 0 0 583520 134784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[34]" 0 0 0 136280 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[3]" 0 0 583520 146616 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[34]" 0 0 0 150696 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[3]" 0 0 583520 158312 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[34]" 0 0 0 164976 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[3]" 0 0 583520 170008 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[33]" 0 0 0 179392 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[3]" 0 0 583520 181840 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[4]" 0 0 583520 193536 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[33]" 0 0 0 193808 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[4]" 0 0 583520 205232 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[33]" 0 0 0 208088 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[4]" 0 0 583520 216928 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[32]" 0 0 0 222504 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[4]" 0 0 583520 228760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[32]" 0 0 0 236920 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[5]" 0 0 583520 240456 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[32]" 0 0 0 251200 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[5]" 0 0 583520 252152 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[5]" 0 0 583520 263848 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[31]" 0 0 0 265616 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[5]" 0 0 583520 275680 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[31]" 0 0 0 280032 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[6]" 0 0 583520 287376 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[31]" 0 0 0 294312 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[6]" 0 0 583520 299072 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[30]" 0 0 0 308728 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[6]" 0 0 583520 310768 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[6]" 0 0 583520 322600 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[30]" 0 0 0 323008 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[7]" 0 0 583520 334296 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[30]" 0 0 0 337424 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[7]" 0 0 583520 345992 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[30]" 0 0 0 351840 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[7]" 0 0 583520 357824 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[29]" 0 0 0 366120 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[7]" 0 0 583520 369520 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[29]" 0 0 0 380536 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[8]" 0 0 583520 381216 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[8]" 0 0 583520 392912 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[29]" 0 0 0 394952 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[8]" 0 0 583520 404744 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[29]" 0 0 0 409232 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[8]" 0 0 583520 416440 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[28]" 0 0 0 423648 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[9]" 0 0 583520 428136 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[28]" 0 0 0 437928 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[9]" 0 0 583520 439832 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[9]" 0 0 583520 451664 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[28]" 0 0 0 452344 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[9]" 0 0 583520 463360 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[28]" 0 0 0 466760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[10]" 0 0 583520 475056 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[27]" 0 0 0 481040 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[10]" 0 0 583520 486752 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[27]" 0 0 0 495456 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[10]" 0 0 583520 498584 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[27]" 0 0 0 509872 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[10]" 0 0 583520 510280 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[11]" 0 0 583520 521976 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[27]" 0 0 0 524152 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[11]" 0 0 583520 533808 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[26]" 0 0 0 538568 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[11]" 0 0 583520 545504 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[26]" 0 0 0 552984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[11]" 0 0 583520 557200 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[26]" 0 0 0 567264 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[12]" 0 0 583520 568896 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[12]" 0 0 583520 580728 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[26]" 0 0 0 581680 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[12]" 0 0 583520 592424 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[25]" 0 0 0 595960 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[12]" 0 0 583520 604120 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[25]" 0 0 0 610376 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[13]" 0 0 583520 615816 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[25]" 0 0 0 624792 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[13]" 0 0 583520 627648 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[25]" 0 0 0 639072 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[13]" 0 0 583520 639344 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[13]" 0 0 583520 651040 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[24]" 0 0 0 653488 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[14]" 0 0 583520 662736 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[24]" 0 0 0 667904 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[14]" 0 0 583520 674568 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[24]" 0 0 0 682184 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[14]" 0 0 583520 686264 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[24]" 0 0 0 696600 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[14]" 0 0 583520 697960 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "user_clock2" 0 0 583390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[127]" 0 0 582194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[127]" 0 0 580998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[127]" 0 0 579802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[126]" 0 0 578606 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[126]" 0 0 577410 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[126]" 0 0 576214 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[125]" 0 0 575018 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[125]" 0 0 573822 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[125]" 0 0 572626 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[124]" 0 0 571430 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[124]" 0 0 570234 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[124]" 0 0 569038 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[123]" 0 0 567842 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[123]" 0 0 566738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[123]" 0 0 565542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[122]" 0 0 564346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[122]" 0 0 563150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[122]" 0 0 561954 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[121]" 0 0 560758 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[121]" 0 0 559562 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[121]" 0 0 558366 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[120]" 0 0 557170 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[120]" 0 0 555974 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[120]" 0 0 554778 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[119]" 0 0 553582 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[119]" 0 0 552386 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[119]" 0 0 551190 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[118]" 0 0 550086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[118]" 0 0 548890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[118]" 0 0 547694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[117]" 0 0 546498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[117]" 0 0 545302 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[117]" 0 0 544106 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[116]" 0 0 542910 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[116]" 0 0 541714 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[116]" 0 0 540518 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[115]" 0 0 539322 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[115]" 0 0 538126 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[115]" 0 0 536930 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[114]" 0 0 535734 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[114]" 0 0 534538 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[114]" 0 0 533434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[113]" 0 0 532238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[113]" 0 0 531042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[113]" 0 0 529846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[112]" 0 0 528650 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[112]" 0 0 527454 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[112]" 0 0 526258 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[111]" 0 0 525062 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[111]" 0 0 523866 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[111]" 0 0 522670 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[110]" 0 0 521474 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[110]" 0 0 520278 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[110]" 0 0 519082 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[109]" 0 0 517886 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[109]" 0 0 516782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[109]" 0 0 515586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[108]" 0 0 514390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[108]" 0 0 513194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[108]" 0 0 511998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[107]" 0 0 510802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[107]" 0 0 509606 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[107]" 0 0 508410 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[106]" 0 0 507214 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[106]" 0 0 506018 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[106]" 0 0 504822 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[105]" 0 0 503626 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[105]" 0 0 502430 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[105]" 0 0 501234 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[104]" 0 0 500130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[104]" 0 0 498934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[104]" 0 0 497738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[103]" 0 0 496542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[103]" 0 0 495346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[103]" 0 0 494150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[102]" 0 0 492954 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[102]" 0 0 491758 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[102]" 0 0 490562 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[101]" 0 0 489366 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[101]" 0 0 488170 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[101]" 0 0 486974 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[100]" 0 0 485778 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[100]" 0 0 484582 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[100]" 0 0 483478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[99]" 0 0 482282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[99]" 0 0 481086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[99]" 0 0 479890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[98]" 0 0 478694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[98]" 0 0 477498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[98]" 0 0 476302 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[97]" 0 0 475106 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[97]" 0 0 473910 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[97]" 0 0 472714 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[96]" 0 0 471518 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[96]" 0 0 470322 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[96]" 0 0 469126 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[95]" 0 0 467930 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[95]" 0 0 466826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[95]" 0 0 465630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[94]" 0 0 464434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[94]" 0 0 463238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[94]" 0 0 462042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[93]" 0 0 460846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[93]" 0 0 459650 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[93]" 0 0 458454 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[92]" 0 0 457258 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[92]" 0 0 456062 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[92]" 0 0 454866 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[91]" 0 0 453670 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[91]" 0 0 452474 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[91]" 0 0 451278 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[90]" 0 0 450174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[90]" 0 0 448978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[90]" 0 0 447782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[89]" 0 0 446586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[89]" 0 0 445390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[89]" 0 0 444194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[88]" 0 0 442998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[88]" 0 0 441802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[88]" 0 0 440606 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[87]" 0 0 439410 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[87]" 0 0 438214 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[87]" 0 0 437018 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[86]" 0 0 435822 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[86]" 0 0 434626 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[86]" 0 0 433522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[85]" 0 0 432326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[85]" 0 0 431130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[85]" 0 0 429934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[84]" 0 0 428738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[84]" 0 0 427542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[84]" 0 0 426346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[83]" 0 0 425150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[83]" 0 0 423954 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[83]" 0 0 422758 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[82]" 0 0 421562 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[82]" 0 0 420366 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[82]" 0 0 419170 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[81]" 0 0 417974 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[81]" 0 0 416870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[81]" 0 0 415674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[80]" 0 0 414478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[80]" 0 0 413282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[80]" 0 0 412086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[79]" 0 0 410890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[79]" 0 0 409694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[79]" 0 0 408498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[78]" 0 0 407302 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[78]" 0 0 406106 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[78]" 0 0 404910 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[77]" 0 0 403714 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[77]" 0 0 402518 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[77]" 0 0 401322 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[76]" 0 0 400218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[76]" 0 0 399022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[76]" 0 0 397826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[75]" 0 0 396630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[75]" 0 0 395434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[75]" 0 0 394238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[74]" 0 0 393042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[74]" 0 0 391846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[74]" 0 0 390650 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[73]" 0 0 389454 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[73]" 0 0 388258 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[73]" 0 0 387062 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[72]" 0 0 385866 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[72]" 0 0 384670 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[72]" 0 0 383566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[71]" 0 0 382370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[71]" 0 0 381174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[71]" 0 0 379978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[70]" 0 0 378782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[70]" 0 0 377586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[70]" 0 0 376390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[69]" 0 0 375194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[69]" 0 0 373998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[69]" 0 0 372802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[68]" 0 0 371606 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[68]" 0 0 370410 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[68]" 0 0 369214 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[67]" 0 0 368018 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[67]" 0 0 366914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[67]" 0 0 365718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[66]" 0 0 364522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[66]" 0 0 363326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[66]" 0 0 362130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[65]" 0 0 360934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[65]" 0 0 359738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[65]" 0 0 358542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[64]" 0 0 357346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[64]" 0 0 356150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[64]" 0 0 354954 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[63]" 0 0 353758 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[63]" 0 0 352562 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[63]" 0 0 351366 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[62]" 0 0 350262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[62]" 0 0 349066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[62]" 0 0 347870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[61]" 0 0 346674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[61]" 0 0 345478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[61]" 0 0 344282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[60]" 0 0 343086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[60]" 0 0 341890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[60]" 0 0 340694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[59]" 0 0 339498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[59]" 0 0 338302 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[59]" 0 0 337106 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[58]" 0 0 335910 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[58]" 0 0 334714 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[58]" 0 0 333610 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[57]" 0 0 332414 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[57]" 0 0 331218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[57]" 0 0 330022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[56]" 0 0 328826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[56]" 0 0 327630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[56]" 0 0 326434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[55]" 0 0 325238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[55]" 0 0 324042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[55]" 0 0 322846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[54]" 0 0 321650 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[54]" 0 0 320454 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[54]" 0 0 319258 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[53]" 0 0 318062 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[53]" 0 0 316958 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[53]" 0 0 315762 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[52]" 0 0 314566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[52]" 0 0 313370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[52]" 0 0 312174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[51]" 0 0 310978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[51]" 0 0 309782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[51]" 0 0 308586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[50]" 0 0 307390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[50]" 0 0 306194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[50]" 0 0 304998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[49]" 0 0 303802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[49]" 0 0 302606 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[49]" 0 0 301410 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[48]" 0 0 300306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[48]" 0 0 299110 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[48]" 0 0 297914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[47]" 0 0 296718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[47]" 0 0 295522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[47]" 0 0 294326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[46]" 0 0 293130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[46]" 0 0 291934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[46]" 0 0 290738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[45]" 0 0 289542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[45]" 0 0 288346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[45]" 0 0 287150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[44]" 0 0 285954 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[44]" 0 0 284758 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[44]" 0 0 283654 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[43]" 0 0 282458 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[43]" 0 0 281262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[43]" 0 0 280066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[42]" 0 0 278870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[42]" 0 0 277674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[42]" 0 0 276478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[41]" 0 0 275282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[41]" 0 0 274086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[41]" 0 0 272890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[40]" 0 0 271694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[40]" 0 0 270498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[40]" 0 0 269302 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[39]" 0 0 268106 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[39]" 0 0 267002 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[39]" 0 0 265806 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[38]" 0 0 264610 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[38]" 0 0 263414 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[38]" 0 0 262218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[37]" 0 0 261022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[37]" 0 0 259826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[37]" 0 0 258630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[36]" 0 0 257434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[36]" 0 0 256238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[36]" 0 0 255042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[35]" 0 0 253846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[35]" 0 0 252650 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[35]" 0 0 251454 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[34]" 0 0 250350 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[34]" 0 0 249154 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[34]" 0 0 247958 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[33]" 0 0 246762 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[33]" 0 0 245566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[33]" 0 0 244370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[32]" 0 0 243174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[32]" 0 0 241978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[32]" 0 0 240782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[31]" 0 0 239586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[31]" 0 0 238390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[31]" 0 0 237194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[30]" 0 0 235998 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[30]" 0 0 234802 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[30]" 0 0 233698 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[29]" 0 0 232502 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[29]" 0 0 231306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[29]" 0 0 230110 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[28]" 0 0 228914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[28]" 0 0 227718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[28]" 0 0 226522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[27]" 0 0 225326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[27]" 0 0 224130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[27]" 0 0 222934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[26]" 0 0 221738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[26]" 0 0 220542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[26]" 0 0 219346 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[25]" 0 0 218150 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[25]" 0 0 217046 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[25]" 0 0 215850 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[24]" 0 0 214654 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[24]" 0 0 213458 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[24]" 0 0 212262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[23]" 0 0 211066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[23]" 0 0 209870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[23]" 0 0 208674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[22]" 0 0 207478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[22]" 0 0 206282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[22]" 0 0 205086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[21]" 0 0 203890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[21]" 0 0 202694 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[21]" 0 0 201498 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[20]" 0 0 200394 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[20]" 0 0 199198 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[20]" 0 0 198002 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[19]" 0 0 196806 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[19]" 0 0 195610 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[19]" 0 0 194414 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[18]" 0 0 193218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[18]" 0 0 192022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[18]" 0 0 190826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[17]" 0 0 189630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[17]" 0 0 188434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[17]" 0 0 187238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[16]" 0 0 186042 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[16]" 0 0 184846 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[16]" 0 0 183742 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[15]" 0 0 182546 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[15]" 0 0 181350 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[15]" 0 0 180154 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[14]" 0 0 178958 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[14]" 0 0 177762 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[14]" 0 0 176566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[13]" 0 0 175370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[13]" 0 0 174174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[13]" 0 0 172978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[12]" 0 0 171782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[12]" 0 0 170586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[12]" 0 0 169390 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[11]" 0 0 168194 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[11]" 0 0 167090 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[11]" 0 0 165894 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[10]" 0 0 164698 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[10]" 0 0 163502 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[10]" 0 0 162306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[9]" 0 0 161110 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[9]" 0 0 159914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[9]" 0 0 158718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[8]" 0 0 157522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[8]" 0 0 156326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[8]" 0 0 155130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[7]" 0 0 153934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[7]" 0 0 152738 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[7]" 0 0 151542 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[6]" 0 0 150438 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[6]" 0 0 149242 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[6]" 0 0 148046 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[5]" 0 0 146850 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[5]" 0 0 145654 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[5]" 0 0 144458 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[4]" 0 0 143262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[4]" 0 0 142066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[4]" 0 0 140870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[3]" 0 0 139674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[3]" 0 0 138478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[3]" 0 0 137282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[2]" 0 0 136086 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[2]" 0 0 134890 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[2]" 0 0 133786 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[1]" 0 0 132590 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[1]" 0 0 131394 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[1]" 0 0 130198 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_oen[0]" 0 0 129002 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_out[0]" 0 0 127806 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "la_data_in[0]" 0 0 126610 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[31]" 0 0 125414 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[31]" 0 0 124218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[31]" 0 0 123022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[30]" 0 0 121826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[30]" 0 0 120630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[30]" 0 0 119434 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[29]" 0 0 118238 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[29]" 0 0 117134 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[29]" 0 0 115938 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[28]" 0 0 114742 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[28]" 0 0 113546 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[28]" 0 0 112350 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[27]" 0 0 111154 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[27]" 0 0 109958 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[27]" 0 0 108762 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[26]" 0 0 107566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[26]" 0 0 106370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[26]" 0 0 105174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[25]" 0 0 103978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[25]" 0 0 102782 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[25]" 0 0 101586 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[24]" 0 0 100482 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[24]" 0 0 99286 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[24]" 0 0 98090 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[23]" 0 0 96894 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[23]" 0 0 95698 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[23]" 0 0 94502 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[22]" 0 0 93306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[22]" 0 0 92110 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[22]" 0 0 90914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[21]" 0 0 89718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[21]" 0 0 88522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[21]" 0 0 87326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[20]" 0 0 86130 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[20]" 0 0 84934 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[20]" 0 0 83830 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[19]" 0 0 82634 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[19]" 0 0 81438 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[19]" 0 0 80242 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[18]" 0 0 79046 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[18]" 0 0 77850 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[18]" 0 0 76654 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[17]" 0 0 75458 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[17]" 0 0 74262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[17]" 0 0 73066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[16]" 0 0 71870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[16]" 0 0 70674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[16]" 0 0 69478 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[15]" 0 0 68282 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[15]" 0 0 67178 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[15]" 0 0 65982 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[14]" 0 0 64786 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[14]" 0 0 63590 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[14]" 0 0 62394 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[13]" 0 0 61198 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[13]" 0 0 60002 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[13]" 0 0 58806 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[12]" 0 0 57610 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[12]" 0 0 56414 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[12]" 0 0 55218 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[11]" 0 0 54022 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[11]" 0 0 52826 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[11]" 0 0 51630 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[10]" 0 0 50526 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[10]" 0 0 49330 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[10]" 0 0 48134 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[9]" 0 0 46938 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[9]" 0 0 45742 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[9]" 0 0 44546 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[8]" 0 0 43350 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[8]" 0 0 42154 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[8]" 0 0 40958 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[7]" 0 0 39762 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[7]" 0 0 38566 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[7]" 0 0 37370 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[6]" 0 0 36174 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[6]" 0 0 34978 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[6]" 0 0 33874 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[5]" 0 0 32678 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[5]" 0 0 31482 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[5]" 0 0 30286 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[4]" 0 0 29090 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[4]" 0 0 27894 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[4]" 0 0 26698 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[3]" 0 0 25502 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[3]" 0 0 24306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[3]" 0 0 23110 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[3]" 0 0 21914 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[2]" 0 0 20718 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[2]" 0 0 19522 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[2]" 0 0 18326 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[2]" 0 0 17222 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[1]" 0 0 16026 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[1]" 0 0 14830 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[1]" 0 0 13634 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[1]" 0 0 12438 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_sel_i[0]" 0 0 11242 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_o[0]" 0 0 10046 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_dat_i[0]" 0 0 8850 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_adr_i[0]" 0 0 7654 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_we_i" 0 0 6458 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_stb_i" 0 0 5262 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_cyc_i" 0 0 4066 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wbs_ack_o" 0 0 2870 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wb_rst_i" 0 0 1674 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "wb_clk_i" 0 0 570 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[15]" 0 0 575846 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[15]" 0 0 559654 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[15]" 0 0 543462 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[15]" 0 0 527178 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[16]" 0 0 510986 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[16]" 0 0 494794 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[16]" 0 0 478510 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[16]" 0 0 462318 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[17]" 0 0 446126 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[17]" 0 0 429842 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[17]" 0 0 413650 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[17]" 0 0 397458 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[18]" 0 0 381174 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[18]" 0 0 364982 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[18]" 0 0 348790 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[18]" 0 0 332506 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[19]" 0 0 316314 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[19]" 0 0 300122 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[19]" 0 0 283838 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[19]" 0 0 267646 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[20]" 0 0 251454 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[20]" 0 0 235170 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[20]" 0 0 218978 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[20]" 0 0 202786 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[21]" 0 0 186502 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[21]" 0 0 170310 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[21]" 0 0 154118 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[21]" 0 0 137834 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[22]" 0 0 121642 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[22]" 0 0 105450 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[22]" 0 0 89166 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[22]" 0 0 72974 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "analog_io[23]" 0 0 56782 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_in[23]" 0 0 40498 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_out[23]" 0 0 24306 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "io_oeb[23]" 0 0 8114 703520 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[116]" "wbs_dat_o[27]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_o[13]" "wbs_adr_i[1]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/VSUBS" "VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[117]" "wbs_adr_i[28]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[80]" "wbs_dat_i[20]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[1]" "wbs_adr_i[4]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[70]" "wbs_dat_i[18]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[36]" "wbs_dat_i[11]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[35]" "wbs_adr_i[11]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[96]" "wbs_dat_o[23]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[12]" "wbs_dat_i[6]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[82]" "wbs_dat_o[20]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[25]" "wbs_adr_i[9]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[14]" "wbs_dat_o[6]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[27]" "wbs_dat_i[9]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[28]" "wbs_dat_o[9]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_adr_i[12]" "wbs_sel_i[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[103]" "wbs_adr_i[25]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[23]" "wbs_dat_o[8]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[6]" "wbs_adr_i[5]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_i[23]" "wbs_dat_o[2]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[93]" "wbs_adr_i[23]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[2]" "wbs_dat_i[4]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[46]" "wbs_dat_i[13]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[4]" "wbs_dat_o[4]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_o[21]" "wbs_dat_i[2]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[127]" "wbs_adr_i[30]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[49]" "wbs_adr_i[14]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[48]" "wbs_dat_o[13]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[51]" "wbs_dat_i[14]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[72]" "wbs_dat_o[18]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_i[10]" "wbs_dat_o[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[125]" "wbs_dat_o[29]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[57]" "wbs_dat_o[15]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_i[0]" "wb_rst_i" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_oen[104]" "wbs_dat_i[25]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[74]" "wbs_adr_i[19]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/wbs_dat_o[1]" "wbs_ack_o" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[59]" "wbs_adr_i[16]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_out[119]" "wbs_dat_i[28]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "mprj/la_data_in[95]" "wbs_dat_i[23]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
new file mode 100644
index 0000000..69b8ad4
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
Binary files differ
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef
new file mode 100644
index 0000000..6f0742e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef
@@ -0,0 +1,38542 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO user_project_wrapper
+  CLASS BLOCK ;
+  FOREIGN user_project_wrapper ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2994.580 BY 3583.920 ;
+  PIN analog_io[0]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 61.400 2957.480 62.000 ;
+    END
+  END analog_io[0]
+  PIN analog_io[10]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2407.400 2957.480 2408.000 ;
+    END
+  END analog_io[10]
+  PIN analog_io[11]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2642.000 2957.480 2642.600 ;
+    END
+  END analog_io[11]
+  PIN analog_io[12]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2876.600 2957.480 2877.200 ;
+    END
+  END analog_io[12]
+  PIN analog_io[13]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3111.200 2957.480 3111.800 ;
+    END
+  END analog_io[13]
+  PIN analog_io[14]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3345.800 2957.480 3346.400 ;
+    END
+  END analog_io[14]
+  PIN analog_io[15]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2916.710 3549.720 2916.990 3552.120 ;
+    END
+  END analog_io[15]
+  PIN analog_io[16]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2592.410 3549.720 2592.690 3552.120 ;
+    END
+  END analog_io[16]
+  PIN analog_io[17]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2268.110 3549.720 2268.390 3552.120 ;
+    END
+  END analog_io[17]
+  PIN analog_io[18]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1943.350 3549.720 1943.630 3552.120 ;
+    END
+  END analog_io[18]
+  PIN analog_io[19]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1619.050 3549.720 1619.330 3552.120 ;
+    END
+  END analog_io[19]
+  PIN analog_io[1]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 296.000 2957.480 296.600 ;
+    END
+  END analog_io[1]
+  PIN analog_io[20]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1294.750 3549.720 1295.030 3552.120 ;
+    END
+  END analog_io[20]
+  PIN analog_io[21]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 969.990 3549.720 970.270 3552.120 ;
+    END
+  END analog_io[21]
+  PIN analog_io[22]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 645.690 3549.720 645.970 3552.120 ;
+    END
+  END analog_io[22]
+  PIN analog_io[23]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 321.390 3549.720 321.670 3552.120 ;
+    END
+  END analog_io[23]
+  PIN analog_io[24]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3515.120 39.880 3515.720 ;
+    END
+  END analog_io[24]
+  PIN analog_io[25]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3227.480 39.880 3228.080 ;
+    END
+  END analog_io[25]
+  PIN analog_io[26]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2940.520 39.880 2941.120 ;
+    END
+  END analog_io[26]
+  PIN analog_io[27]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2652.880 39.880 2653.480 ;
+    END
+  END analog_io[27]
+  PIN analog_io[28]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2365.920 39.880 2366.520 ;
+    END
+  END analog_io[28]
+  PIN analog_io[29]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2078.280 39.880 2078.880 ;
+    END
+  END analog_io[29]
+  PIN analog_io[2]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 530.600 2957.480 531.200 ;
+    END
+  END analog_io[2]
+  PIN analog_io[30]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1791.320 39.880 1791.920 ;
+    END
+  END analog_io[30]
+  PIN analog_io[3]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 765.200 2957.480 765.800 ;
+    END
+  END analog_io[3]
+  PIN analog_io[4]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 999.800 2957.480 1000.400 ;
+    END
+  END analog_io[4]
+  PIN analog_io[5]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1234.400 2957.480 1235.000 ;
+    END
+  END analog_io[5]
+  PIN analog_io[6]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1469.000 2957.480 1469.600 ;
+    END
+  END analog_io[6]
+  PIN analog_io[7]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1703.600 2957.480 1704.200 ;
+    END
+  END analog_io[7]
+  PIN analog_io[8]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1938.200 2957.480 1938.800 ;
+    END
+  END analog_io[8]
+  PIN analog_io[9]
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2172.800 2957.480 2173.400 ;
+    END
+  END analog_io[9]
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 119.880 2957.480 120.480 ;
+    END
+  END io_in[0]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2465.880 2957.480 2466.480 ;
+    END
+  END io_in[10]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2701.160 2957.480 2701.760 ;
+    END
+  END io_in[11]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2935.760 2957.480 2936.360 ;
+    END
+  END io_in[12]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3170.360 2957.480 3170.960 ;
+    END
+  END io_in[13]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3404.960 2957.480 3405.560 ;
+    END
+  END io_in[14]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2835.750 3549.720 2836.030 3552.120 ;
+    END
+  END io_in[15]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2511.450 3549.720 2511.730 3552.120 ;
+    END
+  END io_in[16]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2186.690 3549.720 2186.970 3552.120 ;
+    END
+  END io_in[17]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1862.390 3549.720 1862.670 3552.120 ;
+    END
+  END io_in[18]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1538.090 3549.720 1538.370 3552.120 ;
+    END
+  END io_in[19]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 354.480 2957.480 355.080 ;
+    END
+  END io_in[1]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1213.330 3549.720 1213.610 3552.120 ;
+    END
+  END io_in[20]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 889.030 3549.720 889.310 3552.120 ;
+    END
+  END io_in[21]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 564.730 3549.720 565.010 3552.120 ;
+    END
+  END io_in[22]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 239.970 3549.720 240.250 3552.120 ;
+    END
+  END io_in[23]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3443.040 39.880 3443.640 ;
+    END
+  END io_in[24]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3156.080 39.880 3156.680 ;
+    END
+  END io_in[25]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2868.440 39.880 2869.040 ;
+    END
+  END io_in[26]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2581.480 39.880 2582.080 ;
+    END
+  END io_in[27]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2293.840 39.880 2294.440 ;
+    END
+  END io_in[28]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2006.880 39.880 2007.480 ;
+    END
+  END io_in[29]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 589.080 2957.480 589.680 ;
+    END
+  END io_in[2]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1719.240 39.880 1719.840 ;
+    END
+  END io_in[30]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1503.680 39.880 1504.280 ;
+    END
+  END io_in[31]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1288.120 39.880 1288.720 ;
+    END
+  END io_in[32]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1072.560 39.880 1073.160 ;
+    END
+  END io_in[33]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 857.000 39.880 857.600 ;
+    END
+  END io_in[34]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 642.120 39.880 642.720 ;
+    END
+  END io_in[35]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 426.560 39.880 427.160 ;
+    END
+  END io_in[36]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 211.000 39.880 211.600 ;
+    END
+  END io_in[37]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 823.680 2957.480 824.280 ;
+    END
+  END io_in[3]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1058.280 2957.480 1058.880 ;
+    END
+  END io_in[4]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1292.880 2957.480 1293.480 ;
+    END
+  END io_in[5]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1527.480 2957.480 1528.080 ;
+    END
+  END io_in[6]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1762.080 2957.480 1762.680 ;
+    END
+  END io_in[7]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1996.680 2957.480 1997.280 ;
+    END
+  END io_in[8]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2231.280 2957.480 2231.880 ;
+    END
+  END io_in[9]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 236.840 2957.480 237.440 ;
+    END
+  END io_oeb[0]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2583.520 2957.480 2584.120 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2818.120 2957.480 2818.720 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3052.720 2957.480 3053.320 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3287.320 2957.480 3287.920 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3521.920 2957.480 3522.520 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2673.370 3549.720 2673.650 3552.120 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2349.070 3549.720 2349.350 3552.120 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2024.770 3549.720 2025.050 3552.120 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1700.010 3549.720 1700.290 3552.120 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1375.710 3549.720 1375.990 3552.120 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 471.440 2957.480 472.040 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1051.410 3549.720 1051.690 3552.120 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 726.650 3549.720 726.930 3552.120 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 402.350 3549.720 402.630 3552.120 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 78.050 3549.720 78.330 3552.120 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3299.560 39.880 3300.160 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3011.920 39.880 3012.520 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2724.960 39.880 2725.560 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2437.320 39.880 2437.920 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2150.360 39.880 2150.960 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1862.720 39.880 1863.320 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 706.040 2957.480 706.640 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1575.760 39.880 1576.360 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1360.200 39.880 1360.800 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1144.640 39.880 1145.240 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 929.080 39.880 929.680 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 713.520 39.880 714.120 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 497.960 39.880 498.560 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 282.400 39.880 283.000 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 67.520 39.880 68.120 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 941.320 2957.480 941.920 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1175.920 2957.480 1176.520 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1410.520 2957.480 1411.120 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1645.120 2957.480 1645.720 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1879.720 2957.480 1880.320 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2114.320 2957.480 2114.920 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2348.920 2957.480 2349.520 ;
+    END
+  END io_oeb[9]
+  PIN io_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 178.360 2957.480 178.960 ;
+    END
+  END io_out[0]
+  PIN io_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2525.040 2957.480 2525.640 ;
+    END
+  END io_out[10]
+  PIN io_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2759.640 2957.480 2760.240 ;
+    END
+  END io_out[11]
+  PIN io_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2994.240 2957.480 2994.840 ;
+    END
+  END io_out[12]
+  PIN io_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3228.840 2957.480 3229.440 ;
+    END
+  END io_out[13]
+  PIN io_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 3463.440 2957.480 3464.040 ;
+    END
+  END io_out[14]
+  PIN io_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2754.790 3549.720 2755.070 3552.120 ;
+    END
+  END io_out[15]
+  PIN io_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2430.030 3549.720 2430.310 3552.120 ;
+    END
+  END io_out[16]
+  PIN io_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2105.730 3549.720 2106.010 3552.120 ;
+    END
+  END io_out[17]
+  PIN io_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1781.430 3549.720 1781.710 3552.120 ;
+    END
+  END io_out[18]
+  PIN io_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1456.670 3549.720 1456.950 3552.120 ;
+    END
+  END io_out[19]
+  PIN io_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 412.960 2957.480 413.560 ;
+    END
+  END io_out[1]
+  PIN io_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1132.370 3549.720 1132.650 3552.120 ;
+    END
+  END io_out[20]
+  PIN io_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 808.070 3549.720 808.350 3552.120 ;
+    END
+  END io_out[21]
+  PIN io_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 483.310 3549.720 483.590 3552.120 ;
+    END
+  END io_out[22]
+  PIN io_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 159.010 3549.720 159.290 3552.120 ;
+    END
+  END io_out[23]
+  PIN io_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3371.640 39.880 3372.240 ;
+    END
+  END io_out[24]
+  PIN io_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 3084.000 39.880 3084.600 ;
+    END
+  END io_out[25]
+  PIN io_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2797.040 39.880 2797.640 ;
+    END
+  END io_out[26]
+  PIN io_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2509.400 39.880 2510.000 ;
+    END
+  END io_out[27]
+  PIN io_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 2221.760 39.880 2222.360 ;
+    END
+  END io_out[28]
+  PIN io_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1934.800 39.880 1935.400 ;
+    END
+  END io_out[29]
+  PIN io_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 647.560 2957.480 648.160 ;
+    END
+  END io_out[2]
+  PIN io_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1647.160 39.880 1647.760 ;
+    END
+  END io_out[30]
+  PIN io_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1432.280 39.880 1432.880 ;
+    END
+  END io_out[31]
+  PIN io_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1216.720 39.880 1217.320 ;
+    END
+  END io_out[32]
+  PIN io_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 1001.160 39.880 1001.760 ;
+    END
+  END io_out[33]
+  PIN io_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 785.600 39.880 786.200 ;
+    END
+  END io_out[34]
+  PIN io_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 570.040 39.880 570.640 ;
+    END
+  END io_out[35]
+  PIN io_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 354.480 39.880 355.080 ;
+    END
+  END io_out[36]
+  PIN io_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 37.480 138.920 39.880 139.520 ;
+    END
+  END io_out[37]
+  PIN io_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 882.160 2957.480 882.760 ;
+    END
+  END io_out[3]
+  PIN io_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1116.760 2957.480 1117.360 ;
+    END
+  END io_out[4]
+  PIN io_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1351.360 2957.480 1351.960 ;
+    END
+  END io_out[5]
+  PIN io_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1585.960 2957.480 1586.560 ;
+    END
+  END io_out[6]
+  PIN io_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 1821.240 2957.480 1821.840 ;
+    END
+  END io_out[7]
+  PIN io_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2055.840 2957.480 2056.440 ;
+    END
+  END io_out[8]
+  PIN io_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2955.080 2290.440 2957.480 2291.040 ;
+    END
+  END io_out[9]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 670.530 32.120 670.810 34.520 ;
+    END
+  END la_data_in[0]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2454.870 32.120 2455.150 34.520 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2472.350 32.120 2472.630 34.520 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2490.290 32.120 2490.570 34.520 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2508.230 32.120 2508.510 34.520 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2526.170 32.120 2526.450 34.520 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2543.650 32.120 2543.930 34.520 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2561.590 32.120 2561.870 34.520 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2579.530 32.120 2579.810 34.520 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2597.470 32.120 2597.750 34.520 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2615.410 32.120 2615.690 34.520 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 849.010 32.120 849.290 34.520 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2632.890 32.120 2633.170 34.520 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2650.830 32.120 2651.110 34.520 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2668.770 32.120 2669.050 34.520 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2686.710 32.120 2686.990 34.520 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2704.650 32.120 2704.930 34.520 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2722.130 32.120 2722.410 34.520 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2740.070 32.120 2740.350 34.520 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2758.010 32.120 2758.290 34.520 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2775.950 32.120 2776.230 34.520 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2793.430 32.120 2793.710 34.520 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 866.950 32.120 867.230 34.520 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2811.370 32.120 2811.650 34.520 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2829.310 32.120 2829.590 34.520 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2847.250 32.120 2847.530 34.520 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2865.190 32.120 2865.470 34.520 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2882.670 32.120 2882.950 34.520 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2900.610 32.120 2900.890 34.520 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2918.550 32.120 2918.830 34.520 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2936.490 32.120 2936.770 34.520 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 884.430 32.120 884.710 34.520 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 902.370 32.120 902.650 34.520 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 920.310 32.120 920.590 34.520 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 938.250 32.120 938.530 34.520 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 956.190 32.120 956.470 34.520 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 973.670 32.120 973.950 34.520 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 991.610 32.120 991.890 34.520 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1009.550 32.120 1009.830 34.520 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 688.470 32.120 688.750 34.520 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1027.490 32.120 1027.770 34.520 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1044.970 32.120 1045.250 34.520 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1062.910 32.120 1063.190 34.520 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1080.850 32.120 1081.130 34.520 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1098.790 32.120 1099.070 34.520 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1116.730 32.120 1117.010 34.520 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1134.210 32.120 1134.490 34.520 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1152.150 32.120 1152.430 34.520 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1170.090 32.120 1170.370 34.520 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1188.030 32.120 1188.310 34.520 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 706.410 32.120 706.690 34.520 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1205.970 32.120 1206.250 34.520 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1223.450 32.120 1223.730 34.520 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1241.390 32.120 1241.670 34.520 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1259.330 32.120 1259.610 34.520 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1277.270 32.120 1277.550 34.520 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1294.750 32.120 1295.030 34.520 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1312.690 32.120 1312.970 34.520 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1330.630 32.120 1330.910 34.520 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1348.570 32.120 1348.850 34.520 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1366.510 32.120 1366.790 34.520 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 723.890 32.120 724.170 34.520 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1383.990 32.120 1384.270 34.520 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1401.930 32.120 1402.210 34.520 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1419.870 32.120 1420.150 34.520 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1437.810 32.120 1438.090 34.520 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1455.750 32.120 1456.030 34.520 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1473.230 32.120 1473.510 34.520 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1491.170 32.120 1491.450 34.520 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1509.110 32.120 1509.390 34.520 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1527.050 32.120 1527.330 34.520 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1544.530 32.120 1544.810 34.520 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 741.830 32.120 742.110 34.520 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1562.470 32.120 1562.750 34.520 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1580.410 32.120 1580.690 34.520 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1598.350 32.120 1598.630 34.520 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1616.290 32.120 1616.570 34.520 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1633.770 32.120 1634.050 34.520 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1651.710 32.120 1651.990 34.520 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1669.650 32.120 1669.930 34.520 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1687.590 32.120 1687.870 34.520 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1705.530 32.120 1705.810 34.520 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1723.010 32.120 1723.290 34.520 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 759.770 32.120 760.050 34.520 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1740.950 32.120 1741.230 34.520 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1758.890 32.120 1759.170 34.520 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1776.830 32.120 1777.110 34.520 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1794.310 32.120 1794.590 34.520 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1812.250 32.120 1812.530 34.520 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1830.190 32.120 1830.470 34.520 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1848.130 32.120 1848.410 34.520 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1866.070 32.120 1866.350 34.520 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1883.550 32.120 1883.830 34.520 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1901.490 32.120 1901.770 34.520 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 777.710 32.120 777.990 34.520 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1919.430 32.120 1919.710 34.520 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1937.370 32.120 1937.650 34.520 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1955.310 32.120 1955.590 34.520 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1972.790 32.120 1973.070 34.520 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1990.730 32.120 1991.010 34.520 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2008.670 32.120 2008.950 34.520 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2026.610 32.120 2026.890 34.520 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2044.090 32.120 2044.370 34.520 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2062.030 32.120 2062.310 34.520 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2079.970 32.120 2080.250 34.520 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 795.190 32.120 795.470 34.520 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2097.910 32.120 2098.190 34.520 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2115.850 32.120 2116.130 34.520 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2133.330 32.120 2133.610 34.520 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2151.270 32.120 2151.550 34.520 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2169.210 32.120 2169.490 34.520 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2187.150 32.120 2187.430 34.520 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2205.090 32.120 2205.370 34.520 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2222.570 32.120 2222.850 34.520 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2240.510 32.120 2240.790 34.520 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2258.450 32.120 2258.730 34.520 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 813.130 32.120 813.410 34.520 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2276.390 32.120 2276.670 34.520 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2293.870 32.120 2294.150 34.520 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2311.810 32.120 2312.090 34.520 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2329.750 32.120 2330.030 34.520 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2347.690 32.120 2347.970 34.520 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2365.630 32.120 2365.910 34.520 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2383.110 32.120 2383.390 34.520 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2401.050 32.120 2401.330 34.520 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2418.990 32.120 2419.270 34.520 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2436.930 32.120 2437.210 34.520 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 831.070 32.120 831.350 34.520 ;
+    END
+  END la_data_in[9]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 676.510 32.120 676.790 34.520 ;
+    END
+  END la_data_out[0]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2460.390 32.120 2460.670 34.520 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2478.330 32.120 2478.610 34.520 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2496.270 32.120 2496.550 34.520 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2514.210 32.120 2514.490 34.520 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2532.150 32.120 2532.430 34.520 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2549.630 32.120 2549.910 34.520 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2567.570 32.120 2567.850 34.520 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2585.510 32.120 2585.790 34.520 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2603.450 32.120 2603.730 34.520 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2621.390 32.120 2621.670 34.520 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 854.990 32.120 855.270 34.520 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2638.870 32.120 2639.150 34.520 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2656.810 32.120 2657.090 34.520 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2674.750 32.120 2675.030 34.520 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2692.690 32.120 2692.970 34.520 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2710.170 32.120 2710.450 34.520 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2728.110 32.120 2728.390 34.520 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2746.050 32.120 2746.330 34.520 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2763.990 32.120 2764.270 34.520 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2781.930 32.120 2782.210 34.520 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2799.410 32.120 2799.690 34.520 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 872.930 32.120 873.210 34.520 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2817.350 32.120 2817.630 34.520 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2835.290 32.120 2835.570 34.520 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2853.230 32.120 2853.510 34.520 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2871.170 32.120 2871.450 34.520 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2888.650 32.120 2888.930 34.520 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2906.590 32.120 2906.870 34.520 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2924.530 32.120 2924.810 34.520 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2942.470 32.120 2942.750 34.520 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 890.410 32.120 890.690 34.520 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 908.350 32.120 908.630 34.520 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 926.290 32.120 926.570 34.520 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 944.230 32.120 944.510 34.520 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 961.710 32.120 961.990 34.520 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 979.650 32.120 979.930 34.520 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 997.590 32.120 997.870 34.520 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1015.530 32.120 1015.810 34.520 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 694.450 32.120 694.730 34.520 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1033.470 32.120 1033.750 34.520 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1050.950 32.120 1051.230 34.520 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1068.890 32.120 1069.170 34.520 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1086.830 32.120 1087.110 34.520 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1104.770 32.120 1105.050 34.520 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1122.710 32.120 1122.990 34.520 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1140.190 32.120 1140.470 34.520 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1158.130 32.120 1158.410 34.520 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1176.070 32.120 1176.350 34.520 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1194.010 32.120 1194.290 34.520 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 711.930 32.120 712.210 34.520 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1211.490 32.120 1211.770 34.520 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1229.430 32.120 1229.710 34.520 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1247.370 32.120 1247.650 34.520 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1265.310 32.120 1265.590 34.520 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1283.250 32.120 1283.530 34.520 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1300.730 32.120 1301.010 34.520 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1318.670 32.120 1318.950 34.520 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1336.610 32.120 1336.890 34.520 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1354.550 32.120 1354.830 34.520 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1372.490 32.120 1372.770 34.520 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 729.870 32.120 730.150 34.520 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1389.970 32.120 1390.250 34.520 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1407.910 32.120 1408.190 34.520 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1425.850 32.120 1426.130 34.520 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1443.790 32.120 1444.070 34.520 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1461.270 32.120 1461.550 34.520 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1479.210 32.120 1479.490 34.520 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1497.150 32.120 1497.430 34.520 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1515.090 32.120 1515.370 34.520 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1533.030 32.120 1533.310 34.520 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1550.510 32.120 1550.790 34.520 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 747.810 32.120 748.090 34.520 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1568.450 32.120 1568.730 34.520 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1586.390 32.120 1586.670 34.520 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1604.330 32.120 1604.610 34.520 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1622.270 32.120 1622.550 34.520 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1639.750 32.120 1640.030 34.520 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1657.690 32.120 1657.970 34.520 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1675.630 32.120 1675.910 34.520 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1693.570 32.120 1693.850 34.520 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1711.050 32.120 1711.330 34.520 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1728.990 32.120 1729.270 34.520 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 765.750 32.120 766.030 34.520 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1746.930 32.120 1747.210 34.520 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1764.870 32.120 1765.150 34.520 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1782.810 32.120 1783.090 34.520 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1800.290 32.120 1800.570 34.520 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1818.230 32.120 1818.510 34.520 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1836.170 32.120 1836.450 34.520 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1854.110 32.120 1854.390 34.520 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1872.050 32.120 1872.330 34.520 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1889.530 32.120 1889.810 34.520 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1907.470 32.120 1907.750 34.520 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 783.690 32.120 783.970 34.520 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1925.410 32.120 1925.690 34.520 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1943.350 32.120 1943.630 34.520 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1960.830 32.120 1961.110 34.520 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1978.770 32.120 1979.050 34.520 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1996.710 32.120 1996.990 34.520 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2014.650 32.120 2014.930 34.520 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2032.590 32.120 2032.870 34.520 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2050.070 32.120 2050.350 34.520 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2068.010 32.120 2068.290 34.520 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2085.950 32.120 2086.230 34.520 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 801.170 32.120 801.450 34.520 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2103.890 32.120 2104.170 34.520 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2121.830 32.120 2122.110 34.520 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2139.310 32.120 2139.590 34.520 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2157.250 32.120 2157.530 34.520 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2175.190 32.120 2175.470 34.520 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2193.130 32.120 2193.410 34.520 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2210.610 32.120 2210.890 34.520 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2228.550 32.120 2228.830 34.520 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2246.490 32.120 2246.770 34.520 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2264.430 32.120 2264.710 34.520 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 819.110 32.120 819.390 34.520 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2282.370 32.120 2282.650 34.520 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2299.850 32.120 2300.130 34.520 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2317.790 32.120 2318.070 34.520 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2335.730 32.120 2336.010 34.520 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2353.670 32.120 2353.950 34.520 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2371.610 32.120 2371.890 34.520 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2389.090 32.120 2389.370 34.520 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2407.030 32.120 2407.310 34.520 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2424.970 32.120 2425.250 34.520 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2442.910 32.120 2443.190 34.520 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 837.050 32.120 837.330 34.520 ;
+    END
+  END la_data_out[9]
+  PIN la_oen[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 682.490 32.120 682.770 34.520 ;
+    END
+  END la_oen[0]
+  PIN la_oen[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2466.370 32.120 2466.650 34.520 ;
+    END
+  END la_oen[100]
+  PIN la_oen[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2484.310 32.120 2484.590 34.520 ;
+    END
+  END la_oen[101]
+  PIN la_oen[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2502.250 32.120 2502.530 34.520 ;
+    END
+  END la_oen[102]
+  PIN la_oen[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2520.190 32.120 2520.470 34.520 ;
+    END
+  END la_oen[103]
+  PIN la_oen[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2538.130 32.120 2538.410 34.520 ;
+    END
+  END la_oen[104]
+  PIN la_oen[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2555.610 32.120 2555.890 34.520 ;
+    END
+  END la_oen[105]
+  PIN la_oen[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2573.550 32.120 2573.830 34.520 ;
+    END
+  END la_oen[106]
+  PIN la_oen[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2591.490 32.120 2591.770 34.520 ;
+    END
+  END la_oen[107]
+  PIN la_oen[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2609.430 32.120 2609.710 34.520 ;
+    END
+  END la_oen[108]
+  PIN la_oen[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2626.910 32.120 2627.190 34.520 ;
+    END
+  END la_oen[109]
+  PIN la_oen[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 860.970 32.120 861.250 34.520 ;
+    END
+  END la_oen[10]
+  PIN la_oen[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2644.850 32.120 2645.130 34.520 ;
+    END
+  END la_oen[110]
+  PIN la_oen[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2662.790 32.120 2663.070 34.520 ;
+    END
+  END la_oen[111]
+  PIN la_oen[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2680.730 32.120 2681.010 34.520 ;
+    END
+  END la_oen[112]
+  PIN la_oen[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2698.670 32.120 2698.950 34.520 ;
+    END
+  END la_oen[113]
+  PIN la_oen[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2716.150 32.120 2716.430 34.520 ;
+    END
+  END la_oen[114]
+  PIN la_oen[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2734.090 32.120 2734.370 34.520 ;
+    END
+  END la_oen[115]
+  PIN la_oen[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2752.030 32.120 2752.310 34.520 ;
+    END
+  END la_oen[116]
+  PIN la_oen[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2769.970 32.120 2770.250 34.520 ;
+    END
+  END la_oen[117]
+  PIN la_oen[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2787.910 32.120 2788.190 34.520 ;
+    END
+  END la_oen[118]
+  PIN la_oen[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2805.390 32.120 2805.670 34.520 ;
+    END
+  END la_oen[119]
+  PIN la_oen[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 878.450 32.120 878.730 34.520 ;
+    END
+  END la_oen[11]
+  PIN la_oen[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2823.330 32.120 2823.610 34.520 ;
+    END
+  END la_oen[120]
+  PIN la_oen[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2841.270 32.120 2841.550 34.520 ;
+    END
+  END la_oen[121]
+  PIN la_oen[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2859.210 32.120 2859.490 34.520 ;
+    END
+  END la_oen[122]
+  PIN la_oen[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2876.690 32.120 2876.970 34.520 ;
+    END
+  END la_oen[123]
+  PIN la_oen[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2894.630 32.120 2894.910 34.520 ;
+    END
+  END la_oen[124]
+  PIN la_oen[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2912.570 32.120 2912.850 34.520 ;
+    END
+  END la_oen[125]
+  PIN la_oen[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2930.510 32.120 2930.790 34.520 ;
+    END
+  END la_oen[126]
+  PIN la_oen[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2948.450 32.120 2948.730 34.520 ;
+    END
+  END la_oen[127]
+  PIN la_oen[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 896.390 32.120 896.670 34.520 ;
+    END
+  END la_oen[12]
+  PIN la_oen[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 914.330 32.120 914.610 34.520 ;
+    END
+  END la_oen[13]
+  PIN la_oen[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 932.270 32.120 932.550 34.520 ;
+    END
+  END la_oen[14]
+  PIN la_oen[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 950.210 32.120 950.490 34.520 ;
+    END
+  END la_oen[15]
+  PIN la_oen[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 967.690 32.120 967.970 34.520 ;
+    END
+  END la_oen[16]
+  PIN la_oen[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 985.630 32.120 985.910 34.520 ;
+    END
+  END la_oen[17]
+  PIN la_oen[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1003.570 32.120 1003.850 34.520 ;
+    END
+  END la_oen[18]
+  PIN la_oen[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1021.510 32.120 1021.790 34.520 ;
+    END
+  END la_oen[19]
+  PIN la_oen[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 700.430 32.120 700.710 34.520 ;
+    END
+  END la_oen[1]
+  PIN la_oen[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1039.450 32.120 1039.730 34.520 ;
+    END
+  END la_oen[20]
+  PIN la_oen[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1056.930 32.120 1057.210 34.520 ;
+    END
+  END la_oen[21]
+  PIN la_oen[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1074.870 32.120 1075.150 34.520 ;
+    END
+  END la_oen[22]
+  PIN la_oen[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1092.810 32.120 1093.090 34.520 ;
+    END
+  END la_oen[23]
+  PIN la_oen[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1110.750 32.120 1111.030 34.520 ;
+    END
+  END la_oen[24]
+  PIN la_oen[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1128.230 32.120 1128.510 34.520 ;
+    END
+  END la_oen[25]
+  PIN la_oen[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1146.170 32.120 1146.450 34.520 ;
+    END
+  END la_oen[26]
+  PIN la_oen[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1164.110 32.120 1164.390 34.520 ;
+    END
+  END la_oen[27]
+  PIN la_oen[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1182.050 32.120 1182.330 34.520 ;
+    END
+  END la_oen[28]
+  PIN la_oen[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1199.990 32.120 1200.270 34.520 ;
+    END
+  END la_oen[29]
+  PIN la_oen[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 717.910 32.120 718.190 34.520 ;
+    END
+  END la_oen[2]
+  PIN la_oen[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1217.470 32.120 1217.750 34.520 ;
+    END
+  END la_oen[30]
+  PIN la_oen[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1235.410 32.120 1235.690 34.520 ;
+    END
+  END la_oen[31]
+  PIN la_oen[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1253.350 32.120 1253.630 34.520 ;
+    END
+  END la_oen[32]
+  PIN la_oen[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1271.290 32.120 1271.570 34.520 ;
+    END
+  END la_oen[33]
+  PIN la_oen[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1289.230 32.120 1289.510 34.520 ;
+    END
+  END la_oen[34]
+  PIN la_oen[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1306.710 32.120 1306.990 34.520 ;
+    END
+  END la_oen[35]
+  PIN la_oen[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1324.650 32.120 1324.930 34.520 ;
+    END
+  END la_oen[36]
+  PIN la_oen[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1342.590 32.120 1342.870 34.520 ;
+    END
+  END la_oen[37]
+  PIN la_oen[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1360.530 32.120 1360.810 34.520 ;
+    END
+  END la_oen[38]
+  PIN la_oen[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1378.010 32.120 1378.290 34.520 ;
+    END
+  END la_oen[39]
+  PIN la_oen[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 735.850 32.120 736.130 34.520 ;
+    END
+  END la_oen[3]
+  PIN la_oen[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1395.950 32.120 1396.230 34.520 ;
+    END
+  END la_oen[40]
+  PIN la_oen[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1413.890 32.120 1414.170 34.520 ;
+    END
+  END la_oen[41]
+  PIN la_oen[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1431.830 32.120 1432.110 34.520 ;
+    END
+  END la_oen[42]
+  PIN la_oen[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1449.770 32.120 1450.050 34.520 ;
+    END
+  END la_oen[43]
+  PIN la_oen[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1467.250 32.120 1467.530 34.520 ;
+    END
+  END la_oen[44]
+  PIN la_oen[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1485.190 32.120 1485.470 34.520 ;
+    END
+  END la_oen[45]
+  PIN la_oen[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1503.130 32.120 1503.410 34.520 ;
+    END
+  END la_oen[46]
+  PIN la_oen[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1521.070 32.120 1521.350 34.520 ;
+    END
+  END la_oen[47]
+  PIN la_oen[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1539.010 32.120 1539.290 34.520 ;
+    END
+  END la_oen[48]
+  PIN la_oen[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1556.490 32.120 1556.770 34.520 ;
+    END
+  END la_oen[49]
+  PIN la_oen[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 753.790 32.120 754.070 34.520 ;
+    END
+  END la_oen[4]
+  PIN la_oen[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1574.430 32.120 1574.710 34.520 ;
+    END
+  END la_oen[50]
+  PIN la_oen[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1592.370 32.120 1592.650 34.520 ;
+    END
+  END la_oen[51]
+  PIN la_oen[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1610.310 32.120 1610.590 34.520 ;
+    END
+  END la_oen[52]
+  PIN la_oen[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1627.790 32.120 1628.070 34.520 ;
+    END
+  END la_oen[53]
+  PIN la_oen[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1645.730 32.120 1646.010 34.520 ;
+    END
+  END la_oen[54]
+  PIN la_oen[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1663.670 32.120 1663.950 34.520 ;
+    END
+  END la_oen[55]
+  PIN la_oen[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1681.610 32.120 1681.890 34.520 ;
+    END
+  END la_oen[56]
+  PIN la_oen[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1699.550 32.120 1699.830 34.520 ;
+    END
+  END la_oen[57]
+  PIN la_oen[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1717.030 32.120 1717.310 34.520 ;
+    END
+  END la_oen[58]
+  PIN la_oen[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1734.970 32.120 1735.250 34.520 ;
+    END
+  END la_oen[59]
+  PIN la_oen[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 771.730 32.120 772.010 34.520 ;
+    END
+  END la_oen[5]
+  PIN la_oen[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1752.910 32.120 1753.190 34.520 ;
+    END
+  END la_oen[60]
+  PIN la_oen[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1770.850 32.120 1771.130 34.520 ;
+    END
+  END la_oen[61]
+  PIN la_oen[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1788.790 32.120 1789.070 34.520 ;
+    END
+  END la_oen[62]
+  PIN la_oen[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1806.270 32.120 1806.550 34.520 ;
+    END
+  END la_oen[63]
+  PIN la_oen[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1824.210 32.120 1824.490 34.520 ;
+    END
+  END la_oen[64]
+  PIN la_oen[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1842.150 32.120 1842.430 34.520 ;
+    END
+  END la_oen[65]
+  PIN la_oen[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1860.090 32.120 1860.370 34.520 ;
+    END
+  END la_oen[66]
+  PIN la_oen[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1877.570 32.120 1877.850 34.520 ;
+    END
+  END la_oen[67]
+  PIN la_oen[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1895.510 32.120 1895.790 34.520 ;
+    END
+  END la_oen[68]
+  PIN la_oen[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1913.450 32.120 1913.730 34.520 ;
+    END
+  END la_oen[69]
+  PIN la_oen[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 789.670 32.120 789.950 34.520 ;
+    END
+  END la_oen[6]
+  PIN la_oen[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1931.390 32.120 1931.670 34.520 ;
+    END
+  END la_oen[70]
+  PIN la_oen[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1949.330 32.120 1949.610 34.520 ;
+    END
+  END la_oen[71]
+  PIN la_oen[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1966.810 32.120 1967.090 34.520 ;
+    END
+  END la_oen[72]
+  PIN la_oen[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1984.750 32.120 1985.030 34.520 ;
+    END
+  END la_oen[73]
+  PIN la_oen[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2002.690 32.120 2002.970 34.520 ;
+    END
+  END la_oen[74]
+  PIN la_oen[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2020.630 32.120 2020.910 34.520 ;
+    END
+  END la_oen[75]
+  PIN la_oen[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2038.570 32.120 2038.850 34.520 ;
+    END
+  END la_oen[76]
+  PIN la_oen[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2056.050 32.120 2056.330 34.520 ;
+    END
+  END la_oen[77]
+  PIN la_oen[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2073.990 32.120 2074.270 34.520 ;
+    END
+  END la_oen[78]
+  PIN la_oen[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2091.930 32.120 2092.210 34.520 ;
+    END
+  END la_oen[79]
+  PIN la_oen[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 807.150 32.120 807.430 34.520 ;
+    END
+  END la_oen[7]
+  PIN la_oen[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2109.870 32.120 2110.150 34.520 ;
+    END
+  END la_oen[80]
+  PIN la_oen[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2127.350 32.120 2127.630 34.520 ;
+    END
+  END la_oen[81]
+  PIN la_oen[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2145.290 32.120 2145.570 34.520 ;
+    END
+  END la_oen[82]
+  PIN la_oen[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2163.230 32.120 2163.510 34.520 ;
+    END
+  END la_oen[83]
+  PIN la_oen[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2181.170 32.120 2181.450 34.520 ;
+    END
+  END la_oen[84]
+  PIN la_oen[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2199.110 32.120 2199.390 34.520 ;
+    END
+  END la_oen[85]
+  PIN la_oen[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2216.590 32.120 2216.870 34.520 ;
+    END
+  END la_oen[86]
+  PIN la_oen[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2234.530 32.120 2234.810 34.520 ;
+    END
+  END la_oen[87]
+  PIN la_oen[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2252.470 32.120 2252.750 34.520 ;
+    END
+  END la_oen[88]
+  PIN la_oen[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2270.410 32.120 2270.690 34.520 ;
+    END
+  END la_oen[89]
+  PIN la_oen[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 825.090 32.120 825.370 34.520 ;
+    END
+  END la_oen[8]
+  PIN la_oen[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2288.350 32.120 2288.630 34.520 ;
+    END
+  END la_oen[90]
+  PIN la_oen[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2305.830 32.120 2306.110 34.520 ;
+    END
+  END la_oen[91]
+  PIN la_oen[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2323.770 32.120 2324.050 34.520 ;
+    END
+  END la_oen[92]
+  PIN la_oen[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2341.710 32.120 2341.990 34.520 ;
+    END
+  END la_oen[93]
+  PIN la_oen[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2359.650 32.120 2359.930 34.520 ;
+    END
+  END la_oen[94]
+  PIN la_oen[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2377.130 32.120 2377.410 34.520 ;
+    END
+  END la_oen[95]
+  PIN la_oen[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2395.070 32.120 2395.350 34.520 ;
+    END
+  END la_oen[96]
+  PIN la_oen[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2413.010 32.120 2413.290 34.520 ;
+    END
+  END la_oen[97]
+  PIN la_oen[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2430.950 32.120 2431.230 34.520 ;
+    END
+  END la_oen[98]
+  PIN la_oen[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2448.890 32.120 2449.170 34.520 ;
+    END
+  END la_oen[99]
+  PIN la_oen[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 843.030 32.120 843.310 34.520 ;
+    END
+  END la_oen[9]
+  PIN user_clock2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2954.430 32.120 2954.710 34.520 ;
+    END
+  END user_clock2
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 40.330 32.120 40.610 34.520 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    ANTENNAGATEAREA 0.990000 ;
+    PORT
+      LAYER li1 ;
+        RECT 91.830 44.445 93.490 44.645 ;
+      LAYER L1M1_PR_C ;
+        RECT 91.835 44.445 92.005 44.615 ;
+      LAYER met1 ;
+        RECT 45.760 48.000 46.080 48.060 ;
+        RECT 77.960 48.000 78.280 48.060 ;
+        RECT 45.760 47.860 78.280 48.000 ;
+        RECT 45.760 47.800 46.080 47.860 ;
+        RECT 77.960 47.800 78.280 47.860 ;
+        RECT 91.775 44.415 92.065 44.645 ;
+        RECT 77.960 43.920 78.280 43.980 ;
+        RECT 91.850 43.920 91.990 44.415 ;
+        RECT 77.960 43.780 91.990 43.920 ;
+        RECT 77.960 43.720 78.280 43.780 ;
+      LAYER via ;
+        RECT 45.790 47.800 46.050 48.060 ;
+        RECT 77.990 47.800 78.250 48.060 ;
+        RECT 77.990 43.720 78.250 43.980 ;
+      LAYER met2 ;
+        RECT 45.790 47.770 46.050 48.090 ;
+        RECT 77.990 47.770 78.250 48.090 ;
+        RECT 45.850 34.520 45.990 47.770 ;
+        RECT 78.050 44.010 78.190 47.770 ;
+        RECT 77.990 43.690 78.250 44.010 ;
+        RECT 45.780 32.120 46.130 34.520 ;
+    END
+  END wb_rst_i
+  PIN wbs_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met1 ;
+        RECT 51.740 51.740 52.060 51.800 ;
+        RECT 77.040 51.740 77.360 51.800 ;
+        RECT 51.740 51.600 77.360 51.740 ;
+        RECT 51.740 51.540 52.060 51.600 ;
+        RECT 77.040 51.540 77.360 51.600 ;
+      LAYER via ;
+        RECT 51.770 51.540 52.030 51.800 ;
+        RECT 77.070 51.540 77.330 51.800 ;
+      LAYER met2 ;
+        RECT 77.130 51.830 77.270 54.000 ;
+        RECT 51.770 51.510 52.030 51.830 ;
+        RECT 77.070 51.510 77.330 51.830 ;
+        RECT 51.830 34.520 51.970 51.510 ;
+        RECT 51.760 32.120 52.110 34.520 ;
+    END
+  END wbs_ack_o
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 75.750 32.120 76.030 34.520 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 278.150 32.120 278.430 34.520 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met1 ;
+        RECT 281.280 38.820 281.600 38.880 ;
+        RECT 295.540 38.820 295.860 38.880 ;
+        RECT 281.280 38.680 295.860 38.820 ;
+        RECT 281.280 38.620 281.600 38.680 ;
+        RECT 295.540 38.620 295.860 38.680 ;
+      LAYER via ;
+        RECT 281.310 38.620 281.570 38.880 ;
+        RECT 295.570 38.620 295.830 38.880 ;
+      LAYER met2 ;
+        RECT 281.370 38.910 281.510 54.000 ;
+        RECT 281.310 38.590 281.570 38.910 ;
+        RECT 295.570 38.590 295.830 38.910 ;
+        RECT 295.630 34.520 295.770 38.590 ;
+        RECT 295.560 32.120 295.910 34.520 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 313.570 32.120 313.850 34.520 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 331.510 32.120 331.790 34.520 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met1 ;
+        RECT 342.000 45.280 342.320 45.340 ;
+        RECT 349.360 45.280 349.680 45.340 ;
+        RECT 342.000 45.140 349.680 45.280 ;
+        RECT 342.000 45.080 342.320 45.140 ;
+        RECT 349.360 45.080 349.680 45.140 ;
+      LAYER via ;
+        RECT 342.030 45.080 342.290 45.340 ;
+        RECT 349.390 45.080 349.650 45.340 ;
+      LAYER met2 ;
+        RECT 342.090 45.370 342.230 54.000 ;
+        RECT 342.030 45.050 342.290 45.370 ;
+        RECT 349.390 45.050 349.650 45.370 ;
+        RECT 349.450 34.520 349.590 45.050 ;
+        RECT 349.380 32.120 349.730 34.520 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 367.390 32.120 367.670 34.520 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 395.605 46.635 396.125 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 395.895 47.165 396.065 47.335 ;
+      LAYER met1 ;
+        RECT 384.780 47.320 385.100 47.380 ;
+        RECT 395.835 47.320 396.125 47.365 ;
+        RECT 384.780 47.180 396.125 47.320 ;
+        RECT 384.780 47.120 385.100 47.180 ;
+        RECT 395.835 47.135 396.125 47.180 ;
+      LAYER via ;
+        RECT 384.810 47.120 385.070 47.380 ;
+      LAYER met2 ;
+        RECT 384.810 47.090 385.070 47.410 ;
+        RECT 384.870 34.520 385.010 47.090 ;
+        RECT 384.800 32.120 385.150 34.520 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 402.810 32.120 403.090 34.520 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 420.750 32.120 421.030 34.520 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 438.620 32.120 438.970 34.520 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.891000 ;
+    PORT
+      LAYER li1 ;
+        RECT 148.355 50.430 148.690 50.855 ;
+        RECT 149.210 50.430 149.545 50.855 ;
+        RECT 148.355 50.260 150.025 50.430 ;
+        RECT 149.780 49.695 150.025 50.260 ;
+        RECT 148.355 49.525 150.025 49.695 ;
+        RECT 148.355 48.765 148.690 49.525 ;
+        RECT 149.210 48.765 149.540 49.525 ;
+      LAYER L1M1_PR_C ;
+        RECT 148.415 48.865 148.585 49.035 ;
+      LAYER met1 ;
+        RECT 148.340 49.020 148.660 49.080 ;
+        RECT 148.145 48.880 148.660 49.020 ;
+        RECT 148.340 48.820 148.660 48.880 ;
+        RECT 99.580 48.000 99.900 48.060 ;
+        RECT 146.960 48.000 147.280 48.060 ;
+        RECT 148.340 48.000 148.660 48.060 ;
+        RECT 99.580 47.860 106.710 48.000 ;
+        RECT 99.580 47.800 99.900 47.860 ;
+        RECT 106.570 47.660 106.710 47.860 ;
+        RECT 146.960 47.860 148.660 48.000 ;
+        RECT 146.960 47.800 147.280 47.860 ;
+        RECT 148.340 47.800 148.660 47.860 ;
+        RECT 113.380 47.660 113.700 47.720 ;
+        RECT 106.570 47.520 113.700 47.660 ;
+        RECT 113.380 47.460 113.700 47.520 ;
+      LAYER via ;
+        RECT 148.370 48.820 148.630 49.080 ;
+        RECT 99.610 47.800 99.870 48.060 ;
+        RECT 146.990 47.800 147.250 48.060 ;
+        RECT 148.370 47.800 148.630 48.060 ;
+        RECT 113.410 47.460 113.670 47.720 ;
+      LAYER met2 ;
+        RECT 138.310 48.285 138.450 54.000 ;
+        RECT 148.370 48.790 148.630 49.110 ;
+        RECT 99.610 47.770 99.870 48.090 ;
+        RECT 113.400 47.915 113.680 48.285 ;
+        RECT 138.240 47.915 138.520 48.285 ;
+        RECT 146.980 47.915 147.260 48.285 ;
+        RECT 148.430 48.090 148.570 48.790 ;
+        RECT 99.670 34.520 99.810 47.770 ;
+        RECT 113.470 47.750 113.610 47.915 ;
+        RECT 146.990 47.770 147.250 47.915 ;
+        RECT 148.370 47.770 148.630 48.090 ;
+        RECT 113.410 47.430 113.670 47.750 ;
+        RECT 99.600 32.120 99.950 34.520 ;
+      LAYER via2 ;
+        RECT 113.400 47.960 113.680 48.240 ;
+        RECT 138.240 47.960 138.520 48.240 ;
+        RECT 146.980 47.960 147.260 48.240 ;
+      LAYER met3 ;
+        RECT 113.375 48.250 113.705 48.265 ;
+        RECT 138.215 48.250 138.545 48.265 ;
+        RECT 146.955 48.250 147.285 48.265 ;
+        RECT 113.375 47.950 147.285 48.250 ;
+        RECT 113.375 47.935 113.705 47.950 ;
+        RECT 138.215 47.935 138.545 47.950 ;
+        RECT 146.955 47.935 147.285 47.950 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 456.630 32.120 456.910 34.520 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 474.110 32.120 474.390 34.520 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 492.050 32.120 492.330 34.520 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 512.905 46.635 513.425 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 513.195 46.825 513.365 46.995 ;
+      LAYER met1 ;
+        RECT 509.900 46.980 510.220 47.040 ;
+        RECT 513.135 46.980 513.425 47.025 ;
+        RECT 509.900 46.840 513.425 46.980 ;
+        RECT 509.900 46.780 510.220 46.840 ;
+        RECT 513.135 46.795 513.425 46.840 ;
+      LAYER via ;
+        RECT 509.930 46.780 510.190 47.040 ;
+      LAYER met2 ;
+        RECT 509.930 46.750 510.190 47.070 ;
+        RECT 509.990 34.520 510.130 46.750 ;
+        RECT 509.920 32.120 510.270 34.520 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 527.930 32.120 528.210 34.520 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 545.340 32.120 545.690 34.520 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 563.350 32.120 563.630 34.520 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 581.290 32.120 581.570 34.520 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 599.160 32.120 599.510 34.520 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 617.170 32.120 617.450 34.520 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 123.590 32.120 123.870 34.520 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 622.845 43.255 623.365 44.805 ;
+      LAYER L1M1_PR_C ;
+        RECT 623.135 44.445 623.305 44.615 ;
+      LAYER met1 ;
+        RECT 623.060 46.300 623.380 46.360 ;
+        RECT 634.560 46.300 634.880 46.360 ;
+        RECT 623.060 46.160 634.880 46.300 ;
+        RECT 623.060 46.100 623.380 46.160 ;
+        RECT 634.560 46.100 634.880 46.160 ;
+        RECT 623.060 44.600 623.380 44.660 ;
+        RECT 622.865 44.460 623.380 44.600 ;
+        RECT 623.060 44.400 623.380 44.460 ;
+      LAYER via ;
+        RECT 623.090 46.100 623.350 46.360 ;
+        RECT 634.590 46.100 634.850 46.360 ;
+        RECT 623.090 44.400 623.350 44.660 ;
+      LAYER met2 ;
+        RECT 623.090 46.070 623.350 46.390 ;
+        RECT 634.590 46.070 634.850 46.390 ;
+        RECT 623.150 44.690 623.290 46.070 ;
+        RECT 623.090 44.370 623.350 44.690 ;
+        RECT 634.650 34.520 634.790 46.070 ;
+        RECT 634.580 32.120 634.930 34.520 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 652.590 32.120 652.870 34.520 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 147.050 32.120 147.330 34.520 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 170.900 32.120 171.250 34.520 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 188.840 32.120 189.190 34.520 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 206.850 32.120 207.130 34.520 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.330 32.120 224.610 34.520 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 242.270 32.120 242.550 34.520 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.445500 ;
+    PORT
+      LAYER li1 ;
+        RECT 338.850 50.330 339.105 50.905 ;
+        RECT 338.935 49.600 339.105 50.330 ;
+        RECT 338.850 48.695 339.105 49.600 ;
+      LAYER L1M1_PR_C ;
+        RECT 338.855 48.865 339.025 49.035 ;
+      LAYER met1 ;
+        RECT 338.780 49.020 339.100 49.080 ;
+        RECT 338.585 48.880 339.100 49.020 ;
+        RECT 338.780 48.820 339.100 48.880 ;
+      LAYER via ;
+        RECT 338.810 48.820 339.070 49.080 ;
+      LAYER met2 ;
+        RECT 260.140 49.275 260.420 49.645 ;
+        RECT 338.800 49.275 339.080 49.645 ;
+        RECT 260.210 34.520 260.350 49.275 ;
+        RECT 338.870 49.110 339.010 49.275 ;
+        RECT 338.810 48.790 339.070 49.110 ;
+        RECT 260.140 32.120 260.490 34.520 ;
+      LAYER via2 ;
+        RECT 260.140 49.320 260.420 49.600 ;
+        RECT 338.800 49.320 339.080 49.600 ;
+      LAYER met3 ;
+        RECT 260.115 49.610 260.445 49.625 ;
+        RECT 338.775 49.610 339.105 49.625 ;
+        RECT 260.115 49.310 339.105 49.610 ;
+        RECT 260.115 49.295 260.445 49.310 ;
+        RECT 338.775 49.295 339.105 49.310 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 57.810 32.120 58.090 34.520 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 81.730 32.120 82.010 34.520 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 284.130 32.120 284.410 34.520 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    ANTENNAGATEAREA 0.990000 ;
+    PORT
+      LAYER li1 ;
+        RECT 295.610 46.795 297.270 46.995 ;
+      LAYER L1M1_PR_C ;
+        RECT 296.995 46.825 297.165 46.995 ;
+      LAYER met1 ;
+        RECT 296.935 46.795 297.225 47.025 ;
+        RECT 297.010 46.640 297.150 46.795 ;
+        RECT 301.520 46.640 301.840 46.700 ;
+        RECT 297.010 46.500 301.840 46.640 ;
+        RECT 301.520 46.440 301.840 46.500 ;
+      LAYER via ;
+        RECT 301.550 46.440 301.810 46.700 ;
+      LAYER met2 ;
+        RECT 301.550 46.410 301.810 46.730 ;
+        RECT 301.610 34.520 301.750 46.410 ;
+        RECT 301.540 32.120 301.890 34.520 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 319.550 32.120 319.830 34.520 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 380.425 46.635 380.945 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 380.715 47.505 380.885 47.675 ;
+      LAYER met1 ;
+        RECT 339.240 47.660 339.560 47.720 ;
+        RECT 380.655 47.660 380.945 47.705 ;
+        RECT 339.240 47.520 380.945 47.660 ;
+        RECT 339.240 47.460 339.560 47.520 ;
+        RECT 380.655 47.475 380.945 47.520 ;
+      LAYER via ;
+        RECT 339.270 47.460 339.530 47.720 ;
+      LAYER met2 ;
+        RECT 339.270 47.430 339.530 47.750 ;
+        RECT 339.330 46.810 339.470 47.430 ;
+        RECT 337.490 46.670 339.470 46.810 ;
+        RECT 337.490 34.520 337.630 46.670 ;
+        RECT 337.420 32.120 337.770 34.520 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met1 ;
+        RECT 355.340 50.720 355.660 50.780 ;
+        RECT 366.840 50.720 367.160 50.780 ;
+        RECT 355.340 50.580 367.160 50.720 ;
+        RECT 355.340 50.520 355.660 50.580 ;
+        RECT 366.840 50.520 367.160 50.580 ;
+      LAYER via ;
+        RECT 355.370 50.520 355.630 50.780 ;
+        RECT 366.870 50.520 367.130 50.780 ;
+      LAYER met2 ;
+        RECT 366.930 50.810 367.070 54.000 ;
+        RECT 355.370 50.490 355.630 50.810 ;
+        RECT 366.870 50.490 367.130 50.810 ;
+        RECT 355.430 34.520 355.570 50.490 ;
+        RECT 355.360 32.120 355.710 34.520 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 373.370 32.120 373.650 34.520 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 390.850 32.120 391.130 34.520 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 408.790 32.120 409.070 34.520 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 426.660 32.120 427.010 34.520 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 444.670 32.120 444.950 34.520 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 105.650 32.120 105.930 34.520 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 464.605 46.635 465.125 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 464.895 46.825 465.065 46.995 ;
+      LAYER met1 ;
+        RECT 462.060 46.980 462.380 47.040 ;
+        RECT 464.835 46.980 465.125 47.025 ;
+        RECT 462.060 46.840 465.125 46.980 ;
+        RECT 462.060 46.780 462.380 46.840 ;
+        RECT 464.835 46.795 465.125 46.840 ;
+      LAYER via ;
+        RECT 462.090 46.780 462.350 47.040 ;
+      LAYER met2 ;
+        RECT 462.090 46.750 462.350 47.070 ;
+        RECT 462.150 34.520 462.290 46.750 ;
+        RECT 462.080 32.120 462.430 34.520 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 480.090 32.120 480.370 34.520 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 498.030 32.120 498.310 34.520 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 515.900 32.120 516.250 34.520 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 533.910 32.120 534.190 34.520 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 551.320 32.120 551.670 34.520 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 569.330 32.120 569.610 34.520 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 587.270 32.120 587.550 34.520 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER li1 ;
+        RECT 604.905 48.695 605.425 50.245 ;
+      LAYER L1M1_PR_C ;
+        RECT 605.195 48.865 605.365 49.035 ;
+      LAYER met1 ;
+        RECT 605.120 49.020 605.440 49.080 ;
+        RECT 604.925 48.880 605.440 49.020 ;
+        RECT 605.120 48.820 605.440 48.880 ;
+      LAYER via ;
+        RECT 605.150 48.820 605.410 49.080 ;
+      LAYER met2 ;
+        RECT 605.150 48.790 605.410 49.110 ;
+        RECT 605.210 34.520 605.350 48.790 ;
+        RECT 605.140 32.120 605.490 34.520 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 623.150 32.120 623.430 34.520 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    ANTENNADIFFAREA 0.891000 ;
+    PORT
+      LAYER li1 ;
+        RECT 175.955 47.355 176.290 48.115 ;
+        RECT 176.810 47.355 177.140 48.115 ;
+        RECT 175.955 47.185 177.625 47.355 ;
+        RECT 177.380 46.620 177.625 47.185 ;
+        RECT 175.955 46.450 177.625 46.620 ;
+        RECT 175.955 46.025 176.290 46.450 ;
+        RECT 176.810 46.025 177.145 46.450 ;
+      LAYER L1M1_PR_C ;
+        RECT 176.015 47.505 176.185 47.675 ;
+      LAYER met1 ;
+        RECT 174.100 47.660 174.420 47.720 ;
+        RECT 175.955 47.660 176.245 47.705 ;
+        RECT 174.100 47.520 176.245 47.660 ;
+        RECT 174.100 47.460 174.420 47.520 ;
+        RECT 175.955 47.475 176.245 47.520 ;
+        RECT 130.860 46.980 131.180 47.040 ;
+        RECT 140.520 46.980 140.840 47.040 ;
+        RECT 130.860 46.840 140.840 46.980 ;
+        RECT 130.860 46.780 131.180 46.840 ;
+        RECT 140.520 46.780 140.840 46.840 ;
+      LAYER via ;
+        RECT 174.130 47.460 174.390 47.720 ;
+        RECT 130.890 46.780 131.150 47.040 ;
+        RECT 140.550 46.780 140.810 47.040 ;
+      LAYER met2 ;
+        RECT 173.270 48.170 173.410 54.000 ;
+        RECT 173.270 48.030 174.330 48.170 ;
+        RECT 174.190 47.750 174.330 48.030 ;
+        RECT 174.130 47.430 174.390 47.750 ;
+        RECT 130.890 46.750 131.150 47.070 ;
+        RECT 140.550 46.750 140.810 47.070 ;
+        RECT 130.950 37.970 131.090 46.750 ;
+        RECT 140.610 46.245 140.750 46.750 ;
+        RECT 174.190 46.245 174.330 47.430 ;
+        RECT 140.540 45.875 140.820 46.245 ;
+        RECT 174.120 45.875 174.400 46.245 ;
+        RECT 129.110 37.830 131.090 37.970 ;
+        RECT 129.110 34.520 129.250 37.830 ;
+        RECT 129.040 32.120 129.390 34.520 ;
+      LAYER via2 ;
+        RECT 140.540 45.920 140.820 46.200 ;
+        RECT 174.120 45.920 174.400 46.200 ;
+      LAYER met3 ;
+        RECT 140.515 46.210 140.845 46.225 ;
+        RECT 174.095 46.210 174.425 46.225 ;
+        RECT 140.515 45.910 174.425 46.210 ;
+        RECT 140.515 45.895 140.845 45.910 ;
+        RECT 174.095 45.895 174.425 45.910 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 640.630 32.120 640.910 34.520 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 658.570 32.120 658.850 34.520 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 153.030 32.120 153.310 34.520 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 176.880 32.120 177.230 34.520 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 194.890 32.120 195.170 34.520 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 212.300 41.795 212.580 42.165 ;
+        RECT 212.370 34.520 212.510 41.795 ;
+        RECT 212.300 32.120 212.650 34.520 ;
+      LAYER via2 ;
+        RECT 212.300 41.840 212.580 42.120 ;
+      LAYER met3 ;
+        RECT 212.275 42.130 212.605 42.145 ;
+        RECT 214.780 42.130 215.160 42.140 ;
+        RECT 212.275 41.830 215.160 42.130 ;
+        RECT 212.275 41.815 212.605 41.830 ;
+        RECT 214.780 41.820 215.160 41.830 ;
+      LAYER via3 ;
+        RECT 214.810 41.820 215.130 42.140 ;
+      LAYER met4 ;
+        RECT 214.820 42.145 215.120 54.000 ;
+        RECT 214.805 41.815 215.135 42.145 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 230.310 32.120 230.590 34.520 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 248.250 32.120 248.530 34.520 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 266.120 32.120 266.470 34.520 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 87.710 34.520 87.850 54.000 ;
+        RECT 87.640 32.120 87.990 34.520 ;
+    END
+  END wbs_dat_o[0]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 290.110 32.120 290.390 34.520 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 307.590 32.120 307.870 34.520 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 325.530 32.120 325.810 34.520 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met1 ;
+        RECT 298.760 53.440 299.080 53.500 ;
+        RECT 298.760 53.300 328.430 53.440 ;
+        RECT 298.760 53.240 299.080 53.300 ;
+        RECT 328.290 53.100 328.430 53.300 ;
+        RECT 340.160 53.100 340.480 53.160 ;
+        RECT 328.290 52.960 340.480 53.100 ;
+        RECT 340.160 52.900 340.480 52.960 ;
+        RECT 340.160 49.360 340.480 49.420 ;
+        RECT 340.160 49.220 343.610 49.360 ;
+        RECT 340.160 49.160 340.480 49.220 ;
+        RECT 343.470 49.080 343.610 49.220 ;
+        RECT 343.380 48.820 343.700 49.080 ;
+      LAYER via ;
+        RECT 298.790 53.240 299.050 53.500 ;
+        RECT 340.190 52.900 340.450 53.160 ;
+        RECT 340.190 49.160 340.450 49.420 ;
+        RECT 343.410 48.820 343.670 49.080 ;
+      LAYER met2 ;
+        RECT 298.850 53.530 298.990 54.000 ;
+        RECT 298.790 53.210 299.050 53.530 ;
+        RECT 340.190 52.870 340.450 53.190 ;
+        RECT 340.250 49.450 340.390 52.870 ;
+        RECT 340.190 49.130 340.450 49.450 ;
+        RECT 343.410 48.790 343.670 49.110 ;
+        RECT 343.470 34.520 343.610 48.790 ;
+        RECT 343.400 32.120 343.750 34.520 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 361.410 32.120 361.690 34.520 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 378.890 34.520 379.030 54.000 ;
+        RECT 378.820 32.120 379.170 34.520 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 396.830 32.120 397.110 34.520 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 414.770 32.120 415.050 34.520 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER li1 ;
+        RECT 436.545 46.635 437.065 48.185 ;
+      LAYER L1M1_PR_C ;
+        RECT 436.835 46.825 437.005 46.995 ;
+      LAYER met1 ;
+        RECT 432.620 46.980 432.940 47.040 ;
+        RECT 436.775 46.980 437.065 47.025 ;
+        RECT 432.620 46.840 437.065 46.980 ;
+        RECT 432.620 46.780 432.940 46.840 ;
+        RECT 436.775 46.795 437.065 46.840 ;
+      LAYER via ;
+        RECT 432.650 46.780 432.910 47.040 ;
+      LAYER met2 ;
+        RECT 432.650 46.750 432.910 47.070 ;
+        RECT 432.710 34.520 432.850 46.750 ;
+        RECT 432.640 32.120 432.990 34.520 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 450.650 32.120 450.930 34.520 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 111.630 32.120 111.910 34.520 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 468.060 32.120 468.410 34.520 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 486.070 32.120 486.350 34.520 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 504.010 32.120 504.290 34.520 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 521.880 32.120 522.230 34.520 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 539.890 32.120 540.170 34.520 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 557.370 32.120 557.650 34.520 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 575.310 32.120 575.590 34.520 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 593.180 32.120 593.530 34.520 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 611.190 32.120 611.470 34.520 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 628.600 32.120 628.950 34.520 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met1 ;
+        RECT 135.000 39.160 135.320 39.220 ;
+        RECT 154.320 39.160 154.640 39.220 ;
+        RECT 135.000 39.020 154.640 39.160 ;
+        RECT 135.000 38.960 135.320 39.020 ;
+        RECT 154.320 38.960 154.640 39.020 ;
+      LAYER via ;
+        RECT 135.030 38.960 135.290 39.220 ;
+        RECT 154.350 38.960 154.610 39.220 ;
+      LAYER met2 ;
+        RECT 153.490 50.210 153.630 54.000 ;
+        RECT 153.490 50.070 154.550 50.210 ;
+        RECT 154.410 39.250 154.550 50.070 ;
+        RECT 135.030 38.930 135.290 39.250 ;
+        RECT 154.350 38.930 154.610 39.250 ;
+        RECT 135.090 34.520 135.230 38.930 ;
+        RECT 135.020 32.120 135.370 34.520 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 646.610 32.120 646.890 34.520 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 664.550 32.120 664.830 34.520 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 159.010 32.120 159.290 34.520 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met1 ;
+        RECT 174.100 51.740 174.420 51.800 ;
+        RECT 182.840 51.740 183.160 51.800 ;
+        RECT 174.100 51.600 183.160 51.740 ;
+        RECT 174.100 51.540 174.420 51.600 ;
+        RECT 182.840 51.540 183.160 51.600 ;
+      LAYER via ;
+        RECT 174.130 51.540 174.390 51.800 ;
+        RECT 182.870 51.540 183.130 51.800 ;
+      LAYER met2 ;
+        RECT 174.190 51.830 174.330 54.000 ;
+        RECT 174.130 51.510 174.390 51.830 ;
+        RECT 182.870 51.510 183.130 51.830 ;
+        RECT 182.930 34.520 183.070 51.510 ;
+        RECT 182.860 32.120 183.210 34.520 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 200.870 32.120 201.150 34.520 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 218.280 32.120 218.630 34.520 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 236.290 32.120 236.570 34.520 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 254.160 32.120 254.510 34.520 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 272.100 32.120 272.450 34.520 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 93.620 32.120 93.970 34.520 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 117.610 32.120 117.890 34.520 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 141.070 32.120 141.350 34.520 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 164.990 32.120 165.270 34.520 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 63.790 32.120 64.070 34.520 ;
+    END
+  END wbs_stb_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 69.770 32.120 70.050 34.520 ;
+    END
+  END wbs_we_i
+  PIN vccd1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 28.000 28.000 30.000 3555.920 ;
+        RECT 42.000 3549.720 44.000 3559.920 ;
+        RECT 142.000 3549.720 144.000 3559.920 ;
+        RECT 242.000 3549.720 244.000 3559.920 ;
+        RECT 342.000 3549.720 344.000 3559.920 ;
+        RECT 442.000 3549.720 444.000 3559.920 ;
+        RECT 542.000 3549.720 544.000 3559.920 ;
+        RECT 642.000 3549.720 644.000 3559.920 ;
+        RECT 742.000 3549.720 744.000 3559.920 ;
+        RECT 842.000 3549.720 844.000 3559.920 ;
+        RECT 942.000 3549.720 944.000 3559.920 ;
+        RECT 1042.000 3549.720 1044.000 3559.920 ;
+        RECT 1142.000 3549.720 1144.000 3559.920 ;
+        RECT 1242.000 3549.720 1244.000 3559.920 ;
+        RECT 1342.000 3549.720 1344.000 3559.920 ;
+        RECT 1442.000 3549.720 1444.000 3559.920 ;
+        RECT 1542.000 3549.720 1544.000 3559.920 ;
+        RECT 1642.000 3549.720 1644.000 3559.920 ;
+        RECT 1742.000 3549.720 1744.000 3559.920 ;
+        RECT 1842.000 3549.720 1844.000 3559.920 ;
+        RECT 1942.000 3549.720 1944.000 3559.920 ;
+        RECT 2042.000 3549.720 2044.000 3559.920 ;
+        RECT 2142.000 3549.720 2144.000 3559.920 ;
+        RECT 2242.000 3549.720 2244.000 3559.920 ;
+        RECT 2342.000 3549.720 2344.000 3559.920 ;
+        RECT 2442.000 3549.720 2444.000 3559.920 ;
+        RECT 2542.000 3549.720 2544.000 3559.920 ;
+        RECT 2642.000 3549.720 2644.000 3559.920 ;
+        RECT 2742.000 3549.720 2744.000 3559.920 ;
+        RECT 2842.000 3549.720 2844.000 3559.920 ;
+        RECT 2942.000 3549.720 2944.000 3559.920 ;
+        RECT 42.000 24.000 44.000 34.520 ;
+        RECT 142.000 24.000 144.000 34.520 ;
+        RECT 242.000 24.000 244.000 34.520 ;
+        RECT 342.000 24.000 344.000 34.520 ;
+        RECT 442.000 24.000 444.000 34.520 ;
+        RECT 542.000 24.000 544.000 34.520 ;
+        RECT 642.000 24.000 644.000 34.520 ;
+        RECT 742.000 24.000 744.000 34.520 ;
+        RECT 842.000 24.000 844.000 34.520 ;
+        RECT 942.000 24.000 944.000 34.520 ;
+        RECT 1042.000 24.000 1044.000 34.520 ;
+        RECT 1142.000 24.000 1144.000 34.520 ;
+        RECT 1242.000 24.000 1244.000 34.520 ;
+        RECT 1342.000 24.000 1344.000 34.520 ;
+        RECT 1442.000 24.000 1444.000 34.520 ;
+        RECT 1542.000 24.000 1544.000 34.520 ;
+        RECT 1642.000 24.000 1644.000 34.520 ;
+        RECT 1742.000 24.000 1744.000 34.520 ;
+        RECT 1842.000 24.000 1844.000 34.520 ;
+        RECT 1942.000 24.000 1944.000 34.520 ;
+        RECT 2042.000 24.000 2044.000 34.520 ;
+        RECT 2142.000 24.000 2144.000 34.520 ;
+        RECT 2242.000 24.000 2244.000 34.520 ;
+        RECT 2342.000 24.000 2344.000 34.520 ;
+        RECT 2442.000 24.000 2444.000 34.520 ;
+        RECT 2542.000 24.000 2544.000 34.520 ;
+        RECT 2642.000 24.000 2644.000 34.520 ;
+        RECT 2742.000 24.000 2744.000 34.520 ;
+        RECT 2842.000 24.000 2844.000 34.520 ;
+        RECT 2942.000 24.000 2944.000 34.520 ;
+        RECT 2964.580 28.000 2966.580 3555.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 28.410 3554.330 29.590 3555.510 ;
+        RECT 42.410 3554.330 43.590 3555.510 ;
+        RECT 142.410 3554.330 143.590 3555.510 ;
+        RECT 242.410 3554.330 243.590 3555.510 ;
+        RECT 342.410 3554.330 343.590 3555.510 ;
+        RECT 442.410 3554.330 443.590 3555.510 ;
+        RECT 542.410 3554.330 543.590 3555.510 ;
+        RECT 642.410 3554.330 643.590 3555.510 ;
+        RECT 742.410 3554.330 743.590 3555.510 ;
+        RECT 842.410 3554.330 843.590 3555.510 ;
+        RECT 942.410 3554.330 943.590 3555.510 ;
+        RECT 1042.410 3554.330 1043.590 3555.510 ;
+        RECT 1142.410 3554.330 1143.590 3555.510 ;
+        RECT 1242.410 3554.330 1243.590 3555.510 ;
+        RECT 1342.410 3554.330 1343.590 3555.510 ;
+        RECT 1442.410 3554.330 1443.590 3555.510 ;
+        RECT 1542.410 3554.330 1543.590 3555.510 ;
+        RECT 1642.410 3554.330 1643.590 3555.510 ;
+        RECT 1742.410 3554.330 1743.590 3555.510 ;
+        RECT 1842.410 3554.330 1843.590 3555.510 ;
+        RECT 1942.410 3554.330 1943.590 3555.510 ;
+        RECT 2042.410 3554.330 2043.590 3555.510 ;
+        RECT 2142.410 3554.330 2143.590 3555.510 ;
+        RECT 2242.410 3554.330 2243.590 3555.510 ;
+        RECT 2342.410 3554.330 2343.590 3555.510 ;
+        RECT 2442.410 3554.330 2443.590 3555.510 ;
+        RECT 2542.410 3554.330 2543.590 3555.510 ;
+        RECT 2642.410 3554.330 2643.590 3555.510 ;
+        RECT 2742.410 3554.330 2743.590 3555.510 ;
+        RECT 2842.410 3554.330 2843.590 3555.510 ;
+        RECT 2942.410 3554.330 2943.590 3555.510 ;
+        RECT 2964.990 3554.330 2966.170 3555.510 ;
+        RECT 28.410 3442.410 29.590 3443.590 ;
+        RECT 28.410 3342.410 29.590 3343.590 ;
+        RECT 28.410 3242.410 29.590 3243.590 ;
+        RECT 28.410 3142.410 29.590 3143.590 ;
+        RECT 28.410 3042.410 29.590 3043.590 ;
+        RECT 28.410 2942.410 29.590 2943.590 ;
+        RECT 28.410 2842.410 29.590 2843.590 ;
+        RECT 28.410 2742.410 29.590 2743.590 ;
+        RECT 28.410 2642.410 29.590 2643.590 ;
+        RECT 28.410 2542.410 29.590 2543.590 ;
+        RECT 28.410 2442.410 29.590 2443.590 ;
+        RECT 28.410 2342.410 29.590 2343.590 ;
+        RECT 28.410 2242.410 29.590 2243.590 ;
+        RECT 28.410 2142.410 29.590 2143.590 ;
+        RECT 28.410 2042.410 29.590 2043.590 ;
+        RECT 28.410 1942.410 29.590 1943.590 ;
+        RECT 28.410 1842.410 29.590 1843.590 ;
+        RECT 28.410 1742.410 29.590 1743.590 ;
+        RECT 28.410 1642.410 29.590 1643.590 ;
+        RECT 28.410 1542.410 29.590 1543.590 ;
+        RECT 28.410 1442.410 29.590 1443.590 ;
+        RECT 28.410 1342.410 29.590 1343.590 ;
+        RECT 28.410 1242.410 29.590 1243.590 ;
+        RECT 28.410 1142.410 29.590 1143.590 ;
+        RECT 28.410 1042.410 29.590 1043.590 ;
+        RECT 28.410 942.410 29.590 943.590 ;
+        RECT 28.410 842.410 29.590 843.590 ;
+        RECT 28.410 742.410 29.590 743.590 ;
+        RECT 28.410 642.410 29.590 643.590 ;
+        RECT 28.410 542.410 29.590 543.590 ;
+        RECT 28.410 442.410 29.590 443.590 ;
+        RECT 28.410 342.410 29.590 343.590 ;
+        RECT 28.410 242.410 29.590 243.590 ;
+        RECT 28.410 142.410 29.590 143.590 ;
+        RECT 28.410 42.410 29.590 43.590 ;
+        RECT 2964.990 3442.410 2966.170 3443.590 ;
+        RECT 2964.990 3342.410 2966.170 3343.590 ;
+        RECT 2964.990 3242.410 2966.170 3243.590 ;
+        RECT 2964.990 3142.410 2966.170 3143.590 ;
+        RECT 2964.990 3042.410 2966.170 3043.590 ;
+        RECT 2964.990 2942.410 2966.170 2943.590 ;
+        RECT 2964.990 2842.410 2966.170 2843.590 ;
+        RECT 2964.990 2742.410 2966.170 2743.590 ;
+        RECT 2964.990 2642.410 2966.170 2643.590 ;
+        RECT 2964.990 2542.410 2966.170 2543.590 ;
+        RECT 2964.990 2442.410 2966.170 2443.590 ;
+        RECT 2964.990 2342.410 2966.170 2343.590 ;
+        RECT 2964.990 2242.410 2966.170 2243.590 ;
+        RECT 2964.990 2142.410 2966.170 2143.590 ;
+        RECT 2964.990 2042.410 2966.170 2043.590 ;
+        RECT 2964.990 1942.410 2966.170 1943.590 ;
+        RECT 2964.990 1842.410 2966.170 1843.590 ;
+        RECT 2964.990 1742.410 2966.170 1743.590 ;
+        RECT 2964.990 1642.410 2966.170 1643.590 ;
+        RECT 2964.990 1542.410 2966.170 1543.590 ;
+        RECT 2964.990 1442.410 2966.170 1443.590 ;
+        RECT 2964.990 1342.410 2966.170 1343.590 ;
+        RECT 2964.990 1242.410 2966.170 1243.590 ;
+        RECT 2964.990 1142.410 2966.170 1143.590 ;
+        RECT 2964.990 1042.410 2966.170 1043.590 ;
+        RECT 2964.990 942.410 2966.170 943.590 ;
+        RECT 2964.990 842.410 2966.170 843.590 ;
+        RECT 2964.990 742.410 2966.170 743.590 ;
+        RECT 2964.990 642.410 2966.170 643.590 ;
+        RECT 2964.990 542.410 2966.170 543.590 ;
+        RECT 2964.990 442.410 2966.170 443.590 ;
+        RECT 2964.990 342.410 2966.170 343.590 ;
+        RECT 2964.990 242.410 2966.170 243.590 ;
+        RECT 2964.990 142.410 2966.170 143.590 ;
+        RECT 2964.990 42.410 2966.170 43.590 ;
+        RECT 28.410 28.410 29.590 29.590 ;
+        RECT 42.410 28.410 43.590 29.590 ;
+        RECT 142.410 28.410 143.590 29.590 ;
+        RECT 242.410 28.410 243.590 29.590 ;
+        RECT 342.410 28.410 343.590 29.590 ;
+        RECT 442.410 28.410 443.590 29.590 ;
+        RECT 542.410 28.410 543.590 29.590 ;
+        RECT 642.410 28.410 643.590 29.590 ;
+        RECT 742.410 28.410 743.590 29.590 ;
+        RECT 842.410 28.410 843.590 29.590 ;
+        RECT 942.410 28.410 943.590 29.590 ;
+        RECT 1042.410 28.410 1043.590 29.590 ;
+        RECT 1142.410 28.410 1143.590 29.590 ;
+        RECT 1242.410 28.410 1243.590 29.590 ;
+        RECT 1342.410 28.410 1343.590 29.590 ;
+        RECT 1442.410 28.410 1443.590 29.590 ;
+        RECT 1542.410 28.410 1543.590 29.590 ;
+        RECT 1642.410 28.410 1643.590 29.590 ;
+        RECT 1742.410 28.410 1743.590 29.590 ;
+        RECT 1842.410 28.410 1843.590 29.590 ;
+        RECT 1942.410 28.410 1943.590 29.590 ;
+        RECT 2042.410 28.410 2043.590 29.590 ;
+        RECT 2142.410 28.410 2143.590 29.590 ;
+        RECT 2242.410 28.410 2243.590 29.590 ;
+        RECT 2342.410 28.410 2343.590 29.590 ;
+        RECT 2442.410 28.410 2443.590 29.590 ;
+        RECT 2542.410 28.410 2543.590 29.590 ;
+        RECT 2642.410 28.410 2643.590 29.590 ;
+        RECT 2742.410 28.410 2743.590 29.590 ;
+        RECT 2842.410 28.410 2843.590 29.590 ;
+        RECT 2942.410 28.410 2943.590 29.590 ;
+        RECT 2964.990 28.410 2966.170 29.590 ;
+      LAYER met5 ;
+        RECT 28.000 3553.920 2966.580 3555.920 ;
+        RECT 24.000 3442.000 39.880 3444.000 ;
+        RECT 2955.080 3442.000 2970.580 3444.000 ;
+        RECT 24.000 3342.000 39.880 3344.000 ;
+        RECT 2955.080 3342.000 2970.580 3344.000 ;
+        RECT 24.000 3242.000 39.880 3244.000 ;
+        RECT 2955.080 3242.000 2970.580 3244.000 ;
+        RECT 24.000 3142.000 39.880 3144.000 ;
+        RECT 2955.080 3142.000 2970.580 3144.000 ;
+        RECT 24.000 3042.000 39.880 3044.000 ;
+        RECT 2955.080 3042.000 2970.580 3044.000 ;
+        RECT 24.000 2942.000 39.880 2944.000 ;
+        RECT 2955.080 2942.000 2970.580 2944.000 ;
+        RECT 24.000 2842.000 39.880 2844.000 ;
+        RECT 2955.080 2842.000 2970.580 2844.000 ;
+        RECT 24.000 2742.000 39.880 2744.000 ;
+        RECT 2955.080 2742.000 2970.580 2744.000 ;
+        RECT 24.000 2642.000 39.880 2644.000 ;
+        RECT 2955.080 2642.000 2970.580 2644.000 ;
+        RECT 24.000 2542.000 39.880 2544.000 ;
+        RECT 2955.080 2542.000 2970.580 2544.000 ;
+        RECT 24.000 2442.000 39.880 2444.000 ;
+        RECT 2955.080 2442.000 2970.580 2444.000 ;
+        RECT 24.000 2342.000 39.880 2344.000 ;
+        RECT 2955.080 2342.000 2970.580 2344.000 ;
+        RECT 24.000 2242.000 39.880 2244.000 ;
+        RECT 2955.080 2242.000 2970.580 2244.000 ;
+        RECT 24.000 2142.000 39.880 2144.000 ;
+        RECT 2955.080 2142.000 2970.580 2144.000 ;
+        RECT 24.000 2042.000 39.880 2044.000 ;
+        RECT 2955.080 2042.000 2970.580 2044.000 ;
+        RECT 24.000 1942.000 39.880 1944.000 ;
+        RECT 2955.080 1942.000 2970.580 1944.000 ;
+        RECT 24.000 1842.000 39.880 1844.000 ;
+        RECT 2955.080 1842.000 2970.580 1844.000 ;
+        RECT 24.000 1742.000 39.880 1744.000 ;
+        RECT 2955.080 1742.000 2970.580 1744.000 ;
+        RECT 24.000 1642.000 39.880 1644.000 ;
+        RECT 2955.080 1642.000 2970.580 1644.000 ;
+        RECT 24.000 1542.000 39.880 1544.000 ;
+        RECT 2955.080 1542.000 2970.580 1544.000 ;
+        RECT 24.000 1442.000 39.880 1444.000 ;
+        RECT 2955.080 1442.000 2970.580 1444.000 ;
+        RECT 24.000 1342.000 39.880 1344.000 ;
+        RECT 2955.080 1342.000 2970.580 1344.000 ;
+        RECT 24.000 1242.000 39.880 1244.000 ;
+        RECT 2955.080 1242.000 2970.580 1244.000 ;
+        RECT 24.000 1142.000 39.880 1144.000 ;
+        RECT 2955.080 1142.000 2970.580 1144.000 ;
+        RECT 24.000 1042.000 39.880 1044.000 ;
+        RECT 2955.080 1042.000 2970.580 1044.000 ;
+        RECT 24.000 942.000 39.880 944.000 ;
+        RECT 2955.080 942.000 2970.580 944.000 ;
+        RECT 24.000 842.000 39.880 844.000 ;
+        RECT 2955.080 842.000 2970.580 844.000 ;
+        RECT 24.000 742.000 39.880 744.000 ;
+        RECT 2955.080 742.000 2970.580 744.000 ;
+        RECT 24.000 642.000 39.880 644.000 ;
+        RECT 2955.080 642.000 2970.580 644.000 ;
+        RECT 24.000 542.000 39.880 544.000 ;
+        RECT 2955.080 542.000 2970.580 544.000 ;
+        RECT 24.000 442.000 39.880 444.000 ;
+        RECT 2955.080 442.000 2970.580 444.000 ;
+        RECT 24.000 342.000 39.880 344.000 ;
+        RECT 2955.080 342.000 2970.580 344.000 ;
+        RECT 24.000 242.000 39.880 244.000 ;
+        RECT 2955.080 242.000 2970.580 244.000 ;
+        RECT 24.000 142.000 39.880 144.000 ;
+        RECT 2955.080 142.000 2970.580 144.000 ;
+        RECT 24.000 42.000 39.880 44.000 ;
+        RECT 2955.080 42.000 2970.580 44.000 ;
+        RECT 28.000 28.000 2966.580 30.000 ;
+    END
+  END vccd1
+  PIN vssd1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 24.000 24.000 26.000 3559.920 ;
+        RECT 92.000 3549.720 94.000 3559.920 ;
+        RECT 192.000 3549.720 194.000 3559.920 ;
+        RECT 292.000 3549.720 294.000 3559.920 ;
+        RECT 392.000 3549.720 394.000 3559.920 ;
+        RECT 492.000 3549.720 494.000 3559.920 ;
+        RECT 592.000 3549.720 594.000 3559.920 ;
+        RECT 692.000 3549.720 694.000 3559.920 ;
+        RECT 792.000 3549.720 794.000 3559.920 ;
+        RECT 892.000 3549.720 894.000 3559.920 ;
+        RECT 992.000 3549.720 994.000 3559.920 ;
+        RECT 1092.000 3549.720 1094.000 3559.920 ;
+        RECT 1192.000 3549.720 1194.000 3559.920 ;
+        RECT 1292.000 3549.720 1294.000 3559.920 ;
+        RECT 1392.000 3549.720 1394.000 3559.920 ;
+        RECT 1492.000 3549.720 1494.000 3559.920 ;
+        RECT 1592.000 3549.720 1594.000 3559.920 ;
+        RECT 1692.000 3549.720 1694.000 3559.920 ;
+        RECT 1792.000 3549.720 1794.000 3559.920 ;
+        RECT 1892.000 3549.720 1894.000 3559.920 ;
+        RECT 1992.000 3549.720 1994.000 3559.920 ;
+        RECT 2092.000 3549.720 2094.000 3559.920 ;
+        RECT 2192.000 3549.720 2194.000 3559.920 ;
+        RECT 2292.000 3549.720 2294.000 3559.920 ;
+        RECT 2392.000 3549.720 2394.000 3559.920 ;
+        RECT 2492.000 3549.720 2494.000 3559.920 ;
+        RECT 2592.000 3549.720 2594.000 3559.920 ;
+        RECT 2692.000 3549.720 2694.000 3559.920 ;
+        RECT 2792.000 3549.720 2794.000 3559.920 ;
+        RECT 2892.000 3549.720 2894.000 3559.920 ;
+        RECT 92.000 24.000 94.000 34.520 ;
+        RECT 192.000 24.000 194.000 34.520 ;
+        RECT 292.000 24.000 294.000 34.520 ;
+        RECT 392.000 24.000 394.000 34.520 ;
+        RECT 492.000 24.000 494.000 34.520 ;
+        RECT 592.000 24.000 594.000 34.520 ;
+        RECT 692.000 24.000 694.000 34.520 ;
+        RECT 792.000 24.000 794.000 34.520 ;
+        RECT 892.000 24.000 894.000 34.520 ;
+        RECT 992.000 24.000 994.000 34.520 ;
+        RECT 1092.000 24.000 1094.000 34.520 ;
+        RECT 1192.000 24.000 1194.000 34.520 ;
+        RECT 1292.000 24.000 1294.000 34.520 ;
+        RECT 1392.000 24.000 1394.000 34.520 ;
+        RECT 1492.000 24.000 1494.000 34.520 ;
+        RECT 1592.000 24.000 1594.000 34.520 ;
+        RECT 1692.000 24.000 1694.000 34.520 ;
+        RECT 1792.000 24.000 1794.000 34.520 ;
+        RECT 1892.000 24.000 1894.000 34.520 ;
+        RECT 1992.000 24.000 1994.000 34.520 ;
+        RECT 2092.000 24.000 2094.000 34.520 ;
+        RECT 2192.000 24.000 2194.000 34.520 ;
+        RECT 2292.000 24.000 2294.000 34.520 ;
+        RECT 2392.000 24.000 2394.000 34.520 ;
+        RECT 2492.000 24.000 2494.000 34.520 ;
+        RECT 2592.000 24.000 2594.000 34.520 ;
+        RECT 2692.000 24.000 2694.000 34.520 ;
+        RECT 2792.000 24.000 2794.000 34.520 ;
+        RECT 2892.000 24.000 2894.000 34.520 ;
+        RECT 2968.580 24.000 2970.580 3559.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 24.410 3558.330 25.590 3559.510 ;
+        RECT 92.410 3558.330 93.590 3559.510 ;
+        RECT 192.410 3558.330 193.590 3559.510 ;
+        RECT 292.410 3558.330 293.590 3559.510 ;
+        RECT 392.410 3558.330 393.590 3559.510 ;
+        RECT 492.410 3558.330 493.590 3559.510 ;
+        RECT 592.410 3558.330 593.590 3559.510 ;
+        RECT 692.410 3558.330 693.590 3559.510 ;
+        RECT 792.410 3558.330 793.590 3559.510 ;
+        RECT 892.410 3558.330 893.590 3559.510 ;
+        RECT 992.410 3558.330 993.590 3559.510 ;
+        RECT 1092.410 3558.330 1093.590 3559.510 ;
+        RECT 1192.410 3558.330 1193.590 3559.510 ;
+        RECT 1292.410 3558.330 1293.590 3559.510 ;
+        RECT 1392.410 3558.330 1393.590 3559.510 ;
+        RECT 1492.410 3558.330 1493.590 3559.510 ;
+        RECT 1592.410 3558.330 1593.590 3559.510 ;
+        RECT 1692.410 3558.330 1693.590 3559.510 ;
+        RECT 1792.410 3558.330 1793.590 3559.510 ;
+        RECT 1892.410 3558.330 1893.590 3559.510 ;
+        RECT 1992.410 3558.330 1993.590 3559.510 ;
+        RECT 2092.410 3558.330 2093.590 3559.510 ;
+        RECT 2192.410 3558.330 2193.590 3559.510 ;
+        RECT 2292.410 3558.330 2293.590 3559.510 ;
+        RECT 2392.410 3558.330 2393.590 3559.510 ;
+        RECT 2492.410 3558.330 2493.590 3559.510 ;
+        RECT 2592.410 3558.330 2593.590 3559.510 ;
+        RECT 2692.410 3558.330 2693.590 3559.510 ;
+        RECT 2792.410 3558.330 2793.590 3559.510 ;
+        RECT 2892.410 3558.330 2893.590 3559.510 ;
+        RECT 2968.990 3558.330 2970.170 3559.510 ;
+        RECT 24.410 3492.410 25.590 3493.590 ;
+        RECT 24.410 3392.410 25.590 3393.590 ;
+        RECT 24.410 3292.410 25.590 3293.590 ;
+        RECT 24.410 3192.410 25.590 3193.590 ;
+        RECT 24.410 3092.410 25.590 3093.590 ;
+        RECT 24.410 2992.410 25.590 2993.590 ;
+        RECT 24.410 2892.410 25.590 2893.590 ;
+        RECT 24.410 2792.410 25.590 2793.590 ;
+        RECT 24.410 2692.410 25.590 2693.590 ;
+        RECT 24.410 2592.410 25.590 2593.590 ;
+        RECT 24.410 2492.410 25.590 2493.590 ;
+        RECT 24.410 2392.410 25.590 2393.590 ;
+        RECT 24.410 2292.410 25.590 2293.590 ;
+        RECT 24.410 2192.410 25.590 2193.590 ;
+        RECT 24.410 2092.410 25.590 2093.590 ;
+        RECT 24.410 1992.410 25.590 1993.590 ;
+        RECT 24.410 1892.410 25.590 1893.590 ;
+        RECT 24.410 1792.410 25.590 1793.590 ;
+        RECT 24.410 1692.410 25.590 1693.590 ;
+        RECT 24.410 1592.410 25.590 1593.590 ;
+        RECT 24.410 1492.410 25.590 1493.590 ;
+        RECT 24.410 1392.410 25.590 1393.590 ;
+        RECT 24.410 1292.410 25.590 1293.590 ;
+        RECT 24.410 1192.410 25.590 1193.590 ;
+        RECT 24.410 1092.410 25.590 1093.590 ;
+        RECT 24.410 992.410 25.590 993.590 ;
+        RECT 24.410 892.410 25.590 893.590 ;
+        RECT 24.410 792.410 25.590 793.590 ;
+        RECT 24.410 692.410 25.590 693.590 ;
+        RECT 24.410 592.410 25.590 593.590 ;
+        RECT 24.410 492.410 25.590 493.590 ;
+        RECT 24.410 392.410 25.590 393.590 ;
+        RECT 24.410 292.410 25.590 293.590 ;
+        RECT 24.410 192.410 25.590 193.590 ;
+        RECT 24.410 92.410 25.590 93.590 ;
+        RECT 2968.990 3492.410 2970.170 3493.590 ;
+        RECT 2968.990 3392.410 2970.170 3393.590 ;
+        RECT 2968.990 3292.410 2970.170 3293.590 ;
+        RECT 2968.990 3192.410 2970.170 3193.590 ;
+        RECT 2968.990 3092.410 2970.170 3093.590 ;
+        RECT 2968.990 2992.410 2970.170 2993.590 ;
+        RECT 2968.990 2892.410 2970.170 2893.590 ;
+        RECT 2968.990 2792.410 2970.170 2793.590 ;
+        RECT 2968.990 2692.410 2970.170 2693.590 ;
+        RECT 2968.990 2592.410 2970.170 2593.590 ;
+        RECT 2968.990 2492.410 2970.170 2493.590 ;
+        RECT 2968.990 2392.410 2970.170 2393.590 ;
+        RECT 2968.990 2292.410 2970.170 2293.590 ;
+        RECT 2968.990 2192.410 2970.170 2193.590 ;
+        RECT 2968.990 2092.410 2970.170 2093.590 ;
+        RECT 2968.990 1992.410 2970.170 1993.590 ;
+        RECT 2968.990 1892.410 2970.170 1893.590 ;
+        RECT 2968.990 1792.410 2970.170 1793.590 ;
+        RECT 2968.990 1692.410 2970.170 1693.590 ;
+        RECT 2968.990 1592.410 2970.170 1593.590 ;
+        RECT 2968.990 1492.410 2970.170 1493.590 ;
+        RECT 2968.990 1392.410 2970.170 1393.590 ;
+        RECT 2968.990 1292.410 2970.170 1293.590 ;
+        RECT 2968.990 1192.410 2970.170 1193.590 ;
+        RECT 2968.990 1092.410 2970.170 1093.590 ;
+        RECT 2968.990 992.410 2970.170 993.590 ;
+        RECT 2968.990 892.410 2970.170 893.590 ;
+        RECT 2968.990 792.410 2970.170 793.590 ;
+        RECT 2968.990 692.410 2970.170 693.590 ;
+        RECT 2968.990 592.410 2970.170 593.590 ;
+        RECT 2968.990 492.410 2970.170 493.590 ;
+        RECT 2968.990 392.410 2970.170 393.590 ;
+        RECT 2968.990 292.410 2970.170 293.590 ;
+        RECT 2968.990 192.410 2970.170 193.590 ;
+        RECT 2968.990 92.410 2970.170 93.590 ;
+        RECT 24.410 24.410 25.590 25.590 ;
+        RECT 92.410 24.410 93.590 25.590 ;
+        RECT 192.410 24.410 193.590 25.590 ;
+        RECT 292.410 24.410 293.590 25.590 ;
+        RECT 392.410 24.410 393.590 25.590 ;
+        RECT 492.410 24.410 493.590 25.590 ;
+        RECT 592.410 24.410 593.590 25.590 ;
+        RECT 692.410 24.410 693.590 25.590 ;
+        RECT 792.410 24.410 793.590 25.590 ;
+        RECT 892.410 24.410 893.590 25.590 ;
+        RECT 992.410 24.410 993.590 25.590 ;
+        RECT 1092.410 24.410 1093.590 25.590 ;
+        RECT 1192.410 24.410 1193.590 25.590 ;
+        RECT 1292.410 24.410 1293.590 25.590 ;
+        RECT 1392.410 24.410 1393.590 25.590 ;
+        RECT 1492.410 24.410 1493.590 25.590 ;
+        RECT 1592.410 24.410 1593.590 25.590 ;
+        RECT 1692.410 24.410 1693.590 25.590 ;
+        RECT 1792.410 24.410 1793.590 25.590 ;
+        RECT 1892.410 24.410 1893.590 25.590 ;
+        RECT 1992.410 24.410 1993.590 25.590 ;
+        RECT 2092.410 24.410 2093.590 25.590 ;
+        RECT 2192.410 24.410 2193.590 25.590 ;
+        RECT 2292.410 24.410 2293.590 25.590 ;
+        RECT 2392.410 24.410 2393.590 25.590 ;
+        RECT 2492.410 24.410 2493.590 25.590 ;
+        RECT 2592.410 24.410 2593.590 25.590 ;
+        RECT 2692.410 24.410 2693.590 25.590 ;
+        RECT 2792.410 24.410 2793.590 25.590 ;
+        RECT 2892.410 24.410 2893.590 25.590 ;
+        RECT 2968.990 24.410 2970.170 25.590 ;
+      LAYER met5 ;
+        RECT 24.000 3557.920 2970.580 3559.920 ;
+        RECT 24.000 3492.000 39.880 3494.000 ;
+        RECT 2955.080 3492.000 2970.580 3494.000 ;
+        RECT 24.000 3392.000 39.880 3394.000 ;
+        RECT 2955.080 3392.000 2970.580 3394.000 ;
+        RECT 24.000 3292.000 39.880 3294.000 ;
+        RECT 2955.080 3292.000 2970.580 3294.000 ;
+        RECT 24.000 3192.000 39.880 3194.000 ;
+        RECT 2955.080 3192.000 2970.580 3194.000 ;
+        RECT 24.000 3092.000 39.880 3094.000 ;
+        RECT 2955.080 3092.000 2970.580 3094.000 ;
+        RECT 24.000 2992.000 39.880 2994.000 ;
+        RECT 2955.080 2992.000 2970.580 2994.000 ;
+        RECT 24.000 2892.000 39.880 2894.000 ;
+        RECT 2955.080 2892.000 2970.580 2894.000 ;
+        RECT 24.000 2792.000 39.880 2794.000 ;
+        RECT 2955.080 2792.000 2970.580 2794.000 ;
+        RECT 24.000 2692.000 39.880 2694.000 ;
+        RECT 2955.080 2692.000 2970.580 2694.000 ;
+        RECT 24.000 2592.000 39.880 2594.000 ;
+        RECT 2955.080 2592.000 2970.580 2594.000 ;
+        RECT 24.000 2492.000 39.880 2494.000 ;
+        RECT 2955.080 2492.000 2970.580 2494.000 ;
+        RECT 24.000 2392.000 39.880 2394.000 ;
+        RECT 2955.080 2392.000 2970.580 2394.000 ;
+        RECT 24.000 2292.000 39.880 2294.000 ;
+        RECT 2955.080 2292.000 2970.580 2294.000 ;
+        RECT 24.000 2192.000 39.880 2194.000 ;
+        RECT 2955.080 2192.000 2970.580 2194.000 ;
+        RECT 24.000 2092.000 39.880 2094.000 ;
+        RECT 2955.080 2092.000 2970.580 2094.000 ;
+        RECT 24.000 1992.000 39.880 1994.000 ;
+        RECT 2955.080 1992.000 2970.580 1994.000 ;
+        RECT 24.000 1892.000 39.880 1894.000 ;
+        RECT 2955.080 1892.000 2970.580 1894.000 ;
+        RECT 24.000 1792.000 39.880 1794.000 ;
+        RECT 2955.080 1792.000 2970.580 1794.000 ;
+        RECT 24.000 1692.000 39.880 1694.000 ;
+        RECT 2955.080 1692.000 2970.580 1694.000 ;
+        RECT 24.000 1592.000 39.880 1594.000 ;
+        RECT 2955.080 1592.000 2970.580 1594.000 ;
+        RECT 24.000 1492.000 39.880 1494.000 ;
+        RECT 2955.080 1492.000 2970.580 1494.000 ;
+        RECT 24.000 1392.000 39.880 1394.000 ;
+        RECT 2955.080 1392.000 2970.580 1394.000 ;
+        RECT 24.000 1292.000 39.880 1294.000 ;
+        RECT 2955.080 1292.000 2970.580 1294.000 ;
+        RECT 24.000 1192.000 39.880 1194.000 ;
+        RECT 2955.080 1192.000 2970.580 1194.000 ;
+        RECT 24.000 1092.000 39.880 1094.000 ;
+        RECT 2955.080 1092.000 2970.580 1094.000 ;
+        RECT 24.000 992.000 39.880 994.000 ;
+        RECT 2955.080 992.000 2970.580 994.000 ;
+        RECT 24.000 892.000 39.880 894.000 ;
+        RECT 2955.080 892.000 2970.580 894.000 ;
+        RECT 24.000 792.000 39.880 794.000 ;
+        RECT 2955.080 792.000 2970.580 794.000 ;
+        RECT 24.000 692.000 39.880 694.000 ;
+        RECT 2955.080 692.000 2970.580 694.000 ;
+        RECT 24.000 592.000 39.880 594.000 ;
+        RECT 2955.080 592.000 2970.580 594.000 ;
+        RECT 24.000 492.000 39.880 494.000 ;
+        RECT 2955.080 492.000 2970.580 494.000 ;
+        RECT 24.000 392.000 39.880 394.000 ;
+        RECT 2955.080 392.000 2970.580 394.000 ;
+        RECT 24.000 292.000 39.880 294.000 ;
+        RECT 2955.080 292.000 2970.580 294.000 ;
+        RECT 24.000 192.000 39.880 194.000 ;
+        RECT 2955.080 192.000 2970.580 194.000 ;
+        RECT 24.000 92.000 39.880 94.000 ;
+        RECT 2955.080 92.000 2970.580 94.000 ;
+        RECT 24.000 24.000 2970.580 26.000 ;
+    END
+  END vssd1
+  PIN vccd2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 20.000 20.000 22.000 3563.920 ;
+        RECT 51.600 3549.720 53.600 3567.920 ;
+        RECT 151.600 3549.720 153.600 3567.920 ;
+        RECT 251.600 3549.720 253.600 3567.920 ;
+        RECT 351.600 3549.720 353.600 3567.920 ;
+        RECT 451.600 3549.720 453.600 3567.920 ;
+        RECT 551.600 3549.720 553.600 3567.920 ;
+        RECT 651.600 3549.720 653.600 3567.920 ;
+        RECT 751.600 3549.720 753.600 3567.920 ;
+        RECT 851.600 3549.720 853.600 3567.920 ;
+        RECT 951.600 3549.720 953.600 3567.920 ;
+        RECT 1051.600 3549.720 1053.600 3567.920 ;
+        RECT 1151.600 3549.720 1153.600 3567.920 ;
+        RECT 1251.600 3549.720 1253.600 3567.920 ;
+        RECT 1351.600 3549.720 1353.600 3567.920 ;
+        RECT 1451.600 3549.720 1453.600 3567.920 ;
+        RECT 1551.600 3549.720 1553.600 3567.920 ;
+        RECT 1651.600 3549.720 1653.600 3567.920 ;
+        RECT 1751.600 3549.720 1753.600 3567.920 ;
+        RECT 1851.600 3549.720 1853.600 3567.920 ;
+        RECT 1951.600 3549.720 1953.600 3567.920 ;
+        RECT 2051.600 3549.720 2053.600 3567.920 ;
+        RECT 2151.600 3549.720 2153.600 3567.920 ;
+        RECT 2251.600 3549.720 2253.600 3567.920 ;
+        RECT 2351.600 3549.720 2353.600 3567.920 ;
+        RECT 2451.600 3549.720 2453.600 3567.920 ;
+        RECT 2551.600 3549.720 2553.600 3567.920 ;
+        RECT 2651.600 3549.720 2653.600 3567.920 ;
+        RECT 2751.600 3549.720 2753.600 3567.920 ;
+        RECT 2851.600 3549.720 2853.600 3567.920 ;
+        RECT 51.600 16.000 53.600 34.520 ;
+        RECT 151.600 16.000 153.600 34.520 ;
+        RECT 251.600 16.000 253.600 34.520 ;
+        RECT 351.600 16.000 353.600 34.520 ;
+        RECT 451.600 16.000 453.600 34.520 ;
+        RECT 551.600 16.000 553.600 34.520 ;
+        RECT 651.600 16.000 653.600 34.520 ;
+        RECT 751.600 16.000 753.600 34.520 ;
+        RECT 851.600 16.000 853.600 34.520 ;
+        RECT 951.600 16.000 953.600 34.520 ;
+        RECT 1051.600 16.000 1053.600 34.520 ;
+        RECT 1151.600 16.000 1153.600 34.520 ;
+        RECT 1251.600 16.000 1253.600 34.520 ;
+        RECT 1351.600 16.000 1353.600 34.520 ;
+        RECT 1451.600 16.000 1453.600 34.520 ;
+        RECT 1551.600 16.000 1553.600 34.520 ;
+        RECT 1651.600 16.000 1653.600 34.520 ;
+        RECT 1751.600 16.000 1753.600 34.520 ;
+        RECT 1851.600 16.000 1853.600 34.520 ;
+        RECT 1951.600 16.000 1953.600 34.520 ;
+        RECT 2051.600 16.000 2053.600 34.520 ;
+        RECT 2151.600 16.000 2153.600 34.520 ;
+        RECT 2251.600 16.000 2253.600 34.520 ;
+        RECT 2351.600 16.000 2353.600 34.520 ;
+        RECT 2451.600 16.000 2453.600 34.520 ;
+        RECT 2551.600 16.000 2553.600 34.520 ;
+        RECT 2651.600 16.000 2653.600 34.520 ;
+        RECT 2751.600 16.000 2753.600 34.520 ;
+        RECT 2851.600 16.000 2853.600 34.520 ;
+        RECT 2972.580 20.000 2974.580 3563.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 20.410 3562.330 21.590 3563.510 ;
+        RECT 52.010 3562.330 53.190 3563.510 ;
+        RECT 152.010 3562.330 153.190 3563.510 ;
+        RECT 252.010 3562.330 253.190 3563.510 ;
+        RECT 352.010 3562.330 353.190 3563.510 ;
+        RECT 452.010 3562.330 453.190 3563.510 ;
+        RECT 552.010 3562.330 553.190 3563.510 ;
+        RECT 652.010 3562.330 653.190 3563.510 ;
+        RECT 752.010 3562.330 753.190 3563.510 ;
+        RECT 852.010 3562.330 853.190 3563.510 ;
+        RECT 952.010 3562.330 953.190 3563.510 ;
+        RECT 1052.010 3562.330 1053.190 3563.510 ;
+        RECT 1152.010 3562.330 1153.190 3563.510 ;
+        RECT 1252.010 3562.330 1253.190 3563.510 ;
+        RECT 1352.010 3562.330 1353.190 3563.510 ;
+        RECT 1452.010 3562.330 1453.190 3563.510 ;
+        RECT 1552.010 3562.330 1553.190 3563.510 ;
+        RECT 1652.010 3562.330 1653.190 3563.510 ;
+        RECT 1752.010 3562.330 1753.190 3563.510 ;
+        RECT 1852.010 3562.330 1853.190 3563.510 ;
+        RECT 1952.010 3562.330 1953.190 3563.510 ;
+        RECT 2052.010 3562.330 2053.190 3563.510 ;
+        RECT 2152.010 3562.330 2153.190 3563.510 ;
+        RECT 2252.010 3562.330 2253.190 3563.510 ;
+        RECT 2352.010 3562.330 2353.190 3563.510 ;
+        RECT 2452.010 3562.330 2453.190 3563.510 ;
+        RECT 2552.010 3562.330 2553.190 3563.510 ;
+        RECT 2652.010 3562.330 2653.190 3563.510 ;
+        RECT 2752.010 3562.330 2753.190 3563.510 ;
+        RECT 2852.010 3562.330 2853.190 3563.510 ;
+        RECT 2972.990 3562.330 2974.170 3563.510 ;
+        RECT 20.410 3452.010 21.590 3453.190 ;
+        RECT 20.410 3352.010 21.590 3353.190 ;
+        RECT 20.410 3252.010 21.590 3253.190 ;
+        RECT 20.410 3152.010 21.590 3153.190 ;
+        RECT 20.410 3052.010 21.590 3053.190 ;
+        RECT 20.410 2952.010 21.590 2953.190 ;
+        RECT 20.410 2852.010 21.590 2853.190 ;
+        RECT 20.410 2752.010 21.590 2753.190 ;
+        RECT 20.410 2652.010 21.590 2653.190 ;
+        RECT 20.410 2552.010 21.590 2553.190 ;
+        RECT 20.410 2452.010 21.590 2453.190 ;
+        RECT 20.410 2352.010 21.590 2353.190 ;
+        RECT 20.410 2252.010 21.590 2253.190 ;
+        RECT 20.410 2152.010 21.590 2153.190 ;
+        RECT 20.410 2052.010 21.590 2053.190 ;
+        RECT 20.410 1952.010 21.590 1953.190 ;
+        RECT 20.410 1852.010 21.590 1853.190 ;
+        RECT 20.410 1752.010 21.590 1753.190 ;
+        RECT 20.410 1652.010 21.590 1653.190 ;
+        RECT 20.410 1552.010 21.590 1553.190 ;
+        RECT 20.410 1452.010 21.590 1453.190 ;
+        RECT 20.410 1352.010 21.590 1353.190 ;
+        RECT 20.410 1252.010 21.590 1253.190 ;
+        RECT 20.410 1152.010 21.590 1153.190 ;
+        RECT 20.410 1052.010 21.590 1053.190 ;
+        RECT 20.410 952.010 21.590 953.190 ;
+        RECT 20.410 852.010 21.590 853.190 ;
+        RECT 20.410 752.010 21.590 753.190 ;
+        RECT 20.410 652.010 21.590 653.190 ;
+        RECT 20.410 552.010 21.590 553.190 ;
+        RECT 20.410 452.010 21.590 453.190 ;
+        RECT 20.410 352.010 21.590 353.190 ;
+        RECT 20.410 252.010 21.590 253.190 ;
+        RECT 20.410 152.010 21.590 153.190 ;
+        RECT 20.410 52.010 21.590 53.190 ;
+        RECT 2972.990 3452.010 2974.170 3453.190 ;
+        RECT 2972.990 3352.010 2974.170 3353.190 ;
+        RECT 2972.990 3252.010 2974.170 3253.190 ;
+        RECT 2972.990 3152.010 2974.170 3153.190 ;
+        RECT 2972.990 3052.010 2974.170 3053.190 ;
+        RECT 2972.990 2952.010 2974.170 2953.190 ;
+        RECT 2972.990 2852.010 2974.170 2853.190 ;
+        RECT 2972.990 2752.010 2974.170 2753.190 ;
+        RECT 2972.990 2652.010 2974.170 2653.190 ;
+        RECT 2972.990 2552.010 2974.170 2553.190 ;
+        RECT 2972.990 2452.010 2974.170 2453.190 ;
+        RECT 2972.990 2352.010 2974.170 2353.190 ;
+        RECT 2972.990 2252.010 2974.170 2253.190 ;
+        RECT 2972.990 2152.010 2974.170 2153.190 ;
+        RECT 2972.990 2052.010 2974.170 2053.190 ;
+        RECT 2972.990 1952.010 2974.170 1953.190 ;
+        RECT 2972.990 1852.010 2974.170 1853.190 ;
+        RECT 2972.990 1752.010 2974.170 1753.190 ;
+        RECT 2972.990 1652.010 2974.170 1653.190 ;
+        RECT 2972.990 1552.010 2974.170 1553.190 ;
+        RECT 2972.990 1452.010 2974.170 1453.190 ;
+        RECT 2972.990 1352.010 2974.170 1353.190 ;
+        RECT 2972.990 1252.010 2974.170 1253.190 ;
+        RECT 2972.990 1152.010 2974.170 1153.190 ;
+        RECT 2972.990 1052.010 2974.170 1053.190 ;
+        RECT 2972.990 952.010 2974.170 953.190 ;
+        RECT 2972.990 852.010 2974.170 853.190 ;
+        RECT 2972.990 752.010 2974.170 753.190 ;
+        RECT 2972.990 652.010 2974.170 653.190 ;
+        RECT 2972.990 552.010 2974.170 553.190 ;
+        RECT 2972.990 452.010 2974.170 453.190 ;
+        RECT 2972.990 352.010 2974.170 353.190 ;
+        RECT 2972.990 252.010 2974.170 253.190 ;
+        RECT 2972.990 152.010 2974.170 153.190 ;
+        RECT 2972.990 52.010 2974.170 53.190 ;
+        RECT 20.410 20.410 21.590 21.590 ;
+        RECT 52.010 20.410 53.190 21.590 ;
+        RECT 152.010 20.410 153.190 21.590 ;
+        RECT 252.010 20.410 253.190 21.590 ;
+        RECT 352.010 20.410 353.190 21.590 ;
+        RECT 452.010 20.410 453.190 21.590 ;
+        RECT 552.010 20.410 553.190 21.590 ;
+        RECT 652.010 20.410 653.190 21.590 ;
+        RECT 752.010 20.410 753.190 21.590 ;
+        RECT 852.010 20.410 853.190 21.590 ;
+        RECT 952.010 20.410 953.190 21.590 ;
+        RECT 1052.010 20.410 1053.190 21.590 ;
+        RECT 1152.010 20.410 1153.190 21.590 ;
+        RECT 1252.010 20.410 1253.190 21.590 ;
+        RECT 1352.010 20.410 1353.190 21.590 ;
+        RECT 1452.010 20.410 1453.190 21.590 ;
+        RECT 1552.010 20.410 1553.190 21.590 ;
+        RECT 1652.010 20.410 1653.190 21.590 ;
+        RECT 1752.010 20.410 1753.190 21.590 ;
+        RECT 1852.010 20.410 1853.190 21.590 ;
+        RECT 1952.010 20.410 1953.190 21.590 ;
+        RECT 2052.010 20.410 2053.190 21.590 ;
+        RECT 2152.010 20.410 2153.190 21.590 ;
+        RECT 2252.010 20.410 2253.190 21.590 ;
+        RECT 2352.010 20.410 2353.190 21.590 ;
+        RECT 2452.010 20.410 2453.190 21.590 ;
+        RECT 2552.010 20.410 2553.190 21.590 ;
+        RECT 2652.010 20.410 2653.190 21.590 ;
+        RECT 2752.010 20.410 2753.190 21.590 ;
+        RECT 2852.010 20.410 2853.190 21.590 ;
+        RECT 2972.990 20.410 2974.170 21.590 ;
+      LAYER met5 ;
+        RECT 20.000 3561.920 2974.580 3563.920 ;
+        RECT 16.000 3451.600 39.880 3453.600 ;
+        RECT 2955.080 3451.600 2978.580 3453.600 ;
+        RECT 16.000 3351.600 39.880 3353.600 ;
+        RECT 2955.080 3351.600 2978.580 3353.600 ;
+        RECT 16.000 3251.600 39.880 3253.600 ;
+        RECT 2955.080 3251.600 2978.580 3253.600 ;
+        RECT 16.000 3151.600 39.880 3153.600 ;
+        RECT 2955.080 3151.600 2978.580 3153.600 ;
+        RECT 16.000 3051.600 39.880 3053.600 ;
+        RECT 2955.080 3051.600 2978.580 3053.600 ;
+        RECT 16.000 2951.600 39.880 2953.600 ;
+        RECT 2955.080 2951.600 2978.580 2953.600 ;
+        RECT 16.000 2851.600 39.880 2853.600 ;
+        RECT 2955.080 2851.600 2978.580 2853.600 ;
+        RECT 16.000 2751.600 39.880 2753.600 ;
+        RECT 2955.080 2751.600 2978.580 2753.600 ;
+        RECT 16.000 2651.600 39.880 2653.600 ;
+        RECT 2955.080 2651.600 2978.580 2653.600 ;
+        RECT 16.000 2551.600 39.880 2553.600 ;
+        RECT 2955.080 2551.600 2978.580 2553.600 ;
+        RECT 16.000 2451.600 39.880 2453.600 ;
+        RECT 2955.080 2451.600 2978.580 2453.600 ;
+        RECT 16.000 2351.600 39.880 2353.600 ;
+        RECT 2955.080 2351.600 2978.580 2353.600 ;
+        RECT 16.000 2251.600 39.880 2253.600 ;
+        RECT 2955.080 2251.600 2978.580 2253.600 ;
+        RECT 16.000 2151.600 39.880 2153.600 ;
+        RECT 2955.080 2151.600 2978.580 2153.600 ;
+        RECT 16.000 2051.600 39.880 2053.600 ;
+        RECT 2955.080 2051.600 2978.580 2053.600 ;
+        RECT 16.000 1951.600 39.880 1953.600 ;
+        RECT 2955.080 1951.600 2978.580 1953.600 ;
+        RECT 16.000 1851.600 39.880 1853.600 ;
+        RECT 2955.080 1851.600 2978.580 1853.600 ;
+        RECT 16.000 1751.600 39.880 1753.600 ;
+        RECT 2955.080 1751.600 2978.580 1753.600 ;
+        RECT 16.000 1651.600 39.880 1653.600 ;
+        RECT 2955.080 1651.600 2978.580 1653.600 ;
+        RECT 16.000 1551.600 39.880 1553.600 ;
+        RECT 2955.080 1551.600 2978.580 1553.600 ;
+        RECT 16.000 1451.600 39.880 1453.600 ;
+        RECT 2955.080 1451.600 2978.580 1453.600 ;
+        RECT 16.000 1351.600 39.880 1353.600 ;
+        RECT 2955.080 1351.600 2978.580 1353.600 ;
+        RECT 16.000 1251.600 39.880 1253.600 ;
+        RECT 2955.080 1251.600 2978.580 1253.600 ;
+        RECT 16.000 1151.600 39.880 1153.600 ;
+        RECT 2955.080 1151.600 2978.580 1153.600 ;
+        RECT 16.000 1051.600 39.880 1053.600 ;
+        RECT 2955.080 1051.600 2978.580 1053.600 ;
+        RECT 16.000 951.600 39.880 953.600 ;
+        RECT 2955.080 951.600 2978.580 953.600 ;
+        RECT 16.000 851.600 39.880 853.600 ;
+        RECT 2955.080 851.600 2978.580 853.600 ;
+        RECT 16.000 751.600 39.880 753.600 ;
+        RECT 2955.080 751.600 2978.580 753.600 ;
+        RECT 16.000 651.600 39.880 653.600 ;
+        RECT 2955.080 651.600 2978.580 653.600 ;
+        RECT 16.000 551.600 39.880 553.600 ;
+        RECT 2955.080 551.600 2978.580 553.600 ;
+        RECT 16.000 451.600 39.880 453.600 ;
+        RECT 2955.080 451.600 2978.580 453.600 ;
+        RECT 16.000 351.600 39.880 353.600 ;
+        RECT 2955.080 351.600 2978.580 353.600 ;
+        RECT 16.000 251.600 39.880 253.600 ;
+        RECT 2955.080 251.600 2978.580 253.600 ;
+        RECT 16.000 151.600 39.880 153.600 ;
+        RECT 2955.080 151.600 2978.580 153.600 ;
+        RECT 16.000 51.600 39.880 53.600 ;
+        RECT 2955.080 51.600 2978.580 53.600 ;
+        RECT 20.000 20.000 2974.580 22.000 ;
+    END
+  END vccd2
+  PIN vssd2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 16.000 16.000 18.000 3567.920 ;
+        RECT 101.600 3549.720 103.600 3567.920 ;
+        RECT 201.600 3549.720 203.600 3567.920 ;
+        RECT 301.600 3549.720 303.600 3567.920 ;
+        RECT 401.600 3549.720 403.600 3567.920 ;
+        RECT 501.600 3549.720 503.600 3567.920 ;
+        RECT 601.600 3549.720 603.600 3567.920 ;
+        RECT 701.600 3549.720 703.600 3567.920 ;
+        RECT 801.600 3549.720 803.600 3567.920 ;
+        RECT 901.600 3549.720 903.600 3567.920 ;
+        RECT 1001.600 3549.720 1003.600 3567.920 ;
+        RECT 1101.600 3549.720 1103.600 3567.920 ;
+        RECT 1201.600 3549.720 1203.600 3567.920 ;
+        RECT 1301.600 3549.720 1303.600 3567.920 ;
+        RECT 1401.600 3549.720 1403.600 3567.920 ;
+        RECT 1501.600 3549.720 1503.600 3567.920 ;
+        RECT 1601.600 3549.720 1603.600 3567.920 ;
+        RECT 1701.600 3549.720 1703.600 3567.920 ;
+        RECT 1801.600 3549.720 1803.600 3567.920 ;
+        RECT 1901.600 3549.720 1903.600 3567.920 ;
+        RECT 2001.600 3549.720 2003.600 3567.920 ;
+        RECT 2101.600 3549.720 2103.600 3567.920 ;
+        RECT 2201.600 3549.720 2203.600 3567.920 ;
+        RECT 2301.600 3549.720 2303.600 3567.920 ;
+        RECT 2401.600 3549.720 2403.600 3567.920 ;
+        RECT 2501.600 3549.720 2503.600 3567.920 ;
+        RECT 2601.600 3549.720 2603.600 3567.920 ;
+        RECT 2701.600 3549.720 2703.600 3567.920 ;
+        RECT 2801.600 3549.720 2803.600 3567.920 ;
+        RECT 2901.600 3549.720 2903.600 3567.920 ;
+        RECT 101.600 16.000 103.600 34.520 ;
+        RECT 201.600 16.000 203.600 34.520 ;
+        RECT 301.600 16.000 303.600 34.520 ;
+        RECT 401.600 16.000 403.600 34.520 ;
+        RECT 501.600 16.000 503.600 34.520 ;
+        RECT 601.600 16.000 603.600 34.520 ;
+        RECT 701.600 16.000 703.600 34.520 ;
+        RECT 801.600 16.000 803.600 34.520 ;
+        RECT 901.600 16.000 903.600 34.520 ;
+        RECT 1001.600 16.000 1003.600 34.520 ;
+        RECT 1101.600 16.000 1103.600 34.520 ;
+        RECT 1201.600 16.000 1203.600 34.520 ;
+        RECT 1301.600 16.000 1303.600 34.520 ;
+        RECT 1401.600 16.000 1403.600 34.520 ;
+        RECT 1501.600 16.000 1503.600 34.520 ;
+        RECT 1601.600 16.000 1603.600 34.520 ;
+        RECT 1701.600 16.000 1703.600 34.520 ;
+        RECT 1801.600 16.000 1803.600 34.520 ;
+        RECT 1901.600 16.000 1903.600 34.520 ;
+        RECT 2001.600 16.000 2003.600 34.520 ;
+        RECT 2101.600 16.000 2103.600 34.520 ;
+        RECT 2201.600 16.000 2203.600 34.520 ;
+        RECT 2301.600 16.000 2303.600 34.520 ;
+        RECT 2401.600 16.000 2403.600 34.520 ;
+        RECT 2501.600 16.000 2503.600 34.520 ;
+        RECT 2601.600 16.000 2603.600 34.520 ;
+        RECT 2701.600 16.000 2703.600 34.520 ;
+        RECT 2801.600 16.000 2803.600 34.520 ;
+        RECT 2901.600 16.000 2903.600 34.520 ;
+        RECT 2976.580 16.000 2978.580 3567.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 16.410 3566.330 17.590 3567.510 ;
+        RECT 102.010 3566.330 103.190 3567.510 ;
+        RECT 202.010 3566.330 203.190 3567.510 ;
+        RECT 302.010 3566.330 303.190 3567.510 ;
+        RECT 402.010 3566.330 403.190 3567.510 ;
+        RECT 502.010 3566.330 503.190 3567.510 ;
+        RECT 602.010 3566.330 603.190 3567.510 ;
+        RECT 702.010 3566.330 703.190 3567.510 ;
+        RECT 802.010 3566.330 803.190 3567.510 ;
+        RECT 902.010 3566.330 903.190 3567.510 ;
+        RECT 1002.010 3566.330 1003.190 3567.510 ;
+        RECT 1102.010 3566.330 1103.190 3567.510 ;
+        RECT 1202.010 3566.330 1203.190 3567.510 ;
+        RECT 1302.010 3566.330 1303.190 3567.510 ;
+        RECT 1402.010 3566.330 1403.190 3567.510 ;
+        RECT 1502.010 3566.330 1503.190 3567.510 ;
+        RECT 1602.010 3566.330 1603.190 3567.510 ;
+        RECT 1702.010 3566.330 1703.190 3567.510 ;
+        RECT 1802.010 3566.330 1803.190 3567.510 ;
+        RECT 1902.010 3566.330 1903.190 3567.510 ;
+        RECT 2002.010 3566.330 2003.190 3567.510 ;
+        RECT 2102.010 3566.330 2103.190 3567.510 ;
+        RECT 2202.010 3566.330 2203.190 3567.510 ;
+        RECT 2302.010 3566.330 2303.190 3567.510 ;
+        RECT 2402.010 3566.330 2403.190 3567.510 ;
+        RECT 2502.010 3566.330 2503.190 3567.510 ;
+        RECT 2602.010 3566.330 2603.190 3567.510 ;
+        RECT 2702.010 3566.330 2703.190 3567.510 ;
+        RECT 2802.010 3566.330 2803.190 3567.510 ;
+        RECT 2902.010 3566.330 2903.190 3567.510 ;
+        RECT 2976.990 3566.330 2978.170 3567.510 ;
+        RECT 16.410 3502.010 17.590 3503.190 ;
+        RECT 16.410 3402.010 17.590 3403.190 ;
+        RECT 16.410 3302.010 17.590 3303.190 ;
+        RECT 16.410 3202.010 17.590 3203.190 ;
+        RECT 16.410 3102.010 17.590 3103.190 ;
+        RECT 16.410 3002.010 17.590 3003.190 ;
+        RECT 16.410 2902.010 17.590 2903.190 ;
+        RECT 16.410 2802.010 17.590 2803.190 ;
+        RECT 16.410 2702.010 17.590 2703.190 ;
+        RECT 16.410 2602.010 17.590 2603.190 ;
+        RECT 16.410 2502.010 17.590 2503.190 ;
+        RECT 16.410 2402.010 17.590 2403.190 ;
+        RECT 16.410 2302.010 17.590 2303.190 ;
+        RECT 16.410 2202.010 17.590 2203.190 ;
+        RECT 16.410 2102.010 17.590 2103.190 ;
+        RECT 16.410 2002.010 17.590 2003.190 ;
+        RECT 16.410 1902.010 17.590 1903.190 ;
+        RECT 16.410 1802.010 17.590 1803.190 ;
+        RECT 16.410 1702.010 17.590 1703.190 ;
+        RECT 16.410 1602.010 17.590 1603.190 ;
+        RECT 16.410 1502.010 17.590 1503.190 ;
+        RECT 16.410 1402.010 17.590 1403.190 ;
+        RECT 16.410 1302.010 17.590 1303.190 ;
+        RECT 16.410 1202.010 17.590 1203.190 ;
+        RECT 16.410 1102.010 17.590 1103.190 ;
+        RECT 16.410 1002.010 17.590 1003.190 ;
+        RECT 16.410 902.010 17.590 903.190 ;
+        RECT 16.410 802.010 17.590 803.190 ;
+        RECT 16.410 702.010 17.590 703.190 ;
+        RECT 16.410 602.010 17.590 603.190 ;
+        RECT 16.410 502.010 17.590 503.190 ;
+        RECT 16.410 402.010 17.590 403.190 ;
+        RECT 16.410 302.010 17.590 303.190 ;
+        RECT 16.410 202.010 17.590 203.190 ;
+        RECT 16.410 102.010 17.590 103.190 ;
+        RECT 2976.990 3502.010 2978.170 3503.190 ;
+        RECT 2976.990 3402.010 2978.170 3403.190 ;
+        RECT 2976.990 3302.010 2978.170 3303.190 ;
+        RECT 2976.990 3202.010 2978.170 3203.190 ;
+        RECT 2976.990 3102.010 2978.170 3103.190 ;
+        RECT 2976.990 3002.010 2978.170 3003.190 ;
+        RECT 2976.990 2902.010 2978.170 2903.190 ;
+        RECT 2976.990 2802.010 2978.170 2803.190 ;
+        RECT 2976.990 2702.010 2978.170 2703.190 ;
+        RECT 2976.990 2602.010 2978.170 2603.190 ;
+        RECT 2976.990 2502.010 2978.170 2503.190 ;
+        RECT 2976.990 2402.010 2978.170 2403.190 ;
+        RECT 2976.990 2302.010 2978.170 2303.190 ;
+        RECT 2976.990 2202.010 2978.170 2203.190 ;
+        RECT 2976.990 2102.010 2978.170 2103.190 ;
+        RECT 2976.990 2002.010 2978.170 2003.190 ;
+        RECT 2976.990 1902.010 2978.170 1903.190 ;
+        RECT 2976.990 1802.010 2978.170 1803.190 ;
+        RECT 2976.990 1702.010 2978.170 1703.190 ;
+        RECT 2976.990 1602.010 2978.170 1603.190 ;
+        RECT 2976.990 1502.010 2978.170 1503.190 ;
+        RECT 2976.990 1402.010 2978.170 1403.190 ;
+        RECT 2976.990 1302.010 2978.170 1303.190 ;
+        RECT 2976.990 1202.010 2978.170 1203.190 ;
+        RECT 2976.990 1102.010 2978.170 1103.190 ;
+        RECT 2976.990 1002.010 2978.170 1003.190 ;
+        RECT 2976.990 902.010 2978.170 903.190 ;
+        RECT 2976.990 802.010 2978.170 803.190 ;
+        RECT 2976.990 702.010 2978.170 703.190 ;
+        RECT 2976.990 602.010 2978.170 603.190 ;
+        RECT 2976.990 502.010 2978.170 503.190 ;
+        RECT 2976.990 402.010 2978.170 403.190 ;
+        RECT 2976.990 302.010 2978.170 303.190 ;
+        RECT 2976.990 202.010 2978.170 203.190 ;
+        RECT 2976.990 102.010 2978.170 103.190 ;
+        RECT 16.410 16.410 17.590 17.590 ;
+        RECT 102.010 16.410 103.190 17.590 ;
+        RECT 202.010 16.410 203.190 17.590 ;
+        RECT 302.010 16.410 303.190 17.590 ;
+        RECT 402.010 16.410 403.190 17.590 ;
+        RECT 502.010 16.410 503.190 17.590 ;
+        RECT 602.010 16.410 603.190 17.590 ;
+        RECT 702.010 16.410 703.190 17.590 ;
+        RECT 802.010 16.410 803.190 17.590 ;
+        RECT 902.010 16.410 903.190 17.590 ;
+        RECT 1002.010 16.410 1003.190 17.590 ;
+        RECT 1102.010 16.410 1103.190 17.590 ;
+        RECT 1202.010 16.410 1203.190 17.590 ;
+        RECT 1302.010 16.410 1303.190 17.590 ;
+        RECT 1402.010 16.410 1403.190 17.590 ;
+        RECT 1502.010 16.410 1503.190 17.590 ;
+        RECT 1602.010 16.410 1603.190 17.590 ;
+        RECT 1702.010 16.410 1703.190 17.590 ;
+        RECT 1802.010 16.410 1803.190 17.590 ;
+        RECT 1902.010 16.410 1903.190 17.590 ;
+        RECT 2002.010 16.410 2003.190 17.590 ;
+        RECT 2102.010 16.410 2103.190 17.590 ;
+        RECT 2202.010 16.410 2203.190 17.590 ;
+        RECT 2302.010 16.410 2303.190 17.590 ;
+        RECT 2402.010 16.410 2403.190 17.590 ;
+        RECT 2502.010 16.410 2503.190 17.590 ;
+        RECT 2602.010 16.410 2603.190 17.590 ;
+        RECT 2702.010 16.410 2703.190 17.590 ;
+        RECT 2802.010 16.410 2803.190 17.590 ;
+        RECT 2902.010 16.410 2903.190 17.590 ;
+        RECT 2976.990 16.410 2978.170 17.590 ;
+      LAYER met5 ;
+        RECT 16.000 3565.920 2978.580 3567.920 ;
+        RECT 16.000 3501.600 39.880 3503.600 ;
+        RECT 2955.080 3501.600 2978.580 3503.600 ;
+        RECT 16.000 3401.600 39.880 3403.600 ;
+        RECT 2955.080 3401.600 2978.580 3403.600 ;
+        RECT 16.000 3301.600 39.880 3303.600 ;
+        RECT 2955.080 3301.600 2978.580 3303.600 ;
+        RECT 16.000 3201.600 39.880 3203.600 ;
+        RECT 2955.080 3201.600 2978.580 3203.600 ;
+        RECT 16.000 3101.600 39.880 3103.600 ;
+        RECT 2955.080 3101.600 2978.580 3103.600 ;
+        RECT 16.000 3001.600 39.880 3003.600 ;
+        RECT 2955.080 3001.600 2978.580 3003.600 ;
+        RECT 16.000 2901.600 39.880 2903.600 ;
+        RECT 2955.080 2901.600 2978.580 2903.600 ;
+        RECT 16.000 2801.600 39.880 2803.600 ;
+        RECT 2955.080 2801.600 2978.580 2803.600 ;
+        RECT 16.000 2701.600 39.880 2703.600 ;
+        RECT 2955.080 2701.600 2978.580 2703.600 ;
+        RECT 16.000 2601.600 39.880 2603.600 ;
+        RECT 2955.080 2601.600 2978.580 2603.600 ;
+        RECT 16.000 2501.600 39.880 2503.600 ;
+        RECT 2955.080 2501.600 2978.580 2503.600 ;
+        RECT 16.000 2401.600 39.880 2403.600 ;
+        RECT 2955.080 2401.600 2978.580 2403.600 ;
+        RECT 16.000 2301.600 39.880 2303.600 ;
+        RECT 2955.080 2301.600 2978.580 2303.600 ;
+        RECT 16.000 2201.600 39.880 2203.600 ;
+        RECT 2955.080 2201.600 2978.580 2203.600 ;
+        RECT 16.000 2101.600 39.880 2103.600 ;
+        RECT 2955.080 2101.600 2978.580 2103.600 ;
+        RECT 16.000 2001.600 39.880 2003.600 ;
+        RECT 2955.080 2001.600 2978.580 2003.600 ;
+        RECT 16.000 1901.600 39.880 1903.600 ;
+        RECT 2955.080 1901.600 2978.580 1903.600 ;
+        RECT 16.000 1801.600 39.880 1803.600 ;
+        RECT 2955.080 1801.600 2978.580 1803.600 ;
+        RECT 16.000 1701.600 39.880 1703.600 ;
+        RECT 2955.080 1701.600 2978.580 1703.600 ;
+        RECT 16.000 1601.600 39.880 1603.600 ;
+        RECT 2955.080 1601.600 2978.580 1603.600 ;
+        RECT 16.000 1501.600 39.880 1503.600 ;
+        RECT 2955.080 1501.600 2978.580 1503.600 ;
+        RECT 16.000 1401.600 39.880 1403.600 ;
+        RECT 2955.080 1401.600 2978.580 1403.600 ;
+        RECT 16.000 1301.600 39.880 1303.600 ;
+        RECT 2955.080 1301.600 2978.580 1303.600 ;
+        RECT 16.000 1201.600 39.880 1203.600 ;
+        RECT 2955.080 1201.600 2978.580 1203.600 ;
+        RECT 16.000 1101.600 39.880 1103.600 ;
+        RECT 2955.080 1101.600 2978.580 1103.600 ;
+        RECT 16.000 1001.600 39.880 1003.600 ;
+        RECT 2955.080 1001.600 2978.580 1003.600 ;
+        RECT 16.000 901.600 39.880 903.600 ;
+        RECT 2955.080 901.600 2978.580 903.600 ;
+        RECT 16.000 801.600 39.880 803.600 ;
+        RECT 2955.080 801.600 2978.580 803.600 ;
+        RECT 16.000 701.600 39.880 703.600 ;
+        RECT 2955.080 701.600 2978.580 703.600 ;
+        RECT 16.000 601.600 39.880 603.600 ;
+        RECT 2955.080 601.600 2978.580 603.600 ;
+        RECT 16.000 501.600 39.880 503.600 ;
+        RECT 2955.080 501.600 2978.580 503.600 ;
+        RECT 16.000 401.600 39.880 403.600 ;
+        RECT 2955.080 401.600 2978.580 403.600 ;
+        RECT 16.000 301.600 39.880 303.600 ;
+        RECT 2955.080 301.600 2978.580 303.600 ;
+        RECT 16.000 201.600 39.880 203.600 ;
+        RECT 2955.080 201.600 2978.580 203.600 ;
+        RECT 16.000 101.600 39.880 103.600 ;
+        RECT 2955.080 101.600 2978.580 103.600 ;
+        RECT 16.000 16.000 2978.580 18.000 ;
+    END
+  END vssd2
+  PIN vdda1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 12.000 12.000 14.000 3571.920 ;
+        RECT 61.200 3549.720 63.200 3575.920 ;
+        RECT 161.200 3549.720 163.200 3575.920 ;
+        RECT 261.200 3549.720 263.200 3575.920 ;
+        RECT 361.200 3549.720 363.200 3575.920 ;
+        RECT 461.200 3549.720 463.200 3575.920 ;
+        RECT 561.200 3549.720 563.200 3575.920 ;
+        RECT 661.200 3549.720 663.200 3575.920 ;
+        RECT 761.200 3549.720 763.200 3575.920 ;
+        RECT 861.200 3549.720 863.200 3575.920 ;
+        RECT 961.200 3549.720 963.200 3575.920 ;
+        RECT 1061.200 3549.720 1063.200 3575.920 ;
+        RECT 1161.200 3549.720 1163.200 3575.920 ;
+        RECT 1261.200 3549.720 1263.200 3575.920 ;
+        RECT 1361.200 3549.720 1363.200 3575.920 ;
+        RECT 1461.200 3549.720 1463.200 3575.920 ;
+        RECT 1561.200 3549.720 1563.200 3575.920 ;
+        RECT 1661.200 3549.720 1663.200 3575.920 ;
+        RECT 1761.200 3549.720 1763.200 3575.920 ;
+        RECT 1861.200 3549.720 1863.200 3575.920 ;
+        RECT 1961.200 3549.720 1963.200 3575.920 ;
+        RECT 2061.200 3549.720 2063.200 3575.920 ;
+        RECT 2161.200 3549.720 2163.200 3575.920 ;
+        RECT 2261.200 3549.720 2263.200 3575.920 ;
+        RECT 2361.200 3549.720 2363.200 3575.920 ;
+        RECT 2461.200 3549.720 2463.200 3575.920 ;
+        RECT 2561.200 3549.720 2563.200 3575.920 ;
+        RECT 2661.200 3549.720 2663.200 3575.920 ;
+        RECT 2761.200 3549.720 2763.200 3575.920 ;
+        RECT 2861.200 3549.720 2863.200 3575.920 ;
+        RECT 61.200 8.000 63.200 34.520 ;
+        RECT 161.200 8.000 163.200 34.520 ;
+        RECT 261.200 8.000 263.200 34.520 ;
+        RECT 361.200 8.000 363.200 34.520 ;
+        RECT 461.200 8.000 463.200 34.520 ;
+        RECT 561.200 8.000 563.200 34.520 ;
+        RECT 661.200 8.000 663.200 34.520 ;
+        RECT 761.200 8.000 763.200 34.520 ;
+        RECT 861.200 8.000 863.200 34.520 ;
+        RECT 961.200 8.000 963.200 34.520 ;
+        RECT 1061.200 8.000 1063.200 34.520 ;
+        RECT 1161.200 8.000 1163.200 34.520 ;
+        RECT 1261.200 8.000 1263.200 34.520 ;
+        RECT 1361.200 8.000 1363.200 34.520 ;
+        RECT 1461.200 8.000 1463.200 34.520 ;
+        RECT 1561.200 8.000 1563.200 34.520 ;
+        RECT 1661.200 8.000 1663.200 34.520 ;
+        RECT 1761.200 8.000 1763.200 34.520 ;
+        RECT 1861.200 8.000 1863.200 34.520 ;
+        RECT 1961.200 8.000 1963.200 34.520 ;
+        RECT 2061.200 8.000 2063.200 34.520 ;
+        RECT 2161.200 8.000 2163.200 34.520 ;
+        RECT 2261.200 8.000 2263.200 34.520 ;
+        RECT 2361.200 8.000 2363.200 34.520 ;
+        RECT 2461.200 8.000 2463.200 34.520 ;
+        RECT 2561.200 8.000 2563.200 34.520 ;
+        RECT 2661.200 8.000 2663.200 34.520 ;
+        RECT 2761.200 8.000 2763.200 34.520 ;
+        RECT 2861.200 8.000 2863.200 34.520 ;
+        RECT 2980.580 12.000 2982.580 3571.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 12.410 3570.330 13.590 3571.510 ;
+        RECT 61.610 3570.330 62.790 3571.510 ;
+        RECT 161.610 3570.330 162.790 3571.510 ;
+        RECT 261.610 3570.330 262.790 3571.510 ;
+        RECT 361.610 3570.330 362.790 3571.510 ;
+        RECT 461.610 3570.330 462.790 3571.510 ;
+        RECT 561.610 3570.330 562.790 3571.510 ;
+        RECT 661.610 3570.330 662.790 3571.510 ;
+        RECT 761.610 3570.330 762.790 3571.510 ;
+        RECT 861.610 3570.330 862.790 3571.510 ;
+        RECT 961.610 3570.330 962.790 3571.510 ;
+        RECT 1061.610 3570.330 1062.790 3571.510 ;
+        RECT 1161.610 3570.330 1162.790 3571.510 ;
+        RECT 1261.610 3570.330 1262.790 3571.510 ;
+        RECT 1361.610 3570.330 1362.790 3571.510 ;
+        RECT 1461.610 3570.330 1462.790 3571.510 ;
+        RECT 1561.610 3570.330 1562.790 3571.510 ;
+        RECT 1661.610 3570.330 1662.790 3571.510 ;
+        RECT 1761.610 3570.330 1762.790 3571.510 ;
+        RECT 1861.610 3570.330 1862.790 3571.510 ;
+        RECT 1961.610 3570.330 1962.790 3571.510 ;
+        RECT 2061.610 3570.330 2062.790 3571.510 ;
+        RECT 2161.610 3570.330 2162.790 3571.510 ;
+        RECT 2261.610 3570.330 2262.790 3571.510 ;
+        RECT 2361.610 3570.330 2362.790 3571.510 ;
+        RECT 2461.610 3570.330 2462.790 3571.510 ;
+        RECT 2561.610 3570.330 2562.790 3571.510 ;
+        RECT 2661.610 3570.330 2662.790 3571.510 ;
+        RECT 2761.610 3570.330 2762.790 3571.510 ;
+        RECT 2861.610 3570.330 2862.790 3571.510 ;
+        RECT 2980.990 3570.330 2982.170 3571.510 ;
+        RECT 12.410 3461.610 13.590 3462.790 ;
+        RECT 12.410 3361.610 13.590 3362.790 ;
+        RECT 12.410 3261.610 13.590 3262.790 ;
+        RECT 12.410 3161.610 13.590 3162.790 ;
+        RECT 12.410 3061.610 13.590 3062.790 ;
+        RECT 12.410 2961.610 13.590 2962.790 ;
+        RECT 12.410 2861.610 13.590 2862.790 ;
+        RECT 12.410 2761.610 13.590 2762.790 ;
+        RECT 12.410 2661.610 13.590 2662.790 ;
+        RECT 12.410 2561.610 13.590 2562.790 ;
+        RECT 12.410 2461.610 13.590 2462.790 ;
+        RECT 12.410 2361.610 13.590 2362.790 ;
+        RECT 12.410 2261.610 13.590 2262.790 ;
+        RECT 12.410 2161.610 13.590 2162.790 ;
+        RECT 12.410 2061.610 13.590 2062.790 ;
+        RECT 12.410 1961.610 13.590 1962.790 ;
+        RECT 12.410 1861.610 13.590 1862.790 ;
+        RECT 12.410 1761.610 13.590 1762.790 ;
+        RECT 12.410 1661.610 13.590 1662.790 ;
+        RECT 12.410 1561.610 13.590 1562.790 ;
+        RECT 12.410 1461.610 13.590 1462.790 ;
+        RECT 12.410 1361.610 13.590 1362.790 ;
+        RECT 12.410 1261.610 13.590 1262.790 ;
+        RECT 12.410 1161.610 13.590 1162.790 ;
+        RECT 12.410 1061.610 13.590 1062.790 ;
+        RECT 12.410 961.610 13.590 962.790 ;
+        RECT 12.410 861.610 13.590 862.790 ;
+        RECT 12.410 761.610 13.590 762.790 ;
+        RECT 12.410 661.610 13.590 662.790 ;
+        RECT 12.410 561.610 13.590 562.790 ;
+        RECT 12.410 461.610 13.590 462.790 ;
+        RECT 12.410 361.610 13.590 362.790 ;
+        RECT 12.410 261.610 13.590 262.790 ;
+        RECT 12.410 161.610 13.590 162.790 ;
+        RECT 12.410 61.610 13.590 62.790 ;
+        RECT 2980.990 3461.610 2982.170 3462.790 ;
+        RECT 2980.990 3361.610 2982.170 3362.790 ;
+        RECT 2980.990 3261.610 2982.170 3262.790 ;
+        RECT 2980.990 3161.610 2982.170 3162.790 ;
+        RECT 2980.990 3061.610 2982.170 3062.790 ;
+        RECT 2980.990 2961.610 2982.170 2962.790 ;
+        RECT 2980.990 2861.610 2982.170 2862.790 ;
+        RECT 2980.990 2761.610 2982.170 2762.790 ;
+        RECT 2980.990 2661.610 2982.170 2662.790 ;
+        RECT 2980.990 2561.610 2982.170 2562.790 ;
+        RECT 2980.990 2461.610 2982.170 2462.790 ;
+        RECT 2980.990 2361.610 2982.170 2362.790 ;
+        RECT 2980.990 2261.610 2982.170 2262.790 ;
+        RECT 2980.990 2161.610 2982.170 2162.790 ;
+        RECT 2980.990 2061.610 2982.170 2062.790 ;
+        RECT 2980.990 1961.610 2982.170 1962.790 ;
+        RECT 2980.990 1861.610 2982.170 1862.790 ;
+        RECT 2980.990 1761.610 2982.170 1762.790 ;
+        RECT 2980.990 1661.610 2982.170 1662.790 ;
+        RECT 2980.990 1561.610 2982.170 1562.790 ;
+        RECT 2980.990 1461.610 2982.170 1462.790 ;
+        RECT 2980.990 1361.610 2982.170 1362.790 ;
+        RECT 2980.990 1261.610 2982.170 1262.790 ;
+        RECT 2980.990 1161.610 2982.170 1162.790 ;
+        RECT 2980.990 1061.610 2982.170 1062.790 ;
+        RECT 2980.990 961.610 2982.170 962.790 ;
+        RECT 2980.990 861.610 2982.170 862.790 ;
+        RECT 2980.990 761.610 2982.170 762.790 ;
+        RECT 2980.990 661.610 2982.170 662.790 ;
+        RECT 2980.990 561.610 2982.170 562.790 ;
+        RECT 2980.990 461.610 2982.170 462.790 ;
+        RECT 2980.990 361.610 2982.170 362.790 ;
+        RECT 2980.990 261.610 2982.170 262.790 ;
+        RECT 2980.990 161.610 2982.170 162.790 ;
+        RECT 2980.990 61.610 2982.170 62.790 ;
+        RECT 12.410 12.410 13.590 13.590 ;
+        RECT 61.610 12.410 62.790 13.590 ;
+        RECT 161.610 12.410 162.790 13.590 ;
+        RECT 261.610 12.410 262.790 13.590 ;
+        RECT 361.610 12.410 362.790 13.590 ;
+        RECT 461.610 12.410 462.790 13.590 ;
+        RECT 561.610 12.410 562.790 13.590 ;
+        RECT 661.610 12.410 662.790 13.590 ;
+        RECT 761.610 12.410 762.790 13.590 ;
+        RECT 861.610 12.410 862.790 13.590 ;
+        RECT 961.610 12.410 962.790 13.590 ;
+        RECT 1061.610 12.410 1062.790 13.590 ;
+        RECT 1161.610 12.410 1162.790 13.590 ;
+        RECT 1261.610 12.410 1262.790 13.590 ;
+        RECT 1361.610 12.410 1362.790 13.590 ;
+        RECT 1461.610 12.410 1462.790 13.590 ;
+        RECT 1561.610 12.410 1562.790 13.590 ;
+        RECT 1661.610 12.410 1662.790 13.590 ;
+        RECT 1761.610 12.410 1762.790 13.590 ;
+        RECT 1861.610 12.410 1862.790 13.590 ;
+        RECT 1961.610 12.410 1962.790 13.590 ;
+        RECT 2061.610 12.410 2062.790 13.590 ;
+        RECT 2161.610 12.410 2162.790 13.590 ;
+        RECT 2261.610 12.410 2262.790 13.590 ;
+        RECT 2361.610 12.410 2362.790 13.590 ;
+        RECT 2461.610 12.410 2462.790 13.590 ;
+        RECT 2561.610 12.410 2562.790 13.590 ;
+        RECT 2661.610 12.410 2662.790 13.590 ;
+        RECT 2761.610 12.410 2762.790 13.590 ;
+        RECT 2861.610 12.410 2862.790 13.590 ;
+        RECT 2980.990 12.410 2982.170 13.590 ;
+      LAYER met5 ;
+        RECT 12.000 3569.920 2982.580 3571.920 ;
+        RECT 8.000 3461.200 39.880 3463.200 ;
+        RECT 2955.080 3461.200 2986.580 3463.200 ;
+        RECT 8.000 3361.200 39.880 3363.200 ;
+        RECT 2955.080 3361.200 2986.580 3363.200 ;
+        RECT 8.000 3261.200 39.880 3263.200 ;
+        RECT 2955.080 3261.200 2986.580 3263.200 ;
+        RECT 8.000 3161.200 39.880 3163.200 ;
+        RECT 2955.080 3161.200 2986.580 3163.200 ;
+        RECT 8.000 3061.200 39.880 3063.200 ;
+        RECT 2955.080 3061.200 2986.580 3063.200 ;
+        RECT 8.000 2961.200 39.880 2963.200 ;
+        RECT 2955.080 2961.200 2986.580 2963.200 ;
+        RECT 8.000 2861.200 39.880 2863.200 ;
+        RECT 2955.080 2861.200 2986.580 2863.200 ;
+        RECT 8.000 2761.200 39.880 2763.200 ;
+        RECT 2955.080 2761.200 2986.580 2763.200 ;
+        RECT 8.000 2661.200 39.880 2663.200 ;
+        RECT 2955.080 2661.200 2986.580 2663.200 ;
+        RECT 8.000 2561.200 39.880 2563.200 ;
+        RECT 2955.080 2561.200 2986.580 2563.200 ;
+        RECT 8.000 2461.200 39.880 2463.200 ;
+        RECT 2955.080 2461.200 2986.580 2463.200 ;
+        RECT 8.000 2361.200 39.880 2363.200 ;
+        RECT 2955.080 2361.200 2986.580 2363.200 ;
+        RECT 8.000 2261.200 39.880 2263.200 ;
+        RECT 2955.080 2261.200 2986.580 2263.200 ;
+        RECT 8.000 2161.200 39.880 2163.200 ;
+        RECT 2955.080 2161.200 2986.580 2163.200 ;
+        RECT 8.000 2061.200 39.880 2063.200 ;
+        RECT 2955.080 2061.200 2986.580 2063.200 ;
+        RECT 8.000 1961.200 39.880 1963.200 ;
+        RECT 2955.080 1961.200 2986.580 1963.200 ;
+        RECT 8.000 1861.200 39.880 1863.200 ;
+        RECT 2955.080 1861.200 2986.580 1863.200 ;
+        RECT 8.000 1761.200 39.880 1763.200 ;
+        RECT 2955.080 1761.200 2986.580 1763.200 ;
+        RECT 8.000 1661.200 39.880 1663.200 ;
+        RECT 2955.080 1661.200 2986.580 1663.200 ;
+        RECT 8.000 1561.200 39.880 1563.200 ;
+        RECT 2955.080 1561.200 2986.580 1563.200 ;
+        RECT 8.000 1461.200 39.880 1463.200 ;
+        RECT 2955.080 1461.200 2986.580 1463.200 ;
+        RECT 8.000 1361.200 39.880 1363.200 ;
+        RECT 2955.080 1361.200 2986.580 1363.200 ;
+        RECT 8.000 1261.200 39.880 1263.200 ;
+        RECT 2955.080 1261.200 2986.580 1263.200 ;
+        RECT 8.000 1161.200 39.880 1163.200 ;
+        RECT 2955.080 1161.200 2986.580 1163.200 ;
+        RECT 8.000 1061.200 39.880 1063.200 ;
+        RECT 2955.080 1061.200 2986.580 1063.200 ;
+        RECT 8.000 961.200 39.880 963.200 ;
+        RECT 2955.080 961.200 2986.580 963.200 ;
+        RECT 8.000 861.200 39.880 863.200 ;
+        RECT 2955.080 861.200 2986.580 863.200 ;
+        RECT 8.000 761.200 39.880 763.200 ;
+        RECT 2955.080 761.200 2986.580 763.200 ;
+        RECT 8.000 661.200 39.880 663.200 ;
+        RECT 2955.080 661.200 2986.580 663.200 ;
+        RECT 8.000 561.200 39.880 563.200 ;
+        RECT 2955.080 561.200 2986.580 563.200 ;
+        RECT 8.000 461.200 39.880 463.200 ;
+        RECT 2955.080 461.200 2986.580 463.200 ;
+        RECT 8.000 361.200 39.880 363.200 ;
+        RECT 2955.080 361.200 2986.580 363.200 ;
+        RECT 8.000 261.200 39.880 263.200 ;
+        RECT 2955.080 261.200 2986.580 263.200 ;
+        RECT 8.000 161.200 39.880 163.200 ;
+        RECT 2955.080 161.200 2986.580 163.200 ;
+        RECT 8.000 61.200 39.880 63.200 ;
+        RECT 2955.080 61.200 2986.580 63.200 ;
+        RECT 12.000 12.000 2982.580 14.000 ;
+    END
+  END vdda1
+  PIN vssa1
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 8.000 8.000 10.000 3575.920 ;
+        RECT 111.200 3549.720 113.200 3575.920 ;
+        RECT 211.200 3549.720 213.200 3575.920 ;
+        RECT 311.200 3549.720 313.200 3575.920 ;
+        RECT 411.200 3549.720 413.200 3575.920 ;
+        RECT 511.200 3549.720 513.200 3575.920 ;
+        RECT 611.200 3549.720 613.200 3575.920 ;
+        RECT 711.200 3549.720 713.200 3575.920 ;
+        RECT 811.200 3549.720 813.200 3575.920 ;
+        RECT 911.200 3549.720 913.200 3575.920 ;
+        RECT 1011.200 3549.720 1013.200 3575.920 ;
+        RECT 1111.200 3549.720 1113.200 3575.920 ;
+        RECT 1211.200 3549.720 1213.200 3575.920 ;
+        RECT 1311.200 3549.720 1313.200 3575.920 ;
+        RECT 1411.200 3549.720 1413.200 3575.920 ;
+        RECT 1511.200 3549.720 1513.200 3575.920 ;
+        RECT 1611.200 3549.720 1613.200 3575.920 ;
+        RECT 1711.200 3549.720 1713.200 3575.920 ;
+        RECT 1811.200 3549.720 1813.200 3575.920 ;
+        RECT 1911.200 3549.720 1913.200 3575.920 ;
+        RECT 2011.200 3549.720 2013.200 3575.920 ;
+        RECT 2111.200 3549.720 2113.200 3575.920 ;
+        RECT 2211.200 3549.720 2213.200 3575.920 ;
+        RECT 2311.200 3549.720 2313.200 3575.920 ;
+        RECT 2411.200 3549.720 2413.200 3575.920 ;
+        RECT 2511.200 3549.720 2513.200 3575.920 ;
+        RECT 2611.200 3549.720 2613.200 3575.920 ;
+        RECT 2711.200 3549.720 2713.200 3575.920 ;
+        RECT 2811.200 3549.720 2813.200 3575.920 ;
+        RECT 2911.200 3549.720 2913.200 3575.920 ;
+        RECT 111.200 8.000 113.200 34.520 ;
+        RECT 211.200 8.000 213.200 34.520 ;
+        RECT 311.200 8.000 313.200 34.520 ;
+        RECT 411.200 8.000 413.200 34.520 ;
+        RECT 511.200 8.000 513.200 34.520 ;
+        RECT 611.200 8.000 613.200 34.520 ;
+        RECT 711.200 8.000 713.200 34.520 ;
+        RECT 811.200 8.000 813.200 34.520 ;
+        RECT 911.200 8.000 913.200 34.520 ;
+        RECT 1011.200 8.000 1013.200 34.520 ;
+        RECT 1111.200 8.000 1113.200 34.520 ;
+        RECT 1211.200 8.000 1213.200 34.520 ;
+        RECT 1311.200 8.000 1313.200 34.520 ;
+        RECT 1411.200 8.000 1413.200 34.520 ;
+        RECT 1511.200 8.000 1513.200 34.520 ;
+        RECT 1611.200 8.000 1613.200 34.520 ;
+        RECT 1711.200 8.000 1713.200 34.520 ;
+        RECT 1811.200 8.000 1813.200 34.520 ;
+        RECT 1911.200 8.000 1913.200 34.520 ;
+        RECT 2011.200 8.000 2013.200 34.520 ;
+        RECT 2111.200 8.000 2113.200 34.520 ;
+        RECT 2211.200 8.000 2213.200 34.520 ;
+        RECT 2311.200 8.000 2313.200 34.520 ;
+        RECT 2411.200 8.000 2413.200 34.520 ;
+        RECT 2511.200 8.000 2513.200 34.520 ;
+        RECT 2611.200 8.000 2613.200 34.520 ;
+        RECT 2711.200 8.000 2713.200 34.520 ;
+        RECT 2811.200 8.000 2813.200 34.520 ;
+        RECT 2911.200 8.000 2913.200 34.520 ;
+        RECT 2984.580 8.000 2986.580 3575.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 8.410 3574.330 9.590 3575.510 ;
+        RECT 111.610 3574.330 112.790 3575.510 ;
+        RECT 211.610 3574.330 212.790 3575.510 ;
+        RECT 311.610 3574.330 312.790 3575.510 ;
+        RECT 411.610 3574.330 412.790 3575.510 ;
+        RECT 511.610 3574.330 512.790 3575.510 ;
+        RECT 611.610 3574.330 612.790 3575.510 ;
+        RECT 711.610 3574.330 712.790 3575.510 ;
+        RECT 811.610 3574.330 812.790 3575.510 ;
+        RECT 911.610 3574.330 912.790 3575.510 ;
+        RECT 1011.610 3574.330 1012.790 3575.510 ;
+        RECT 1111.610 3574.330 1112.790 3575.510 ;
+        RECT 1211.610 3574.330 1212.790 3575.510 ;
+        RECT 1311.610 3574.330 1312.790 3575.510 ;
+        RECT 1411.610 3574.330 1412.790 3575.510 ;
+        RECT 1511.610 3574.330 1512.790 3575.510 ;
+        RECT 1611.610 3574.330 1612.790 3575.510 ;
+        RECT 1711.610 3574.330 1712.790 3575.510 ;
+        RECT 1811.610 3574.330 1812.790 3575.510 ;
+        RECT 1911.610 3574.330 1912.790 3575.510 ;
+        RECT 2011.610 3574.330 2012.790 3575.510 ;
+        RECT 2111.610 3574.330 2112.790 3575.510 ;
+        RECT 2211.610 3574.330 2212.790 3575.510 ;
+        RECT 2311.610 3574.330 2312.790 3575.510 ;
+        RECT 2411.610 3574.330 2412.790 3575.510 ;
+        RECT 2511.610 3574.330 2512.790 3575.510 ;
+        RECT 2611.610 3574.330 2612.790 3575.510 ;
+        RECT 2711.610 3574.330 2712.790 3575.510 ;
+        RECT 2811.610 3574.330 2812.790 3575.510 ;
+        RECT 2911.610 3574.330 2912.790 3575.510 ;
+        RECT 2984.990 3574.330 2986.170 3575.510 ;
+        RECT 8.410 3511.610 9.590 3512.790 ;
+        RECT 8.410 3411.610 9.590 3412.790 ;
+        RECT 8.410 3311.610 9.590 3312.790 ;
+        RECT 8.410 3211.610 9.590 3212.790 ;
+        RECT 8.410 3111.610 9.590 3112.790 ;
+        RECT 8.410 3011.610 9.590 3012.790 ;
+        RECT 8.410 2911.610 9.590 2912.790 ;
+        RECT 8.410 2811.610 9.590 2812.790 ;
+        RECT 8.410 2711.610 9.590 2712.790 ;
+        RECT 8.410 2611.610 9.590 2612.790 ;
+        RECT 8.410 2511.610 9.590 2512.790 ;
+        RECT 8.410 2411.610 9.590 2412.790 ;
+        RECT 8.410 2311.610 9.590 2312.790 ;
+        RECT 8.410 2211.610 9.590 2212.790 ;
+        RECT 8.410 2111.610 9.590 2112.790 ;
+        RECT 8.410 2011.610 9.590 2012.790 ;
+        RECT 8.410 1911.610 9.590 1912.790 ;
+        RECT 8.410 1811.610 9.590 1812.790 ;
+        RECT 8.410 1711.610 9.590 1712.790 ;
+        RECT 8.410 1611.610 9.590 1612.790 ;
+        RECT 8.410 1511.610 9.590 1512.790 ;
+        RECT 8.410 1411.610 9.590 1412.790 ;
+        RECT 8.410 1311.610 9.590 1312.790 ;
+        RECT 8.410 1211.610 9.590 1212.790 ;
+        RECT 8.410 1111.610 9.590 1112.790 ;
+        RECT 8.410 1011.610 9.590 1012.790 ;
+        RECT 8.410 911.610 9.590 912.790 ;
+        RECT 8.410 811.610 9.590 812.790 ;
+        RECT 8.410 711.610 9.590 712.790 ;
+        RECT 8.410 611.610 9.590 612.790 ;
+        RECT 8.410 511.610 9.590 512.790 ;
+        RECT 8.410 411.610 9.590 412.790 ;
+        RECT 8.410 311.610 9.590 312.790 ;
+        RECT 8.410 211.610 9.590 212.790 ;
+        RECT 8.410 111.610 9.590 112.790 ;
+        RECT 2984.990 3511.610 2986.170 3512.790 ;
+        RECT 2984.990 3411.610 2986.170 3412.790 ;
+        RECT 2984.990 3311.610 2986.170 3312.790 ;
+        RECT 2984.990 3211.610 2986.170 3212.790 ;
+        RECT 2984.990 3111.610 2986.170 3112.790 ;
+        RECT 2984.990 3011.610 2986.170 3012.790 ;
+        RECT 2984.990 2911.610 2986.170 2912.790 ;
+        RECT 2984.990 2811.610 2986.170 2812.790 ;
+        RECT 2984.990 2711.610 2986.170 2712.790 ;
+        RECT 2984.990 2611.610 2986.170 2612.790 ;
+        RECT 2984.990 2511.610 2986.170 2512.790 ;
+        RECT 2984.990 2411.610 2986.170 2412.790 ;
+        RECT 2984.990 2311.610 2986.170 2312.790 ;
+        RECT 2984.990 2211.610 2986.170 2212.790 ;
+        RECT 2984.990 2111.610 2986.170 2112.790 ;
+        RECT 2984.990 2011.610 2986.170 2012.790 ;
+        RECT 2984.990 1911.610 2986.170 1912.790 ;
+        RECT 2984.990 1811.610 2986.170 1812.790 ;
+        RECT 2984.990 1711.610 2986.170 1712.790 ;
+        RECT 2984.990 1611.610 2986.170 1612.790 ;
+        RECT 2984.990 1511.610 2986.170 1512.790 ;
+        RECT 2984.990 1411.610 2986.170 1412.790 ;
+        RECT 2984.990 1311.610 2986.170 1312.790 ;
+        RECT 2984.990 1211.610 2986.170 1212.790 ;
+        RECT 2984.990 1111.610 2986.170 1112.790 ;
+        RECT 2984.990 1011.610 2986.170 1012.790 ;
+        RECT 2984.990 911.610 2986.170 912.790 ;
+        RECT 2984.990 811.610 2986.170 812.790 ;
+        RECT 2984.990 711.610 2986.170 712.790 ;
+        RECT 2984.990 611.610 2986.170 612.790 ;
+        RECT 2984.990 511.610 2986.170 512.790 ;
+        RECT 2984.990 411.610 2986.170 412.790 ;
+        RECT 2984.990 311.610 2986.170 312.790 ;
+        RECT 2984.990 211.610 2986.170 212.790 ;
+        RECT 2984.990 111.610 2986.170 112.790 ;
+        RECT 8.410 8.410 9.590 9.590 ;
+        RECT 111.610 8.410 112.790 9.590 ;
+        RECT 211.610 8.410 212.790 9.590 ;
+        RECT 311.610 8.410 312.790 9.590 ;
+        RECT 411.610 8.410 412.790 9.590 ;
+        RECT 511.610 8.410 512.790 9.590 ;
+        RECT 611.610 8.410 612.790 9.590 ;
+        RECT 711.610 8.410 712.790 9.590 ;
+        RECT 811.610 8.410 812.790 9.590 ;
+        RECT 911.610 8.410 912.790 9.590 ;
+        RECT 1011.610 8.410 1012.790 9.590 ;
+        RECT 1111.610 8.410 1112.790 9.590 ;
+        RECT 1211.610 8.410 1212.790 9.590 ;
+        RECT 1311.610 8.410 1312.790 9.590 ;
+        RECT 1411.610 8.410 1412.790 9.590 ;
+        RECT 1511.610 8.410 1512.790 9.590 ;
+        RECT 1611.610 8.410 1612.790 9.590 ;
+        RECT 1711.610 8.410 1712.790 9.590 ;
+        RECT 1811.610 8.410 1812.790 9.590 ;
+        RECT 1911.610 8.410 1912.790 9.590 ;
+        RECT 2011.610 8.410 2012.790 9.590 ;
+        RECT 2111.610 8.410 2112.790 9.590 ;
+        RECT 2211.610 8.410 2212.790 9.590 ;
+        RECT 2311.610 8.410 2312.790 9.590 ;
+        RECT 2411.610 8.410 2412.790 9.590 ;
+        RECT 2511.610 8.410 2512.790 9.590 ;
+        RECT 2611.610 8.410 2612.790 9.590 ;
+        RECT 2711.610 8.410 2712.790 9.590 ;
+        RECT 2811.610 8.410 2812.790 9.590 ;
+        RECT 2911.610 8.410 2912.790 9.590 ;
+        RECT 2984.990 8.410 2986.170 9.590 ;
+      LAYER met5 ;
+        RECT 8.000 3573.920 2986.580 3575.920 ;
+        RECT 8.000 3511.200 39.880 3513.200 ;
+        RECT 2955.080 3511.200 2986.580 3513.200 ;
+        RECT 8.000 3411.200 39.880 3413.200 ;
+        RECT 2955.080 3411.200 2986.580 3413.200 ;
+        RECT 8.000 3311.200 39.880 3313.200 ;
+        RECT 2955.080 3311.200 2986.580 3313.200 ;
+        RECT 8.000 3211.200 39.880 3213.200 ;
+        RECT 2955.080 3211.200 2986.580 3213.200 ;
+        RECT 8.000 3111.200 39.880 3113.200 ;
+        RECT 2955.080 3111.200 2986.580 3113.200 ;
+        RECT 8.000 3011.200 39.880 3013.200 ;
+        RECT 2955.080 3011.200 2986.580 3013.200 ;
+        RECT 8.000 2911.200 39.880 2913.200 ;
+        RECT 2955.080 2911.200 2986.580 2913.200 ;
+        RECT 8.000 2811.200 39.880 2813.200 ;
+        RECT 2955.080 2811.200 2986.580 2813.200 ;
+        RECT 8.000 2711.200 39.880 2713.200 ;
+        RECT 2955.080 2711.200 2986.580 2713.200 ;
+        RECT 8.000 2611.200 39.880 2613.200 ;
+        RECT 2955.080 2611.200 2986.580 2613.200 ;
+        RECT 8.000 2511.200 39.880 2513.200 ;
+        RECT 2955.080 2511.200 2986.580 2513.200 ;
+        RECT 8.000 2411.200 39.880 2413.200 ;
+        RECT 2955.080 2411.200 2986.580 2413.200 ;
+        RECT 8.000 2311.200 39.880 2313.200 ;
+        RECT 2955.080 2311.200 2986.580 2313.200 ;
+        RECT 8.000 2211.200 39.880 2213.200 ;
+        RECT 2955.080 2211.200 2986.580 2213.200 ;
+        RECT 8.000 2111.200 39.880 2113.200 ;
+        RECT 2955.080 2111.200 2986.580 2113.200 ;
+        RECT 8.000 2011.200 39.880 2013.200 ;
+        RECT 2955.080 2011.200 2986.580 2013.200 ;
+        RECT 8.000 1911.200 39.880 1913.200 ;
+        RECT 2955.080 1911.200 2986.580 1913.200 ;
+        RECT 8.000 1811.200 39.880 1813.200 ;
+        RECT 2955.080 1811.200 2986.580 1813.200 ;
+        RECT 8.000 1711.200 39.880 1713.200 ;
+        RECT 2955.080 1711.200 2986.580 1713.200 ;
+        RECT 8.000 1611.200 39.880 1613.200 ;
+        RECT 2955.080 1611.200 2986.580 1613.200 ;
+        RECT 8.000 1511.200 39.880 1513.200 ;
+        RECT 2955.080 1511.200 2986.580 1513.200 ;
+        RECT 8.000 1411.200 39.880 1413.200 ;
+        RECT 2955.080 1411.200 2986.580 1413.200 ;
+        RECT 8.000 1311.200 39.880 1313.200 ;
+        RECT 2955.080 1311.200 2986.580 1313.200 ;
+        RECT 8.000 1211.200 39.880 1213.200 ;
+        RECT 2955.080 1211.200 2986.580 1213.200 ;
+        RECT 8.000 1111.200 39.880 1113.200 ;
+        RECT 2955.080 1111.200 2986.580 1113.200 ;
+        RECT 8.000 1011.200 39.880 1013.200 ;
+        RECT 2955.080 1011.200 2986.580 1013.200 ;
+        RECT 8.000 911.200 39.880 913.200 ;
+        RECT 2955.080 911.200 2986.580 913.200 ;
+        RECT 8.000 811.200 39.880 813.200 ;
+        RECT 2955.080 811.200 2986.580 813.200 ;
+        RECT 8.000 711.200 39.880 713.200 ;
+        RECT 2955.080 711.200 2986.580 713.200 ;
+        RECT 8.000 611.200 39.880 613.200 ;
+        RECT 2955.080 611.200 2986.580 613.200 ;
+        RECT 8.000 511.200 39.880 513.200 ;
+        RECT 2955.080 511.200 2986.580 513.200 ;
+        RECT 8.000 411.200 39.880 413.200 ;
+        RECT 2955.080 411.200 2986.580 413.200 ;
+        RECT 8.000 311.200 39.880 313.200 ;
+        RECT 2955.080 311.200 2986.580 313.200 ;
+        RECT 8.000 211.200 39.880 213.200 ;
+        RECT 2955.080 211.200 2986.580 213.200 ;
+        RECT 8.000 111.200 39.880 113.200 ;
+        RECT 2955.080 111.200 2986.580 113.200 ;
+        RECT 8.000 8.000 2986.580 10.000 ;
+    END
+  END vssa1
+  PIN vdda2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 4.000 4.000 6.000 3579.920 ;
+        RECT 70.800 3549.720 72.800 3583.920 ;
+        RECT 170.800 3549.720 172.800 3583.920 ;
+        RECT 270.800 3549.720 272.800 3583.920 ;
+        RECT 370.800 3549.720 372.800 3583.920 ;
+        RECT 470.800 3549.720 472.800 3583.920 ;
+        RECT 570.800 3549.720 572.800 3583.920 ;
+        RECT 670.800 3549.720 672.800 3583.920 ;
+        RECT 770.800 3549.720 772.800 3583.920 ;
+        RECT 870.800 3549.720 872.800 3583.920 ;
+        RECT 970.800 3549.720 972.800 3583.920 ;
+        RECT 1070.800 3549.720 1072.800 3583.920 ;
+        RECT 1170.800 3549.720 1172.800 3583.920 ;
+        RECT 1270.800 3549.720 1272.800 3583.920 ;
+        RECT 1370.800 3549.720 1372.800 3583.920 ;
+        RECT 1470.800 3549.720 1472.800 3583.920 ;
+        RECT 1570.800 3549.720 1572.800 3583.920 ;
+        RECT 1670.800 3549.720 1672.800 3583.920 ;
+        RECT 1770.800 3549.720 1772.800 3583.920 ;
+        RECT 1870.800 3549.720 1872.800 3583.920 ;
+        RECT 1970.800 3549.720 1972.800 3583.920 ;
+        RECT 2070.800 3549.720 2072.800 3583.920 ;
+        RECT 2170.800 3549.720 2172.800 3583.920 ;
+        RECT 2270.800 3549.720 2272.800 3583.920 ;
+        RECT 2370.800 3549.720 2372.800 3583.920 ;
+        RECT 2470.800 3549.720 2472.800 3583.920 ;
+        RECT 2570.800 3549.720 2572.800 3583.920 ;
+        RECT 2670.800 3549.720 2672.800 3583.920 ;
+        RECT 2770.800 3549.720 2772.800 3583.920 ;
+        RECT 2870.800 3549.720 2872.800 3583.920 ;
+        RECT 70.800 0.000 72.800 34.520 ;
+        RECT 170.800 0.000 172.800 34.520 ;
+        RECT 270.800 0.000 272.800 34.520 ;
+        RECT 370.800 0.000 372.800 34.520 ;
+        RECT 470.800 0.000 472.800 34.520 ;
+        RECT 570.800 0.000 572.800 34.520 ;
+        RECT 670.800 0.000 672.800 34.520 ;
+        RECT 770.800 0.000 772.800 34.520 ;
+        RECT 870.800 0.000 872.800 34.520 ;
+        RECT 970.800 0.000 972.800 34.520 ;
+        RECT 1070.800 0.000 1072.800 34.520 ;
+        RECT 1170.800 0.000 1172.800 34.520 ;
+        RECT 1270.800 0.000 1272.800 34.520 ;
+        RECT 1370.800 0.000 1372.800 34.520 ;
+        RECT 1470.800 0.000 1472.800 34.520 ;
+        RECT 1570.800 0.000 1572.800 34.520 ;
+        RECT 1670.800 0.000 1672.800 34.520 ;
+        RECT 1770.800 0.000 1772.800 34.520 ;
+        RECT 1870.800 0.000 1872.800 34.520 ;
+        RECT 1970.800 0.000 1972.800 34.520 ;
+        RECT 2070.800 0.000 2072.800 34.520 ;
+        RECT 2170.800 0.000 2172.800 34.520 ;
+        RECT 2270.800 0.000 2272.800 34.520 ;
+        RECT 2370.800 0.000 2372.800 34.520 ;
+        RECT 2470.800 0.000 2472.800 34.520 ;
+        RECT 2570.800 0.000 2572.800 34.520 ;
+        RECT 2670.800 0.000 2672.800 34.520 ;
+        RECT 2770.800 0.000 2772.800 34.520 ;
+        RECT 2870.800 0.000 2872.800 34.520 ;
+        RECT 2988.580 4.000 2990.580 3579.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 4.410 3578.330 5.590 3579.510 ;
+        RECT 71.210 3578.330 72.390 3579.510 ;
+        RECT 171.210 3578.330 172.390 3579.510 ;
+        RECT 271.210 3578.330 272.390 3579.510 ;
+        RECT 371.210 3578.330 372.390 3579.510 ;
+        RECT 471.210 3578.330 472.390 3579.510 ;
+        RECT 571.210 3578.330 572.390 3579.510 ;
+        RECT 671.210 3578.330 672.390 3579.510 ;
+        RECT 771.210 3578.330 772.390 3579.510 ;
+        RECT 871.210 3578.330 872.390 3579.510 ;
+        RECT 971.210 3578.330 972.390 3579.510 ;
+        RECT 1071.210 3578.330 1072.390 3579.510 ;
+        RECT 1171.210 3578.330 1172.390 3579.510 ;
+        RECT 1271.210 3578.330 1272.390 3579.510 ;
+        RECT 1371.210 3578.330 1372.390 3579.510 ;
+        RECT 1471.210 3578.330 1472.390 3579.510 ;
+        RECT 1571.210 3578.330 1572.390 3579.510 ;
+        RECT 1671.210 3578.330 1672.390 3579.510 ;
+        RECT 1771.210 3578.330 1772.390 3579.510 ;
+        RECT 1871.210 3578.330 1872.390 3579.510 ;
+        RECT 1971.210 3578.330 1972.390 3579.510 ;
+        RECT 2071.210 3578.330 2072.390 3579.510 ;
+        RECT 2171.210 3578.330 2172.390 3579.510 ;
+        RECT 2271.210 3578.330 2272.390 3579.510 ;
+        RECT 2371.210 3578.330 2372.390 3579.510 ;
+        RECT 2471.210 3578.330 2472.390 3579.510 ;
+        RECT 2571.210 3578.330 2572.390 3579.510 ;
+        RECT 2671.210 3578.330 2672.390 3579.510 ;
+        RECT 2771.210 3578.330 2772.390 3579.510 ;
+        RECT 2871.210 3578.330 2872.390 3579.510 ;
+        RECT 2988.990 3578.330 2990.170 3579.510 ;
+        RECT 4.410 3471.210 5.590 3472.390 ;
+        RECT 4.410 3371.210 5.590 3372.390 ;
+        RECT 4.410 3271.210 5.590 3272.390 ;
+        RECT 4.410 3171.210 5.590 3172.390 ;
+        RECT 4.410 3071.210 5.590 3072.390 ;
+        RECT 4.410 2971.210 5.590 2972.390 ;
+        RECT 4.410 2871.210 5.590 2872.390 ;
+        RECT 4.410 2771.210 5.590 2772.390 ;
+        RECT 4.410 2671.210 5.590 2672.390 ;
+        RECT 4.410 2571.210 5.590 2572.390 ;
+        RECT 4.410 2471.210 5.590 2472.390 ;
+        RECT 4.410 2371.210 5.590 2372.390 ;
+        RECT 4.410 2271.210 5.590 2272.390 ;
+        RECT 4.410 2171.210 5.590 2172.390 ;
+        RECT 4.410 2071.210 5.590 2072.390 ;
+        RECT 4.410 1971.210 5.590 1972.390 ;
+        RECT 4.410 1871.210 5.590 1872.390 ;
+        RECT 4.410 1771.210 5.590 1772.390 ;
+        RECT 4.410 1671.210 5.590 1672.390 ;
+        RECT 4.410 1571.210 5.590 1572.390 ;
+        RECT 4.410 1471.210 5.590 1472.390 ;
+        RECT 4.410 1371.210 5.590 1372.390 ;
+        RECT 4.410 1271.210 5.590 1272.390 ;
+        RECT 4.410 1171.210 5.590 1172.390 ;
+        RECT 4.410 1071.210 5.590 1072.390 ;
+        RECT 4.410 971.210 5.590 972.390 ;
+        RECT 4.410 871.210 5.590 872.390 ;
+        RECT 4.410 771.210 5.590 772.390 ;
+        RECT 4.410 671.210 5.590 672.390 ;
+        RECT 4.410 571.210 5.590 572.390 ;
+        RECT 4.410 471.210 5.590 472.390 ;
+        RECT 4.410 371.210 5.590 372.390 ;
+        RECT 4.410 271.210 5.590 272.390 ;
+        RECT 4.410 171.210 5.590 172.390 ;
+        RECT 4.410 71.210 5.590 72.390 ;
+        RECT 2988.990 3471.210 2990.170 3472.390 ;
+        RECT 2988.990 3371.210 2990.170 3372.390 ;
+        RECT 2988.990 3271.210 2990.170 3272.390 ;
+        RECT 2988.990 3171.210 2990.170 3172.390 ;
+        RECT 2988.990 3071.210 2990.170 3072.390 ;
+        RECT 2988.990 2971.210 2990.170 2972.390 ;
+        RECT 2988.990 2871.210 2990.170 2872.390 ;
+        RECT 2988.990 2771.210 2990.170 2772.390 ;
+        RECT 2988.990 2671.210 2990.170 2672.390 ;
+        RECT 2988.990 2571.210 2990.170 2572.390 ;
+        RECT 2988.990 2471.210 2990.170 2472.390 ;
+        RECT 2988.990 2371.210 2990.170 2372.390 ;
+        RECT 2988.990 2271.210 2990.170 2272.390 ;
+        RECT 2988.990 2171.210 2990.170 2172.390 ;
+        RECT 2988.990 2071.210 2990.170 2072.390 ;
+        RECT 2988.990 1971.210 2990.170 1972.390 ;
+        RECT 2988.990 1871.210 2990.170 1872.390 ;
+        RECT 2988.990 1771.210 2990.170 1772.390 ;
+        RECT 2988.990 1671.210 2990.170 1672.390 ;
+        RECT 2988.990 1571.210 2990.170 1572.390 ;
+        RECT 2988.990 1471.210 2990.170 1472.390 ;
+        RECT 2988.990 1371.210 2990.170 1372.390 ;
+        RECT 2988.990 1271.210 2990.170 1272.390 ;
+        RECT 2988.990 1171.210 2990.170 1172.390 ;
+        RECT 2988.990 1071.210 2990.170 1072.390 ;
+        RECT 2988.990 971.210 2990.170 972.390 ;
+        RECT 2988.990 871.210 2990.170 872.390 ;
+        RECT 2988.990 771.210 2990.170 772.390 ;
+        RECT 2988.990 671.210 2990.170 672.390 ;
+        RECT 2988.990 571.210 2990.170 572.390 ;
+        RECT 2988.990 471.210 2990.170 472.390 ;
+        RECT 2988.990 371.210 2990.170 372.390 ;
+        RECT 2988.990 271.210 2990.170 272.390 ;
+        RECT 2988.990 171.210 2990.170 172.390 ;
+        RECT 2988.990 71.210 2990.170 72.390 ;
+        RECT 4.410 4.410 5.590 5.590 ;
+        RECT 71.210 4.410 72.390 5.590 ;
+        RECT 171.210 4.410 172.390 5.590 ;
+        RECT 271.210 4.410 272.390 5.590 ;
+        RECT 371.210 4.410 372.390 5.590 ;
+        RECT 471.210 4.410 472.390 5.590 ;
+        RECT 571.210 4.410 572.390 5.590 ;
+        RECT 671.210 4.410 672.390 5.590 ;
+        RECT 771.210 4.410 772.390 5.590 ;
+        RECT 871.210 4.410 872.390 5.590 ;
+        RECT 971.210 4.410 972.390 5.590 ;
+        RECT 1071.210 4.410 1072.390 5.590 ;
+        RECT 1171.210 4.410 1172.390 5.590 ;
+        RECT 1271.210 4.410 1272.390 5.590 ;
+        RECT 1371.210 4.410 1372.390 5.590 ;
+        RECT 1471.210 4.410 1472.390 5.590 ;
+        RECT 1571.210 4.410 1572.390 5.590 ;
+        RECT 1671.210 4.410 1672.390 5.590 ;
+        RECT 1771.210 4.410 1772.390 5.590 ;
+        RECT 1871.210 4.410 1872.390 5.590 ;
+        RECT 1971.210 4.410 1972.390 5.590 ;
+        RECT 2071.210 4.410 2072.390 5.590 ;
+        RECT 2171.210 4.410 2172.390 5.590 ;
+        RECT 2271.210 4.410 2272.390 5.590 ;
+        RECT 2371.210 4.410 2372.390 5.590 ;
+        RECT 2471.210 4.410 2472.390 5.590 ;
+        RECT 2571.210 4.410 2572.390 5.590 ;
+        RECT 2671.210 4.410 2672.390 5.590 ;
+        RECT 2771.210 4.410 2772.390 5.590 ;
+        RECT 2871.210 4.410 2872.390 5.590 ;
+        RECT 2988.990 4.410 2990.170 5.590 ;
+      LAYER met5 ;
+        RECT 4.000 3577.920 2990.580 3579.920 ;
+        RECT 0.000 3470.800 39.880 3472.800 ;
+        RECT 2955.080 3470.800 2994.580 3472.800 ;
+        RECT 0.000 3370.800 39.880 3372.800 ;
+        RECT 2955.080 3370.800 2994.580 3372.800 ;
+        RECT 0.000 3270.800 39.880 3272.800 ;
+        RECT 2955.080 3270.800 2994.580 3272.800 ;
+        RECT 0.000 3170.800 39.880 3172.800 ;
+        RECT 2955.080 3170.800 2994.580 3172.800 ;
+        RECT 0.000 3070.800 39.880 3072.800 ;
+        RECT 2955.080 3070.800 2994.580 3072.800 ;
+        RECT 0.000 2970.800 39.880 2972.800 ;
+        RECT 2955.080 2970.800 2994.580 2972.800 ;
+        RECT 0.000 2870.800 39.880 2872.800 ;
+        RECT 2955.080 2870.800 2994.580 2872.800 ;
+        RECT 0.000 2770.800 39.880 2772.800 ;
+        RECT 2955.080 2770.800 2994.580 2772.800 ;
+        RECT 0.000 2670.800 39.880 2672.800 ;
+        RECT 2955.080 2670.800 2994.580 2672.800 ;
+        RECT 0.000 2570.800 39.880 2572.800 ;
+        RECT 2955.080 2570.800 2994.580 2572.800 ;
+        RECT 0.000 2470.800 39.880 2472.800 ;
+        RECT 2955.080 2470.800 2994.580 2472.800 ;
+        RECT 0.000 2370.800 39.880 2372.800 ;
+        RECT 2955.080 2370.800 2994.580 2372.800 ;
+        RECT 0.000 2270.800 39.880 2272.800 ;
+        RECT 2955.080 2270.800 2994.580 2272.800 ;
+        RECT 0.000 2170.800 39.880 2172.800 ;
+        RECT 2955.080 2170.800 2994.580 2172.800 ;
+        RECT 0.000 2070.800 39.880 2072.800 ;
+        RECT 2955.080 2070.800 2994.580 2072.800 ;
+        RECT 0.000 1970.800 39.880 1972.800 ;
+        RECT 2955.080 1970.800 2994.580 1972.800 ;
+        RECT 0.000 1870.800 39.880 1872.800 ;
+        RECT 2955.080 1870.800 2994.580 1872.800 ;
+        RECT 0.000 1770.800 39.880 1772.800 ;
+        RECT 2955.080 1770.800 2994.580 1772.800 ;
+        RECT 0.000 1670.800 39.880 1672.800 ;
+        RECT 2955.080 1670.800 2994.580 1672.800 ;
+        RECT 0.000 1570.800 39.880 1572.800 ;
+        RECT 2955.080 1570.800 2994.580 1572.800 ;
+        RECT 0.000 1470.800 39.880 1472.800 ;
+        RECT 2955.080 1470.800 2994.580 1472.800 ;
+        RECT 0.000 1370.800 39.880 1372.800 ;
+        RECT 2955.080 1370.800 2994.580 1372.800 ;
+        RECT 0.000 1270.800 39.880 1272.800 ;
+        RECT 2955.080 1270.800 2994.580 1272.800 ;
+        RECT 0.000 1170.800 39.880 1172.800 ;
+        RECT 2955.080 1170.800 2994.580 1172.800 ;
+        RECT 0.000 1070.800 39.880 1072.800 ;
+        RECT 2955.080 1070.800 2994.580 1072.800 ;
+        RECT 0.000 970.800 39.880 972.800 ;
+        RECT 2955.080 970.800 2994.580 972.800 ;
+        RECT 0.000 870.800 39.880 872.800 ;
+        RECT 2955.080 870.800 2994.580 872.800 ;
+        RECT 0.000 770.800 39.880 772.800 ;
+        RECT 2955.080 770.800 2994.580 772.800 ;
+        RECT 0.000 670.800 39.880 672.800 ;
+        RECT 2955.080 670.800 2994.580 672.800 ;
+        RECT 0.000 570.800 39.880 572.800 ;
+        RECT 2955.080 570.800 2994.580 572.800 ;
+        RECT 0.000 470.800 39.880 472.800 ;
+        RECT 2955.080 470.800 2994.580 472.800 ;
+        RECT 0.000 370.800 39.880 372.800 ;
+        RECT 2955.080 370.800 2994.580 372.800 ;
+        RECT 0.000 270.800 39.880 272.800 ;
+        RECT 2955.080 270.800 2994.580 272.800 ;
+        RECT 0.000 170.800 39.880 172.800 ;
+        RECT 2955.080 170.800 2994.580 172.800 ;
+        RECT 0.000 70.800 39.880 72.800 ;
+        RECT 2955.080 70.800 2994.580 72.800 ;
+        RECT 4.000 4.000 2990.580 6.000 ;
+    END
+  END vdda2
+  PIN vssa2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met4 ;
+        RECT 0.000 0.000 2.000 3583.920 ;
+        RECT 120.800 3549.720 122.800 3583.920 ;
+        RECT 220.800 3549.720 222.800 3583.920 ;
+        RECT 320.800 3549.720 322.800 3583.920 ;
+        RECT 420.800 3549.720 422.800 3583.920 ;
+        RECT 520.800 3549.720 522.800 3583.920 ;
+        RECT 620.800 3549.720 622.800 3583.920 ;
+        RECT 720.800 3549.720 722.800 3583.920 ;
+        RECT 820.800 3549.720 822.800 3583.920 ;
+        RECT 920.800 3549.720 922.800 3583.920 ;
+        RECT 1020.800 3549.720 1022.800 3583.920 ;
+        RECT 1120.800 3549.720 1122.800 3583.920 ;
+        RECT 1220.800 3549.720 1222.800 3583.920 ;
+        RECT 1320.800 3549.720 1322.800 3583.920 ;
+        RECT 1420.800 3549.720 1422.800 3583.920 ;
+        RECT 1520.800 3549.720 1522.800 3583.920 ;
+        RECT 1620.800 3549.720 1622.800 3583.920 ;
+        RECT 1720.800 3549.720 1722.800 3583.920 ;
+        RECT 1820.800 3549.720 1822.800 3583.920 ;
+        RECT 1920.800 3549.720 1922.800 3583.920 ;
+        RECT 2020.800 3549.720 2022.800 3583.920 ;
+        RECT 2120.800 3549.720 2122.800 3583.920 ;
+        RECT 2220.800 3549.720 2222.800 3583.920 ;
+        RECT 2320.800 3549.720 2322.800 3583.920 ;
+        RECT 2420.800 3549.720 2422.800 3583.920 ;
+        RECT 2520.800 3549.720 2522.800 3583.920 ;
+        RECT 2620.800 3549.720 2622.800 3583.920 ;
+        RECT 2720.800 3549.720 2722.800 3583.920 ;
+        RECT 2820.800 3549.720 2822.800 3583.920 ;
+        RECT 2920.800 3549.720 2922.800 3583.920 ;
+        RECT 120.800 0.000 122.800 34.520 ;
+        RECT 220.800 0.000 222.800 34.520 ;
+        RECT 320.800 0.000 322.800 34.520 ;
+        RECT 420.800 0.000 422.800 34.520 ;
+        RECT 520.800 0.000 522.800 34.520 ;
+        RECT 620.800 0.000 622.800 34.520 ;
+        RECT 720.800 0.000 722.800 34.520 ;
+        RECT 820.800 0.000 822.800 34.520 ;
+        RECT 920.800 0.000 922.800 34.520 ;
+        RECT 1020.800 0.000 1022.800 34.520 ;
+        RECT 1120.800 0.000 1122.800 34.520 ;
+        RECT 1220.800 0.000 1222.800 34.520 ;
+        RECT 1320.800 0.000 1322.800 34.520 ;
+        RECT 1420.800 0.000 1422.800 34.520 ;
+        RECT 1520.800 0.000 1522.800 34.520 ;
+        RECT 1620.800 0.000 1622.800 34.520 ;
+        RECT 1720.800 0.000 1722.800 34.520 ;
+        RECT 1820.800 0.000 1822.800 34.520 ;
+        RECT 1920.800 0.000 1922.800 34.520 ;
+        RECT 2020.800 0.000 2022.800 34.520 ;
+        RECT 2120.800 0.000 2122.800 34.520 ;
+        RECT 2220.800 0.000 2222.800 34.520 ;
+        RECT 2320.800 0.000 2322.800 34.520 ;
+        RECT 2420.800 0.000 2422.800 34.520 ;
+        RECT 2520.800 0.000 2522.800 34.520 ;
+        RECT 2620.800 0.000 2622.800 34.520 ;
+        RECT 2720.800 0.000 2722.800 34.520 ;
+        RECT 2820.800 0.000 2822.800 34.520 ;
+        RECT 2920.800 0.000 2922.800 34.520 ;
+        RECT 2992.580 0.000 2994.580 3583.920 ;
+      LAYER M4M5_PR_C ;
+        RECT 0.410 3582.330 1.590 3583.510 ;
+        RECT 121.210 3582.330 122.390 3583.510 ;
+        RECT 221.210 3582.330 222.390 3583.510 ;
+        RECT 321.210 3582.330 322.390 3583.510 ;
+        RECT 421.210 3582.330 422.390 3583.510 ;
+        RECT 521.210 3582.330 522.390 3583.510 ;
+        RECT 621.210 3582.330 622.390 3583.510 ;
+        RECT 721.210 3582.330 722.390 3583.510 ;
+        RECT 821.210 3582.330 822.390 3583.510 ;
+        RECT 921.210 3582.330 922.390 3583.510 ;
+        RECT 1021.210 3582.330 1022.390 3583.510 ;
+        RECT 1121.210 3582.330 1122.390 3583.510 ;
+        RECT 1221.210 3582.330 1222.390 3583.510 ;
+        RECT 1321.210 3582.330 1322.390 3583.510 ;
+        RECT 1421.210 3582.330 1422.390 3583.510 ;
+        RECT 1521.210 3582.330 1522.390 3583.510 ;
+        RECT 1621.210 3582.330 1622.390 3583.510 ;
+        RECT 1721.210 3582.330 1722.390 3583.510 ;
+        RECT 1821.210 3582.330 1822.390 3583.510 ;
+        RECT 1921.210 3582.330 1922.390 3583.510 ;
+        RECT 2021.210 3582.330 2022.390 3583.510 ;
+        RECT 2121.210 3582.330 2122.390 3583.510 ;
+        RECT 2221.210 3582.330 2222.390 3583.510 ;
+        RECT 2321.210 3582.330 2322.390 3583.510 ;
+        RECT 2421.210 3582.330 2422.390 3583.510 ;
+        RECT 2521.210 3582.330 2522.390 3583.510 ;
+        RECT 2621.210 3582.330 2622.390 3583.510 ;
+        RECT 2721.210 3582.330 2722.390 3583.510 ;
+        RECT 2821.210 3582.330 2822.390 3583.510 ;
+        RECT 2921.210 3582.330 2922.390 3583.510 ;
+        RECT 2992.990 3582.330 2994.170 3583.510 ;
+        RECT 0.410 3521.210 1.590 3522.390 ;
+        RECT 0.410 3421.210 1.590 3422.390 ;
+        RECT 0.410 3321.210 1.590 3322.390 ;
+        RECT 0.410 3221.210 1.590 3222.390 ;
+        RECT 0.410 3121.210 1.590 3122.390 ;
+        RECT 0.410 3021.210 1.590 3022.390 ;
+        RECT 0.410 2921.210 1.590 2922.390 ;
+        RECT 0.410 2821.210 1.590 2822.390 ;
+        RECT 0.410 2721.210 1.590 2722.390 ;
+        RECT 0.410 2621.210 1.590 2622.390 ;
+        RECT 0.410 2521.210 1.590 2522.390 ;
+        RECT 0.410 2421.210 1.590 2422.390 ;
+        RECT 0.410 2321.210 1.590 2322.390 ;
+        RECT 0.410 2221.210 1.590 2222.390 ;
+        RECT 0.410 2121.210 1.590 2122.390 ;
+        RECT 0.410 2021.210 1.590 2022.390 ;
+        RECT 0.410 1921.210 1.590 1922.390 ;
+        RECT 0.410 1821.210 1.590 1822.390 ;
+        RECT 0.410 1721.210 1.590 1722.390 ;
+        RECT 0.410 1621.210 1.590 1622.390 ;
+        RECT 0.410 1521.210 1.590 1522.390 ;
+        RECT 0.410 1421.210 1.590 1422.390 ;
+        RECT 0.410 1321.210 1.590 1322.390 ;
+        RECT 0.410 1221.210 1.590 1222.390 ;
+        RECT 0.410 1121.210 1.590 1122.390 ;
+        RECT 0.410 1021.210 1.590 1022.390 ;
+        RECT 0.410 921.210 1.590 922.390 ;
+        RECT 0.410 821.210 1.590 822.390 ;
+        RECT 0.410 721.210 1.590 722.390 ;
+        RECT 0.410 621.210 1.590 622.390 ;
+        RECT 0.410 521.210 1.590 522.390 ;
+        RECT 0.410 421.210 1.590 422.390 ;
+        RECT 0.410 321.210 1.590 322.390 ;
+        RECT 0.410 221.210 1.590 222.390 ;
+        RECT 0.410 121.210 1.590 122.390 ;
+        RECT 2992.990 3521.210 2994.170 3522.390 ;
+        RECT 2992.990 3421.210 2994.170 3422.390 ;
+        RECT 2992.990 3321.210 2994.170 3322.390 ;
+        RECT 2992.990 3221.210 2994.170 3222.390 ;
+        RECT 2992.990 3121.210 2994.170 3122.390 ;
+        RECT 2992.990 3021.210 2994.170 3022.390 ;
+        RECT 2992.990 2921.210 2994.170 2922.390 ;
+        RECT 2992.990 2821.210 2994.170 2822.390 ;
+        RECT 2992.990 2721.210 2994.170 2722.390 ;
+        RECT 2992.990 2621.210 2994.170 2622.390 ;
+        RECT 2992.990 2521.210 2994.170 2522.390 ;
+        RECT 2992.990 2421.210 2994.170 2422.390 ;
+        RECT 2992.990 2321.210 2994.170 2322.390 ;
+        RECT 2992.990 2221.210 2994.170 2222.390 ;
+        RECT 2992.990 2121.210 2994.170 2122.390 ;
+        RECT 2992.990 2021.210 2994.170 2022.390 ;
+        RECT 2992.990 1921.210 2994.170 1922.390 ;
+        RECT 2992.990 1821.210 2994.170 1822.390 ;
+        RECT 2992.990 1721.210 2994.170 1722.390 ;
+        RECT 2992.990 1621.210 2994.170 1622.390 ;
+        RECT 2992.990 1521.210 2994.170 1522.390 ;
+        RECT 2992.990 1421.210 2994.170 1422.390 ;
+        RECT 2992.990 1321.210 2994.170 1322.390 ;
+        RECT 2992.990 1221.210 2994.170 1222.390 ;
+        RECT 2992.990 1121.210 2994.170 1122.390 ;
+        RECT 2992.990 1021.210 2994.170 1022.390 ;
+        RECT 2992.990 921.210 2994.170 922.390 ;
+        RECT 2992.990 821.210 2994.170 822.390 ;
+        RECT 2992.990 721.210 2994.170 722.390 ;
+        RECT 2992.990 621.210 2994.170 622.390 ;
+        RECT 2992.990 521.210 2994.170 522.390 ;
+        RECT 2992.990 421.210 2994.170 422.390 ;
+        RECT 2992.990 321.210 2994.170 322.390 ;
+        RECT 2992.990 221.210 2994.170 222.390 ;
+        RECT 2992.990 121.210 2994.170 122.390 ;
+        RECT 0.410 0.410 1.590 1.590 ;
+        RECT 121.210 0.410 122.390 1.590 ;
+        RECT 221.210 0.410 222.390 1.590 ;
+        RECT 321.210 0.410 322.390 1.590 ;
+        RECT 421.210 0.410 422.390 1.590 ;
+        RECT 521.210 0.410 522.390 1.590 ;
+        RECT 621.210 0.410 622.390 1.590 ;
+        RECT 721.210 0.410 722.390 1.590 ;
+        RECT 821.210 0.410 822.390 1.590 ;
+        RECT 921.210 0.410 922.390 1.590 ;
+        RECT 1021.210 0.410 1022.390 1.590 ;
+        RECT 1121.210 0.410 1122.390 1.590 ;
+        RECT 1221.210 0.410 1222.390 1.590 ;
+        RECT 1321.210 0.410 1322.390 1.590 ;
+        RECT 1421.210 0.410 1422.390 1.590 ;
+        RECT 1521.210 0.410 1522.390 1.590 ;
+        RECT 1621.210 0.410 1622.390 1.590 ;
+        RECT 1721.210 0.410 1722.390 1.590 ;
+        RECT 1821.210 0.410 1822.390 1.590 ;
+        RECT 1921.210 0.410 1922.390 1.590 ;
+        RECT 2021.210 0.410 2022.390 1.590 ;
+        RECT 2121.210 0.410 2122.390 1.590 ;
+        RECT 2221.210 0.410 2222.390 1.590 ;
+        RECT 2321.210 0.410 2322.390 1.590 ;
+        RECT 2421.210 0.410 2422.390 1.590 ;
+        RECT 2521.210 0.410 2522.390 1.590 ;
+        RECT 2621.210 0.410 2622.390 1.590 ;
+        RECT 2721.210 0.410 2722.390 1.590 ;
+        RECT 2821.210 0.410 2822.390 1.590 ;
+        RECT 2921.210 0.410 2922.390 1.590 ;
+        RECT 2992.990 0.410 2994.170 1.590 ;
+      LAYER met5 ;
+        RECT 0.000 3581.920 2994.580 3583.920 ;
+        RECT 0.000 3520.800 39.880 3522.800 ;
+        RECT 2955.080 3520.800 2994.580 3522.800 ;
+        RECT 0.000 3420.800 39.880 3422.800 ;
+        RECT 2955.080 3420.800 2994.580 3422.800 ;
+        RECT 0.000 3320.800 39.880 3322.800 ;
+        RECT 2955.080 3320.800 2994.580 3322.800 ;
+        RECT 0.000 3220.800 39.880 3222.800 ;
+        RECT 2955.080 3220.800 2994.580 3222.800 ;
+        RECT 0.000 3120.800 39.880 3122.800 ;
+        RECT 2955.080 3120.800 2994.580 3122.800 ;
+        RECT 0.000 3020.800 39.880 3022.800 ;
+        RECT 2955.080 3020.800 2994.580 3022.800 ;
+        RECT 0.000 2920.800 39.880 2922.800 ;
+        RECT 2955.080 2920.800 2994.580 2922.800 ;
+        RECT 0.000 2820.800 39.880 2822.800 ;
+        RECT 2955.080 2820.800 2994.580 2822.800 ;
+        RECT 0.000 2720.800 39.880 2722.800 ;
+        RECT 2955.080 2720.800 2994.580 2722.800 ;
+        RECT 0.000 2620.800 39.880 2622.800 ;
+        RECT 2955.080 2620.800 2994.580 2622.800 ;
+        RECT 0.000 2520.800 39.880 2522.800 ;
+        RECT 2955.080 2520.800 2994.580 2522.800 ;
+        RECT 0.000 2420.800 39.880 2422.800 ;
+        RECT 2955.080 2420.800 2994.580 2422.800 ;
+        RECT 0.000 2320.800 39.880 2322.800 ;
+        RECT 2955.080 2320.800 2994.580 2322.800 ;
+        RECT 0.000 2220.800 39.880 2222.800 ;
+        RECT 2955.080 2220.800 2994.580 2222.800 ;
+        RECT 0.000 2120.800 39.880 2122.800 ;
+        RECT 2955.080 2120.800 2994.580 2122.800 ;
+        RECT 0.000 2020.800 39.880 2022.800 ;
+        RECT 2955.080 2020.800 2994.580 2022.800 ;
+        RECT 0.000 1920.800 39.880 1922.800 ;
+        RECT 2955.080 1920.800 2994.580 1922.800 ;
+        RECT 0.000 1820.800 39.880 1822.800 ;
+        RECT 2955.080 1820.800 2994.580 1822.800 ;
+        RECT 0.000 1720.800 39.880 1722.800 ;
+        RECT 2955.080 1720.800 2994.580 1722.800 ;
+        RECT 0.000 1620.800 39.880 1622.800 ;
+        RECT 2955.080 1620.800 2994.580 1622.800 ;
+        RECT 0.000 1520.800 39.880 1522.800 ;
+        RECT 2955.080 1520.800 2994.580 1522.800 ;
+        RECT 0.000 1420.800 39.880 1422.800 ;
+        RECT 2955.080 1420.800 2994.580 1422.800 ;
+        RECT 0.000 1320.800 39.880 1322.800 ;
+        RECT 2955.080 1320.800 2994.580 1322.800 ;
+        RECT 0.000 1220.800 39.880 1222.800 ;
+        RECT 2955.080 1220.800 2994.580 1222.800 ;
+        RECT 0.000 1120.800 39.880 1122.800 ;
+        RECT 2955.080 1120.800 2994.580 1122.800 ;
+        RECT 0.000 1020.800 39.880 1022.800 ;
+        RECT 2955.080 1020.800 2994.580 1022.800 ;
+        RECT 0.000 920.800 39.880 922.800 ;
+        RECT 2955.080 920.800 2994.580 922.800 ;
+        RECT 0.000 820.800 39.880 822.800 ;
+        RECT 2955.080 820.800 2994.580 822.800 ;
+        RECT 0.000 720.800 39.880 722.800 ;
+        RECT 2955.080 720.800 2994.580 722.800 ;
+        RECT 0.000 620.800 39.880 622.800 ;
+        RECT 2955.080 620.800 2994.580 622.800 ;
+        RECT 0.000 520.800 39.880 522.800 ;
+        RECT 2955.080 520.800 2994.580 522.800 ;
+        RECT 0.000 420.800 39.880 422.800 ;
+        RECT 2955.080 420.800 2994.580 422.800 ;
+        RECT 0.000 320.800 39.880 322.800 ;
+        RECT 2955.080 320.800 2994.580 322.800 ;
+        RECT 0.000 220.800 39.880 222.800 ;
+        RECT 2955.080 220.800 2994.580 222.800 ;
+        RECT 0.000 120.800 39.880 122.800 ;
+        RECT 2955.080 120.800 2994.580 122.800 ;
+        RECT 0.000 0.000 2994.580 2.000 ;
+    END
+  END vssa2
+  OBS
+      LAYER nwell ;
+        RECT 42.280 618.225 631.460 619.830 ;
+      LAYER pwell ;
+        RECT 42.615 616.835 42.785 617.005 ;
+        RECT 43.995 616.835 44.165 617.005 ;
+        RECT 49.515 616.835 49.685 617.005 ;
+      LAYER nwell ;
+        RECT 42.280 612.785 631.460 615.615 ;
+      LAYER pwell ;
+        RECT 42.615 611.395 42.785 611.565 ;
+        RECT 43.995 611.395 44.165 611.565 ;
+        RECT 49.515 611.395 49.685 611.565 ;
+      LAYER nwell ;
+        RECT 42.280 607.345 631.460 610.175 ;
+      LAYER pwell ;
+        RECT 42.615 605.955 42.785 606.125 ;
+        RECT 43.995 605.955 44.165 606.125 ;
+        RECT 49.515 605.955 49.685 606.125 ;
+      LAYER nwell ;
+        RECT 42.280 601.905 631.460 604.735 ;
+      LAYER pwell ;
+        RECT 42.615 600.515 42.785 600.685 ;
+        RECT 43.995 600.515 44.165 600.685 ;
+        RECT 49.515 600.515 49.685 600.685 ;
+      LAYER nwell ;
+        RECT 42.280 596.465 631.460 599.295 ;
+      LAYER pwell ;
+        RECT 42.615 595.075 42.785 595.245 ;
+        RECT 43.995 595.075 44.165 595.245 ;
+        RECT 49.515 595.075 49.685 595.245 ;
+      LAYER nwell ;
+        RECT 42.280 591.025 631.460 593.855 ;
+      LAYER pwell ;
+        RECT 42.615 589.635 42.785 589.805 ;
+        RECT 43.995 589.635 44.165 589.805 ;
+        RECT 49.515 589.635 49.685 589.805 ;
+      LAYER nwell ;
+        RECT 42.280 585.585 631.460 588.415 ;
+      LAYER pwell ;
+        RECT 42.615 584.195 42.785 584.365 ;
+        RECT 43.995 584.195 44.165 584.365 ;
+        RECT 49.515 584.195 49.685 584.365 ;
+      LAYER nwell ;
+        RECT 42.280 580.145 631.460 582.975 ;
+      LAYER pwell ;
+        RECT 42.615 578.755 42.785 578.925 ;
+        RECT 43.995 578.755 44.165 578.925 ;
+        RECT 49.515 578.755 49.685 578.925 ;
+      LAYER nwell ;
+        RECT 42.280 574.705 631.460 577.535 ;
+      LAYER pwell ;
+        RECT 42.615 573.315 42.785 573.485 ;
+        RECT 43.995 573.315 44.165 573.485 ;
+        RECT 49.515 573.315 49.685 573.485 ;
+      LAYER nwell ;
+        RECT 42.280 569.265 631.460 572.095 ;
+      LAYER pwell ;
+        RECT 42.615 567.875 42.785 568.045 ;
+        RECT 43.995 567.875 44.165 568.045 ;
+        RECT 49.515 567.875 49.685 568.045 ;
+      LAYER nwell ;
+        RECT 42.280 563.825 631.460 566.655 ;
+      LAYER pwell ;
+        RECT 42.615 562.435 42.785 562.605 ;
+        RECT 43.995 562.435 44.165 562.605 ;
+        RECT 49.515 562.435 49.685 562.605 ;
+      LAYER nwell ;
+        RECT 42.280 558.385 631.460 561.215 ;
+      LAYER pwell ;
+        RECT 42.615 556.995 42.785 557.165 ;
+        RECT 43.995 556.995 44.165 557.165 ;
+        RECT 49.515 556.995 49.685 557.165 ;
+      LAYER nwell ;
+        RECT 42.280 552.945 631.460 555.775 ;
+      LAYER pwell ;
+        RECT 42.615 551.555 42.785 551.725 ;
+        RECT 43.995 551.555 44.165 551.725 ;
+        RECT 49.515 551.555 49.685 551.725 ;
+      LAYER nwell ;
+        RECT 42.280 547.505 631.460 550.335 ;
+      LAYER pwell ;
+        RECT 42.615 546.115 42.785 546.285 ;
+        RECT 43.995 546.115 44.165 546.285 ;
+        RECT 49.515 546.115 49.685 546.285 ;
+      LAYER nwell ;
+        RECT 42.280 542.065 631.460 544.895 ;
+      LAYER pwell ;
+        RECT 42.615 540.675 42.785 540.845 ;
+        RECT 43.995 540.675 44.165 540.845 ;
+        RECT 49.515 540.675 49.685 540.845 ;
+      LAYER nwell ;
+        RECT 42.280 536.625 631.460 539.455 ;
+      LAYER pwell ;
+        RECT 42.615 535.235 42.785 535.405 ;
+        RECT 43.995 535.235 44.165 535.405 ;
+        RECT 49.515 535.235 49.685 535.405 ;
+      LAYER nwell ;
+        RECT 42.280 531.185 631.460 534.015 ;
+      LAYER pwell ;
+        RECT 42.615 529.795 42.785 529.965 ;
+        RECT 43.995 529.795 44.165 529.965 ;
+        RECT 49.515 529.795 49.685 529.965 ;
+      LAYER nwell ;
+        RECT 42.280 525.745 631.460 528.575 ;
+      LAYER pwell ;
+        RECT 42.615 524.355 42.785 524.525 ;
+        RECT 43.995 524.355 44.165 524.525 ;
+        RECT 49.515 524.355 49.685 524.525 ;
+      LAYER nwell ;
+        RECT 42.280 520.305 631.460 523.135 ;
+      LAYER pwell ;
+        RECT 42.615 518.915 42.785 519.085 ;
+        RECT 43.995 518.915 44.165 519.085 ;
+        RECT 49.515 518.915 49.685 519.085 ;
+      LAYER nwell ;
+        RECT 42.280 514.865 631.460 517.695 ;
+      LAYER pwell ;
+        RECT 42.615 513.475 42.785 513.645 ;
+        RECT 43.995 513.475 44.165 513.645 ;
+        RECT 49.515 513.475 49.685 513.645 ;
+      LAYER nwell ;
+        RECT 42.280 509.425 631.460 512.255 ;
+      LAYER pwell ;
+        RECT 42.615 508.035 42.785 508.205 ;
+        RECT 43.995 508.035 44.165 508.205 ;
+        RECT 49.515 508.035 49.685 508.205 ;
+      LAYER nwell ;
+        RECT 42.280 503.985 631.460 506.815 ;
+      LAYER pwell ;
+        RECT 42.615 502.595 42.785 502.765 ;
+        RECT 43.995 502.595 44.165 502.765 ;
+        RECT 49.515 502.595 49.685 502.765 ;
+      LAYER nwell ;
+        RECT 42.280 498.545 631.460 501.375 ;
+      LAYER pwell ;
+        RECT 42.615 497.155 42.785 497.325 ;
+        RECT 43.995 497.155 44.165 497.325 ;
+        RECT 49.515 497.155 49.685 497.325 ;
+      LAYER nwell ;
+        RECT 42.280 493.105 631.460 495.935 ;
+      LAYER pwell ;
+        RECT 42.615 491.715 42.785 491.885 ;
+        RECT 43.995 491.715 44.165 491.885 ;
+        RECT 49.515 491.715 49.685 491.885 ;
+      LAYER nwell ;
+        RECT 42.280 487.665 631.460 490.495 ;
+      LAYER pwell ;
+        RECT 42.615 486.275 42.785 486.445 ;
+        RECT 43.995 486.275 44.165 486.445 ;
+        RECT 49.515 486.275 49.685 486.445 ;
+      LAYER nwell ;
+        RECT 42.280 482.225 631.460 485.055 ;
+      LAYER pwell ;
+        RECT 42.615 480.835 42.785 481.005 ;
+        RECT 43.995 480.835 44.165 481.005 ;
+        RECT 49.515 480.835 49.685 481.005 ;
+      LAYER nwell ;
+        RECT 42.280 476.785 631.460 479.615 ;
+      LAYER pwell ;
+        RECT 42.615 475.395 42.785 475.565 ;
+        RECT 43.995 475.395 44.165 475.565 ;
+        RECT 49.515 475.395 49.685 475.565 ;
+      LAYER nwell ;
+        RECT 42.280 471.345 631.460 474.175 ;
+      LAYER pwell ;
+        RECT 42.615 469.955 42.785 470.125 ;
+        RECT 43.995 469.955 44.165 470.125 ;
+        RECT 49.515 469.955 49.685 470.125 ;
+      LAYER nwell ;
+        RECT 42.280 465.905 631.460 468.735 ;
+      LAYER pwell ;
+        RECT 42.615 464.515 42.785 464.685 ;
+        RECT 43.995 464.515 44.165 464.685 ;
+        RECT 49.515 464.515 49.685 464.685 ;
+      LAYER nwell ;
+        RECT 42.280 460.465 631.460 463.295 ;
+      LAYER pwell ;
+        RECT 42.615 459.075 42.785 459.245 ;
+        RECT 43.995 459.075 44.165 459.245 ;
+        RECT 49.515 459.075 49.685 459.245 ;
+      LAYER nwell ;
+        RECT 42.280 455.025 631.460 457.855 ;
+      LAYER pwell ;
+        RECT 42.615 453.635 42.785 453.805 ;
+        RECT 43.995 453.635 44.165 453.805 ;
+        RECT 49.515 453.635 49.685 453.805 ;
+      LAYER nwell ;
+        RECT 42.280 449.585 631.460 452.415 ;
+      LAYER pwell ;
+        RECT 42.615 448.195 42.785 448.365 ;
+        RECT 43.995 448.195 44.165 448.365 ;
+        RECT 49.515 448.195 49.685 448.365 ;
+      LAYER nwell ;
+        RECT 42.280 444.145 631.460 446.975 ;
+      LAYER pwell ;
+        RECT 42.615 442.755 42.785 442.925 ;
+        RECT 43.995 442.755 44.165 442.925 ;
+        RECT 49.515 442.755 49.685 442.925 ;
+      LAYER nwell ;
+        RECT 42.280 438.705 631.460 441.535 ;
+      LAYER pwell ;
+        RECT 42.615 437.315 42.785 437.485 ;
+        RECT 43.995 437.315 44.165 437.485 ;
+        RECT 49.515 437.315 49.685 437.485 ;
+      LAYER nwell ;
+        RECT 42.280 433.265 631.460 436.095 ;
+      LAYER pwell ;
+        RECT 42.615 431.875 42.785 432.045 ;
+        RECT 43.995 431.875 44.165 432.045 ;
+        RECT 49.515 431.875 49.685 432.045 ;
+      LAYER nwell ;
+        RECT 42.280 427.825 631.460 430.655 ;
+      LAYER pwell ;
+        RECT 42.615 426.435 42.785 426.605 ;
+        RECT 43.995 426.435 44.165 426.605 ;
+        RECT 49.515 426.435 49.685 426.605 ;
+      LAYER nwell ;
+        RECT 42.280 422.385 631.460 425.215 ;
+      LAYER pwell ;
+        RECT 42.615 420.995 42.785 421.165 ;
+        RECT 43.995 420.995 44.165 421.165 ;
+        RECT 49.515 420.995 49.685 421.165 ;
+      LAYER nwell ;
+        RECT 42.280 416.945 631.460 419.775 ;
+      LAYER pwell ;
+        RECT 42.615 415.555 42.785 415.725 ;
+        RECT 43.995 415.555 44.165 415.725 ;
+        RECT 49.515 415.555 49.685 415.725 ;
+      LAYER nwell ;
+        RECT 42.280 411.505 631.460 414.335 ;
+      LAYER pwell ;
+        RECT 42.615 410.115 42.785 410.285 ;
+        RECT 43.995 410.115 44.165 410.285 ;
+        RECT 49.515 410.115 49.685 410.285 ;
+      LAYER nwell ;
+        RECT 42.280 406.065 631.460 408.895 ;
+      LAYER pwell ;
+        RECT 42.615 404.675 42.785 404.845 ;
+        RECT 43.995 404.675 44.165 404.845 ;
+        RECT 49.515 404.675 49.685 404.845 ;
+      LAYER nwell ;
+        RECT 42.280 400.625 631.460 403.455 ;
+      LAYER pwell ;
+        RECT 42.615 399.235 42.785 399.405 ;
+        RECT 43.995 399.235 44.165 399.405 ;
+        RECT 49.515 399.235 49.685 399.405 ;
+      LAYER nwell ;
+        RECT 42.280 395.185 631.460 398.015 ;
+      LAYER pwell ;
+        RECT 42.615 393.795 42.785 393.965 ;
+        RECT 43.995 393.795 44.165 393.965 ;
+        RECT 49.515 393.795 49.685 393.965 ;
+      LAYER nwell ;
+        RECT 42.280 389.745 631.460 392.575 ;
+      LAYER pwell ;
+        RECT 42.615 388.355 42.785 388.525 ;
+        RECT 43.995 388.355 44.165 388.525 ;
+        RECT 49.515 388.355 49.685 388.525 ;
+      LAYER nwell ;
+        RECT 42.280 384.305 631.460 387.135 ;
+      LAYER pwell ;
+        RECT 42.615 382.915 42.785 383.085 ;
+        RECT 43.995 382.915 44.165 383.085 ;
+        RECT 49.515 382.915 49.685 383.085 ;
+      LAYER nwell ;
+        RECT 42.280 378.865 631.460 381.695 ;
+      LAYER pwell ;
+        RECT 42.615 377.475 42.785 377.645 ;
+        RECT 43.995 377.475 44.165 377.645 ;
+        RECT 49.515 377.475 49.685 377.645 ;
+      LAYER nwell ;
+        RECT 42.280 373.425 631.460 376.255 ;
+      LAYER pwell ;
+        RECT 42.615 372.035 42.785 372.205 ;
+        RECT 43.995 372.035 44.165 372.205 ;
+        RECT 49.515 372.035 49.685 372.205 ;
+      LAYER nwell ;
+        RECT 42.280 367.985 631.460 370.815 ;
+      LAYER pwell ;
+        RECT 42.615 366.595 42.785 366.765 ;
+        RECT 43.995 366.595 44.165 366.765 ;
+        RECT 49.515 366.595 49.685 366.765 ;
+      LAYER nwell ;
+        RECT 42.280 362.545 631.460 365.375 ;
+      LAYER pwell ;
+        RECT 42.615 361.155 42.785 361.325 ;
+        RECT 43.995 361.155 44.165 361.325 ;
+        RECT 49.515 361.155 49.685 361.325 ;
+      LAYER nwell ;
+        RECT 42.280 357.105 631.460 359.935 ;
+      LAYER pwell ;
+        RECT 42.615 355.715 42.785 355.885 ;
+        RECT 43.995 355.715 44.165 355.885 ;
+        RECT 49.515 355.715 49.685 355.885 ;
+      LAYER nwell ;
+        RECT 42.280 351.665 631.460 354.495 ;
+      LAYER pwell ;
+        RECT 42.615 350.275 42.785 350.445 ;
+        RECT 43.995 350.275 44.165 350.445 ;
+        RECT 49.515 350.275 49.685 350.445 ;
+      LAYER nwell ;
+        RECT 42.280 346.225 631.460 349.055 ;
+      LAYER pwell ;
+        RECT 42.615 344.835 42.785 345.005 ;
+        RECT 43.995 344.835 44.165 345.005 ;
+        RECT 49.515 344.835 49.685 345.005 ;
+      LAYER nwell ;
+        RECT 42.280 340.785 631.460 343.615 ;
+      LAYER pwell ;
+        RECT 42.615 339.395 42.785 339.565 ;
+        RECT 43.995 339.395 44.165 339.565 ;
+        RECT 49.515 339.395 49.685 339.565 ;
+      LAYER nwell ;
+        RECT 42.280 335.345 631.460 338.175 ;
+      LAYER pwell ;
+        RECT 42.615 333.955 42.785 334.125 ;
+        RECT 43.995 333.955 44.165 334.125 ;
+        RECT 49.515 333.955 49.685 334.125 ;
+      LAYER nwell ;
+        RECT 42.280 329.905 631.460 332.735 ;
+      LAYER pwell ;
+        RECT 42.615 328.515 42.785 328.685 ;
+        RECT 43.995 328.515 44.165 328.685 ;
+        RECT 49.515 328.515 49.685 328.685 ;
+      LAYER nwell ;
+        RECT 42.280 324.465 631.460 327.295 ;
+      LAYER pwell ;
+        RECT 42.615 323.075 42.785 323.245 ;
+        RECT 43.995 323.075 44.165 323.245 ;
+        RECT 49.515 323.075 49.685 323.245 ;
+      LAYER nwell ;
+        RECT 42.280 319.025 631.460 321.855 ;
+      LAYER pwell ;
+        RECT 42.615 317.635 42.785 317.805 ;
+        RECT 43.995 317.635 44.165 317.805 ;
+        RECT 49.515 317.635 49.685 317.805 ;
+      LAYER nwell ;
+        RECT 42.280 313.585 631.460 316.415 ;
+      LAYER pwell ;
+        RECT 42.615 312.195 42.785 312.365 ;
+        RECT 43.995 312.195 44.165 312.365 ;
+        RECT 49.515 312.195 49.685 312.365 ;
+      LAYER nwell ;
+        RECT 42.280 308.145 631.460 310.975 ;
+      LAYER pwell ;
+        RECT 42.615 306.755 42.785 306.925 ;
+        RECT 43.995 306.755 44.165 306.925 ;
+        RECT 49.515 306.755 49.685 306.925 ;
+      LAYER nwell ;
+        RECT 42.280 302.705 631.460 305.535 ;
+      LAYER pwell ;
+        RECT 42.615 301.315 42.785 301.485 ;
+        RECT 43.995 301.315 44.165 301.485 ;
+        RECT 49.515 301.315 49.685 301.485 ;
+      LAYER nwell ;
+        RECT 42.280 297.265 631.460 300.095 ;
+      LAYER pwell ;
+        RECT 42.615 295.875 42.785 296.045 ;
+        RECT 43.995 295.875 44.165 296.045 ;
+        RECT 49.515 295.875 49.685 296.045 ;
+      LAYER nwell ;
+        RECT 42.280 291.825 631.460 294.655 ;
+      LAYER pwell ;
+        RECT 42.615 290.435 42.785 290.605 ;
+        RECT 43.995 290.435 44.165 290.605 ;
+        RECT 49.515 290.435 49.685 290.605 ;
+      LAYER nwell ;
+        RECT 42.280 286.385 631.460 289.215 ;
+      LAYER pwell ;
+        RECT 42.615 284.995 42.785 285.165 ;
+        RECT 43.995 284.995 44.165 285.165 ;
+        RECT 49.515 284.995 49.685 285.165 ;
+      LAYER nwell ;
+        RECT 42.280 280.945 631.460 283.775 ;
+      LAYER pwell ;
+        RECT 42.615 279.555 42.785 279.725 ;
+        RECT 43.995 279.555 44.165 279.725 ;
+        RECT 49.515 279.555 49.685 279.725 ;
+      LAYER nwell ;
+        RECT 42.280 275.505 631.460 278.335 ;
+      LAYER pwell ;
+        RECT 42.615 274.115 42.785 274.285 ;
+        RECT 43.995 274.115 44.165 274.285 ;
+        RECT 49.515 274.115 49.685 274.285 ;
+      LAYER nwell ;
+        RECT 42.280 270.065 631.460 272.895 ;
+      LAYER pwell ;
+        RECT 42.615 268.675 42.785 268.845 ;
+        RECT 43.995 268.675 44.165 268.845 ;
+        RECT 49.515 268.675 49.685 268.845 ;
+      LAYER nwell ;
+        RECT 42.280 264.625 631.460 267.455 ;
+      LAYER pwell ;
+        RECT 42.615 263.235 42.785 263.405 ;
+        RECT 43.995 263.235 44.165 263.405 ;
+        RECT 49.515 263.235 49.685 263.405 ;
+      LAYER nwell ;
+        RECT 42.280 259.185 631.460 262.015 ;
+      LAYER pwell ;
+        RECT 42.615 257.795 42.785 257.965 ;
+        RECT 43.995 257.795 44.165 257.965 ;
+        RECT 49.515 257.795 49.685 257.965 ;
+      LAYER nwell ;
+        RECT 42.280 253.745 631.460 256.575 ;
+      LAYER pwell ;
+        RECT 42.615 252.355 42.785 252.525 ;
+        RECT 43.995 252.355 44.165 252.525 ;
+        RECT 49.515 252.355 49.685 252.525 ;
+      LAYER nwell ;
+        RECT 42.280 248.305 631.460 251.135 ;
+      LAYER pwell ;
+        RECT 42.615 246.915 42.785 247.085 ;
+        RECT 43.995 246.915 44.165 247.085 ;
+        RECT 49.515 246.915 49.685 247.085 ;
+      LAYER nwell ;
+        RECT 42.280 242.865 631.460 245.695 ;
+      LAYER pwell ;
+        RECT 42.615 241.475 42.785 241.645 ;
+        RECT 43.995 241.475 44.165 241.645 ;
+        RECT 49.515 241.475 49.685 241.645 ;
+      LAYER nwell ;
+        RECT 42.280 237.425 631.460 240.255 ;
+      LAYER pwell ;
+        RECT 42.615 236.035 42.785 236.205 ;
+        RECT 43.995 236.035 44.165 236.205 ;
+        RECT 49.515 236.035 49.685 236.205 ;
+      LAYER nwell ;
+        RECT 42.280 231.985 631.460 234.815 ;
+      LAYER pwell ;
+        RECT 42.615 230.595 42.785 230.765 ;
+        RECT 43.995 230.595 44.165 230.765 ;
+        RECT 49.515 230.595 49.685 230.765 ;
+      LAYER nwell ;
+        RECT 42.280 226.545 631.460 229.375 ;
+      LAYER pwell ;
+        RECT 42.615 225.155 42.785 225.325 ;
+        RECT 43.995 225.155 44.165 225.325 ;
+        RECT 49.515 225.155 49.685 225.325 ;
+      LAYER nwell ;
+        RECT 42.280 221.105 631.460 223.935 ;
+      LAYER pwell ;
+        RECT 42.615 219.715 42.785 219.885 ;
+        RECT 43.995 219.715 44.165 219.885 ;
+        RECT 49.515 219.715 49.685 219.885 ;
+      LAYER nwell ;
+        RECT 42.280 215.665 631.460 218.495 ;
+      LAYER pwell ;
+        RECT 42.615 214.275 42.785 214.445 ;
+        RECT 43.995 214.275 44.165 214.445 ;
+        RECT 49.515 214.275 49.685 214.445 ;
+      LAYER nwell ;
+        RECT 42.280 210.225 631.460 213.055 ;
+      LAYER pwell ;
+        RECT 42.615 208.835 42.785 209.005 ;
+        RECT 43.995 208.835 44.165 209.005 ;
+        RECT 49.515 208.835 49.685 209.005 ;
+      LAYER nwell ;
+        RECT 42.280 204.785 631.460 207.615 ;
+      LAYER pwell ;
+        RECT 42.615 203.395 42.785 203.565 ;
+        RECT 43.995 203.395 44.165 203.565 ;
+        RECT 49.515 203.395 49.685 203.565 ;
+      LAYER nwell ;
+        RECT 42.280 199.345 631.460 202.175 ;
+      LAYER pwell ;
+        RECT 42.615 197.955 42.785 198.125 ;
+        RECT 43.995 197.955 44.165 198.125 ;
+        RECT 49.515 197.955 49.685 198.125 ;
+      LAYER nwell ;
+        RECT 42.280 193.905 631.460 196.735 ;
+      LAYER pwell ;
+        RECT 42.615 192.515 42.785 192.685 ;
+        RECT 43.995 192.515 44.165 192.685 ;
+        RECT 49.515 192.515 49.685 192.685 ;
+      LAYER nwell ;
+        RECT 42.280 188.465 631.460 191.295 ;
+      LAYER pwell ;
+        RECT 42.615 187.075 42.785 187.245 ;
+        RECT 43.995 187.075 44.165 187.245 ;
+        RECT 49.515 187.075 49.685 187.245 ;
+      LAYER nwell ;
+        RECT 42.280 183.025 631.460 185.855 ;
+      LAYER pwell ;
+        RECT 42.615 181.635 42.785 181.805 ;
+        RECT 43.995 181.635 44.165 181.805 ;
+        RECT 49.515 181.635 49.685 181.805 ;
+      LAYER nwell ;
+        RECT 42.280 177.585 631.460 180.415 ;
+      LAYER pwell ;
+        RECT 42.615 176.195 42.785 176.365 ;
+        RECT 43.995 176.195 44.165 176.365 ;
+        RECT 49.515 176.195 49.685 176.365 ;
+      LAYER nwell ;
+        RECT 42.280 172.145 631.460 174.975 ;
+      LAYER pwell ;
+        RECT 42.615 170.755 42.785 170.925 ;
+        RECT 43.995 170.755 44.165 170.925 ;
+        RECT 49.515 170.755 49.685 170.925 ;
+      LAYER nwell ;
+        RECT 42.280 166.705 631.460 169.535 ;
+      LAYER pwell ;
+        RECT 42.615 165.315 42.785 165.485 ;
+        RECT 43.995 165.315 44.165 165.485 ;
+        RECT 49.515 165.315 49.685 165.485 ;
+      LAYER nwell ;
+        RECT 42.280 161.265 631.460 164.095 ;
+      LAYER pwell ;
+        RECT 42.615 159.875 42.785 160.045 ;
+        RECT 43.995 159.875 44.165 160.045 ;
+        RECT 49.515 159.875 49.685 160.045 ;
+      LAYER nwell ;
+        RECT 42.280 155.825 631.460 158.655 ;
+      LAYER pwell ;
+        RECT 42.615 154.435 42.785 154.605 ;
+        RECT 43.995 154.435 44.165 154.605 ;
+        RECT 49.515 154.435 49.685 154.605 ;
+      LAYER nwell ;
+        RECT 42.280 150.385 631.460 153.215 ;
+      LAYER pwell ;
+        RECT 42.615 148.995 42.785 149.165 ;
+        RECT 43.995 148.995 44.165 149.165 ;
+        RECT 49.515 148.995 49.685 149.165 ;
+      LAYER nwell ;
+        RECT 42.280 144.945 631.460 147.775 ;
+      LAYER pwell ;
+        RECT 42.615 143.555 42.785 143.725 ;
+        RECT 43.995 143.555 44.165 143.725 ;
+        RECT 49.515 143.555 49.685 143.725 ;
+      LAYER nwell ;
+        RECT 42.280 139.505 631.460 142.335 ;
+      LAYER pwell ;
+        RECT 42.615 138.115 42.785 138.285 ;
+        RECT 43.995 138.115 44.165 138.285 ;
+        RECT 49.515 138.115 49.685 138.285 ;
+      LAYER nwell ;
+        RECT 42.280 134.065 631.460 136.895 ;
+      LAYER pwell ;
+        RECT 42.615 132.675 42.785 132.845 ;
+        RECT 43.995 132.675 44.165 132.845 ;
+        RECT 49.515 132.675 49.685 132.845 ;
+      LAYER nwell ;
+        RECT 42.280 128.625 631.460 131.455 ;
+      LAYER pwell ;
+        RECT 42.615 127.235 42.785 127.405 ;
+        RECT 43.995 127.235 44.165 127.405 ;
+        RECT 49.515 127.235 49.685 127.405 ;
+      LAYER nwell ;
+        RECT 42.280 123.185 631.460 126.015 ;
+      LAYER pwell ;
+        RECT 42.615 121.795 42.785 121.965 ;
+        RECT 43.995 121.795 44.165 121.965 ;
+        RECT 49.515 121.795 49.685 121.965 ;
+      LAYER nwell ;
+        RECT 42.280 117.745 631.460 120.575 ;
+      LAYER pwell ;
+        RECT 42.615 116.355 42.785 116.525 ;
+        RECT 43.995 116.355 44.165 116.525 ;
+        RECT 49.515 116.355 49.685 116.525 ;
+      LAYER nwell ;
+        RECT 42.280 112.305 631.460 115.135 ;
+      LAYER pwell ;
+        RECT 42.615 110.915 42.785 111.085 ;
+        RECT 43.995 110.915 44.165 111.085 ;
+        RECT 49.515 110.915 49.685 111.085 ;
+      LAYER nwell ;
+        RECT 42.280 106.865 631.460 109.695 ;
+      LAYER pwell ;
+        RECT 42.615 105.475 42.785 105.645 ;
+        RECT 43.995 105.475 44.165 105.645 ;
+        RECT 49.515 105.475 49.685 105.645 ;
+      LAYER nwell ;
+        RECT 42.280 101.425 631.460 104.255 ;
+      LAYER pwell ;
+        RECT 42.615 100.035 42.785 100.205 ;
+        RECT 43.995 100.035 44.165 100.205 ;
+        RECT 49.515 100.035 49.685 100.205 ;
+      LAYER nwell ;
+        RECT 42.280 95.985 631.460 98.815 ;
+      LAYER pwell ;
+        RECT 42.615 94.595 42.785 94.765 ;
+        RECT 43.995 94.595 44.165 94.765 ;
+        RECT 49.515 94.595 49.685 94.765 ;
+      LAYER nwell ;
+        RECT 42.280 90.545 631.460 93.375 ;
+      LAYER pwell ;
+        RECT 42.615 89.155 42.785 89.325 ;
+        RECT 43.995 89.155 44.165 89.325 ;
+        RECT 49.515 89.155 49.685 89.325 ;
+      LAYER nwell ;
+        RECT 42.280 85.105 631.460 87.935 ;
+      LAYER pwell ;
+        RECT 42.615 83.715 42.785 83.885 ;
+        RECT 43.995 83.715 44.165 83.885 ;
+        RECT 49.515 83.715 49.685 83.885 ;
+      LAYER nwell ;
+        RECT 42.280 79.665 631.460 82.495 ;
+      LAYER pwell ;
+        RECT 42.615 78.275 42.785 78.445 ;
+        RECT 43.995 78.275 44.165 78.445 ;
+        RECT 49.515 78.275 49.685 78.445 ;
+      LAYER nwell ;
+        RECT 42.280 74.225 631.460 77.055 ;
+      LAYER pwell ;
+        RECT 42.615 72.835 42.785 73.005 ;
+        RECT 43.995 72.835 44.165 73.005 ;
+        RECT 49.515 72.835 49.685 73.005 ;
+      LAYER nwell ;
+        RECT 42.280 68.785 631.460 71.615 ;
+      LAYER pwell ;
+        RECT 42.615 67.395 42.785 67.565 ;
+        RECT 43.995 67.395 44.165 67.565 ;
+        RECT 49.515 67.395 49.685 67.565 ;
+      LAYER nwell ;
+        RECT 42.280 63.345 631.460 66.175 ;
+      LAYER pwell ;
+        RECT 42.615 61.955 42.785 62.125 ;
+        RECT 43.995 61.955 44.165 62.125 ;
+        RECT 49.515 61.955 49.685 62.125 ;
+      LAYER nwell ;
+        RECT 42.280 57.905 631.460 60.735 ;
+      LAYER pwell ;
+        RECT 42.615 56.515 42.785 56.685 ;
+        RECT 43.995 56.515 44.165 56.685 ;
+        RECT 49.515 56.515 49.685 56.685 ;
+      LAYER nwell ;
+        RECT 42.280 52.465 631.460 55.295 ;
+      LAYER pwell ;
+        RECT 70.675 51.480 70.845 52.005 ;
+        RECT 98.735 51.480 98.905 52.005 ;
+        RECT 126.795 51.480 126.965 52.005 ;
+        RECT 154.855 51.480 155.025 52.005 ;
+        RECT 182.915 51.480 183.085 52.005 ;
+        RECT 210.975 51.480 211.145 52.005 ;
+        RECT 239.035 51.480 239.205 52.005 ;
+        RECT 267.095 51.480 267.265 52.005 ;
+        RECT 295.155 51.480 295.325 52.005 ;
+        RECT 323.215 51.480 323.385 52.005 ;
+        RECT 351.275 51.480 351.445 52.005 ;
+        RECT 379.335 51.480 379.505 52.005 ;
+        RECT 407.395 51.480 407.565 52.005 ;
+        RECT 435.455 51.480 435.625 52.005 ;
+        RECT 463.515 51.480 463.685 52.005 ;
+        RECT 491.575 51.480 491.745 52.005 ;
+        RECT 519.635 51.480 519.805 52.005 ;
+        RECT 547.695 51.480 547.865 52.005 ;
+        RECT 575.755 51.480 575.925 52.005 ;
+        RECT 603.815 51.480 603.985 52.005 ;
+        RECT 42.615 51.075 42.785 51.245 ;
+        RECT 43.995 51.075 44.165 51.245 ;
+        RECT 49.515 51.075 49.685 51.245 ;
+        RECT 55.035 51.075 55.205 51.245 ;
+        RECT 57.335 51.075 57.505 51.245 ;
+        RECT 60.555 51.075 60.725 51.245 ;
+        RECT 62.855 51.075 63.025 51.245 ;
+        RECT 66.075 51.075 66.245 51.245 ;
+        RECT 68.375 51.075 68.545 51.245 ;
+        RECT 69.765 51.110 69.925 51.220 ;
+        RECT 71.135 51.075 71.305 51.245 ;
+        RECT 72.065 51.100 72.225 51.210 ;
+        RECT 72.975 51.075 73.145 51.245 ;
+        RECT 74.355 51.075 74.525 51.245 ;
+        RECT 76.665 51.110 76.825 51.220 ;
+        RECT 77.575 51.075 77.745 51.245 ;
+        RECT 78.040 51.075 78.210 51.245 ;
+        RECT 78.955 51.075 79.125 51.245 ;
+        RECT 81.255 51.075 81.425 51.245 ;
+        RECT 82.635 51.075 82.805 51.245 ;
+        RECT 85.390 51.105 85.510 51.215 ;
+        RECT 85.855 51.075 86.025 51.245 ;
+        RECT 87.695 51.075 87.865 51.245 ;
+        RECT 91.375 51.075 91.545 51.245 ;
+        RECT 96.895 51.075 97.065 51.245 ;
+        RECT 99.195 51.075 99.365 51.245 ;
+        RECT 100.115 51.075 100.285 51.245 ;
+        RECT 103.795 51.075 103.965 51.245 ;
+        RECT 105.180 51.075 105.350 51.245 ;
+        RECT 107.935 51.075 108.105 51.245 ;
+        RECT 109.315 51.075 109.485 51.245 ;
+        RECT 111.615 51.075 111.785 51.245 ;
+        RECT 113.455 51.075 113.625 51.245 ;
+        RECT 120.355 51.075 120.525 51.245 ;
+        RECT 122.195 51.075 122.365 51.245 ;
+        RECT 125.875 51.075 126.045 51.245 ;
+        RECT 127.255 51.075 127.425 51.245 ;
+        RECT 134.615 51.075 134.785 51.245 ;
+        RECT 135.995 51.075 136.165 51.245 ;
+        RECT 139.675 51.075 139.845 51.245 ;
+        RECT 140.145 51.100 140.305 51.210 ;
+        RECT 141.515 51.075 141.685 51.245 ;
+        RECT 148.415 51.075 148.585 51.245 ;
+        RECT 150.255 51.075 150.425 51.245 ;
+        RECT 153.935 51.075 154.105 51.245 ;
+        RECT 155.315 51.075 155.485 51.245 ;
+        RECT 162.675 51.075 162.845 51.245 ;
+        RECT 164.055 51.075 164.225 51.245 ;
+        RECT 168.205 51.100 168.365 51.210 ;
+        RECT 169.575 51.075 169.745 51.245 ;
+        RECT 170.495 51.075 170.665 51.245 ;
+        RECT 178.315 51.075 178.485 51.245 ;
+        RECT 179.235 51.075 179.405 51.245 ;
+        RECT 181.995 51.075 182.165 51.245 ;
+        RECT 183.375 51.075 183.545 51.245 ;
+        RECT 185.215 51.075 185.385 51.245 ;
+        RECT 190.735 51.075 190.905 51.245 ;
+        RECT 193.955 51.075 194.125 51.245 ;
+        RECT 195.335 51.075 195.505 51.245 ;
+        RECT 195.795 51.075 195.965 51.245 ;
+        RECT 197.635 51.215 197.805 51.245 ;
+        RECT 197.630 51.105 197.805 51.215 ;
+        RECT 197.635 51.075 197.805 51.105 ;
+        RECT 198.095 51.075 198.265 51.245 ;
+        RECT 206.375 51.075 206.545 51.245 ;
+        RECT 206.835 51.075 207.005 51.245 ;
+        RECT 210.060 51.075 210.230 51.245 ;
+        RECT 210.510 51.105 210.630 51.215 ;
+        RECT 211.445 51.110 211.605 51.220 ;
+        RECT 212.360 51.075 212.530 51.245 ;
+        RECT 217.415 51.075 217.585 51.245 ;
+        RECT 219.715 51.075 219.885 51.245 ;
+        RECT 222.935 51.075 223.105 51.245 ;
+        RECT 223.400 51.075 223.570 51.245 ;
+        RECT 224.770 51.105 224.890 51.215 ;
+        RECT 225.695 51.075 225.865 51.245 ;
+        RECT 227.535 51.075 227.705 51.245 ;
+        RECT 229.370 51.105 229.490 51.215 ;
+        RECT 229.835 51.075 230.005 51.245 ;
+        RECT 231.215 51.075 231.385 51.245 ;
+        RECT 233.975 51.075 234.145 51.245 ;
+        RECT 235.355 51.075 235.525 51.245 ;
+        RECT 237.660 51.075 237.830 51.245 ;
+        RECT 239.495 51.075 239.665 51.245 ;
+        RECT 241.335 51.075 241.505 51.245 ;
+        RECT 245.015 51.075 245.190 51.245 ;
+        RECT 250.535 51.075 250.705 51.245 ;
+        RECT 252.375 51.075 252.545 51.245 ;
+        RECT 253.760 51.075 253.930 51.245 ;
+        RECT 256.060 51.075 256.230 51.245 ;
+        RECT 261.115 51.075 261.285 51.245 ;
+        RECT 263.415 51.075 263.585 51.245 ;
+        RECT 264.795 51.075 264.965 51.245 ;
+        RECT 266.635 51.075 266.805 51.245 ;
+        RECT 267.555 51.075 267.725 51.245 ;
+        RECT 270.320 51.075 270.490 51.245 ;
+        RECT 271.240 51.075 271.410 51.245 ;
+        RECT 277.675 51.075 277.845 51.245 ;
+        RECT 278.595 51.075 278.765 51.245 ;
+        RECT 281.820 51.075 281.990 51.245 ;
+        RECT 282.280 51.075 282.450 51.245 ;
+        RECT 285.955 51.075 286.125 51.245 ;
+        RECT 289.635 51.075 289.810 51.245 ;
+        RECT 295.620 51.075 295.790 51.245 ;
+        RECT 296.995 51.075 297.165 51.245 ;
+        RECT 299.755 51.075 299.925 51.245 ;
+        RECT 300.680 51.075 300.850 51.245 ;
+        RECT 304.815 51.075 304.985 51.245 ;
+        RECT 305.285 51.110 305.445 51.220 ;
+        RECT 306.200 51.075 306.370 51.245 ;
+        RECT 308.505 51.100 308.665 51.210 ;
+        RECT 309.875 51.075 310.045 51.245 ;
+        RECT 313.555 51.075 313.725 51.245 ;
+        RECT 314.480 51.075 314.650 51.245 ;
+        RECT 317.235 51.075 317.405 51.245 ;
+        RECT 318.615 51.075 318.785 51.245 ;
+        RECT 319.075 51.075 319.245 51.245 ;
+        RECT 322.305 51.100 322.465 51.210 ;
+        RECT 322.750 51.105 322.870 51.215 ;
+        RECT 323.220 51.075 323.390 51.245 ;
+        RECT 323.675 51.075 323.845 51.245 ;
+        RECT 325.515 51.075 325.685 51.245 ;
+        RECT 329.200 51.075 329.370 51.245 ;
+        RECT 330.575 51.075 330.745 51.245 ;
+        RECT 336.095 51.075 336.265 51.245 ;
+        RECT 336.555 51.075 336.725 51.245 ;
+        RECT 337.935 51.075 338.105 51.245 ;
+        RECT 339.775 51.075 339.945 51.245 ;
+        RECT 340.240 51.075 340.410 51.245 ;
+        RECT 343.460 51.075 343.630 51.245 ;
+        RECT 347.595 51.075 347.765 51.245 ;
+        RECT 350.815 51.075 350.985 51.245 ;
+        RECT 351.740 51.075 351.910 51.245 ;
+        RECT 354.500 51.075 354.670 51.245 ;
+        RECT 358.635 51.075 358.805 51.245 ;
+        RECT 359.095 51.075 359.265 51.245 ;
+        RECT 362.780 51.075 362.950 51.245 ;
+        RECT 364.155 51.075 364.325 51.245 ;
+        RECT 366.000 51.075 366.170 51.245 ;
+        RECT 366.915 51.075 367.085 51.245 ;
+        RECT 370.135 51.075 370.305 51.245 ;
+        RECT 370.600 51.075 370.770 51.245 ;
+        RECT 373.820 51.075 373.990 51.245 ;
+        RECT 374.735 51.075 374.905 51.245 ;
+        RECT 377.955 51.075 378.125 51.245 ;
+        RECT 378.425 51.110 378.585 51.220 ;
+        RECT 379.795 51.075 379.965 51.245 ;
+        RECT 381.175 51.075 381.345 51.245 ;
+        RECT 381.635 51.075 381.805 51.245 ;
+        RECT 383.015 51.075 383.185 51.245 ;
+        RECT 384.855 51.075 385.025 51.245 ;
+        RECT 386.235 51.075 386.405 51.245 ;
+        RECT 386.695 51.075 386.865 51.245 ;
+        RECT 388.075 51.075 388.245 51.245 ;
+        RECT 389.915 51.075 390.085 51.245 ;
+        RECT 391.295 51.075 391.465 51.245 ;
+        RECT 394.055 51.075 394.225 51.245 ;
+        RECT 395.435 51.075 395.605 51.245 ;
+        RECT 396.815 51.075 396.985 51.245 ;
+        RECT 399.115 51.075 399.285 51.245 ;
+        RECT 400.495 51.075 400.665 51.245 ;
+        RECT 402.335 51.075 402.505 51.245 ;
+        RECT 404.175 51.075 404.345 51.245 ;
+        RECT 405.555 51.075 405.725 51.245 ;
+        RECT 406.015 51.075 406.185 51.245 ;
+        RECT 407.855 51.075 408.025 51.245 ;
+        RECT 411.075 51.075 411.245 51.245 ;
+        RECT 412.910 51.105 413.030 51.215 ;
+        RECT 413.375 51.075 413.545 51.245 ;
+        RECT 414.755 51.075 414.925 51.245 ;
+        RECT 418.895 51.075 419.065 51.245 ;
+        RECT 420.275 51.075 420.445 51.245 ;
+        RECT 422.115 51.075 422.285 51.245 ;
+        RECT 424.415 51.075 424.585 51.245 ;
+        RECT 427.630 51.105 427.750 51.215 ;
+        RECT 428.095 51.075 428.265 51.245 ;
+        RECT 429.475 51.075 429.645 51.245 ;
+        RECT 429.935 51.075 430.105 51.245 ;
+        RECT 434.990 51.105 435.110 51.215 ;
+        RECT 435.455 51.075 435.625 51.245 ;
+        RECT 435.915 51.075 436.085 51.245 ;
+        RECT 436.835 51.075 437.005 51.245 ;
+        RECT 441.435 51.075 441.605 51.245 ;
+        RECT 442.355 51.075 442.525 51.245 ;
+        RECT 446.955 51.075 447.125 51.245 ;
+        RECT 447.875 51.075 448.045 51.245 ;
+        RECT 450.175 51.075 450.345 51.245 ;
+        RECT 451.555 51.075 451.725 51.245 ;
+        RECT 452.475 51.075 452.645 51.245 ;
+        RECT 457.070 51.105 457.190 51.215 ;
+        RECT 457.535 51.075 457.705 51.245 ;
+        RECT 457.995 51.075 458.165 51.245 ;
+        RECT 458.915 51.075 459.085 51.245 ;
+        RECT 463.975 51.075 464.145 51.245 ;
+        RECT 464.435 51.075 464.605 51.245 ;
+        RECT 468.110 51.105 468.230 51.215 ;
+        RECT 468.575 51.075 468.745 51.245 ;
+        RECT 469.495 51.075 469.665 51.245 ;
+        RECT 469.955 51.075 470.125 51.245 ;
+        RECT 475.015 51.075 475.185 51.245 ;
+        RECT 475.475 51.075 475.645 51.245 ;
+        RECT 477.310 51.105 477.430 51.215 ;
+        RECT 478.235 51.075 478.405 51.245 ;
+        RECT 479.615 51.075 479.785 51.245 ;
+        RECT 480.535 51.075 480.705 51.245 ;
+        RECT 480.995 51.075 481.165 51.245 ;
+        RECT 486.055 51.075 486.225 51.245 ;
+        RECT 486.510 51.105 486.630 51.215 ;
+        RECT 486.975 51.075 487.145 51.245 ;
+        RECT 488.355 51.075 488.525 51.245 ;
+        RECT 492.035 51.075 492.205 51.245 ;
+        RECT 493.875 51.075 494.045 51.245 ;
+        RECT 497.555 51.075 497.725 51.245 ;
+        RECT 499.395 51.075 499.565 51.245 ;
+        RECT 503.075 51.075 503.245 51.245 ;
+        RECT 504.925 51.100 505.085 51.210 ;
+        RECT 506.295 51.075 506.465 51.245 ;
+        RECT 508.595 51.075 508.765 51.245 ;
+        RECT 511.825 51.100 511.985 51.210 ;
+        RECT 512.735 51.075 512.905 51.245 ;
+        RECT 514.115 51.075 514.285 51.245 ;
+        RECT 519.630 51.105 519.750 51.215 ;
+        RECT 520.095 51.075 520.265 51.245 ;
+        RECT 521.475 51.075 521.645 51.245 ;
+        RECT 525.615 51.075 525.785 51.245 ;
+        RECT 526.995 51.075 527.165 51.245 ;
+        RECT 531.135 51.075 531.305 51.245 ;
+        RECT 532.515 51.075 532.685 51.245 ;
+        RECT 534.350 51.105 534.470 51.215 ;
+        RECT 534.815 51.075 534.985 51.245 ;
+        RECT 536.195 51.075 536.365 51.245 ;
+        RECT 536.655 51.075 536.825 51.245 ;
+        RECT 541.710 51.105 541.830 51.215 ;
+        RECT 542.175 51.075 542.345 51.245 ;
+        RECT 543.555 51.075 543.725 51.245 ;
+        RECT 548.155 51.075 548.325 51.245 ;
+        RECT 549.075 51.075 549.245 51.245 ;
+        RECT 552.750 51.105 552.870 51.215 ;
+        RECT 553.215 51.075 553.385 51.245 ;
+        RECT 553.675 51.075 553.845 51.245 ;
+        RECT 554.595 51.075 554.765 51.245 ;
+        RECT 559.195 51.075 559.365 51.245 ;
+        RECT 560.115 51.075 560.285 51.245 ;
+        RECT 562.415 51.075 562.585 51.245 ;
+        RECT 563.795 51.075 563.965 51.245 ;
+        RECT 564.715 51.075 564.885 51.245 ;
+        RECT 565.175 51.075 565.345 51.245 ;
+        RECT 570.235 51.075 570.405 51.245 ;
+        RECT 570.690 51.105 570.810 51.215 ;
+        RECT 571.155 51.075 571.325 51.245 ;
+        RECT 572.535 51.075 572.705 51.245 ;
+        RECT 576.215 51.075 576.385 51.245 ;
+        RECT 578.055 51.075 578.225 51.245 ;
+        RECT 581.735 51.075 581.905 51.245 ;
+        RECT 583.575 51.075 583.745 51.245 ;
+        RECT 587.255 51.075 587.425 51.245 ;
+        RECT 589.105 51.100 589.265 51.210 ;
+        RECT 590.475 51.075 590.645 51.245 ;
+        RECT 592.775 51.075 592.945 51.245 ;
+        RECT 596.005 51.100 596.165 51.210 ;
+        RECT 596.915 51.075 597.085 51.245 ;
+        RECT 598.295 51.075 598.465 51.245 ;
+        RECT 603.810 51.105 603.930 51.215 ;
+        RECT 604.275 51.075 604.445 51.245 ;
+        RECT 605.655 51.075 605.825 51.245 ;
+        RECT 609.795 51.075 609.965 51.245 ;
+        RECT 611.175 51.075 611.345 51.245 ;
+        RECT 615.315 51.075 615.485 51.245 ;
+        RECT 616.695 51.075 616.865 51.245 ;
+        RECT 618.530 51.105 618.650 51.215 ;
+        RECT 618.995 51.075 619.165 51.245 ;
+        RECT 620.375 51.075 620.545 51.245 ;
+        RECT 620.835 51.075 621.005 51.245 ;
+        RECT 623.590 51.105 623.710 51.215 ;
+        RECT 624.055 51.075 624.225 51.245 ;
+        RECT 625.435 51.075 625.605 51.245 ;
+        RECT 629.125 51.100 629.285 51.220 ;
+        RECT 630.955 51.075 631.125 51.245 ;
+        RECT 56.875 50.315 57.045 50.840 ;
+        RECT 84.935 50.315 85.105 50.840 ;
+        RECT 112.995 50.315 113.165 50.840 ;
+        RECT 141.055 50.315 141.225 50.840 ;
+        RECT 169.115 50.315 169.285 50.840 ;
+        RECT 197.175 50.315 197.345 50.840 ;
+        RECT 225.235 50.315 225.405 50.840 ;
+        RECT 253.295 50.315 253.465 50.840 ;
+        RECT 281.355 50.315 281.525 50.840 ;
+        RECT 309.415 50.315 309.585 50.840 ;
+        RECT 337.475 50.315 337.645 50.840 ;
+        RECT 365.535 50.315 365.705 50.840 ;
+        RECT 393.595 50.315 393.765 50.840 ;
+        RECT 421.655 50.315 421.825 50.840 ;
+        RECT 449.715 50.315 449.885 50.840 ;
+        RECT 477.775 50.315 477.945 50.840 ;
+        RECT 505.835 50.315 506.005 50.840 ;
+        RECT 533.895 50.315 534.065 50.840 ;
+        RECT 561.955 50.315 562.125 50.840 ;
+        RECT 590.015 50.315 590.185 50.840 ;
+        RECT 618.075 50.315 618.245 50.840 ;
+      LAYER nwell ;
+        RECT 42.280 47.025 631.460 49.855 ;
+      LAYER pwell ;
+        RECT 70.675 46.040 70.845 46.565 ;
+        RECT 98.735 46.040 98.905 46.565 ;
+        RECT 126.795 46.040 126.965 46.565 ;
+        RECT 154.855 46.040 155.025 46.565 ;
+        RECT 182.915 46.040 183.085 46.565 ;
+        RECT 210.975 46.040 211.145 46.565 ;
+        RECT 239.035 46.040 239.205 46.565 ;
+        RECT 267.095 46.040 267.265 46.565 ;
+        RECT 295.155 46.040 295.325 46.565 ;
+        RECT 323.215 46.040 323.385 46.565 ;
+        RECT 351.275 46.040 351.445 46.565 ;
+        RECT 379.335 46.040 379.505 46.565 ;
+        RECT 407.395 46.040 407.565 46.565 ;
+        RECT 435.455 46.040 435.625 46.565 ;
+        RECT 463.515 46.040 463.685 46.565 ;
+        RECT 491.575 46.040 491.745 46.565 ;
+        RECT 519.635 46.040 519.805 46.565 ;
+        RECT 547.695 46.040 547.865 46.565 ;
+        RECT 575.755 46.040 575.925 46.565 ;
+        RECT 603.815 46.040 603.985 46.565 ;
+        RECT 42.615 45.635 42.785 45.805 ;
+        RECT 43.995 45.635 44.165 45.805 ;
+        RECT 49.515 45.635 49.685 45.805 ;
+        RECT 55.035 45.635 55.205 45.805 ;
+        RECT 57.335 45.635 57.505 45.805 ;
+        RECT 60.555 45.635 60.725 45.805 ;
+        RECT 62.855 45.635 63.025 45.805 ;
+        RECT 66.075 45.635 66.245 45.805 ;
+        RECT 68.375 45.635 68.545 45.805 ;
+        RECT 69.765 45.670 69.925 45.780 ;
+        RECT 71.135 45.635 71.305 45.805 ;
+        RECT 71.595 45.635 71.765 45.805 ;
+        RECT 72.515 45.635 72.685 45.805 ;
+        RECT 73.895 45.635 74.065 45.805 ;
+        RECT 77.115 45.635 77.285 45.805 ;
+        RECT 77.575 45.635 77.745 45.805 ;
+        RECT 78.500 45.635 78.670 45.805 ;
+        RECT 80.795 45.635 80.965 45.805 ;
+        RECT 81.715 45.635 81.885 45.805 ;
+        RECT 84.485 45.670 84.645 45.780 ;
+        RECT 85.395 45.635 85.565 45.805 ;
+        RECT 85.865 45.660 86.025 45.770 ;
+        RECT 86.775 45.635 86.945 45.805 ;
+        RECT 87.235 45.635 87.405 45.805 ;
+        RECT 88.155 45.635 88.325 45.805 ;
+        RECT 90.920 45.635 91.090 45.805 ;
+        RECT 91.840 45.635 92.010 45.805 ;
+        RECT 95.055 45.635 95.225 45.805 ;
+        RECT 95.975 45.635 96.145 45.805 ;
+        RECT 99.195 45.635 99.365 45.805 ;
+        RECT 100.110 45.665 100.230 45.775 ;
+        RECT 100.575 45.635 100.745 45.805 ;
+        RECT 102.415 45.635 102.585 45.805 ;
+        RECT 102.870 45.665 102.990 45.775 ;
+        RECT 103.340 45.635 103.510 45.805 ;
+        RECT 106.090 45.635 106.260 45.805 ;
+        RECT 107.475 45.635 107.645 45.805 ;
+        RECT 110.235 45.635 110.405 45.805 ;
+        RECT 111.160 45.635 111.330 45.805 ;
+        RECT 114.385 45.660 114.545 45.770 ;
+        RECT 115.290 45.635 115.465 45.805 ;
+        RECT 118.970 45.635 119.140 45.805 ;
+        RECT 119.435 45.635 119.605 45.805 ;
+        RECT 123.115 45.635 123.285 45.805 ;
+        RECT 124.495 45.635 124.665 45.805 ;
+        RECT 127.250 45.665 127.370 45.775 ;
+        RECT 127.715 45.635 127.885 45.805 ;
+        RECT 128.630 45.635 128.800 45.805 ;
+        RECT 132.775 45.635 132.945 45.805 ;
+        RECT 136.455 45.775 136.625 45.805 ;
+        RECT 136.450 45.665 136.625 45.775 ;
+        RECT 136.455 45.635 136.625 45.665 ;
+        RECT 136.915 45.635 137.085 45.805 ;
+        RECT 138.755 45.635 138.925 45.805 ;
+        RECT 141.975 45.635 142.145 45.805 ;
+        RECT 142.890 45.665 143.010 45.775 ;
+        RECT 143.355 45.635 143.525 45.805 ;
+        RECT 143.820 45.635 143.990 45.805 ;
+        RECT 145.195 45.635 145.365 45.805 ;
+        RECT 148.880 45.635 149.050 45.805 ;
+        RECT 151.175 45.635 151.345 45.805 ;
+        RECT 153.015 45.635 153.185 45.805 ;
+        RECT 155.315 45.635 155.485 45.805 ;
+        RECT 157.155 45.635 157.325 45.805 ;
+        RECT 164.055 45.635 164.225 45.805 ;
+        RECT 165.895 45.635 166.065 45.805 ;
+        RECT 167.735 45.635 167.905 45.805 ;
+        RECT 169.115 45.635 169.285 45.805 ;
+        RECT 169.575 45.635 169.745 45.805 ;
+        RECT 171.415 45.635 171.585 45.805 ;
+        RECT 173.250 45.665 173.370 45.775 ;
+        RECT 173.720 45.635 173.890 45.805 ;
+        RECT 177.855 45.635 178.025 45.805 ;
+        RECT 181.075 45.635 181.245 45.805 ;
+        RECT 181.535 45.635 181.705 45.805 ;
+        RECT 183.375 45.635 183.545 45.805 ;
+        RECT 184.755 45.775 184.925 45.805 ;
+        RECT 184.750 45.665 184.925 45.775 ;
+        RECT 185.670 45.665 185.790 45.775 ;
+        RECT 184.755 45.635 184.925 45.665 ;
+        RECT 186.135 45.635 186.305 45.805 ;
+        RECT 193.495 45.635 193.665 45.805 ;
+        RECT 194.875 45.635 195.045 45.805 ;
+        RECT 197.175 45.635 197.345 45.805 ;
+        RECT 198.565 45.660 198.725 45.770 ;
+        RECT 199.935 45.635 200.105 45.805 ;
+        RECT 203.610 45.665 203.730 45.775 ;
+        RECT 204.075 45.635 204.245 45.805 ;
+        RECT 205.915 45.635 206.085 45.805 ;
+        RECT 209.595 45.635 209.765 45.805 ;
+        RECT 211.430 45.635 211.600 45.805 ;
+        RECT 213.270 45.665 213.390 45.775 ;
+        RECT 214.195 45.635 214.365 45.805 ;
+        RECT 215.575 45.635 215.745 45.805 ;
+        RECT 218.335 45.635 218.505 45.805 ;
+        RECT 219.255 45.635 219.425 45.805 ;
+        RECT 222.015 45.635 222.185 45.805 ;
+        RECT 223.395 45.635 223.565 45.805 ;
+        RECT 223.855 45.635 224.025 45.805 ;
+        RECT 227.530 45.665 227.650 45.775 ;
+        RECT 228.460 45.635 228.630 45.805 ;
+        RECT 228.915 45.635 229.085 45.805 ;
+        RECT 230.750 45.635 230.920 45.805 ;
+        RECT 232.595 45.635 232.765 45.805 ;
+        RECT 234.895 45.635 235.065 45.805 ;
+        RECT 236.275 45.635 236.445 45.805 ;
+        RECT 238.115 45.635 238.285 45.805 ;
+        RECT 238.570 45.665 238.690 45.775 ;
+        RECT 239.500 45.635 239.670 45.805 ;
+        RECT 241.790 45.665 241.910 45.775 ;
+        RECT 242.720 45.635 242.890 45.805 ;
+        RECT 245.935 45.635 246.105 45.805 ;
+        RECT 246.855 45.635 247.025 45.805 ;
+        RECT 249.620 45.635 249.790 45.805 ;
+        RECT 250.530 45.635 250.700 45.805 ;
+        RECT 252.835 45.635 253.005 45.805 ;
+        RECT 254.675 45.635 254.845 45.805 ;
+        RECT 256.980 45.635 257.150 45.805 ;
+        RECT 258.360 45.635 258.530 45.805 ;
+        RECT 260.195 45.635 260.365 45.805 ;
+        RECT 261.575 45.635 261.745 45.805 ;
+        RECT 263.875 45.635 264.045 45.805 ;
+        RECT 265.715 45.635 265.885 45.805 ;
+        RECT 267.555 45.635 267.725 45.805 ;
+        RECT 269.395 45.635 269.565 45.805 ;
+        RECT 271.235 45.635 271.405 45.805 ;
+        RECT 273.075 45.635 273.250 45.805 ;
+        RECT 276.760 45.635 276.930 45.805 ;
+        RECT 277.215 45.635 277.385 45.805 ;
+        RECT 280.895 45.635 281.065 45.805 ;
+        RECT 282.735 45.635 282.905 45.805 ;
+        RECT 284.115 45.635 284.285 45.805 ;
+        RECT 284.570 45.665 284.690 45.775 ;
+        RECT 285.035 45.635 285.205 45.805 ;
+        RECT 285.500 45.635 285.670 45.805 ;
+        RECT 289.635 45.635 289.805 45.805 ;
+        RECT 291.475 45.635 291.645 45.805 ;
+        RECT 293.315 45.635 293.485 45.805 ;
+        RECT 295.155 45.635 295.325 45.805 ;
+        RECT 295.620 45.635 295.790 45.805 ;
+        RECT 298.830 45.665 298.950 45.775 ;
+        RECT 299.755 45.635 299.930 45.805 ;
+        RECT 303.440 45.635 303.610 45.805 ;
+        RECT 303.895 45.635 304.065 45.805 ;
+        RECT 307.575 45.635 307.745 45.805 ;
+        RECT 309.415 45.635 309.585 45.805 ;
+        RECT 311.260 45.635 311.430 45.805 ;
+        RECT 313.090 45.665 313.210 45.775 ;
+        RECT 314.020 45.635 314.190 45.805 ;
+        RECT 315.395 45.635 315.565 45.805 ;
+        RECT 318.155 45.635 318.325 45.805 ;
+        RECT 320.915 45.635 321.085 45.805 ;
+        RECT 321.835 45.635 322.005 45.805 ;
+        RECT 322.750 45.665 322.870 45.775 ;
+        RECT 323.675 45.635 323.850 45.805 ;
+        RECT 327.350 45.665 327.470 45.775 ;
+        RECT 327.815 45.635 327.985 45.805 ;
+        RECT 328.280 45.635 328.450 45.805 ;
+        RECT 331.500 45.635 331.670 45.805 ;
+        RECT 332.415 45.635 332.585 45.805 ;
+        RECT 335.635 45.635 335.805 45.805 ;
+        RECT 336.095 45.635 336.265 45.805 ;
+        RECT 337.935 45.635 338.105 45.805 ;
+        RECT 339.320 45.635 339.490 45.805 ;
+        RECT 341.610 45.665 341.730 45.775 ;
+        RECT 342.535 45.635 342.705 45.805 ;
+        RECT 343.455 45.635 343.625 45.805 ;
+        RECT 344.370 45.665 344.490 45.775 ;
+        RECT 344.835 45.635 345.005 45.805 ;
+        RECT 345.755 45.635 345.925 45.805 ;
+        RECT 348.975 45.635 349.145 45.805 ;
+        RECT 350.810 45.665 350.930 45.775 ;
+        RECT 351.740 45.635 351.910 45.805 ;
+        RECT 352.195 45.635 352.365 45.805 ;
+        RECT 355.875 45.775 356.045 45.805 ;
+        RECT 355.870 45.665 356.045 45.775 ;
+        RECT 355.875 45.635 356.045 45.665 ;
+        RECT 356.800 45.635 356.970 45.805 ;
+        RECT 359.560 45.635 359.730 45.805 ;
+        RECT 360.935 45.635 361.105 45.805 ;
+        RECT 363.695 45.635 363.865 45.805 ;
+        RECT 364.615 45.635 364.785 45.805 ;
+        RECT 365.995 45.635 366.165 45.805 ;
+        RECT 367.380 45.635 367.550 45.805 ;
+        RECT 369.685 45.660 369.845 45.770 ;
+        RECT 371.060 45.635 371.230 45.805 ;
+        RECT 371.515 45.635 371.685 45.805 ;
+        RECT 375.195 45.635 375.365 45.805 ;
+        RECT 377.035 45.635 377.205 45.805 ;
+        RECT 378.875 45.775 379.045 45.805 ;
+        RECT 378.870 45.665 379.045 45.775 ;
+        RECT 378.875 45.635 379.045 45.665 ;
+        RECT 379.795 45.635 379.965 45.805 ;
+        RECT 380.255 45.635 380.425 45.805 ;
+        RECT 381.175 45.635 381.345 45.805 ;
+        RECT 383.945 45.660 384.105 45.770 ;
+        RECT 384.855 45.635 385.025 45.805 ;
+        RECT 385.315 45.635 385.485 45.805 ;
+        RECT 386.235 45.635 386.405 45.805 ;
+        RECT 386.695 45.635 386.865 45.805 ;
+        RECT 389.915 45.635 390.085 45.805 ;
+        RECT 390.375 45.635 390.545 45.805 ;
+        RECT 391.295 45.635 391.465 45.805 ;
+        RECT 391.755 45.635 391.925 45.805 ;
+        RECT 394.975 45.635 395.145 45.805 ;
+        RECT 396.355 45.635 396.525 45.805 ;
+        RECT 397.275 45.635 397.445 45.805 ;
+        RECT 399.575 45.635 399.745 45.805 ;
+        RECT 400.035 45.635 400.205 45.805 ;
+        RECT 401.415 45.635 401.585 45.805 ;
+        RECT 405.095 45.635 405.265 45.805 ;
+        RECT 406.930 45.665 407.050 45.775 ;
+        RECT 407.855 45.635 408.025 45.805 ;
+        RECT 409.235 45.635 409.405 45.805 ;
+        RECT 410.615 45.635 410.785 45.805 ;
+        RECT 412.915 45.635 413.085 45.805 ;
+        RECT 413.835 45.635 414.005 45.805 ;
+        RECT 414.295 45.635 414.465 45.805 ;
+        RECT 417.975 45.635 418.145 45.805 ;
+        RECT 419.355 45.635 419.525 45.805 ;
+        RECT 423.035 45.635 423.205 45.805 ;
+        RECT 424.415 45.635 424.585 45.805 ;
+        RECT 424.875 45.635 425.045 45.805 ;
+        RECT 428.095 45.635 428.265 45.805 ;
+        RECT 429.475 45.635 429.645 45.805 ;
+        RECT 433.615 45.635 433.785 45.805 ;
+        RECT 434.990 45.665 435.110 45.775 ;
+        RECT 435.915 45.635 436.085 45.805 ;
+        RECT 437.295 45.635 437.465 45.805 ;
+        RECT 439.135 45.635 439.305 45.805 ;
+        RECT 440.975 45.635 441.145 45.805 ;
+        RECT 442.355 45.635 442.525 45.805 ;
+        RECT 446.035 45.635 446.205 45.805 ;
+        RECT 447.415 45.635 447.585 45.805 ;
+        RECT 447.875 45.635 448.045 45.805 ;
+        RECT 451.095 45.635 451.265 45.805 ;
+        RECT 452.475 45.635 452.645 45.805 ;
+        RECT 453.395 45.635 453.565 45.805 ;
+        RECT 456.155 45.635 456.325 45.805 ;
+        RECT 456.615 45.635 456.785 45.805 ;
+        RECT 457.535 45.635 457.705 45.805 ;
+        RECT 462.135 45.635 462.305 45.805 ;
+        RECT 463.050 45.665 463.170 45.775 ;
+        RECT 463.975 45.635 464.145 45.805 ;
+        RECT 465.355 45.635 465.525 45.805 ;
+        RECT 467.655 45.635 467.825 45.805 ;
+        RECT 469.035 45.635 469.205 45.805 ;
+        RECT 470.415 45.635 470.585 45.805 ;
+        RECT 470.875 45.635 471.045 45.805 ;
+        RECT 474.095 45.635 474.265 45.805 ;
+        RECT 475.475 45.635 475.645 45.805 ;
+        RECT 476.395 45.635 476.565 45.805 ;
+        RECT 479.155 45.635 479.325 45.805 ;
+        RECT 480.535 45.635 480.705 45.805 ;
+        RECT 481.915 45.635 482.085 45.805 ;
+        RECT 484.215 45.635 484.385 45.805 ;
+        RECT 485.135 45.635 485.305 45.805 ;
+        RECT 485.595 45.635 485.765 45.805 ;
+        RECT 490.655 45.635 490.825 45.805 ;
+        RECT 491.110 45.665 491.230 45.775 ;
+        RECT 492.035 45.635 492.205 45.805 ;
+        RECT 493.415 45.635 493.585 45.805 ;
+        RECT 496.175 45.635 496.345 45.805 ;
+        RECT 497.095 45.635 497.265 45.805 ;
+        RECT 498.475 45.635 498.645 45.805 ;
+        RECT 499.395 45.635 499.565 45.805 ;
+        RECT 501.230 45.665 501.350 45.775 ;
+        RECT 501.695 45.635 501.865 45.805 ;
+        RECT 502.155 45.635 502.325 45.805 ;
+        RECT 503.075 45.635 503.245 45.805 ;
+        RECT 503.535 45.635 503.705 45.805 ;
+        RECT 507.215 45.635 507.385 45.805 ;
+        RECT 508.595 45.635 508.765 45.805 ;
+        RECT 512.275 45.635 512.445 45.805 ;
+        RECT 513.655 45.635 513.825 45.805 ;
+        RECT 519.175 45.775 519.345 45.805 ;
+        RECT 519.170 45.665 519.345 45.775 ;
+        RECT 519.175 45.635 519.345 45.665 ;
+        RECT 520.095 45.635 520.265 45.805 ;
+        RECT 521.475 45.635 521.645 45.805 ;
+        RECT 524.695 45.635 524.865 45.805 ;
+        RECT 525.155 45.635 525.325 45.805 ;
+        RECT 526.535 45.635 526.705 45.805 ;
+        RECT 527.915 45.635 528.085 45.805 ;
+        RECT 530.215 45.635 530.385 45.805 ;
+        RECT 531.595 45.635 531.765 45.805 ;
+        RECT 533.435 45.635 533.605 45.805 ;
+        RECT 535.275 45.635 535.445 45.805 ;
+        RECT 536.655 45.635 536.825 45.805 ;
+        RECT 538.955 45.635 539.125 45.805 ;
+        RECT 540.335 45.635 540.505 45.805 ;
+        RECT 541.715 45.635 541.885 45.805 ;
+        RECT 542.175 45.635 542.345 45.805 ;
+        RECT 547.230 45.665 547.350 45.775 ;
+        RECT 547.695 45.635 547.865 45.805 ;
+        RECT 548.155 45.635 548.325 45.805 ;
+        RECT 549.535 45.635 549.705 45.805 ;
+        RECT 553.215 45.635 553.385 45.805 ;
+        RECT 554.595 45.635 554.765 45.805 ;
+        RECT 556.435 45.635 556.605 45.805 ;
+        RECT 558.275 45.635 558.445 45.805 ;
+        RECT 559.655 45.635 559.825 45.805 ;
+        RECT 561.955 45.635 562.125 45.805 ;
+        RECT 563.335 45.635 563.505 45.805 ;
+        RECT 564.715 45.635 564.885 45.805 ;
+        RECT 567.475 45.635 567.645 45.805 ;
+        RECT 568.395 45.635 568.565 45.805 ;
+        RECT 569.775 45.635 569.945 45.805 ;
+        RECT 570.695 45.635 570.865 45.805 ;
+        RECT 575.290 45.665 575.410 45.775 ;
+        RECT 576.215 45.635 576.385 45.805 ;
+        RECT 577.595 45.635 577.765 45.805 ;
+        RECT 581.275 45.635 581.445 45.805 ;
+        RECT 581.735 45.635 581.905 45.805 ;
+        RECT 582.655 45.635 582.825 45.805 ;
+        RECT 584.965 45.660 585.125 45.770 ;
+        RECT 585.875 45.635 586.045 45.805 ;
+        RECT 586.335 45.635 586.505 45.805 ;
+        RECT 587.255 45.635 587.425 45.805 ;
+        RECT 587.715 45.635 587.885 45.805 ;
+        RECT 591.395 45.635 591.565 45.805 ;
+        RECT 592.775 45.635 592.945 45.805 ;
+        RECT 596.455 45.635 596.625 45.805 ;
+        RECT 597.835 45.635 598.005 45.805 ;
+        RECT 598.290 45.665 598.410 45.775 ;
+        RECT 599.215 45.635 599.385 45.805 ;
+        RECT 603.350 45.665 603.470 45.775 ;
+        RECT 604.275 45.635 604.445 45.805 ;
+        RECT 604.735 45.635 604.905 45.805 ;
+        RECT 605.655 45.635 605.825 45.805 ;
+        RECT 609.335 45.635 609.505 45.805 ;
+        RECT 610.255 45.635 610.425 45.805 ;
+        RECT 610.715 45.635 610.885 45.805 ;
+        RECT 613.475 45.635 613.645 45.805 ;
+        RECT 614.395 45.635 614.565 45.805 ;
+        RECT 615.775 45.635 615.945 45.805 ;
+        RECT 618.995 45.635 619.165 45.805 ;
+        RECT 619.455 45.635 619.625 45.805 ;
+        RECT 620.835 45.635 621.005 45.805 ;
+        RECT 621.750 45.665 621.870 45.775 ;
+        RECT 622.215 45.635 622.385 45.805 ;
+        RECT 623.595 45.635 623.765 45.805 ;
+        RECT 624.515 45.635 624.685 45.805 ;
+        RECT 625.895 45.635 626.065 45.805 ;
+        RECT 627.735 45.635 627.905 45.805 ;
+        RECT 629.570 45.665 629.690 45.775 ;
+        RECT 630.955 45.635 631.125 45.805 ;
+        RECT 56.875 44.875 57.045 45.400 ;
+        RECT 71.135 44.875 71.305 45.400 ;
+        RECT 85.395 44.875 85.565 45.400 ;
+        RECT 99.655 44.875 99.825 45.400 ;
+        RECT 113.915 44.875 114.085 45.400 ;
+        RECT 128.175 44.875 128.345 45.400 ;
+        RECT 142.435 44.875 142.605 45.400 ;
+        RECT 156.695 44.875 156.865 45.400 ;
+        RECT 170.955 44.875 171.125 45.400 ;
+        RECT 185.215 44.875 185.385 45.400 ;
+        RECT 199.475 44.875 199.645 45.400 ;
+        RECT 213.735 44.875 213.905 45.400 ;
+        RECT 227.995 44.875 228.165 45.400 ;
+        RECT 242.255 44.875 242.425 45.400 ;
+        RECT 256.515 44.875 256.685 45.400 ;
+        RECT 270.775 44.875 270.945 45.400 ;
+        RECT 285.035 44.875 285.205 45.400 ;
+        RECT 299.295 44.875 299.465 45.400 ;
+        RECT 313.555 44.875 313.725 45.400 ;
+        RECT 327.815 44.875 327.985 45.400 ;
+        RECT 342.075 44.875 342.245 45.400 ;
+        RECT 356.335 44.875 356.505 45.400 ;
+        RECT 370.595 44.875 370.765 45.400 ;
+        RECT 384.855 44.875 385.025 45.400 ;
+        RECT 399.115 44.875 399.285 45.400 ;
+        RECT 413.375 44.875 413.545 45.400 ;
+        RECT 427.635 44.875 427.805 45.400 ;
+        RECT 441.895 44.875 442.065 45.400 ;
+        RECT 456.155 44.875 456.325 45.400 ;
+        RECT 470.415 44.875 470.585 45.400 ;
+        RECT 484.675 44.875 484.845 45.400 ;
+        RECT 498.935 44.875 499.105 45.400 ;
+        RECT 513.195 44.875 513.365 45.400 ;
+        RECT 527.455 44.875 527.625 45.400 ;
+        RECT 541.715 44.875 541.885 45.400 ;
+        RECT 555.975 44.875 556.145 45.400 ;
+        RECT 570.235 44.875 570.405 45.400 ;
+        RECT 584.495 44.875 584.665 45.400 ;
+        RECT 598.755 44.875 598.925 45.400 ;
+        RECT 613.015 44.875 613.185 45.400 ;
+        RECT 627.275 44.875 627.445 45.400 ;
+      LAYER nwell ;
+        RECT 42.280 42.810 631.460 44.415 ;
+      LAYER li1 ;
+        RECT 42.470 619.555 631.270 619.725 ;
+        RECT 42.555 618.465 43.765 619.555 ;
+        RECT 43.935 618.465 49.280 619.555 ;
+        RECT 49.455 618.465 631.270 619.555 ;
+        RECT 42.555 617.755 43.075 618.295 ;
+        RECT 43.245 617.925 43.765 618.465 ;
+        RECT 43.935 617.775 46.515 618.295 ;
+        RECT 46.685 617.945 49.280 618.465 ;
+        RECT 49.455 617.775 52.035 618.295 ;
+        RECT 52.205 617.945 631.270 618.465 ;
+        RECT 54.000 617.775 631.270 617.945 ;
+        RECT 42.555 617.005 43.765 617.755 ;
+        RECT 43.935 617.005 49.280 617.775 ;
+        RECT 49.455 617.005 631.270 617.775 ;
+        RECT 42.470 616.835 631.270 617.005 ;
+        RECT 42.555 616.085 43.765 616.835 ;
+        RECT 42.555 615.545 43.075 616.085 ;
+        RECT 43.935 616.065 49.280 616.835 ;
+        RECT 49.455 616.065 631.270 616.835 ;
+        RECT 43.245 615.375 43.765 615.915 ;
+        RECT 43.935 615.545 46.515 616.065 ;
+        RECT 46.685 615.375 49.280 615.895 ;
+        RECT 49.455 615.545 52.035 616.065 ;
+        RECT 54.000 615.895 631.270 616.065 ;
+        RECT 52.205 615.375 631.270 615.895 ;
+        RECT 42.555 614.285 43.765 615.375 ;
+        RECT 43.935 614.285 49.280 615.375 ;
+        RECT 49.455 614.285 631.270 615.375 ;
+        RECT 42.470 614.115 631.270 614.285 ;
+        RECT 42.555 613.025 43.765 614.115 ;
+        RECT 43.935 613.025 49.280 614.115 ;
+        RECT 49.455 613.025 631.270 614.115 ;
+        RECT 42.555 612.315 43.075 612.855 ;
+        RECT 43.245 612.485 43.765 613.025 ;
+        RECT 43.935 612.335 46.515 612.855 ;
+        RECT 46.685 612.505 49.280 613.025 ;
+        RECT 49.455 612.335 52.035 612.855 ;
+        RECT 52.205 612.505 631.270 613.025 ;
+        RECT 54.000 612.335 631.270 612.505 ;
+        RECT 42.555 611.565 43.765 612.315 ;
+        RECT 43.935 611.565 49.280 612.335 ;
+        RECT 49.455 611.565 631.270 612.335 ;
+        RECT 42.470 611.395 631.270 611.565 ;
+        RECT 42.555 610.645 43.765 611.395 ;
+        RECT 42.555 610.105 43.075 610.645 ;
+        RECT 43.935 610.625 49.280 611.395 ;
+        RECT 49.455 610.625 631.270 611.395 ;
+        RECT 43.245 609.935 43.765 610.475 ;
+        RECT 43.935 610.105 46.515 610.625 ;
+        RECT 46.685 609.935 49.280 610.455 ;
+        RECT 49.455 610.105 52.035 610.625 ;
+        RECT 54.000 610.455 631.270 610.625 ;
+        RECT 52.205 609.935 631.270 610.455 ;
+        RECT 42.555 608.845 43.765 609.935 ;
+        RECT 43.935 608.845 49.280 609.935 ;
+        RECT 49.455 608.845 631.270 609.935 ;
+        RECT 42.470 608.675 631.270 608.845 ;
+        RECT 42.555 607.585 43.765 608.675 ;
+        RECT 43.935 607.585 49.280 608.675 ;
+        RECT 49.455 607.585 631.270 608.675 ;
+        RECT 42.555 606.875 43.075 607.415 ;
+        RECT 43.245 607.045 43.765 607.585 ;
+        RECT 43.935 606.895 46.515 607.415 ;
+        RECT 46.685 607.065 49.280 607.585 ;
+        RECT 49.455 606.895 52.035 607.415 ;
+        RECT 52.205 607.065 631.270 607.585 ;
+        RECT 54.000 606.895 631.270 607.065 ;
+        RECT 42.555 606.125 43.765 606.875 ;
+        RECT 43.935 606.125 49.280 606.895 ;
+        RECT 49.455 606.125 631.270 606.895 ;
+        RECT 42.470 605.955 631.270 606.125 ;
+        RECT 42.555 605.205 43.765 605.955 ;
+        RECT 42.555 604.665 43.075 605.205 ;
+        RECT 43.935 605.185 49.280 605.955 ;
+        RECT 49.455 605.185 631.270 605.955 ;
+        RECT 43.245 604.495 43.765 605.035 ;
+        RECT 43.935 604.665 46.515 605.185 ;
+        RECT 46.685 604.495 49.280 605.015 ;
+        RECT 49.455 604.665 52.035 605.185 ;
+        RECT 54.000 605.015 631.270 605.185 ;
+        RECT 52.205 604.495 631.270 605.015 ;
+        RECT 42.555 603.405 43.765 604.495 ;
+        RECT 43.935 603.405 49.280 604.495 ;
+        RECT 49.455 603.405 631.270 604.495 ;
+        RECT 42.470 603.235 631.270 603.405 ;
+        RECT 42.555 602.145 43.765 603.235 ;
+        RECT 43.935 602.145 49.280 603.235 ;
+        RECT 49.455 602.145 631.270 603.235 ;
+        RECT 42.555 601.435 43.075 601.975 ;
+        RECT 43.245 601.605 43.765 602.145 ;
+        RECT 43.935 601.455 46.515 601.975 ;
+        RECT 46.685 601.625 49.280 602.145 ;
+        RECT 49.455 601.455 52.035 601.975 ;
+        RECT 52.205 601.625 631.270 602.145 ;
+        RECT 54.000 601.455 631.270 601.625 ;
+        RECT 42.555 600.685 43.765 601.435 ;
+        RECT 43.935 600.685 49.280 601.455 ;
+        RECT 49.455 600.685 631.270 601.455 ;
+        RECT 42.470 600.515 631.270 600.685 ;
+        RECT 42.555 599.765 43.765 600.515 ;
+        RECT 42.555 599.225 43.075 599.765 ;
+        RECT 43.935 599.745 49.280 600.515 ;
+        RECT 49.455 599.745 631.270 600.515 ;
+        RECT 43.245 599.055 43.765 599.595 ;
+        RECT 43.935 599.225 46.515 599.745 ;
+        RECT 46.685 599.055 49.280 599.575 ;
+        RECT 49.455 599.225 52.035 599.745 ;
+        RECT 54.000 599.575 631.270 599.745 ;
+        RECT 52.205 599.055 631.270 599.575 ;
+        RECT 42.555 597.965 43.765 599.055 ;
+        RECT 43.935 597.965 49.280 599.055 ;
+        RECT 49.455 597.965 631.270 599.055 ;
+        RECT 42.470 597.795 631.270 597.965 ;
+        RECT 42.555 596.705 43.765 597.795 ;
+        RECT 43.935 596.705 49.280 597.795 ;
+        RECT 49.455 596.705 631.270 597.795 ;
+        RECT 42.555 595.995 43.075 596.535 ;
+        RECT 43.245 596.165 43.765 596.705 ;
+        RECT 43.935 596.015 46.515 596.535 ;
+        RECT 46.685 596.185 49.280 596.705 ;
+        RECT 49.455 596.015 52.035 596.535 ;
+        RECT 52.205 596.185 631.270 596.705 ;
+        RECT 54.000 596.015 631.270 596.185 ;
+        RECT 42.555 595.245 43.765 595.995 ;
+        RECT 43.935 595.245 49.280 596.015 ;
+        RECT 49.455 595.245 631.270 596.015 ;
+        RECT 42.470 595.075 631.270 595.245 ;
+        RECT 42.555 594.325 43.765 595.075 ;
+        RECT 42.555 593.785 43.075 594.325 ;
+        RECT 43.935 594.305 49.280 595.075 ;
+        RECT 49.455 594.305 631.270 595.075 ;
+        RECT 43.245 593.615 43.765 594.155 ;
+        RECT 43.935 593.785 46.515 594.305 ;
+        RECT 46.685 593.615 49.280 594.135 ;
+        RECT 49.455 593.785 52.035 594.305 ;
+        RECT 54.000 594.135 631.270 594.305 ;
+        RECT 52.205 593.615 631.270 594.135 ;
+        RECT 42.555 592.525 43.765 593.615 ;
+        RECT 43.935 592.525 49.280 593.615 ;
+        RECT 49.455 592.525 631.270 593.615 ;
+        RECT 42.470 592.355 631.270 592.525 ;
+        RECT 42.555 591.265 43.765 592.355 ;
+        RECT 43.935 591.265 49.280 592.355 ;
+        RECT 49.455 591.265 631.270 592.355 ;
+        RECT 42.555 590.555 43.075 591.095 ;
+        RECT 43.245 590.725 43.765 591.265 ;
+        RECT 43.935 590.575 46.515 591.095 ;
+        RECT 46.685 590.745 49.280 591.265 ;
+        RECT 49.455 590.575 52.035 591.095 ;
+        RECT 52.205 590.745 631.270 591.265 ;
+        RECT 54.000 590.575 631.270 590.745 ;
+        RECT 42.555 589.805 43.765 590.555 ;
+        RECT 43.935 589.805 49.280 590.575 ;
+        RECT 49.455 589.805 631.270 590.575 ;
+        RECT 42.470 589.635 631.270 589.805 ;
+        RECT 42.555 588.885 43.765 589.635 ;
+        RECT 42.555 588.345 43.075 588.885 ;
+        RECT 43.935 588.865 49.280 589.635 ;
+        RECT 49.455 588.865 631.270 589.635 ;
+        RECT 43.245 588.175 43.765 588.715 ;
+        RECT 43.935 588.345 46.515 588.865 ;
+        RECT 46.685 588.175 49.280 588.695 ;
+        RECT 49.455 588.345 52.035 588.865 ;
+        RECT 54.000 588.695 631.270 588.865 ;
+        RECT 52.205 588.175 631.270 588.695 ;
+        RECT 42.555 587.085 43.765 588.175 ;
+        RECT 43.935 587.085 49.280 588.175 ;
+        RECT 49.455 587.085 631.270 588.175 ;
+        RECT 42.470 586.915 631.270 587.085 ;
+        RECT 42.555 585.825 43.765 586.915 ;
+        RECT 43.935 585.825 49.280 586.915 ;
+        RECT 49.455 585.825 631.270 586.915 ;
+        RECT 42.555 585.115 43.075 585.655 ;
+        RECT 43.245 585.285 43.765 585.825 ;
+        RECT 43.935 585.135 46.515 585.655 ;
+        RECT 46.685 585.305 49.280 585.825 ;
+        RECT 49.455 585.135 52.035 585.655 ;
+        RECT 52.205 585.305 631.270 585.825 ;
+        RECT 54.000 585.135 631.270 585.305 ;
+        RECT 42.555 584.365 43.765 585.115 ;
+        RECT 43.935 584.365 49.280 585.135 ;
+        RECT 49.455 584.365 631.270 585.135 ;
+        RECT 42.470 584.195 631.270 584.365 ;
+        RECT 42.555 583.445 43.765 584.195 ;
+        RECT 42.555 582.905 43.075 583.445 ;
+        RECT 43.935 583.425 49.280 584.195 ;
+        RECT 49.455 583.425 631.270 584.195 ;
+        RECT 43.245 582.735 43.765 583.275 ;
+        RECT 43.935 582.905 46.515 583.425 ;
+        RECT 46.685 582.735 49.280 583.255 ;
+        RECT 49.455 582.905 52.035 583.425 ;
+        RECT 54.000 583.255 631.270 583.425 ;
+        RECT 52.205 582.735 631.270 583.255 ;
+        RECT 42.555 581.645 43.765 582.735 ;
+        RECT 43.935 581.645 49.280 582.735 ;
+        RECT 49.455 581.645 631.270 582.735 ;
+        RECT 42.470 581.475 631.270 581.645 ;
+        RECT 42.555 580.385 43.765 581.475 ;
+        RECT 43.935 580.385 49.280 581.475 ;
+        RECT 49.455 580.385 631.270 581.475 ;
+        RECT 42.555 579.675 43.075 580.215 ;
+        RECT 43.245 579.845 43.765 580.385 ;
+        RECT 43.935 579.695 46.515 580.215 ;
+        RECT 46.685 579.865 49.280 580.385 ;
+        RECT 49.455 579.695 52.035 580.215 ;
+        RECT 52.205 579.865 631.270 580.385 ;
+        RECT 54.000 579.695 631.270 579.865 ;
+        RECT 42.555 578.925 43.765 579.675 ;
+        RECT 43.935 578.925 49.280 579.695 ;
+        RECT 49.455 578.925 631.270 579.695 ;
+        RECT 42.470 578.755 631.270 578.925 ;
+        RECT 42.555 578.005 43.765 578.755 ;
+        RECT 42.555 577.465 43.075 578.005 ;
+        RECT 43.935 577.985 49.280 578.755 ;
+        RECT 49.455 577.985 631.270 578.755 ;
+        RECT 43.245 577.295 43.765 577.835 ;
+        RECT 43.935 577.465 46.515 577.985 ;
+        RECT 46.685 577.295 49.280 577.815 ;
+        RECT 49.455 577.465 52.035 577.985 ;
+        RECT 54.000 577.815 631.270 577.985 ;
+        RECT 52.205 577.295 631.270 577.815 ;
+        RECT 42.555 576.205 43.765 577.295 ;
+        RECT 43.935 576.205 49.280 577.295 ;
+        RECT 49.455 576.205 631.270 577.295 ;
+        RECT 42.470 576.035 631.270 576.205 ;
+        RECT 42.555 574.945 43.765 576.035 ;
+        RECT 43.935 574.945 49.280 576.035 ;
+        RECT 49.455 574.945 631.270 576.035 ;
+        RECT 42.555 574.235 43.075 574.775 ;
+        RECT 43.245 574.405 43.765 574.945 ;
+        RECT 43.935 574.255 46.515 574.775 ;
+        RECT 46.685 574.425 49.280 574.945 ;
+        RECT 49.455 574.255 52.035 574.775 ;
+        RECT 52.205 574.425 631.270 574.945 ;
+        RECT 54.000 574.255 631.270 574.425 ;
+        RECT 42.555 573.485 43.765 574.235 ;
+        RECT 43.935 573.485 49.280 574.255 ;
+        RECT 49.455 573.485 631.270 574.255 ;
+        RECT 42.470 573.315 631.270 573.485 ;
+        RECT 42.555 572.565 43.765 573.315 ;
+        RECT 42.555 572.025 43.075 572.565 ;
+        RECT 43.935 572.545 49.280 573.315 ;
+        RECT 49.455 572.545 631.270 573.315 ;
+        RECT 43.245 571.855 43.765 572.395 ;
+        RECT 43.935 572.025 46.515 572.545 ;
+        RECT 46.685 571.855 49.280 572.375 ;
+        RECT 49.455 572.025 52.035 572.545 ;
+        RECT 54.000 572.375 631.270 572.545 ;
+        RECT 52.205 571.855 631.270 572.375 ;
+        RECT 42.555 570.765 43.765 571.855 ;
+        RECT 43.935 570.765 49.280 571.855 ;
+        RECT 49.455 570.765 631.270 571.855 ;
+        RECT 42.470 570.595 631.270 570.765 ;
+        RECT 42.555 569.505 43.765 570.595 ;
+        RECT 43.935 569.505 49.280 570.595 ;
+        RECT 49.455 569.505 631.270 570.595 ;
+        RECT 42.555 568.795 43.075 569.335 ;
+        RECT 43.245 568.965 43.765 569.505 ;
+        RECT 43.935 568.815 46.515 569.335 ;
+        RECT 46.685 568.985 49.280 569.505 ;
+        RECT 49.455 568.815 52.035 569.335 ;
+        RECT 52.205 568.985 631.270 569.505 ;
+        RECT 54.000 568.815 631.270 568.985 ;
+        RECT 42.555 568.045 43.765 568.795 ;
+        RECT 43.935 568.045 49.280 568.815 ;
+        RECT 49.455 568.045 631.270 568.815 ;
+        RECT 42.470 567.875 631.270 568.045 ;
+        RECT 42.555 567.125 43.765 567.875 ;
+        RECT 42.555 566.585 43.075 567.125 ;
+        RECT 43.935 567.105 49.280 567.875 ;
+        RECT 49.455 567.105 631.270 567.875 ;
+        RECT 43.245 566.415 43.765 566.955 ;
+        RECT 43.935 566.585 46.515 567.105 ;
+        RECT 46.685 566.415 49.280 566.935 ;
+        RECT 49.455 566.585 52.035 567.105 ;
+        RECT 54.000 566.935 631.270 567.105 ;
+        RECT 52.205 566.415 631.270 566.935 ;
+        RECT 42.555 565.325 43.765 566.415 ;
+        RECT 43.935 565.325 49.280 566.415 ;
+        RECT 49.455 565.325 631.270 566.415 ;
+        RECT 42.470 565.155 631.270 565.325 ;
+        RECT 42.555 564.065 43.765 565.155 ;
+        RECT 43.935 564.065 49.280 565.155 ;
+        RECT 49.455 564.065 631.270 565.155 ;
+        RECT 42.555 563.355 43.075 563.895 ;
+        RECT 43.245 563.525 43.765 564.065 ;
+        RECT 43.935 563.375 46.515 563.895 ;
+        RECT 46.685 563.545 49.280 564.065 ;
+        RECT 49.455 563.375 52.035 563.895 ;
+        RECT 52.205 563.545 631.270 564.065 ;
+        RECT 54.000 563.375 631.270 563.545 ;
+        RECT 42.555 562.605 43.765 563.355 ;
+        RECT 43.935 562.605 49.280 563.375 ;
+        RECT 49.455 562.605 631.270 563.375 ;
+        RECT 42.470 562.435 631.270 562.605 ;
+        RECT 42.555 561.685 43.765 562.435 ;
+        RECT 42.555 561.145 43.075 561.685 ;
+        RECT 43.935 561.665 49.280 562.435 ;
+        RECT 49.455 561.665 631.270 562.435 ;
+        RECT 43.245 560.975 43.765 561.515 ;
+        RECT 43.935 561.145 46.515 561.665 ;
+        RECT 46.685 560.975 49.280 561.495 ;
+        RECT 49.455 561.145 52.035 561.665 ;
+        RECT 54.000 561.495 631.270 561.665 ;
+        RECT 52.205 560.975 631.270 561.495 ;
+        RECT 42.555 559.885 43.765 560.975 ;
+        RECT 43.935 559.885 49.280 560.975 ;
+        RECT 49.455 559.885 631.270 560.975 ;
+        RECT 42.470 559.715 631.270 559.885 ;
+        RECT 42.555 558.625 43.765 559.715 ;
+        RECT 43.935 558.625 49.280 559.715 ;
+        RECT 49.455 558.625 631.270 559.715 ;
+        RECT 42.555 557.915 43.075 558.455 ;
+        RECT 43.245 558.085 43.765 558.625 ;
+        RECT 43.935 557.935 46.515 558.455 ;
+        RECT 46.685 558.105 49.280 558.625 ;
+        RECT 49.455 557.935 52.035 558.455 ;
+        RECT 52.205 558.105 631.270 558.625 ;
+        RECT 54.000 557.935 631.270 558.105 ;
+        RECT 42.555 557.165 43.765 557.915 ;
+        RECT 43.935 557.165 49.280 557.935 ;
+        RECT 49.455 557.165 631.270 557.935 ;
+        RECT 42.470 556.995 631.270 557.165 ;
+        RECT 42.555 556.245 43.765 556.995 ;
+        RECT 42.555 555.705 43.075 556.245 ;
+        RECT 43.935 556.225 49.280 556.995 ;
+        RECT 49.455 556.225 631.270 556.995 ;
+        RECT 43.245 555.535 43.765 556.075 ;
+        RECT 43.935 555.705 46.515 556.225 ;
+        RECT 46.685 555.535 49.280 556.055 ;
+        RECT 49.455 555.705 52.035 556.225 ;
+        RECT 54.000 556.055 631.270 556.225 ;
+        RECT 52.205 555.535 631.270 556.055 ;
+        RECT 42.555 554.445 43.765 555.535 ;
+        RECT 43.935 554.445 49.280 555.535 ;
+        RECT 49.455 554.445 631.270 555.535 ;
+        RECT 42.470 554.275 631.270 554.445 ;
+        RECT 42.555 553.185 43.765 554.275 ;
+        RECT 43.935 553.185 49.280 554.275 ;
+        RECT 49.455 553.185 631.270 554.275 ;
+        RECT 42.555 552.475 43.075 553.015 ;
+        RECT 43.245 552.645 43.765 553.185 ;
+        RECT 43.935 552.495 46.515 553.015 ;
+        RECT 46.685 552.665 49.280 553.185 ;
+        RECT 49.455 552.495 52.035 553.015 ;
+        RECT 52.205 552.665 631.270 553.185 ;
+        RECT 54.000 552.495 631.270 552.665 ;
+        RECT 42.555 551.725 43.765 552.475 ;
+        RECT 43.935 551.725 49.280 552.495 ;
+        RECT 49.455 551.725 631.270 552.495 ;
+        RECT 42.470 551.555 631.270 551.725 ;
+        RECT 42.555 550.805 43.765 551.555 ;
+        RECT 42.555 550.265 43.075 550.805 ;
+        RECT 43.935 550.785 49.280 551.555 ;
+        RECT 49.455 550.785 631.270 551.555 ;
+        RECT 43.245 550.095 43.765 550.635 ;
+        RECT 43.935 550.265 46.515 550.785 ;
+        RECT 46.685 550.095 49.280 550.615 ;
+        RECT 49.455 550.265 52.035 550.785 ;
+        RECT 54.000 550.615 631.270 550.785 ;
+        RECT 52.205 550.095 631.270 550.615 ;
+        RECT 42.555 549.005 43.765 550.095 ;
+        RECT 43.935 549.005 49.280 550.095 ;
+        RECT 49.455 549.005 631.270 550.095 ;
+        RECT 42.470 548.835 631.270 549.005 ;
+        RECT 42.555 547.745 43.765 548.835 ;
+        RECT 43.935 547.745 49.280 548.835 ;
+        RECT 49.455 547.745 631.270 548.835 ;
+        RECT 42.555 547.035 43.075 547.575 ;
+        RECT 43.245 547.205 43.765 547.745 ;
+        RECT 43.935 547.055 46.515 547.575 ;
+        RECT 46.685 547.225 49.280 547.745 ;
+        RECT 49.455 547.055 52.035 547.575 ;
+        RECT 52.205 547.225 631.270 547.745 ;
+        RECT 54.000 547.055 631.270 547.225 ;
+        RECT 42.555 546.285 43.765 547.035 ;
+        RECT 43.935 546.285 49.280 547.055 ;
+        RECT 49.455 546.285 631.270 547.055 ;
+        RECT 42.470 546.115 631.270 546.285 ;
+        RECT 42.555 545.365 43.765 546.115 ;
+        RECT 42.555 544.825 43.075 545.365 ;
+        RECT 43.935 545.345 49.280 546.115 ;
+        RECT 49.455 545.345 631.270 546.115 ;
+        RECT 43.245 544.655 43.765 545.195 ;
+        RECT 43.935 544.825 46.515 545.345 ;
+        RECT 46.685 544.655 49.280 545.175 ;
+        RECT 49.455 544.825 52.035 545.345 ;
+        RECT 54.000 545.175 631.270 545.345 ;
+        RECT 52.205 544.655 631.270 545.175 ;
+        RECT 42.555 543.565 43.765 544.655 ;
+        RECT 43.935 543.565 49.280 544.655 ;
+        RECT 49.455 543.565 631.270 544.655 ;
+        RECT 42.470 543.395 631.270 543.565 ;
+        RECT 42.555 542.305 43.765 543.395 ;
+        RECT 43.935 542.305 49.280 543.395 ;
+        RECT 49.455 542.305 631.270 543.395 ;
+        RECT 42.555 541.595 43.075 542.135 ;
+        RECT 43.245 541.765 43.765 542.305 ;
+        RECT 43.935 541.615 46.515 542.135 ;
+        RECT 46.685 541.785 49.280 542.305 ;
+        RECT 49.455 541.615 52.035 542.135 ;
+        RECT 52.205 541.785 631.270 542.305 ;
+        RECT 54.000 541.615 631.270 541.785 ;
+        RECT 42.555 540.845 43.765 541.595 ;
+        RECT 43.935 540.845 49.280 541.615 ;
+        RECT 49.455 540.845 631.270 541.615 ;
+        RECT 42.470 540.675 631.270 540.845 ;
+        RECT 42.555 539.925 43.765 540.675 ;
+        RECT 42.555 539.385 43.075 539.925 ;
+        RECT 43.935 539.905 49.280 540.675 ;
+        RECT 49.455 539.905 631.270 540.675 ;
+        RECT 43.245 539.215 43.765 539.755 ;
+        RECT 43.935 539.385 46.515 539.905 ;
+        RECT 46.685 539.215 49.280 539.735 ;
+        RECT 49.455 539.385 52.035 539.905 ;
+        RECT 54.000 539.735 631.270 539.905 ;
+        RECT 52.205 539.215 631.270 539.735 ;
+        RECT 42.555 538.125 43.765 539.215 ;
+        RECT 43.935 538.125 49.280 539.215 ;
+        RECT 49.455 538.125 631.270 539.215 ;
+        RECT 42.470 537.955 631.270 538.125 ;
+        RECT 42.555 536.865 43.765 537.955 ;
+        RECT 43.935 536.865 49.280 537.955 ;
+        RECT 49.455 536.865 631.270 537.955 ;
+        RECT 42.555 536.155 43.075 536.695 ;
+        RECT 43.245 536.325 43.765 536.865 ;
+        RECT 43.935 536.175 46.515 536.695 ;
+        RECT 46.685 536.345 49.280 536.865 ;
+        RECT 49.455 536.175 52.035 536.695 ;
+        RECT 52.205 536.345 631.270 536.865 ;
+        RECT 54.000 536.175 631.270 536.345 ;
+        RECT 42.555 535.405 43.765 536.155 ;
+        RECT 43.935 535.405 49.280 536.175 ;
+        RECT 49.455 535.405 631.270 536.175 ;
+        RECT 42.470 535.235 631.270 535.405 ;
+        RECT 42.555 534.485 43.765 535.235 ;
+        RECT 42.555 533.945 43.075 534.485 ;
+        RECT 43.935 534.465 49.280 535.235 ;
+        RECT 49.455 534.465 631.270 535.235 ;
+        RECT 43.245 533.775 43.765 534.315 ;
+        RECT 43.935 533.945 46.515 534.465 ;
+        RECT 46.685 533.775 49.280 534.295 ;
+        RECT 49.455 533.945 52.035 534.465 ;
+        RECT 54.000 534.295 631.270 534.465 ;
+        RECT 52.205 533.775 631.270 534.295 ;
+        RECT 42.555 532.685 43.765 533.775 ;
+        RECT 43.935 532.685 49.280 533.775 ;
+        RECT 49.455 532.685 631.270 533.775 ;
+        RECT 42.470 532.515 631.270 532.685 ;
+        RECT 42.555 531.425 43.765 532.515 ;
+        RECT 43.935 531.425 49.280 532.515 ;
+        RECT 49.455 531.425 631.270 532.515 ;
+        RECT 42.555 530.715 43.075 531.255 ;
+        RECT 43.245 530.885 43.765 531.425 ;
+        RECT 43.935 530.735 46.515 531.255 ;
+        RECT 46.685 530.905 49.280 531.425 ;
+        RECT 49.455 530.735 52.035 531.255 ;
+        RECT 52.205 530.905 631.270 531.425 ;
+        RECT 54.000 530.735 631.270 530.905 ;
+        RECT 42.555 529.965 43.765 530.715 ;
+        RECT 43.935 529.965 49.280 530.735 ;
+        RECT 49.455 529.965 631.270 530.735 ;
+        RECT 42.470 529.795 631.270 529.965 ;
+        RECT 42.555 529.045 43.765 529.795 ;
+        RECT 42.555 528.505 43.075 529.045 ;
+        RECT 43.935 529.025 49.280 529.795 ;
+        RECT 49.455 529.025 631.270 529.795 ;
+        RECT 43.245 528.335 43.765 528.875 ;
+        RECT 43.935 528.505 46.515 529.025 ;
+        RECT 46.685 528.335 49.280 528.855 ;
+        RECT 49.455 528.505 52.035 529.025 ;
+        RECT 54.000 528.855 631.270 529.025 ;
+        RECT 52.205 528.335 631.270 528.855 ;
+        RECT 42.555 527.245 43.765 528.335 ;
+        RECT 43.935 527.245 49.280 528.335 ;
+        RECT 49.455 527.245 631.270 528.335 ;
+        RECT 42.470 527.075 631.270 527.245 ;
+        RECT 42.555 525.985 43.765 527.075 ;
+        RECT 43.935 525.985 49.280 527.075 ;
+        RECT 49.455 525.985 631.270 527.075 ;
+        RECT 42.555 525.275 43.075 525.815 ;
+        RECT 43.245 525.445 43.765 525.985 ;
+        RECT 43.935 525.295 46.515 525.815 ;
+        RECT 46.685 525.465 49.280 525.985 ;
+        RECT 49.455 525.295 52.035 525.815 ;
+        RECT 52.205 525.465 631.270 525.985 ;
+        RECT 54.000 525.295 631.270 525.465 ;
+        RECT 42.555 524.525 43.765 525.275 ;
+        RECT 43.935 524.525 49.280 525.295 ;
+        RECT 49.455 524.525 631.270 525.295 ;
+        RECT 42.470 524.355 631.270 524.525 ;
+        RECT 42.555 523.605 43.765 524.355 ;
+        RECT 42.555 523.065 43.075 523.605 ;
+        RECT 43.935 523.585 49.280 524.355 ;
+        RECT 49.455 523.585 631.270 524.355 ;
+        RECT 43.245 522.895 43.765 523.435 ;
+        RECT 43.935 523.065 46.515 523.585 ;
+        RECT 46.685 522.895 49.280 523.415 ;
+        RECT 49.455 523.065 52.035 523.585 ;
+        RECT 54.000 523.415 631.270 523.585 ;
+        RECT 52.205 522.895 631.270 523.415 ;
+        RECT 42.555 521.805 43.765 522.895 ;
+        RECT 43.935 521.805 49.280 522.895 ;
+        RECT 49.455 521.805 631.270 522.895 ;
+        RECT 42.470 521.635 631.270 521.805 ;
+        RECT 42.555 520.545 43.765 521.635 ;
+        RECT 43.935 520.545 49.280 521.635 ;
+        RECT 49.455 520.545 631.270 521.635 ;
+        RECT 42.555 519.835 43.075 520.375 ;
+        RECT 43.245 520.005 43.765 520.545 ;
+        RECT 43.935 519.855 46.515 520.375 ;
+        RECT 46.685 520.025 49.280 520.545 ;
+        RECT 49.455 519.855 52.035 520.375 ;
+        RECT 52.205 520.025 631.270 520.545 ;
+        RECT 54.000 519.855 631.270 520.025 ;
+        RECT 42.555 519.085 43.765 519.835 ;
+        RECT 43.935 519.085 49.280 519.855 ;
+        RECT 49.455 519.085 631.270 519.855 ;
+        RECT 42.470 518.915 631.270 519.085 ;
+        RECT 42.555 518.165 43.765 518.915 ;
+        RECT 42.555 517.625 43.075 518.165 ;
+        RECT 43.935 518.145 49.280 518.915 ;
+        RECT 49.455 518.145 631.270 518.915 ;
+        RECT 43.245 517.455 43.765 517.995 ;
+        RECT 43.935 517.625 46.515 518.145 ;
+        RECT 46.685 517.455 49.280 517.975 ;
+        RECT 49.455 517.625 52.035 518.145 ;
+        RECT 54.000 517.975 631.270 518.145 ;
+        RECT 52.205 517.455 631.270 517.975 ;
+        RECT 42.555 516.365 43.765 517.455 ;
+        RECT 43.935 516.365 49.280 517.455 ;
+        RECT 49.455 516.365 631.270 517.455 ;
+        RECT 42.470 516.195 631.270 516.365 ;
+        RECT 42.555 515.105 43.765 516.195 ;
+        RECT 43.935 515.105 49.280 516.195 ;
+        RECT 49.455 515.105 631.270 516.195 ;
+        RECT 42.555 514.395 43.075 514.935 ;
+        RECT 43.245 514.565 43.765 515.105 ;
+        RECT 43.935 514.415 46.515 514.935 ;
+        RECT 46.685 514.585 49.280 515.105 ;
+        RECT 49.455 514.415 52.035 514.935 ;
+        RECT 52.205 514.585 631.270 515.105 ;
+        RECT 54.000 514.415 631.270 514.585 ;
+        RECT 42.555 513.645 43.765 514.395 ;
+        RECT 43.935 513.645 49.280 514.415 ;
+        RECT 49.455 513.645 631.270 514.415 ;
+        RECT 42.470 513.475 631.270 513.645 ;
+        RECT 42.555 512.725 43.765 513.475 ;
+        RECT 42.555 512.185 43.075 512.725 ;
+        RECT 43.935 512.705 49.280 513.475 ;
+        RECT 49.455 512.705 631.270 513.475 ;
+        RECT 43.245 512.015 43.765 512.555 ;
+        RECT 43.935 512.185 46.515 512.705 ;
+        RECT 46.685 512.015 49.280 512.535 ;
+        RECT 49.455 512.185 52.035 512.705 ;
+        RECT 54.000 512.535 631.270 512.705 ;
+        RECT 52.205 512.015 631.270 512.535 ;
+        RECT 42.555 510.925 43.765 512.015 ;
+        RECT 43.935 510.925 49.280 512.015 ;
+        RECT 49.455 510.925 631.270 512.015 ;
+        RECT 42.470 510.755 631.270 510.925 ;
+        RECT 42.555 509.665 43.765 510.755 ;
+        RECT 43.935 509.665 49.280 510.755 ;
+        RECT 49.455 509.665 631.270 510.755 ;
+        RECT 42.555 508.955 43.075 509.495 ;
+        RECT 43.245 509.125 43.765 509.665 ;
+        RECT 43.935 508.975 46.515 509.495 ;
+        RECT 46.685 509.145 49.280 509.665 ;
+        RECT 49.455 508.975 52.035 509.495 ;
+        RECT 52.205 509.145 631.270 509.665 ;
+        RECT 54.000 508.975 631.270 509.145 ;
+        RECT 42.555 508.205 43.765 508.955 ;
+        RECT 43.935 508.205 49.280 508.975 ;
+        RECT 49.455 508.205 631.270 508.975 ;
+        RECT 42.470 508.035 631.270 508.205 ;
+        RECT 42.555 507.285 43.765 508.035 ;
+        RECT 42.555 506.745 43.075 507.285 ;
+        RECT 43.935 507.265 49.280 508.035 ;
+        RECT 49.455 507.265 631.270 508.035 ;
+        RECT 43.245 506.575 43.765 507.115 ;
+        RECT 43.935 506.745 46.515 507.265 ;
+        RECT 46.685 506.575 49.280 507.095 ;
+        RECT 49.455 506.745 52.035 507.265 ;
+        RECT 54.000 507.095 631.270 507.265 ;
+        RECT 52.205 506.575 631.270 507.095 ;
+        RECT 42.555 505.485 43.765 506.575 ;
+        RECT 43.935 505.485 49.280 506.575 ;
+        RECT 49.455 505.485 631.270 506.575 ;
+        RECT 42.470 505.315 631.270 505.485 ;
+        RECT 42.555 504.225 43.765 505.315 ;
+        RECT 43.935 504.225 49.280 505.315 ;
+        RECT 49.455 504.225 631.270 505.315 ;
+        RECT 42.555 503.515 43.075 504.055 ;
+        RECT 43.245 503.685 43.765 504.225 ;
+        RECT 43.935 503.535 46.515 504.055 ;
+        RECT 46.685 503.705 49.280 504.225 ;
+        RECT 49.455 503.535 52.035 504.055 ;
+        RECT 52.205 503.705 631.270 504.225 ;
+        RECT 54.000 503.535 631.270 503.705 ;
+        RECT 42.555 502.765 43.765 503.515 ;
+        RECT 43.935 502.765 49.280 503.535 ;
+        RECT 49.455 502.765 631.270 503.535 ;
+        RECT 42.470 502.595 631.270 502.765 ;
+        RECT 42.555 501.845 43.765 502.595 ;
+        RECT 42.555 501.305 43.075 501.845 ;
+        RECT 43.935 501.825 49.280 502.595 ;
+        RECT 49.455 501.825 631.270 502.595 ;
+        RECT 43.245 501.135 43.765 501.675 ;
+        RECT 43.935 501.305 46.515 501.825 ;
+        RECT 46.685 501.135 49.280 501.655 ;
+        RECT 49.455 501.305 52.035 501.825 ;
+        RECT 54.000 501.655 631.270 501.825 ;
+        RECT 52.205 501.135 631.270 501.655 ;
+        RECT 42.555 500.045 43.765 501.135 ;
+        RECT 43.935 500.045 49.280 501.135 ;
+        RECT 49.455 500.045 631.270 501.135 ;
+        RECT 42.470 499.875 631.270 500.045 ;
+        RECT 42.555 498.785 43.765 499.875 ;
+        RECT 43.935 498.785 49.280 499.875 ;
+        RECT 49.455 498.785 631.270 499.875 ;
+        RECT 42.555 498.075 43.075 498.615 ;
+        RECT 43.245 498.245 43.765 498.785 ;
+        RECT 43.935 498.095 46.515 498.615 ;
+        RECT 46.685 498.265 49.280 498.785 ;
+        RECT 49.455 498.095 52.035 498.615 ;
+        RECT 52.205 498.265 631.270 498.785 ;
+        RECT 54.000 498.095 631.270 498.265 ;
+        RECT 42.555 497.325 43.765 498.075 ;
+        RECT 43.935 497.325 49.280 498.095 ;
+        RECT 49.455 497.325 631.270 498.095 ;
+        RECT 42.470 497.155 631.270 497.325 ;
+        RECT 42.555 496.405 43.765 497.155 ;
+        RECT 42.555 495.865 43.075 496.405 ;
+        RECT 43.935 496.385 49.280 497.155 ;
+        RECT 49.455 496.385 631.270 497.155 ;
+        RECT 43.245 495.695 43.765 496.235 ;
+        RECT 43.935 495.865 46.515 496.385 ;
+        RECT 46.685 495.695 49.280 496.215 ;
+        RECT 49.455 495.865 52.035 496.385 ;
+        RECT 54.000 496.215 631.270 496.385 ;
+        RECT 52.205 495.695 631.270 496.215 ;
+        RECT 42.555 494.605 43.765 495.695 ;
+        RECT 43.935 494.605 49.280 495.695 ;
+        RECT 49.455 494.605 631.270 495.695 ;
+        RECT 42.470 494.435 631.270 494.605 ;
+        RECT 42.555 493.345 43.765 494.435 ;
+        RECT 43.935 493.345 49.280 494.435 ;
+        RECT 49.455 493.345 631.270 494.435 ;
+        RECT 42.555 492.635 43.075 493.175 ;
+        RECT 43.245 492.805 43.765 493.345 ;
+        RECT 43.935 492.655 46.515 493.175 ;
+        RECT 46.685 492.825 49.280 493.345 ;
+        RECT 49.455 492.655 52.035 493.175 ;
+        RECT 52.205 492.825 631.270 493.345 ;
+        RECT 54.000 492.655 631.270 492.825 ;
+        RECT 42.555 491.885 43.765 492.635 ;
+        RECT 43.935 491.885 49.280 492.655 ;
+        RECT 49.455 491.885 631.270 492.655 ;
+        RECT 42.470 491.715 631.270 491.885 ;
+        RECT 42.555 490.965 43.765 491.715 ;
+        RECT 42.555 490.425 43.075 490.965 ;
+        RECT 43.935 490.945 49.280 491.715 ;
+        RECT 49.455 490.945 631.270 491.715 ;
+        RECT 43.245 490.255 43.765 490.795 ;
+        RECT 43.935 490.425 46.515 490.945 ;
+        RECT 46.685 490.255 49.280 490.775 ;
+        RECT 49.455 490.425 52.035 490.945 ;
+        RECT 54.000 490.775 631.270 490.945 ;
+        RECT 52.205 490.255 631.270 490.775 ;
+        RECT 42.555 489.165 43.765 490.255 ;
+        RECT 43.935 489.165 49.280 490.255 ;
+        RECT 49.455 489.165 631.270 490.255 ;
+        RECT 42.470 488.995 631.270 489.165 ;
+        RECT 42.555 487.905 43.765 488.995 ;
+        RECT 43.935 487.905 49.280 488.995 ;
+        RECT 49.455 487.905 631.270 488.995 ;
+        RECT 42.555 487.195 43.075 487.735 ;
+        RECT 43.245 487.365 43.765 487.905 ;
+        RECT 43.935 487.215 46.515 487.735 ;
+        RECT 46.685 487.385 49.280 487.905 ;
+        RECT 49.455 487.215 52.035 487.735 ;
+        RECT 52.205 487.385 631.270 487.905 ;
+        RECT 54.000 487.215 631.270 487.385 ;
+        RECT 42.555 486.445 43.765 487.195 ;
+        RECT 43.935 486.445 49.280 487.215 ;
+        RECT 49.455 486.445 631.270 487.215 ;
+        RECT 42.470 486.275 631.270 486.445 ;
+        RECT 42.555 485.525 43.765 486.275 ;
+        RECT 42.555 484.985 43.075 485.525 ;
+        RECT 43.935 485.505 49.280 486.275 ;
+        RECT 49.455 485.505 631.270 486.275 ;
+        RECT 43.245 484.815 43.765 485.355 ;
+        RECT 43.935 484.985 46.515 485.505 ;
+        RECT 46.685 484.815 49.280 485.335 ;
+        RECT 49.455 484.985 52.035 485.505 ;
+        RECT 54.000 485.335 631.270 485.505 ;
+        RECT 52.205 484.815 631.270 485.335 ;
+        RECT 42.555 483.725 43.765 484.815 ;
+        RECT 43.935 483.725 49.280 484.815 ;
+        RECT 49.455 483.725 631.270 484.815 ;
+        RECT 42.470 483.555 631.270 483.725 ;
+        RECT 42.555 482.465 43.765 483.555 ;
+        RECT 43.935 482.465 49.280 483.555 ;
+        RECT 49.455 482.465 631.270 483.555 ;
+        RECT 42.555 481.755 43.075 482.295 ;
+        RECT 43.245 481.925 43.765 482.465 ;
+        RECT 43.935 481.775 46.515 482.295 ;
+        RECT 46.685 481.945 49.280 482.465 ;
+        RECT 49.455 481.775 52.035 482.295 ;
+        RECT 52.205 481.945 631.270 482.465 ;
+        RECT 54.000 481.775 631.270 481.945 ;
+        RECT 42.555 481.005 43.765 481.755 ;
+        RECT 43.935 481.005 49.280 481.775 ;
+        RECT 49.455 481.005 631.270 481.775 ;
+        RECT 42.470 480.835 631.270 481.005 ;
+        RECT 42.555 480.085 43.765 480.835 ;
+        RECT 42.555 479.545 43.075 480.085 ;
+        RECT 43.935 480.065 49.280 480.835 ;
+        RECT 49.455 480.065 631.270 480.835 ;
+        RECT 43.245 479.375 43.765 479.915 ;
+        RECT 43.935 479.545 46.515 480.065 ;
+        RECT 46.685 479.375 49.280 479.895 ;
+        RECT 49.455 479.545 52.035 480.065 ;
+        RECT 54.000 479.895 631.270 480.065 ;
+        RECT 52.205 479.375 631.270 479.895 ;
+        RECT 42.555 478.285 43.765 479.375 ;
+        RECT 43.935 478.285 49.280 479.375 ;
+        RECT 49.455 478.285 631.270 479.375 ;
+        RECT 42.470 478.115 631.270 478.285 ;
+        RECT 42.555 477.025 43.765 478.115 ;
+        RECT 43.935 477.025 49.280 478.115 ;
+        RECT 49.455 477.025 631.270 478.115 ;
+        RECT 42.555 476.315 43.075 476.855 ;
+        RECT 43.245 476.485 43.765 477.025 ;
+        RECT 43.935 476.335 46.515 476.855 ;
+        RECT 46.685 476.505 49.280 477.025 ;
+        RECT 49.455 476.335 52.035 476.855 ;
+        RECT 52.205 476.505 631.270 477.025 ;
+        RECT 54.000 476.335 631.270 476.505 ;
+        RECT 42.555 475.565 43.765 476.315 ;
+        RECT 43.935 475.565 49.280 476.335 ;
+        RECT 49.455 475.565 631.270 476.335 ;
+        RECT 42.470 475.395 631.270 475.565 ;
+        RECT 42.555 474.645 43.765 475.395 ;
+        RECT 42.555 474.105 43.075 474.645 ;
+        RECT 43.935 474.625 49.280 475.395 ;
+        RECT 49.455 474.625 631.270 475.395 ;
+        RECT 43.245 473.935 43.765 474.475 ;
+        RECT 43.935 474.105 46.515 474.625 ;
+        RECT 46.685 473.935 49.280 474.455 ;
+        RECT 49.455 474.105 52.035 474.625 ;
+        RECT 54.000 474.455 631.270 474.625 ;
+        RECT 52.205 473.935 631.270 474.455 ;
+        RECT 42.555 472.845 43.765 473.935 ;
+        RECT 43.935 472.845 49.280 473.935 ;
+        RECT 49.455 472.845 631.270 473.935 ;
+        RECT 42.470 472.675 631.270 472.845 ;
+        RECT 42.555 471.585 43.765 472.675 ;
+        RECT 43.935 471.585 49.280 472.675 ;
+        RECT 49.455 471.585 631.270 472.675 ;
+        RECT 42.555 470.875 43.075 471.415 ;
+        RECT 43.245 471.045 43.765 471.585 ;
+        RECT 43.935 470.895 46.515 471.415 ;
+        RECT 46.685 471.065 49.280 471.585 ;
+        RECT 49.455 470.895 52.035 471.415 ;
+        RECT 52.205 471.065 631.270 471.585 ;
+        RECT 54.000 470.895 631.270 471.065 ;
+        RECT 42.555 470.125 43.765 470.875 ;
+        RECT 43.935 470.125 49.280 470.895 ;
+        RECT 49.455 470.125 631.270 470.895 ;
+        RECT 42.470 469.955 631.270 470.125 ;
+        RECT 42.555 469.205 43.765 469.955 ;
+        RECT 42.555 468.665 43.075 469.205 ;
+        RECT 43.935 469.185 49.280 469.955 ;
+        RECT 49.455 469.185 631.270 469.955 ;
+        RECT 43.245 468.495 43.765 469.035 ;
+        RECT 43.935 468.665 46.515 469.185 ;
+        RECT 46.685 468.495 49.280 469.015 ;
+        RECT 49.455 468.665 52.035 469.185 ;
+        RECT 54.000 469.015 631.270 469.185 ;
+        RECT 52.205 468.495 631.270 469.015 ;
+        RECT 42.555 467.405 43.765 468.495 ;
+        RECT 43.935 467.405 49.280 468.495 ;
+        RECT 49.455 467.405 631.270 468.495 ;
+        RECT 42.470 467.235 631.270 467.405 ;
+        RECT 42.555 466.145 43.765 467.235 ;
+        RECT 43.935 466.145 49.280 467.235 ;
+        RECT 49.455 466.145 631.270 467.235 ;
+        RECT 42.555 465.435 43.075 465.975 ;
+        RECT 43.245 465.605 43.765 466.145 ;
+        RECT 43.935 465.455 46.515 465.975 ;
+        RECT 46.685 465.625 49.280 466.145 ;
+        RECT 49.455 465.455 52.035 465.975 ;
+        RECT 52.205 465.625 631.270 466.145 ;
+        RECT 54.000 465.455 631.270 465.625 ;
+        RECT 42.555 464.685 43.765 465.435 ;
+        RECT 43.935 464.685 49.280 465.455 ;
+        RECT 49.455 464.685 631.270 465.455 ;
+        RECT 42.470 464.515 631.270 464.685 ;
+        RECT 42.555 463.765 43.765 464.515 ;
+        RECT 42.555 463.225 43.075 463.765 ;
+        RECT 43.935 463.745 49.280 464.515 ;
+        RECT 49.455 463.745 631.270 464.515 ;
+        RECT 43.245 463.055 43.765 463.595 ;
+        RECT 43.935 463.225 46.515 463.745 ;
+        RECT 46.685 463.055 49.280 463.575 ;
+        RECT 49.455 463.225 52.035 463.745 ;
+        RECT 54.000 463.575 631.270 463.745 ;
+        RECT 52.205 463.055 631.270 463.575 ;
+        RECT 42.555 461.965 43.765 463.055 ;
+        RECT 43.935 461.965 49.280 463.055 ;
+        RECT 49.455 461.965 631.270 463.055 ;
+        RECT 42.470 461.795 631.270 461.965 ;
+        RECT 42.555 460.705 43.765 461.795 ;
+        RECT 43.935 460.705 49.280 461.795 ;
+        RECT 49.455 460.705 631.270 461.795 ;
+        RECT 42.555 459.995 43.075 460.535 ;
+        RECT 43.245 460.165 43.765 460.705 ;
+        RECT 43.935 460.015 46.515 460.535 ;
+        RECT 46.685 460.185 49.280 460.705 ;
+        RECT 49.455 460.015 52.035 460.535 ;
+        RECT 52.205 460.185 631.270 460.705 ;
+        RECT 54.000 460.015 631.270 460.185 ;
+        RECT 42.555 459.245 43.765 459.995 ;
+        RECT 43.935 459.245 49.280 460.015 ;
+        RECT 49.455 459.245 631.270 460.015 ;
+        RECT 42.470 459.075 631.270 459.245 ;
+        RECT 42.555 458.325 43.765 459.075 ;
+        RECT 42.555 457.785 43.075 458.325 ;
+        RECT 43.935 458.305 49.280 459.075 ;
+        RECT 49.455 458.305 631.270 459.075 ;
+        RECT 43.245 457.615 43.765 458.155 ;
+        RECT 43.935 457.785 46.515 458.305 ;
+        RECT 46.685 457.615 49.280 458.135 ;
+        RECT 49.455 457.785 52.035 458.305 ;
+        RECT 54.000 458.135 631.270 458.305 ;
+        RECT 52.205 457.615 631.270 458.135 ;
+        RECT 42.555 456.525 43.765 457.615 ;
+        RECT 43.935 456.525 49.280 457.615 ;
+        RECT 49.455 456.525 631.270 457.615 ;
+        RECT 42.470 456.355 631.270 456.525 ;
+        RECT 42.555 455.265 43.765 456.355 ;
+        RECT 43.935 455.265 49.280 456.355 ;
+        RECT 49.455 455.265 631.270 456.355 ;
+        RECT 42.555 454.555 43.075 455.095 ;
+        RECT 43.245 454.725 43.765 455.265 ;
+        RECT 43.935 454.575 46.515 455.095 ;
+        RECT 46.685 454.745 49.280 455.265 ;
+        RECT 49.455 454.575 52.035 455.095 ;
+        RECT 52.205 454.745 631.270 455.265 ;
+        RECT 54.000 454.575 631.270 454.745 ;
+        RECT 42.555 453.805 43.765 454.555 ;
+        RECT 43.935 453.805 49.280 454.575 ;
+        RECT 49.455 453.805 631.270 454.575 ;
+        RECT 42.470 453.635 631.270 453.805 ;
+        RECT 42.555 452.885 43.765 453.635 ;
+        RECT 42.555 452.345 43.075 452.885 ;
+        RECT 43.935 452.865 49.280 453.635 ;
+        RECT 49.455 452.865 631.270 453.635 ;
+        RECT 43.245 452.175 43.765 452.715 ;
+        RECT 43.935 452.345 46.515 452.865 ;
+        RECT 46.685 452.175 49.280 452.695 ;
+        RECT 49.455 452.345 52.035 452.865 ;
+        RECT 54.000 452.695 631.270 452.865 ;
+        RECT 52.205 452.175 631.270 452.695 ;
+        RECT 42.555 451.085 43.765 452.175 ;
+        RECT 43.935 451.085 49.280 452.175 ;
+        RECT 49.455 451.085 631.270 452.175 ;
+        RECT 42.470 450.915 631.270 451.085 ;
+        RECT 42.555 449.825 43.765 450.915 ;
+        RECT 43.935 449.825 49.280 450.915 ;
+        RECT 49.455 449.825 631.270 450.915 ;
+        RECT 42.555 449.115 43.075 449.655 ;
+        RECT 43.245 449.285 43.765 449.825 ;
+        RECT 43.935 449.135 46.515 449.655 ;
+        RECT 46.685 449.305 49.280 449.825 ;
+        RECT 49.455 449.135 52.035 449.655 ;
+        RECT 52.205 449.305 631.270 449.825 ;
+        RECT 54.000 449.135 631.270 449.305 ;
+        RECT 42.555 448.365 43.765 449.115 ;
+        RECT 43.935 448.365 49.280 449.135 ;
+        RECT 49.455 448.365 631.270 449.135 ;
+        RECT 42.470 448.195 631.270 448.365 ;
+        RECT 42.555 447.445 43.765 448.195 ;
+        RECT 42.555 446.905 43.075 447.445 ;
+        RECT 43.935 447.425 49.280 448.195 ;
+        RECT 49.455 447.425 631.270 448.195 ;
+        RECT 43.245 446.735 43.765 447.275 ;
+        RECT 43.935 446.905 46.515 447.425 ;
+        RECT 46.685 446.735 49.280 447.255 ;
+        RECT 49.455 446.905 52.035 447.425 ;
+        RECT 54.000 447.255 631.270 447.425 ;
+        RECT 52.205 446.735 631.270 447.255 ;
+        RECT 42.555 445.645 43.765 446.735 ;
+        RECT 43.935 445.645 49.280 446.735 ;
+        RECT 49.455 445.645 631.270 446.735 ;
+        RECT 42.470 445.475 631.270 445.645 ;
+        RECT 42.555 444.385 43.765 445.475 ;
+        RECT 43.935 444.385 49.280 445.475 ;
+        RECT 49.455 444.385 631.270 445.475 ;
+        RECT 42.555 443.675 43.075 444.215 ;
+        RECT 43.245 443.845 43.765 444.385 ;
+        RECT 43.935 443.695 46.515 444.215 ;
+        RECT 46.685 443.865 49.280 444.385 ;
+        RECT 49.455 443.695 52.035 444.215 ;
+        RECT 52.205 443.865 631.270 444.385 ;
+        RECT 54.000 443.695 631.270 443.865 ;
+        RECT 42.555 442.925 43.765 443.675 ;
+        RECT 43.935 442.925 49.280 443.695 ;
+        RECT 49.455 442.925 631.270 443.695 ;
+        RECT 42.470 442.755 631.270 442.925 ;
+        RECT 42.555 442.005 43.765 442.755 ;
+        RECT 42.555 441.465 43.075 442.005 ;
+        RECT 43.935 441.985 49.280 442.755 ;
+        RECT 49.455 441.985 631.270 442.755 ;
+        RECT 43.245 441.295 43.765 441.835 ;
+        RECT 43.935 441.465 46.515 441.985 ;
+        RECT 46.685 441.295 49.280 441.815 ;
+        RECT 49.455 441.465 52.035 441.985 ;
+        RECT 54.000 441.815 631.270 441.985 ;
+        RECT 52.205 441.295 631.270 441.815 ;
+        RECT 42.555 440.205 43.765 441.295 ;
+        RECT 43.935 440.205 49.280 441.295 ;
+        RECT 49.455 440.205 631.270 441.295 ;
+        RECT 42.470 440.035 631.270 440.205 ;
+        RECT 42.555 438.945 43.765 440.035 ;
+        RECT 43.935 438.945 49.280 440.035 ;
+        RECT 49.455 438.945 631.270 440.035 ;
+        RECT 42.555 438.235 43.075 438.775 ;
+        RECT 43.245 438.405 43.765 438.945 ;
+        RECT 43.935 438.255 46.515 438.775 ;
+        RECT 46.685 438.425 49.280 438.945 ;
+        RECT 49.455 438.255 52.035 438.775 ;
+        RECT 52.205 438.425 631.270 438.945 ;
+        RECT 54.000 438.255 631.270 438.425 ;
+        RECT 42.555 437.485 43.765 438.235 ;
+        RECT 43.935 437.485 49.280 438.255 ;
+        RECT 49.455 437.485 631.270 438.255 ;
+        RECT 42.470 437.315 631.270 437.485 ;
+        RECT 42.555 436.565 43.765 437.315 ;
+        RECT 42.555 436.025 43.075 436.565 ;
+        RECT 43.935 436.545 49.280 437.315 ;
+        RECT 49.455 436.545 631.270 437.315 ;
+        RECT 43.245 435.855 43.765 436.395 ;
+        RECT 43.935 436.025 46.515 436.545 ;
+        RECT 46.685 435.855 49.280 436.375 ;
+        RECT 49.455 436.025 52.035 436.545 ;
+        RECT 54.000 436.375 631.270 436.545 ;
+        RECT 52.205 435.855 631.270 436.375 ;
+        RECT 42.555 434.765 43.765 435.855 ;
+        RECT 43.935 434.765 49.280 435.855 ;
+        RECT 49.455 434.765 631.270 435.855 ;
+        RECT 42.470 434.595 631.270 434.765 ;
+        RECT 42.555 433.505 43.765 434.595 ;
+        RECT 43.935 433.505 49.280 434.595 ;
+        RECT 49.455 433.505 631.270 434.595 ;
+        RECT 42.555 432.795 43.075 433.335 ;
+        RECT 43.245 432.965 43.765 433.505 ;
+        RECT 43.935 432.815 46.515 433.335 ;
+        RECT 46.685 432.985 49.280 433.505 ;
+        RECT 49.455 432.815 52.035 433.335 ;
+        RECT 52.205 432.985 631.270 433.505 ;
+        RECT 54.000 432.815 631.270 432.985 ;
+        RECT 42.555 432.045 43.765 432.795 ;
+        RECT 43.935 432.045 49.280 432.815 ;
+        RECT 49.455 432.045 631.270 432.815 ;
+        RECT 42.470 431.875 631.270 432.045 ;
+        RECT 42.555 431.125 43.765 431.875 ;
+        RECT 42.555 430.585 43.075 431.125 ;
+        RECT 43.935 431.105 49.280 431.875 ;
+        RECT 49.455 431.105 631.270 431.875 ;
+        RECT 43.245 430.415 43.765 430.955 ;
+        RECT 43.935 430.585 46.515 431.105 ;
+        RECT 46.685 430.415 49.280 430.935 ;
+        RECT 49.455 430.585 52.035 431.105 ;
+        RECT 54.000 430.935 631.270 431.105 ;
+        RECT 52.205 430.415 631.270 430.935 ;
+        RECT 42.555 429.325 43.765 430.415 ;
+        RECT 43.935 429.325 49.280 430.415 ;
+        RECT 49.455 429.325 631.270 430.415 ;
+        RECT 42.470 429.155 631.270 429.325 ;
+        RECT 42.555 428.065 43.765 429.155 ;
+        RECT 43.935 428.065 49.280 429.155 ;
+        RECT 49.455 428.065 631.270 429.155 ;
+        RECT 42.555 427.355 43.075 427.895 ;
+        RECT 43.245 427.525 43.765 428.065 ;
+        RECT 43.935 427.375 46.515 427.895 ;
+        RECT 46.685 427.545 49.280 428.065 ;
+        RECT 49.455 427.375 52.035 427.895 ;
+        RECT 52.205 427.545 631.270 428.065 ;
+        RECT 54.000 427.375 631.270 427.545 ;
+        RECT 42.555 426.605 43.765 427.355 ;
+        RECT 43.935 426.605 49.280 427.375 ;
+        RECT 49.455 426.605 631.270 427.375 ;
+        RECT 42.470 426.435 631.270 426.605 ;
+        RECT 42.555 425.685 43.765 426.435 ;
+        RECT 42.555 425.145 43.075 425.685 ;
+        RECT 43.935 425.665 49.280 426.435 ;
+        RECT 49.455 425.665 631.270 426.435 ;
+        RECT 43.245 424.975 43.765 425.515 ;
+        RECT 43.935 425.145 46.515 425.665 ;
+        RECT 46.685 424.975 49.280 425.495 ;
+        RECT 49.455 425.145 52.035 425.665 ;
+        RECT 54.000 425.495 631.270 425.665 ;
+        RECT 52.205 424.975 631.270 425.495 ;
+        RECT 42.555 423.885 43.765 424.975 ;
+        RECT 43.935 423.885 49.280 424.975 ;
+        RECT 49.455 423.885 631.270 424.975 ;
+        RECT 42.470 423.715 631.270 423.885 ;
+        RECT 42.555 422.625 43.765 423.715 ;
+        RECT 43.935 422.625 49.280 423.715 ;
+        RECT 49.455 422.625 631.270 423.715 ;
+        RECT 42.555 421.915 43.075 422.455 ;
+        RECT 43.245 422.085 43.765 422.625 ;
+        RECT 43.935 421.935 46.515 422.455 ;
+        RECT 46.685 422.105 49.280 422.625 ;
+        RECT 49.455 421.935 52.035 422.455 ;
+        RECT 52.205 422.105 631.270 422.625 ;
+        RECT 54.000 421.935 631.270 422.105 ;
+        RECT 42.555 421.165 43.765 421.915 ;
+        RECT 43.935 421.165 49.280 421.935 ;
+        RECT 49.455 421.165 631.270 421.935 ;
+        RECT 42.470 420.995 631.270 421.165 ;
+        RECT 42.555 420.245 43.765 420.995 ;
+        RECT 42.555 419.705 43.075 420.245 ;
+        RECT 43.935 420.225 49.280 420.995 ;
+        RECT 49.455 420.225 631.270 420.995 ;
+        RECT 43.245 419.535 43.765 420.075 ;
+        RECT 43.935 419.705 46.515 420.225 ;
+        RECT 46.685 419.535 49.280 420.055 ;
+        RECT 49.455 419.705 52.035 420.225 ;
+        RECT 54.000 420.055 631.270 420.225 ;
+        RECT 52.205 419.535 631.270 420.055 ;
+        RECT 42.555 418.445 43.765 419.535 ;
+        RECT 43.935 418.445 49.280 419.535 ;
+        RECT 49.455 418.445 631.270 419.535 ;
+        RECT 42.470 418.275 631.270 418.445 ;
+        RECT 42.555 417.185 43.765 418.275 ;
+        RECT 43.935 417.185 49.280 418.275 ;
+        RECT 49.455 417.185 631.270 418.275 ;
+        RECT 42.555 416.475 43.075 417.015 ;
+        RECT 43.245 416.645 43.765 417.185 ;
+        RECT 43.935 416.495 46.515 417.015 ;
+        RECT 46.685 416.665 49.280 417.185 ;
+        RECT 49.455 416.495 52.035 417.015 ;
+        RECT 52.205 416.665 631.270 417.185 ;
+        RECT 54.000 416.495 631.270 416.665 ;
+        RECT 42.555 415.725 43.765 416.475 ;
+        RECT 43.935 415.725 49.280 416.495 ;
+        RECT 49.455 415.725 631.270 416.495 ;
+        RECT 42.470 415.555 631.270 415.725 ;
+        RECT 42.555 414.805 43.765 415.555 ;
+        RECT 42.555 414.265 43.075 414.805 ;
+        RECT 43.935 414.785 49.280 415.555 ;
+        RECT 49.455 414.785 631.270 415.555 ;
+        RECT 43.245 414.095 43.765 414.635 ;
+        RECT 43.935 414.265 46.515 414.785 ;
+        RECT 46.685 414.095 49.280 414.615 ;
+        RECT 49.455 414.265 52.035 414.785 ;
+        RECT 54.000 414.615 631.270 414.785 ;
+        RECT 52.205 414.095 631.270 414.615 ;
+        RECT 42.555 413.005 43.765 414.095 ;
+        RECT 43.935 413.005 49.280 414.095 ;
+        RECT 49.455 413.005 631.270 414.095 ;
+        RECT 42.470 412.835 631.270 413.005 ;
+        RECT 42.555 411.745 43.765 412.835 ;
+        RECT 43.935 411.745 49.280 412.835 ;
+        RECT 49.455 411.745 631.270 412.835 ;
+        RECT 42.555 411.035 43.075 411.575 ;
+        RECT 43.245 411.205 43.765 411.745 ;
+        RECT 43.935 411.055 46.515 411.575 ;
+        RECT 46.685 411.225 49.280 411.745 ;
+        RECT 49.455 411.055 52.035 411.575 ;
+        RECT 52.205 411.225 631.270 411.745 ;
+        RECT 54.000 411.055 631.270 411.225 ;
+        RECT 42.555 410.285 43.765 411.035 ;
+        RECT 43.935 410.285 49.280 411.055 ;
+        RECT 49.455 410.285 631.270 411.055 ;
+        RECT 42.470 410.115 631.270 410.285 ;
+        RECT 42.555 409.365 43.765 410.115 ;
+        RECT 42.555 408.825 43.075 409.365 ;
+        RECT 43.935 409.345 49.280 410.115 ;
+        RECT 49.455 409.345 631.270 410.115 ;
+        RECT 43.245 408.655 43.765 409.195 ;
+        RECT 43.935 408.825 46.515 409.345 ;
+        RECT 46.685 408.655 49.280 409.175 ;
+        RECT 49.455 408.825 52.035 409.345 ;
+        RECT 54.000 409.175 631.270 409.345 ;
+        RECT 52.205 408.655 631.270 409.175 ;
+        RECT 42.555 407.565 43.765 408.655 ;
+        RECT 43.935 407.565 49.280 408.655 ;
+        RECT 49.455 407.565 631.270 408.655 ;
+        RECT 42.470 407.395 631.270 407.565 ;
+        RECT 42.555 406.305 43.765 407.395 ;
+        RECT 43.935 406.305 49.280 407.395 ;
+        RECT 49.455 406.305 631.270 407.395 ;
+        RECT 42.555 405.595 43.075 406.135 ;
+        RECT 43.245 405.765 43.765 406.305 ;
+        RECT 43.935 405.615 46.515 406.135 ;
+        RECT 46.685 405.785 49.280 406.305 ;
+        RECT 49.455 405.615 52.035 406.135 ;
+        RECT 52.205 405.785 631.270 406.305 ;
+        RECT 54.000 405.615 631.270 405.785 ;
+        RECT 42.555 404.845 43.765 405.595 ;
+        RECT 43.935 404.845 49.280 405.615 ;
+        RECT 49.455 404.845 631.270 405.615 ;
+        RECT 42.470 404.675 631.270 404.845 ;
+        RECT 42.555 403.925 43.765 404.675 ;
+        RECT 42.555 403.385 43.075 403.925 ;
+        RECT 43.935 403.905 49.280 404.675 ;
+        RECT 49.455 403.905 631.270 404.675 ;
+        RECT 43.245 403.215 43.765 403.755 ;
+        RECT 43.935 403.385 46.515 403.905 ;
+        RECT 46.685 403.215 49.280 403.735 ;
+        RECT 49.455 403.385 52.035 403.905 ;
+        RECT 54.000 403.735 631.270 403.905 ;
+        RECT 52.205 403.215 631.270 403.735 ;
+        RECT 42.555 402.125 43.765 403.215 ;
+        RECT 43.935 402.125 49.280 403.215 ;
+        RECT 49.455 402.125 631.270 403.215 ;
+        RECT 42.470 401.955 631.270 402.125 ;
+        RECT 42.555 400.865 43.765 401.955 ;
+        RECT 43.935 400.865 49.280 401.955 ;
+        RECT 49.455 400.865 631.270 401.955 ;
+        RECT 42.555 400.155 43.075 400.695 ;
+        RECT 43.245 400.325 43.765 400.865 ;
+        RECT 43.935 400.175 46.515 400.695 ;
+        RECT 46.685 400.345 49.280 400.865 ;
+        RECT 49.455 400.175 52.035 400.695 ;
+        RECT 52.205 400.345 631.270 400.865 ;
+        RECT 54.000 400.175 631.270 400.345 ;
+        RECT 42.555 399.405 43.765 400.155 ;
+        RECT 43.935 399.405 49.280 400.175 ;
+        RECT 49.455 399.405 631.270 400.175 ;
+        RECT 42.470 399.235 631.270 399.405 ;
+        RECT 42.555 398.485 43.765 399.235 ;
+        RECT 42.555 397.945 43.075 398.485 ;
+        RECT 43.935 398.465 49.280 399.235 ;
+        RECT 49.455 398.465 631.270 399.235 ;
+        RECT 43.245 397.775 43.765 398.315 ;
+        RECT 43.935 397.945 46.515 398.465 ;
+        RECT 46.685 397.775 49.280 398.295 ;
+        RECT 49.455 397.945 52.035 398.465 ;
+        RECT 54.000 398.295 631.270 398.465 ;
+        RECT 52.205 397.775 631.270 398.295 ;
+        RECT 42.555 396.685 43.765 397.775 ;
+        RECT 43.935 396.685 49.280 397.775 ;
+        RECT 49.455 396.685 631.270 397.775 ;
+        RECT 42.470 396.515 631.270 396.685 ;
+        RECT 42.555 395.425 43.765 396.515 ;
+        RECT 43.935 395.425 49.280 396.515 ;
+        RECT 49.455 395.425 631.270 396.515 ;
+        RECT 42.555 394.715 43.075 395.255 ;
+        RECT 43.245 394.885 43.765 395.425 ;
+        RECT 43.935 394.735 46.515 395.255 ;
+        RECT 46.685 394.905 49.280 395.425 ;
+        RECT 49.455 394.735 52.035 395.255 ;
+        RECT 52.205 394.905 631.270 395.425 ;
+        RECT 54.000 394.735 631.270 394.905 ;
+        RECT 42.555 393.965 43.765 394.715 ;
+        RECT 43.935 393.965 49.280 394.735 ;
+        RECT 49.455 393.965 631.270 394.735 ;
+        RECT 42.470 393.795 631.270 393.965 ;
+        RECT 42.555 393.045 43.765 393.795 ;
+        RECT 42.555 392.505 43.075 393.045 ;
+        RECT 43.935 393.025 49.280 393.795 ;
+        RECT 49.455 393.025 631.270 393.795 ;
+        RECT 43.245 392.335 43.765 392.875 ;
+        RECT 43.935 392.505 46.515 393.025 ;
+        RECT 46.685 392.335 49.280 392.855 ;
+        RECT 49.455 392.505 52.035 393.025 ;
+        RECT 54.000 392.855 631.270 393.025 ;
+        RECT 52.205 392.335 631.270 392.855 ;
+        RECT 42.555 391.245 43.765 392.335 ;
+        RECT 43.935 391.245 49.280 392.335 ;
+        RECT 49.455 391.245 631.270 392.335 ;
+        RECT 42.470 391.075 631.270 391.245 ;
+        RECT 42.555 389.985 43.765 391.075 ;
+        RECT 43.935 389.985 49.280 391.075 ;
+        RECT 49.455 389.985 631.270 391.075 ;
+        RECT 42.555 389.275 43.075 389.815 ;
+        RECT 43.245 389.445 43.765 389.985 ;
+        RECT 43.935 389.295 46.515 389.815 ;
+        RECT 46.685 389.465 49.280 389.985 ;
+        RECT 49.455 389.295 52.035 389.815 ;
+        RECT 52.205 389.465 631.270 389.985 ;
+        RECT 54.000 389.295 631.270 389.465 ;
+        RECT 42.555 388.525 43.765 389.275 ;
+        RECT 43.935 388.525 49.280 389.295 ;
+        RECT 49.455 388.525 631.270 389.295 ;
+        RECT 42.470 388.355 631.270 388.525 ;
+        RECT 42.555 387.605 43.765 388.355 ;
+        RECT 42.555 387.065 43.075 387.605 ;
+        RECT 43.935 387.585 49.280 388.355 ;
+        RECT 49.455 387.585 631.270 388.355 ;
+        RECT 43.245 386.895 43.765 387.435 ;
+        RECT 43.935 387.065 46.515 387.585 ;
+        RECT 46.685 386.895 49.280 387.415 ;
+        RECT 49.455 387.065 52.035 387.585 ;
+        RECT 54.000 387.415 631.270 387.585 ;
+        RECT 52.205 386.895 631.270 387.415 ;
+        RECT 42.555 385.805 43.765 386.895 ;
+        RECT 43.935 385.805 49.280 386.895 ;
+        RECT 49.455 385.805 631.270 386.895 ;
+        RECT 42.470 385.635 631.270 385.805 ;
+        RECT 42.555 384.545 43.765 385.635 ;
+        RECT 43.935 384.545 49.280 385.635 ;
+        RECT 49.455 384.545 631.270 385.635 ;
+        RECT 42.555 383.835 43.075 384.375 ;
+        RECT 43.245 384.005 43.765 384.545 ;
+        RECT 43.935 383.855 46.515 384.375 ;
+        RECT 46.685 384.025 49.280 384.545 ;
+        RECT 49.455 383.855 52.035 384.375 ;
+        RECT 52.205 384.025 631.270 384.545 ;
+        RECT 54.000 383.855 631.270 384.025 ;
+        RECT 42.555 383.085 43.765 383.835 ;
+        RECT 43.935 383.085 49.280 383.855 ;
+        RECT 49.455 383.085 631.270 383.855 ;
+        RECT 42.470 382.915 631.270 383.085 ;
+        RECT 42.555 382.165 43.765 382.915 ;
+        RECT 42.555 381.625 43.075 382.165 ;
+        RECT 43.935 382.145 49.280 382.915 ;
+        RECT 49.455 382.145 631.270 382.915 ;
+        RECT 43.245 381.455 43.765 381.995 ;
+        RECT 43.935 381.625 46.515 382.145 ;
+        RECT 46.685 381.455 49.280 381.975 ;
+        RECT 49.455 381.625 52.035 382.145 ;
+        RECT 54.000 381.975 631.270 382.145 ;
+        RECT 52.205 381.455 631.270 381.975 ;
+        RECT 42.555 380.365 43.765 381.455 ;
+        RECT 43.935 380.365 49.280 381.455 ;
+        RECT 49.455 380.365 631.270 381.455 ;
+        RECT 42.470 380.195 631.270 380.365 ;
+        RECT 42.555 379.105 43.765 380.195 ;
+        RECT 43.935 379.105 49.280 380.195 ;
+        RECT 49.455 379.105 631.270 380.195 ;
+        RECT 42.555 378.395 43.075 378.935 ;
+        RECT 43.245 378.565 43.765 379.105 ;
+        RECT 43.935 378.415 46.515 378.935 ;
+        RECT 46.685 378.585 49.280 379.105 ;
+        RECT 49.455 378.415 52.035 378.935 ;
+        RECT 52.205 378.585 631.270 379.105 ;
+        RECT 54.000 378.415 631.270 378.585 ;
+        RECT 42.555 377.645 43.765 378.395 ;
+        RECT 43.935 377.645 49.280 378.415 ;
+        RECT 49.455 377.645 631.270 378.415 ;
+        RECT 42.470 377.475 631.270 377.645 ;
+        RECT 42.555 376.725 43.765 377.475 ;
+        RECT 42.555 376.185 43.075 376.725 ;
+        RECT 43.935 376.705 49.280 377.475 ;
+        RECT 49.455 376.705 631.270 377.475 ;
+        RECT 43.245 376.015 43.765 376.555 ;
+        RECT 43.935 376.185 46.515 376.705 ;
+        RECT 46.685 376.015 49.280 376.535 ;
+        RECT 49.455 376.185 52.035 376.705 ;
+        RECT 54.000 376.535 631.270 376.705 ;
+        RECT 52.205 376.015 631.270 376.535 ;
+        RECT 42.555 374.925 43.765 376.015 ;
+        RECT 43.935 374.925 49.280 376.015 ;
+        RECT 49.455 374.925 631.270 376.015 ;
+        RECT 42.470 374.755 631.270 374.925 ;
+        RECT 42.555 373.665 43.765 374.755 ;
+        RECT 43.935 373.665 49.280 374.755 ;
+        RECT 49.455 373.665 631.270 374.755 ;
+        RECT 42.555 372.955 43.075 373.495 ;
+        RECT 43.245 373.125 43.765 373.665 ;
+        RECT 43.935 372.975 46.515 373.495 ;
+        RECT 46.685 373.145 49.280 373.665 ;
+        RECT 49.455 372.975 52.035 373.495 ;
+        RECT 52.205 373.145 631.270 373.665 ;
+        RECT 54.000 372.975 631.270 373.145 ;
+        RECT 42.555 372.205 43.765 372.955 ;
+        RECT 43.935 372.205 49.280 372.975 ;
+        RECT 49.455 372.205 631.270 372.975 ;
+        RECT 42.470 372.035 631.270 372.205 ;
+        RECT 42.555 371.285 43.765 372.035 ;
+        RECT 42.555 370.745 43.075 371.285 ;
+        RECT 43.935 371.265 49.280 372.035 ;
+        RECT 49.455 371.265 631.270 372.035 ;
+        RECT 43.245 370.575 43.765 371.115 ;
+        RECT 43.935 370.745 46.515 371.265 ;
+        RECT 46.685 370.575 49.280 371.095 ;
+        RECT 49.455 370.745 52.035 371.265 ;
+        RECT 54.000 371.095 631.270 371.265 ;
+        RECT 52.205 370.575 631.270 371.095 ;
+        RECT 42.555 369.485 43.765 370.575 ;
+        RECT 43.935 369.485 49.280 370.575 ;
+        RECT 49.455 369.485 631.270 370.575 ;
+        RECT 42.470 369.315 631.270 369.485 ;
+        RECT 42.555 368.225 43.765 369.315 ;
+        RECT 43.935 368.225 49.280 369.315 ;
+        RECT 49.455 368.225 631.270 369.315 ;
+        RECT 42.555 367.515 43.075 368.055 ;
+        RECT 43.245 367.685 43.765 368.225 ;
+        RECT 43.935 367.535 46.515 368.055 ;
+        RECT 46.685 367.705 49.280 368.225 ;
+        RECT 49.455 367.535 52.035 368.055 ;
+        RECT 52.205 367.705 631.270 368.225 ;
+        RECT 54.000 367.535 631.270 367.705 ;
+        RECT 42.555 366.765 43.765 367.515 ;
+        RECT 43.935 366.765 49.280 367.535 ;
+        RECT 49.455 366.765 631.270 367.535 ;
+        RECT 42.470 366.595 631.270 366.765 ;
+        RECT 42.555 365.845 43.765 366.595 ;
+        RECT 42.555 365.305 43.075 365.845 ;
+        RECT 43.935 365.825 49.280 366.595 ;
+        RECT 49.455 365.825 631.270 366.595 ;
+        RECT 43.245 365.135 43.765 365.675 ;
+        RECT 43.935 365.305 46.515 365.825 ;
+        RECT 46.685 365.135 49.280 365.655 ;
+        RECT 49.455 365.305 52.035 365.825 ;
+        RECT 54.000 365.655 631.270 365.825 ;
+        RECT 52.205 365.135 631.270 365.655 ;
+        RECT 42.555 364.045 43.765 365.135 ;
+        RECT 43.935 364.045 49.280 365.135 ;
+        RECT 49.455 364.045 631.270 365.135 ;
+        RECT 42.470 363.875 631.270 364.045 ;
+        RECT 42.555 362.785 43.765 363.875 ;
+        RECT 43.935 362.785 49.280 363.875 ;
+        RECT 49.455 362.785 631.270 363.875 ;
+        RECT 42.555 362.075 43.075 362.615 ;
+        RECT 43.245 362.245 43.765 362.785 ;
+        RECT 43.935 362.095 46.515 362.615 ;
+        RECT 46.685 362.265 49.280 362.785 ;
+        RECT 49.455 362.095 52.035 362.615 ;
+        RECT 52.205 362.265 631.270 362.785 ;
+        RECT 54.000 362.095 631.270 362.265 ;
+        RECT 42.555 361.325 43.765 362.075 ;
+        RECT 43.935 361.325 49.280 362.095 ;
+        RECT 49.455 361.325 631.270 362.095 ;
+        RECT 42.470 361.155 631.270 361.325 ;
+        RECT 42.555 360.405 43.765 361.155 ;
+        RECT 42.555 359.865 43.075 360.405 ;
+        RECT 43.935 360.385 49.280 361.155 ;
+        RECT 49.455 360.385 631.270 361.155 ;
+        RECT 43.245 359.695 43.765 360.235 ;
+        RECT 43.935 359.865 46.515 360.385 ;
+        RECT 46.685 359.695 49.280 360.215 ;
+        RECT 49.455 359.865 52.035 360.385 ;
+        RECT 54.000 360.215 631.270 360.385 ;
+        RECT 52.205 359.695 631.270 360.215 ;
+        RECT 42.555 358.605 43.765 359.695 ;
+        RECT 43.935 358.605 49.280 359.695 ;
+        RECT 49.455 358.605 631.270 359.695 ;
+        RECT 42.470 358.435 631.270 358.605 ;
+        RECT 42.555 357.345 43.765 358.435 ;
+        RECT 43.935 357.345 49.280 358.435 ;
+        RECT 49.455 357.345 631.270 358.435 ;
+        RECT 42.555 356.635 43.075 357.175 ;
+        RECT 43.245 356.805 43.765 357.345 ;
+        RECT 43.935 356.655 46.515 357.175 ;
+        RECT 46.685 356.825 49.280 357.345 ;
+        RECT 49.455 356.655 52.035 357.175 ;
+        RECT 52.205 356.825 631.270 357.345 ;
+        RECT 54.000 356.655 631.270 356.825 ;
+        RECT 42.555 355.885 43.765 356.635 ;
+        RECT 43.935 355.885 49.280 356.655 ;
+        RECT 49.455 355.885 631.270 356.655 ;
+        RECT 42.470 355.715 631.270 355.885 ;
+        RECT 42.555 354.965 43.765 355.715 ;
+        RECT 42.555 354.425 43.075 354.965 ;
+        RECT 43.935 354.945 49.280 355.715 ;
+        RECT 49.455 354.945 631.270 355.715 ;
+        RECT 43.245 354.255 43.765 354.795 ;
+        RECT 43.935 354.425 46.515 354.945 ;
+        RECT 46.685 354.255 49.280 354.775 ;
+        RECT 49.455 354.425 52.035 354.945 ;
+        RECT 54.000 354.775 631.270 354.945 ;
+        RECT 52.205 354.255 631.270 354.775 ;
+        RECT 42.555 353.165 43.765 354.255 ;
+        RECT 43.935 353.165 49.280 354.255 ;
+        RECT 49.455 353.165 631.270 354.255 ;
+        RECT 42.470 352.995 631.270 353.165 ;
+        RECT 42.555 351.905 43.765 352.995 ;
+        RECT 43.935 351.905 49.280 352.995 ;
+        RECT 49.455 351.905 631.270 352.995 ;
+        RECT 42.555 351.195 43.075 351.735 ;
+        RECT 43.245 351.365 43.765 351.905 ;
+        RECT 43.935 351.215 46.515 351.735 ;
+        RECT 46.685 351.385 49.280 351.905 ;
+        RECT 49.455 351.215 52.035 351.735 ;
+        RECT 52.205 351.385 631.270 351.905 ;
+        RECT 54.000 351.215 631.270 351.385 ;
+        RECT 42.555 350.445 43.765 351.195 ;
+        RECT 43.935 350.445 49.280 351.215 ;
+        RECT 49.455 350.445 631.270 351.215 ;
+        RECT 42.470 350.275 631.270 350.445 ;
+        RECT 42.555 349.525 43.765 350.275 ;
+        RECT 42.555 348.985 43.075 349.525 ;
+        RECT 43.935 349.505 49.280 350.275 ;
+        RECT 49.455 349.505 631.270 350.275 ;
+        RECT 43.245 348.815 43.765 349.355 ;
+        RECT 43.935 348.985 46.515 349.505 ;
+        RECT 46.685 348.815 49.280 349.335 ;
+        RECT 49.455 348.985 52.035 349.505 ;
+        RECT 54.000 349.335 631.270 349.505 ;
+        RECT 52.205 348.815 631.270 349.335 ;
+        RECT 42.555 347.725 43.765 348.815 ;
+        RECT 43.935 347.725 49.280 348.815 ;
+        RECT 49.455 347.725 631.270 348.815 ;
+        RECT 42.470 347.555 631.270 347.725 ;
+        RECT 42.555 346.465 43.765 347.555 ;
+        RECT 43.935 346.465 49.280 347.555 ;
+        RECT 49.455 346.465 631.270 347.555 ;
+        RECT 42.555 345.755 43.075 346.295 ;
+        RECT 43.245 345.925 43.765 346.465 ;
+        RECT 43.935 345.775 46.515 346.295 ;
+        RECT 46.685 345.945 49.280 346.465 ;
+        RECT 49.455 345.775 52.035 346.295 ;
+        RECT 52.205 345.945 631.270 346.465 ;
+        RECT 54.000 345.775 631.270 345.945 ;
+        RECT 42.555 345.005 43.765 345.755 ;
+        RECT 43.935 345.005 49.280 345.775 ;
+        RECT 49.455 345.005 631.270 345.775 ;
+        RECT 42.470 344.835 631.270 345.005 ;
+        RECT 42.555 344.085 43.765 344.835 ;
+        RECT 42.555 343.545 43.075 344.085 ;
+        RECT 43.935 344.065 49.280 344.835 ;
+        RECT 49.455 344.065 631.270 344.835 ;
+        RECT 43.245 343.375 43.765 343.915 ;
+        RECT 43.935 343.545 46.515 344.065 ;
+        RECT 46.685 343.375 49.280 343.895 ;
+        RECT 49.455 343.545 52.035 344.065 ;
+        RECT 54.000 343.895 631.270 344.065 ;
+        RECT 52.205 343.375 631.270 343.895 ;
+        RECT 42.555 342.285 43.765 343.375 ;
+        RECT 43.935 342.285 49.280 343.375 ;
+        RECT 49.455 342.285 631.270 343.375 ;
+        RECT 42.470 342.115 631.270 342.285 ;
+        RECT 42.555 341.025 43.765 342.115 ;
+        RECT 43.935 341.025 49.280 342.115 ;
+        RECT 49.455 341.025 631.270 342.115 ;
+        RECT 42.555 340.315 43.075 340.855 ;
+        RECT 43.245 340.485 43.765 341.025 ;
+        RECT 43.935 340.335 46.515 340.855 ;
+        RECT 46.685 340.505 49.280 341.025 ;
+        RECT 49.455 340.335 52.035 340.855 ;
+        RECT 52.205 340.505 631.270 341.025 ;
+        RECT 54.000 340.335 631.270 340.505 ;
+        RECT 42.555 339.565 43.765 340.315 ;
+        RECT 43.935 339.565 49.280 340.335 ;
+        RECT 49.455 339.565 631.270 340.335 ;
+        RECT 42.470 339.395 631.270 339.565 ;
+        RECT 42.555 338.645 43.765 339.395 ;
+        RECT 42.555 338.105 43.075 338.645 ;
+        RECT 43.935 338.625 49.280 339.395 ;
+        RECT 49.455 338.625 631.270 339.395 ;
+        RECT 43.245 337.935 43.765 338.475 ;
+        RECT 43.935 338.105 46.515 338.625 ;
+        RECT 46.685 337.935 49.280 338.455 ;
+        RECT 49.455 338.105 52.035 338.625 ;
+        RECT 54.000 338.455 631.270 338.625 ;
+        RECT 52.205 337.935 631.270 338.455 ;
+        RECT 42.555 336.845 43.765 337.935 ;
+        RECT 43.935 336.845 49.280 337.935 ;
+        RECT 49.455 336.845 631.270 337.935 ;
+        RECT 42.470 336.675 631.270 336.845 ;
+        RECT 42.555 335.585 43.765 336.675 ;
+        RECT 43.935 335.585 49.280 336.675 ;
+        RECT 49.455 335.585 631.270 336.675 ;
+        RECT 42.555 334.875 43.075 335.415 ;
+        RECT 43.245 335.045 43.765 335.585 ;
+        RECT 43.935 334.895 46.515 335.415 ;
+        RECT 46.685 335.065 49.280 335.585 ;
+        RECT 49.455 334.895 52.035 335.415 ;
+        RECT 52.205 335.065 631.270 335.585 ;
+        RECT 54.000 334.895 631.270 335.065 ;
+        RECT 42.555 334.125 43.765 334.875 ;
+        RECT 43.935 334.125 49.280 334.895 ;
+        RECT 49.455 334.125 631.270 334.895 ;
+        RECT 42.470 333.955 631.270 334.125 ;
+        RECT 42.555 333.205 43.765 333.955 ;
+        RECT 42.555 332.665 43.075 333.205 ;
+        RECT 43.935 333.185 49.280 333.955 ;
+        RECT 49.455 333.185 631.270 333.955 ;
+        RECT 43.245 332.495 43.765 333.035 ;
+        RECT 43.935 332.665 46.515 333.185 ;
+        RECT 46.685 332.495 49.280 333.015 ;
+        RECT 49.455 332.665 52.035 333.185 ;
+        RECT 54.000 333.015 631.270 333.185 ;
+        RECT 52.205 332.495 631.270 333.015 ;
+        RECT 42.555 331.405 43.765 332.495 ;
+        RECT 43.935 331.405 49.280 332.495 ;
+        RECT 49.455 331.405 631.270 332.495 ;
+        RECT 42.470 331.235 631.270 331.405 ;
+        RECT 42.555 330.145 43.765 331.235 ;
+        RECT 43.935 330.145 49.280 331.235 ;
+        RECT 49.455 330.145 631.270 331.235 ;
+        RECT 42.555 329.435 43.075 329.975 ;
+        RECT 43.245 329.605 43.765 330.145 ;
+        RECT 43.935 329.455 46.515 329.975 ;
+        RECT 46.685 329.625 49.280 330.145 ;
+        RECT 49.455 329.455 52.035 329.975 ;
+        RECT 52.205 329.625 631.270 330.145 ;
+        RECT 54.000 329.455 631.270 329.625 ;
+        RECT 42.555 328.685 43.765 329.435 ;
+        RECT 43.935 328.685 49.280 329.455 ;
+        RECT 49.455 328.685 631.270 329.455 ;
+        RECT 42.470 328.515 631.270 328.685 ;
+        RECT 42.555 327.765 43.765 328.515 ;
+        RECT 42.555 327.225 43.075 327.765 ;
+        RECT 43.935 327.745 49.280 328.515 ;
+        RECT 49.455 327.745 631.270 328.515 ;
+        RECT 43.245 327.055 43.765 327.595 ;
+        RECT 43.935 327.225 46.515 327.745 ;
+        RECT 46.685 327.055 49.280 327.575 ;
+        RECT 49.455 327.225 52.035 327.745 ;
+        RECT 54.000 327.575 631.270 327.745 ;
+        RECT 52.205 327.055 631.270 327.575 ;
+        RECT 42.555 325.965 43.765 327.055 ;
+        RECT 43.935 325.965 49.280 327.055 ;
+        RECT 49.455 325.965 631.270 327.055 ;
+        RECT 42.470 325.795 631.270 325.965 ;
+        RECT 42.555 324.705 43.765 325.795 ;
+        RECT 43.935 324.705 49.280 325.795 ;
+        RECT 49.455 324.705 631.270 325.795 ;
+        RECT 42.555 323.995 43.075 324.535 ;
+        RECT 43.245 324.165 43.765 324.705 ;
+        RECT 43.935 324.015 46.515 324.535 ;
+        RECT 46.685 324.185 49.280 324.705 ;
+        RECT 49.455 324.015 52.035 324.535 ;
+        RECT 52.205 324.185 631.270 324.705 ;
+        RECT 54.000 324.015 631.270 324.185 ;
+        RECT 42.555 323.245 43.765 323.995 ;
+        RECT 43.935 323.245 49.280 324.015 ;
+        RECT 49.455 323.245 631.270 324.015 ;
+        RECT 42.470 323.075 631.270 323.245 ;
+        RECT 42.555 322.325 43.765 323.075 ;
+        RECT 42.555 321.785 43.075 322.325 ;
+        RECT 43.935 322.305 49.280 323.075 ;
+        RECT 49.455 322.305 631.270 323.075 ;
+        RECT 43.245 321.615 43.765 322.155 ;
+        RECT 43.935 321.785 46.515 322.305 ;
+        RECT 46.685 321.615 49.280 322.135 ;
+        RECT 49.455 321.785 52.035 322.305 ;
+        RECT 54.000 322.135 631.270 322.305 ;
+        RECT 52.205 321.615 631.270 322.135 ;
+        RECT 42.555 320.525 43.765 321.615 ;
+        RECT 43.935 320.525 49.280 321.615 ;
+        RECT 49.455 320.525 631.270 321.615 ;
+        RECT 42.470 320.355 631.270 320.525 ;
+        RECT 42.555 319.265 43.765 320.355 ;
+        RECT 43.935 319.265 49.280 320.355 ;
+        RECT 49.455 319.265 631.270 320.355 ;
+        RECT 42.555 318.555 43.075 319.095 ;
+        RECT 43.245 318.725 43.765 319.265 ;
+        RECT 43.935 318.575 46.515 319.095 ;
+        RECT 46.685 318.745 49.280 319.265 ;
+        RECT 49.455 318.575 52.035 319.095 ;
+        RECT 52.205 318.745 631.270 319.265 ;
+        RECT 54.000 318.575 631.270 318.745 ;
+        RECT 42.555 317.805 43.765 318.555 ;
+        RECT 43.935 317.805 49.280 318.575 ;
+        RECT 49.455 317.805 631.270 318.575 ;
+        RECT 42.470 317.635 631.270 317.805 ;
+        RECT 42.555 316.885 43.765 317.635 ;
+        RECT 42.555 316.345 43.075 316.885 ;
+        RECT 43.935 316.865 49.280 317.635 ;
+        RECT 49.455 316.865 631.270 317.635 ;
+        RECT 43.245 316.175 43.765 316.715 ;
+        RECT 43.935 316.345 46.515 316.865 ;
+        RECT 46.685 316.175 49.280 316.695 ;
+        RECT 49.455 316.345 52.035 316.865 ;
+        RECT 54.000 316.695 631.270 316.865 ;
+        RECT 52.205 316.175 631.270 316.695 ;
+        RECT 42.555 315.085 43.765 316.175 ;
+        RECT 43.935 315.085 49.280 316.175 ;
+        RECT 49.455 315.085 631.270 316.175 ;
+        RECT 42.470 314.915 631.270 315.085 ;
+        RECT 42.555 313.825 43.765 314.915 ;
+        RECT 43.935 313.825 49.280 314.915 ;
+        RECT 49.455 313.825 631.270 314.915 ;
+        RECT 42.555 313.115 43.075 313.655 ;
+        RECT 43.245 313.285 43.765 313.825 ;
+        RECT 43.935 313.135 46.515 313.655 ;
+        RECT 46.685 313.305 49.280 313.825 ;
+        RECT 49.455 313.135 52.035 313.655 ;
+        RECT 52.205 313.305 631.270 313.825 ;
+        RECT 54.000 313.135 631.270 313.305 ;
+        RECT 42.555 312.365 43.765 313.115 ;
+        RECT 43.935 312.365 49.280 313.135 ;
+        RECT 49.455 312.365 631.270 313.135 ;
+        RECT 42.470 312.195 631.270 312.365 ;
+        RECT 42.555 311.445 43.765 312.195 ;
+        RECT 42.555 310.905 43.075 311.445 ;
+        RECT 43.935 311.425 49.280 312.195 ;
+        RECT 49.455 311.425 631.270 312.195 ;
+        RECT 43.245 310.735 43.765 311.275 ;
+        RECT 43.935 310.905 46.515 311.425 ;
+        RECT 46.685 310.735 49.280 311.255 ;
+        RECT 49.455 310.905 52.035 311.425 ;
+        RECT 54.000 311.255 631.270 311.425 ;
+        RECT 52.205 310.735 631.270 311.255 ;
+        RECT 42.555 309.645 43.765 310.735 ;
+        RECT 43.935 309.645 49.280 310.735 ;
+        RECT 49.455 309.645 631.270 310.735 ;
+        RECT 42.470 309.475 631.270 309.645 ;
+        RECT 42.555 308.385 43.765 309.475 ;
+        RECT 43.935 308.385 49.280 309.475 ;
+        RECT 49.455 308.385 631.270 309.475 ;
+        RECT 42.555 307.675 43.075 308.215 ;
+        RECT 43.245 307.845 43.765 308.385 ;
+        RECT 43.935 307.695 46.515 308.215 ;
+        RECT 46.685 307.865 49.280 308.385 ;
+        RECT 49.455 307.695 52.035 308.215 ;
+        RECT 52.205 307.865 631.270 308.385 ;
+        RECT 54.000 307.695 631.270 307.865 ;
+        RECT 42.555 306.925 43.765 307.675 ;
+        RECT 43.935 306.925 49.280 307.695 ;
+        RECT 49.455 306.925 631.270 307.695 ;
+        RECT 42.470 306.755 631.270 306.925 ;
+        RECT 42.555 306.005 43.765 306.755 ;
+        RECT 42.555 305.465 43.075 306.005 ;
+        RECT 43.935 305.985 49.280 306.755 ;
+        RECT 49.455 305.985 631.270 306.755 ;
+        RECT 43.245 305.295 43.765 305.835 ;
+        RECT 43.935 305.465 46.515 305.985 ;
+        RECT 46.685 305.295 49.280 305.815 ;
+        RECT 49.455 305.465 52.035 305.985 ;
+        RECT 54.000 305.815 631.270 305.985 ;
+        RECT 52.205 305.295 631.270 305.815 ;
+        RECT 42.555 304.205 43.765 305.295 ;
+        RECT 43.935 304.205 49.280 305.295 ;
+        RECT 49.455 304.205 631.270 305.295 ;
+        RECT 42.470 304.035 631.270 304.205 ;
+        RECT 42.555 302.945 43.765 304.035 ;
+        RECT 43.935 302.945 49.280 304.035 ;
+        RECT 49.455 302.945 631.270 304.035 ;
+        RECT 42.555 302.235 43.075 302.775 ;
+        RECT 43.245 302.405 43.765 302.945 ;
+        RECT 43.935 302.255 46.515 302.775 ;
+        RECT 46.685 302.425 49.280 302.945 ;
+        RECT 49.455 302.255 52.035 302.775 ;
+        RECT 52.205 302.425 631.270 302.945 ;
+        RECT 54.000 302.255 631.270 302.425 ;
+        RECT 42.555 301.485 43.765 302.235 ;
+        RECT 43.935 301.485 49.280 302.255 ;
+        RECT 49.455 301.485 631.270 302.255 ;
+        RECT 42.470 301.315 631.270 301.485 ;
+        RECT 42.555 300.565 43.765 301.315 ;
+        RECT 42.555 300.025 43.075 300.565 ;
+        RECT 43.935 300.545 49.280 301.315 ;
+        RECT 49.455 300.545 631.270 301.315 ;
+        RECT 43.245 299.855 43.765 300.395 ;
+        RECT 43.935 300.025 46.515 300.545 ;
+        RECT 46.685 299.855 49.280 300.375 ;
+        RECT 49.455 300.025 52.035 300.545 ;
+        RECT 54.000 300.375 631.270 300.545 ;
+        RECT 52.205 299.855 631.270 300.375 ;
+        RECT 42.555 298.765 43.765 299.855 ;
+        RECT 43.935 298.765 49.280 299.855 ;
+        RECT 49.455 298.765 631.270 299.855 ;
+        RECT 42.470 298.595 631.270 298.765 ;
+        RECT 42.555 297.505 43.765 298.595 ;
+        RECT 43.935 297.505 49.280 298.595 ;
+        RECT 49.455 297.505 631.270 298.595 ;
+        RECT 42.555 296.795 43.075 297.335 ;
+        RECT 43.245 296.965 43.765 297.505 ;
+        RECT 43.935 296.815 46.515 297.335 ;
+        RECT 46.685 296.985 49.280 297.505 ;
+        RECT 49.455 296.815 52.035 297.335 ;
+        RECT 52.205 296.985 631.270 297.505 ;
+        RECT 54.000 296.815 631.270 296.985 ;
+        RECT 42.555 296.045 43.765 296.795 ;
+        RECT 43.935 296.045 49.280 296.815 ;
+        RECT 49.455 296.045 631.270 296.815 ;
+        RECT 42.470 295.875 631.270 296.045 ;
+        RECT 42.555 295.125 43.765 295.875 ;
+        RECT 42.555 294.585 43.075 295.125 ;
+        RECT 43.935 295.105 49.280 295.875 ;
+        RECT 49.455 295.105 631.270 295.875 ;
+        RECT 43.245 294.415 43.765 294.955 ;
+        RECT 43.935 294.585 46.515 295.105 ;
+        RECT 46.685 294.415 49.280 294.935 ;
+        RECT 49.455 294.585 52.035 295.105 ;
+        RECT 54.000 294.935 631.270 295.105 ;
+        RECT 52.205 294.415 631.270 294.935 ;
+        RECT 42.555 293.325 43.765 294.415 ;
+        RECT 43.935 293.325 49.280 294.415 ;
+        RECT 49.455 293.325 631.270 294.415 ;
+        RECT 42.470 293.155 631.270 293.325 ;
+        RECT 42.555 292.065 43.765 293.155 ;
+        RECT 43.935 292.065 49.280 293.155 ;
+        RECT 49.455 292.065 631.270 293.155 ;
+        RECT 42.555 291.355 43.075 291.895 ;
+        RECT 43.245 291.525 43.765 292.065 ;
+        RECT 43.935 291.375 46.515 291.895 ;
+        RECT 46.685 291.545 49.280 292.065 ;
+        RECT 49.455 291.375 52.035 291.895 ;
+        RECT 52.205 291.545 631.270 292.065 ;
+        RECT 54.000 291.375 631.270 291.545 ;
+        RECT 42.555 290.605 43.765 291.355 ;
+        RECT 43.935 290.605 49.280 291.375 ;
+        RECT 49.455 290.605 631.270 291.375 ;
+        RECT 42.470 290.435 631.270 290.605 ;
+        RECT 42.555 289.685 43.765 290.435 ;
+        RECT 42.555 289.145 43.075 289.685 ;
+        RECT 43.935 289.665 49.280 290.435 ;
+        RECT 49.455 289.665 631.270 290.435 ;
+        RECT 43.245 288.975 43.765 289.515 ;
+        RECT 43.935 289.145 46.515 289.665 ;
+        RECT 46.685 288.975 49.280 289.495 ;
+        RECT 49.455 289.145 52.035 289.665 ;
+        RECT 54.000 289.495 631.270 289.665 ;
+        RECT 52.205 288.975 631.270 289.495 ;
+        RECT 42.555 287.885 43.765 288.975 ;
+        RECT 43.935 287.885 49.280 288.975 ;
+        RECT 49.455 287.885 631.270 288.975 ;
+        RECT 42.470 287.715 631.270 287.885 ;
+        RECT 42.555 286.625 43.765 287.715 ;
+        RECT 43.935 286.625 49.280 287.715 ;
+        RECT 49.455 286.625 631.270 287.715 ;
+        RECT 42.555 285.915 43.075 286.455 ;
+        RECT 43.245 286.085 43.765 286.625 ;
+        RECT 43.935 285.935 46.515 286.455 ;
+        RECT 46.685 286.105 49.280 286.625 ;
+        RECT 49.455 285.935 52.035 286.455 ;
+        RECT 52.205 286.105 631.270 286.625 ;
+        RECT 54.000 285.935 631.270 286.105 ;
+        RECT 42.555 285.165 43.765 285.915 ;
+        RECT 43.935 285.165 49.280 285.935 ;
+        RECT 49.455 285.165 631.270 285.935 ;
+        RECT 42.470 284.995 631.270 285.165 ;
+        RECT 42.555 284.245 43.765 284.995 ;
+        RECT 42.555 283.705 43.075 284.245 ;
+        RECT 43.935 284.225 49.280 284.995 ;
+        RECT 49.455 284.225 631.270 284.995 ;
+        RECT 43.245 283.535 43.765 284.075 ;
+        RECT 43.935 283.705 46.515 284.225 ;
+        RECT 46.685 283.535 49.280 284.055 ;
+        RECT 49.455 283.705 52.035 284.225 ;
+        RECT 54.000 284.055 631.270 284.225 ;
+        RECT 52.205 283.535 631.270 284.055 ;
+        RECT 42.555 282.445 43.765 283.535 ;
+        RECT 43.935 282.445 49.280 283.535 ;
+        RECT 49.455 282.445 631.270 283.535 ;
+        RECT 42.470 282.275 631.270 282.445 ;
+        RECT 42.555 281.185 43.765 282.275 ;
+        RECT 43.935 281.185 49.280 282.275 ;
+        RECT 49.455 281.185 631.270 282.275 ;
+        RECT 42.555 280.475 43.075 281.015 ;
+        RECT 43.245 280.645 43.765 281.185 ;
+        RECT 43.935 280.495 46.515 281.015 ;
+        RECT 46.685 280.665 49.280 281.185 ;
+        RECT 49.455 280.495 52.035 281.015 ;
+        RECT 52.205 280.665 631.270 281.185 ;
+        RECT 54.000 280.495 631.270 280.665 ;
+        RECT 42.555 279.725 43.765 280.475 ;
+        RECT 43.935 279.725 49.280 280.495 ;
+        RECT 49.455 279.725 631.270 280.495 ;
+        RECT 42.470 279.555 631.270 279.725 ;
+        RECT 42.555 278.805 43.765 279.555 ;
+        RECT 42.555 278.265 43.075 278.805 ;
+        RECT 43.935 278.785 49.280 279.555 ;
+        RECT 49.455 278.785 631.270 279.555 ;
+        RECT 43.245 278.095 43.765 278.635 ;
+        RECT 43.935 278.265 46.515 278.785 ;
+        RECT 46.685 278.095 49.280 278.615 ;
+        RECT 49.455 278.265 52.035 278.785 ;
+        RECT 54.000 278.615 631.270 278.785 ;
+        RECT 52.205 278.095 631.270 278.615 ;
+        RECT 42.555 277.005 43.765 278.095 ;
+        RECT 43.935 277.005 49.280 278.095 ;
+        RECT 49.455 277.005 631.270 278.095 ;
+        RECT 42.470 276.835 631.270 277.005 ;
+        RECT 42.555 275.745 43.765 276.835 ;
+        RECT 43.935 275.745 49.280 276.835 ;
+        RECT 49.455 275.745 631.270 276.835 ;
+        RECT 42.555 275.035 43.075 275.575 ;
+        RECT 43.245 275.205 43.765 275.745 ;
+        RECT 43.935 275.055 46.515 275.575 ;
+        RECT 46.685 275.225 49.280 275.745 ;
+        RECT 49.455 275.055 52.035 275.575 ;
+        RECT 52.205 275.225 631.270 275.745 ;
+        RECT 54.000 275.055 631.270 275.225 ;
+        RECT 42.555 274.285 43.765 275.035 ;
+        RECT 43.935 274.285 49.280 275.055 ;
+        RECT 49.455 274.285 631.270 275.055 ;
+        RECT 42.470 274.115 631.270 274.285 ;
+        RECT 42.555 273.365 43.765 274.115 ;
+        RECT 42.555 272.825 43.075 273.365 ;
+        RECT 43.935 273.345 49.280 274.115 ;
+        RECT 49.455 273.345 631.270 274.115 ;
+        RECT 43.245 272.655 43.765 273.195 ;
+        RECT 43.935 272.825 46.515 273.345 ;
+        RECT 46.685 272.655 49.280 273.175 ;
+        RECT 49.455 272.825 52.035 273.345 ;
+        RECT 54.000 273.175 631.270 273.345 ;
+        RECT 52.205 272.655 631.270 273.175 ;
+        RECT 42.555 271.565 43.765 272.655 ;
+        RECT 43.935 271.565 49.280 272.655 ;
+        RECT 49.455 271.565 631.270 272.655 ;
+        RECT 42.470 271.395 631.270 271.565 ;
+        RECT 42.555 270.305 43.765 271.395 ;
+        RECT 43.935 270.305 49.280 271.395 ;
+        RECT 49.455 270.305 631.270 271.395 ;
+        RECT 42.555 269.595 43.075 270.135 ;
+        RECT 43.245 269.765 43.765 270.305 ;
+        RECT 43.935 269.615 46.515 270.135 ;
+        RECT 46.685 269.785 49.280 270.305 ;
+        RECT 49.455 269.615 52.035 270.135 ;
+        RECT 52.205 269.785 631.270 270.305 ;
+        RECT 54.000 269.615 631.270 269.785 ;
+        RECT 42.555 268.845 43.765 269.595 ;
+        RECT 43.935 268.845 49.280 269.615 ;
+        RECT 49.455 268.845 631.270 269.615 ;
+        RECT 42.470 268.675 631.270 268.845 ;
+        RECT 42.555 267.925 43.765 268.675 ;
+        RECT 42.555 267.385 43.075 267.925 ;
+        RECT 43.935 267.905 49.280 268.675 ;
+        RECT 49.455 267.905 631.270 268.675 ;
+        RECT 43.245 267.215 43.765 267.755 ;
+        RECT 43.935 267.385 46.515 267.905 ;
+        RECT 46.685 267.215 49.280 267.735 ;
+        RECT 49.455 267.385 52.035 267.905 ;
+        RECT 54.000 267.735 631.270 267.905 ;
+        RECT 52.205 267.215 631.270 267.735 ;
+        RECT 42.555 266.125 43.765 267.215 ;
+        RECT 43.935 266.125 49.280 267.215 ;
+        RECT 49.455 266.125 631.270 267.215 ;
+        RECT 42.470 265.955 631.270 266.125 ;
+        RECT 42.555 264.865 43.765 265.955 ;
+        RECT 43.935 264.865 49.280 265.955 ;
+        RECT 49.455 264.865 631.270 265.955 ;
+        RECT 42.555 264.155 43.075 264.695 ;
+        RECT 43.245 264.325 43.765 264.865 ;
+        RECT 43.935 264.175 46.515 264.695 ;
+        RECT 46.685 264.345 49.280 264.865 ;
+        RECT 49.455 264.175 52.035 264.695 ;
+        RECT 52.205 264.345 631.270 264.865 ;
+        RECT 54.000 264.175 631.270 264.345 ;
+        RECT 42.555 263.405 43.765 264.155 ;
+        RECT 43.935 263.405 49.280 264.175 ;
+        RECT 49.455 263.405 631.270 264.175 ;
+        RECT 42.470 263.235 631.270 263.405 ;
+        RECT 42.555 262.485 43.765 263.235 ;
+        RECT 42.555 261.945 43.075 262.485 ;
+        RECT 43.935 262.465 49.280 263.235 ;
+        RECT 49.455 262.465 631.270 263.235 ;
+        RECT 43.245 261.775 43.765 262.315 ;
+        RECT 43.935 261.945 46.515 262.465 ;
+        RECT 46.685 261.775 49.280 262.295 ;
+        RECT 49.455 261.945 52.035 262.465 ;
+        RECT 54.000 262.295 631.270 262.465 ;
+        RECT 52.205 261.775 631.270 262.295 ;
+        RECT 42.555 260.685 43.765 261.775 ;
+        RECT 43.935 260.685 49.280 261.775 ;
+        RECT 49.455 260.685 631.270 261.775 ;
+        RECT 42.470 260.515 631.270 260.685 ;
+        RECT 42.555 259.425 43.765 260.515 ;
+        RECT 43.935 259.425 49.280 260.515 ;
+        RECT 49.455 259.425 631.270 260.515 ;
+        RECT 42.555 258.715 43.075 259.255 ;
+        RECT 43.245 258.885 43.765 259.425 ;
+        RECT 43.935 258.735 46.515 259.255 ;
+        RECT 46.685 258.905 49.280 259.425 ;
+        RECT 49.455 258.735 52.035 259.255 ;
+        RECT 52.205 258.905 631.270 259.425 ;
+        RECT 54.000 258.735 631.270 258.905 ;
+        RECT 42.555 257.965 43.765 258.715 ;
+        RECT 43.935 257.965 49.280 258.735 ;
+        RECT 49.455 257.965 631.270 258.735 ;
+        RECT 42.470 257.795 631.270 257.965 ;
+        RECT 42.555 257.045 43.765 257.795 ;
+        RECT 42.555 256.505 43.075 257.045 ;
+        RECT 43.935 257.025 49.280 257.795 ;
+        RECT 49.455 257.025 631.270 257.795 ;
+        RECT 43.245 256.335 43.765 256.875 ;
+        RECT 43.935 256.505 46.515 257.025 ;
+        RECT 46.685 256.335 49.280 256.855 ;
+        RECT 49.455 256.505 52.035 257.025 ;
+        RECT 54.000 256.855 631.270 257.025 ;
+        RECT 52.205 256.335 631.270 256.855 ;
+        RECT 42.555 255.245 43.765 256.335 ;
+        RECT 43.935 255.245 49.280 256.335 ;
+        RECT 49.455 255.245 631.270 256.335 ;
+        RECT 42.470 255.075 631.270 255.245 ;
+        RECT 42.555 253.985 43.765 255.075 ;
+        RECT 43.935 253.985 49.280 255.075 ;
+        RECT 49.455 253.985 631.270 255.075 ;
+        RECT 42.555 253.275 43.075 253.815 ;
+        RECT 43.245 253.445 43.765 253.985 ;
+        RECT 43.935 253.295 46.515 253.815 ;
+        RECT 46.685 253.465 49.280 253.985 ;
+        RECT 49.455 253.295 52.035 253.815 ;
+        RECT 52.205 253.465 631.270 253.985 ;
+        RECT 54.000 253.295 631.270 253.465 ;
+        RECT 42.555 252.525 43.765 253.275 ;
+        RECT 43.935 252.525 49.280 253.295 ;
+        RECT 49.455 252.525 631.270 253.295 ;
+        RECT 42.470 252.355 631.270 252.525 ;
+        RECT 42.555 251.605 43.765 252.355 ;
+        RECT 42.555 251.065 43.075 251.605 ;
+        RECT 43.935 251.585 49.280 252.355 ;
+        RECT 49.455 251.585 631.270 252.355 ;
+        RECT 43.245 250.895 43.765 251.435 ;
+        RECT 43.935 251.065 46.515 251.585 ;
+        RECT 46.685 250.895 49.280 251.415 ;
+        RECT 49.455 251.065 52.035 251.585 ;
+        RECT 54.000 251.415 631.270 251.585 ;
+        RECT 52.205 250.895 631.270 251.415 ;
+        RECT 42.555 249.805 43.765 250.895 ;
+        RECT 43.935 249.805 49.280 250.895 ;
+        RECT 49.455 249.805 631.270 250.895 ;
+        RECT 42.470 249.635 631.270 249.805 ;
+        RECT 42.555 248.545 43.765 249.635 ;
+        RECT 43.935 248.545 49.280 249.635 ;
+        RECT 49.455 248.545 631.270 249.635 ;
+        RECT 42.555 247.835 43.075 248.375 ;
+        RECT 43.245 248.005 43.765 248.545 ;
+        RECT 43.935 247.855 46.515 248.375 ;
+        RECT 46.685 248.025 49.280 248.545 ;
+        RECT 49.455 247.855 52.035 248.375 ;
+        RECT 52.205 248.025 631.270 248.545 ;
+        RECT 54.000 247.855 631.270 248.025 ;
+        RECT 42.555 247.085 43.765 247.835 ;
+        RECT 43.935 247.085 49.280 247.855 ;
+        RECT 49.455 247.085 631.270 247.855 ;
+        RECT 42.470 246.915 631.270 247.085 ;
+        RECT 42.555 246.165 43.765 246.915 ;
+        RECT 42.555 245.625 43.075 246.165 ;
+        RECT 43.935 246.145 49.280 246.915 ;
+        RECT 49.455 246.145 631.270 246.915 ;
+        RECT 43.245 245.455 43.765 245.995 ;
+        RECT 43.935 245.625 46.515 246.145 ;
+        RECT 46.685 245.455 49.280 245.975 ;
+        RECT 49.455 245.625 52.035 246.145 ;
+        RECT 54.000 245.975 631.270 246.145 ;
+        RECT 52.205 245.455 631.270 245.975 ;
+        RECT 42.555 244.365 43.765 245.455 ;
+        RECT 43.935 244.365 49.280 245.455 ;
+        RECT 49.455 244.365 631.270 245.455 ;
+        RECT 42.470 244.195 631.270 244.365 ;
+        RECT 42.555 243.105 43.765 244.195 ;
+        RECT 43.935 243.105 49.280 244.195 ;
+        RECT 49.455 243.105 631.270 244.195 ;
+        RECT 42.555 242.395 43.075 242.935 ;
+        RECT 43.245 242.565 43.765 243.105 ;
+        RECT 43.935 242.415 46.515 242.935 ;
+        RECT 46.685 242.585 49.280 243.105 ;
+        RECT 49.455 242.415 52.035 242.935 ;
+        RECT 52.205 242.585 631.270 243.105 ;
+        RECT 54.000 242.415 631.270 242.585 ;
+        RECT 42.555 241.645 43.765 242.395 ;
+        RECT 43.935 241.645 49.280 242.415 ;
+        RECT 49.455 241.645 631.270 242.415 ;
+        RECT 42.470 241.475 631.270 241.645 ;
+        RECT 42.555 240.725 43.765 241.475 ;
+        RECT 42.555 240.185 43.075 240.725 ;
+        RECT 43.935 240.705 49.280 241.475 ;
+        RECT 49.455 240.705 631.270 241.475 ;
+        RECT 43.245 240.015 43.765 240.555 ;
+        RECT 43.935 240.185 46.515 240.705 ;
+        RECT 46.685 240.015 49.280 240.535 ;
+        RECT 49.455 240.185 52.035 240.705 ;
+        RECT 54.000 240.535 631.270 240.705 ;
+        RECT 52.205 240.015 631.270 240.535 ;
+        RECT 42.555 238.925 43.765 240.015 ;
+        RECT 43.935 238.925 49.280 240.015 ;
+        RECT 49.455 238.925 631.270 240.015 ;
+        RECT 42.470 238.755 631.270 238.925 ;
+        RECT 42.555 237.665 43.765 238.755 ;
+        RECT 43.935 237.665 49.280 238.755 ;
+        RECT 49.455 237.665 631.270 238.755 ;
+        RECT 42.555 236.955 43.075 237.495 ;
+        RECT 43.245 237.125 43.765 237.665 ;
+        RECT 43.935 236.975 46.515 237.495 ;
+        RECT 46.685 237.145 49.280 237.665 ;
+        RECT 49.455 236.975 52.035 237.495 ;
+        RECT 52.205 237.145 631.270 237.665 ;
+        RECT 54.000 236.975 631.270 237.145 ;
+        RECT 42.555 236.205 43.765 236.955 ;
+        RECT 43.935 236.205 49.280 236.975 ;
+        RECT 49.455 236.205 631.270 236.975 ;
+        RECT 42.470 236.035 631.270 236.205 ;
+        RECT 42.555 235.285 43.765 236.035 ;
+        RECT 42.555 234.745 43.075 235.285 ;
+        RECT 43.935 235.265 49.280 236.035 ;
+        RECT 49.455 235.265 631.270 236.035 ;
+        RECT 43.245 234.575 43.765 235.115 ;
+        RECT 43.935 234.745 46.515 235.265 ;
+        RECT 46.685 234.575 49.280 235.095 ;
+        RECT 49.455 234.745 52.035 235.265 ;
+        RECT 54.000 235.095 631.270 235.265 ;
+        RECT 52.205 234.575 631.270 235.095 ;
+        RECT 42.555 233.485 43.765 234.575 ;
+        RECT 43.935 233.485 49.280 234.575 ;
+        RECT 49.455 233.485 631.270 234.575 ;
+        RECT 42.470 233.315 631.270 233.485 ;
+        RECT 42.555 232.225 43.765 233.315 ;
+        RECT 43.935 232.225 49.280 233.315 ;
+        RECT 49.455 232.225 631.270 233.315 ;
+        RECT 42.555 231.515 43.075 232.055 ;
+        RECT 43.245 231.685 43.765 232.225 ;
+        RECT 43.935 231.535 46.515 232.055 ;
+        RECT 46.685 231.705 49.280 232.225 ;
+        RECT 49.455 231.535 52.035 232.055 ;
+        RECT 52.205 231.705 631.270 232.225 ;
+        RECT 54.000 231.535 631.270 231.705 ;
+        RECT 42.555 230.765 43.765 231.515 ;
+        RECT 43.935 230.765 49.280 231.535 ;
+        RECT 49.455 230.765 631.270 231.535 ;
+        RECT 42.470 230.595 631.270 230.765 ;
+        RECT 42.555 229.845 43.765 230.595 ;
+        RECT 42.555 229.305 43.075 229.845 ;
+        RECT 43.935 229.825 49.280 230.595 ;
+        RECT 49.455 229.825 631.270 230.595 ;
+        RECT 43.245 229.135 43.765 229.675 ;
+        RECT 43.935 229.305 46.515 229.825 ;
+        RECT 46.685 229.135 49.280 229.655 ;
+        RECT 49.455 229.305 52.035 229.825 ;
+        RECT 54.000 229.655 631.270 229.825 ;
+        RECT 52.205 229.135 631.270 229.655 ;
+        RECT 42.555 228.045 43.765 229.135 ;
+        RECT 43.935 228.045 49.280 229.135 ;
+        RECT 49.455 228.045 631.270 229.135 ;
+        RECT 42.470 227.875 631.270 228.045 ;
+        RECT 42.555 226.785 43.765 227.875 ;
+        RECT 43.935 226.785 49.280 227.875 ;
+        RECT 49.455 226.785 631.270 227.875 ;
+        RECT 42.555 226.075 43.075 226.615 ;
+        RECT 43.245 226.245 43.765 226.785 ;
+        RECT 43.935 226.095 46.515 226.615 ;
+        RECT 46.685 226.265 49.280 226.785 ;
+        RECT 49.455 226.095 52.035 226.615 ;
+        RECT 52.205 226.265 631.270 226.785 ;
+        RECT 54.000 226.095 631.270 226.265 ;
+        RECT 42.555 225.325 43.765 226.075 ;
+        RECT 43.935 225.325 49.280 226.095 ;
+        RECT 49.455 225.325 631.270 226.095 ;
+        RECT 42.470 225.155 631.270 225.325 ;
+        RECT 42.555 224.405 43.765 225.155 ;
+        RECT 42.555 223.865 43.075 224.405 ;
+        RECT 43.935 224.385 49.280 225.155 ;
+        RECT 49.455 224.385 631.270 225.155 ;
+        RECT 43.245 223.695 43.765 224.235 ;
+        RECT 43.935 223.865 46.515 224.385 ;
+        RECT 46.685 223.695 49.280 224.215 ;
+        RECT 49.455 223.865 52.035 224.385 ;
+        RECT 54.000 224.215 631.270 224.385 ;
+        RECT 52.205 223.695 631.270 224.215 ;
+        RECT 42.555 222.605 43.765 223.695 ;
+        RECT 43.935 222.605 49.280 223.695 ;
+        RECT 49.455 222.605 631.270 223.695 ;
+        RECT 42.470 222.435 631.270 222.605 ;
+        RECT 42.555 221.345 43.765 222.435 ;
+        RECT 43.935 221.345 49.280 222.435 ;
+        RECT 49.455 221.345 631.270 222.435 ;
+        RECT 42.555 220.635 43.075 221.175 ;
+        RECT 43.245 220.805 43.765 221.345 ;
+        RECT 43.935 220.655 46.515 221.175 ;
+        RECT 46.685 220.825 49.280 221.345 ;
+        RECT 49.455 220.655 52.035 221.175 ;
+        RECT 52.205 220.825 631.270 221.345 ;
+        RECT 54.000 220.655 631.270 220.825 ;
+        RECT 42.555 219.885 43.765 220.635 ;
+        RECT 43.935 219.885 49.280 220.655 ;
+        RECT 49.455 219.885 631.270 220.655 ;
+        RECT 42.470 219.715 631.270 219.885 ;
+        RECT 42.555 218.965 43.765 219.715 ;
+        RECT 42.555 218.425 43.075 218.965 ;
+        RECT 43.935 218.945 49.280 219.715 ;
+        RECT 49.455 218.945 631.270 219.715 ;
+        RECT 43.245 218.255 43.765 218.795 ;
+        RECT 43.935 218.425 46.515 218.945 ;
+        RECT 46.685 218.255 49.280 218.775 ;
+        RECT 49.455 218.425 52.035 218.945 ;
+        RECT 54.000 218.775 631.270 218.945 ;
+        RECT 52.205 218.255 631.270 218.775 ;
+        RECT 42.555 217.165 43.765 218.255 ;
+        RECT 43.935 217.165 49.280 218.255 ;
+        RECT 49.455 217.165 631.270 218.255 ;
+        RECT 42.470 216.995 631.270 217.165 ;
+        RECT 42.555 215.905 43.765 216.995 ;
+        RECT 43.935 215.905 49.280 216.995 ;
+        RECT 49.455 215.905 631.270 216.995 ;
+        RECT 42.555 215.195 43.075 215.735 ;
+        RECT 43.245 215.365 43.765 215.905 ;
+        RECT 43.935 215.215 46.515 215.735 ;
+        RECT 46.685 215.385 49.280 215.905 ;
+        RECT 49.455 215.215 52.035 215.735 ;
+        RECT 52.205 215.385 631.270 215.905 ;
+        RECT 54.000 215.215 631.270 215.385 ;
+        RECT 42.555 214.445 43.765 215.195 ;
+        RECT 43.935 214.445 49.280 215.215 ;
+        RECT 49.455 214.445 631.270 215.215 ;
+        RECT 42.470 214.275 631.270 214.445 ;
+        RECT 42.555 213.525 43.765 214.275 ;
+        RECT 42.555 212.985 43.075 213.525 ;
+        RECT 43.935 213.505 49.280 214.275 ;
+        RECT 49.455 213.505 631.270 214.275 ;
+        RECT 43.245 212.815 43.765 213.355 ;
+        RECT 43.935 212.985 46.515 213.505 ;
+        RECT 46.685 212.815 49.280 213.335 ;
+        RECT 49.455 212.985 52.035 213.505 ;
+        RECT 54.000 213.335 631.270 213.505 ;
+        RECT 52.205 212.815 631.270 213.335 ;
+        RECT 42.555 211.725 43.765 212.815 ;
+        RECT 43.935 211.725 49.280 212.815 ;
+        RECT 49.455 211.725 631.270 212.815 ;
+        RECT 42.470 211.555 631.270 211.725 ;
+        RECT 42.555 210.465 43.765 211.555 ;
+        RECT 43.935 210.465 49.280 211.555 ;
+        RECT 49.455 210.465 631.270 211.555 ;
+        RECT 42.555 209.755 43.075 210.295 ;
+        RECT 43.245 209.925 43.765 210.465 ;
+        RECT 43.935 209.775 46.515 210.295 ;
+        RECT 46.685 209.945 49.280 210.465 ;
+        RECT 49.455 209.775 52.035 210.295 ;
+        RECT 52.205 209.945 631.270 210.465 ;
+        RECT 54.000 209.775 631.270 209.945 ;
+        RECT 42.555 209.005 43.765 209.755 ;
+        RECT 43.935 209.005 49.280 209.775 ;
+        RECT 49.455 209.005 631.270 209.775 ;
+        RECT 42.470 208.835 631.270 209.005 ;
+        RECT 42.555 208.085 43.765 208.835 ;
+        RECT 42.555 207.545 43.075 208.085 ;
+        RECT 43.935 208.065 49.280 208.835 ;
+        RECT 49.455 208.065 631.270 208.835 ;
+        RECT 43.245 207.375 43.765 207.915 ;
+        RECT 43.935 207.545 46.515 208.065 ;
+        RECT 46.685 207.375 49.280 207.895 ;
+        RECT 49.455 207.545 52.035 208.065 ;
+        RECT 54.000 207.895 631.270 208.065 ;
+        RECT 52.205 207.375 631.270 207.895 ;
+        RECT 42.555 206.285 43.765 207.375 ;
+        RECT 43.935 206.285 49.280 207.375 ;
+        RECT 49.455 206.285 631.270 207.375 ;
+        RECT 42.470 206.115 631.270 206.285 ;
+        RECT 42.555 205.025 43.765 206.115 ;
+        RECT 43.935 205.025 49.280 206.115 ;
+        RECT 49.455 205.025 631.270 206.115 ;
+        RECT 42.555 204.315 43.075 204.855 ;
+        RECT 43.245 204.485 43.765 205.025 ;
+        RECT 43.935 204.335 46.515 204.855 ;
+        RECT 46.685 204.505 49.280 205.025 ;
+        RECT 49.455 204.335 52.035 204.855 ;
+        RECT 52.205 204.505 631.270 205.025 ;
+        RECT 54.000 204.335 631.270 204.505 ;
+        RECT 42.555 203.565 43.765 204.315 ;
+        RECT 43.935 203.565 49.280 204.335 ;
+        RECT 49.455 203.565 631.270 204.335 ;
+        RECT 42.470 203.395 631.270 203.565 ;
+        RECT 42.555 202.645 43.765 203.395 ;
+        RECT 42.555 202.105 43.075 202.645 ;
+        RECT 43.935 202.625 49.280 203.395 ;
+        RECT 49.455 202.625 631.270 203.395 ;
+        RECT 43.245 201.935 43.765 202.475 ;
+        RECT 43.935 202.105 46.515 202.625 ;
+        RECT 46.685 201.935 49.280 202.455 ;
+        RECT 49.455 202.105 52.035 202.625 ;
+        RECT 54.000 202.455 631.270 202.625 ;
+        RECT 52.205 201.935 631.270 202.455 ;
+        RECT 42.555 200.845 43.765 201.935 ;
+        RECT 43.935 200.845 49.280 201.935 ;
+        RECT 49.455 200.845 631.270 201.935 ;
+        RECT 42.470 200.675 631.270 200.845 ;
+        RECT 42.555 199.585 43.765 200.675 ;
+        RECT 43.935 199.585 49.280 200.675 ;
+        RECT 49.455 199.585 631.270 200.675 ;
+        RECT 42.555 198.875 43.075 199.415 ;
+        RECT 43.245 199.045 43.765 199.585 ;
+        RECT 43.935 198.895 46.515 199.415 ;
+        RECT 46.685 199.065 49.280 199.585 ;
+        RECT 49.455 198.895 52.035 199.415 ;
+        RECT 52.205 199.065 631.270 199.585 ;
+        RECT 54.000 198.895 631.270 199.065 ;
+        RECT 42.555 198.125 43.765 198.875 ;
+        RECT 43.935 198.125 49.280 198.895 ;
+        RECT 49.455 198.125 631.270 198.895 ;
+        RECT 42.470 197.955 631.270 198.125 ;
+        RECT 42.555 197.205 43.765 197.955 ;
+        RECT 42.555 196.665 43.075 197.205 ;
+        RECT 43.935 197.185 49.280 197.955 ;
+        RECT 49.455 197.185 631.270 197.955 ;
+        RECT 43.245 196.495 43.765 197.035 ;
+        RECT 43.935 196.665 46.515 197.185 ;
+        RECT 46.685 196.495 49.280 197.015 ;
+        RECT 49.455 196.665 52.035 197.185 ;
+        RECT 54.000 197.015 631.270 197.185 ;
+        RECT 52.205 196.495 631.270 197.015 ;
+        RECT 42.555 195.405 43.765 196.495 ;
+        RECT 43.935 195.405 49.280 196.495 ;
+        RECT 49.455 195.405 631.270 196.495 ;
+        RECT 42.470 195.235 631.270 195.405 ;
+        RECT 42.555 194.145 43.765 195.235 ;
+        RECT 43.935 194.145 49.280 195.235 ;
+        RECT 49.455 194.145 631.270 195.235 ;
+        RECT 42.555 193.435 43.075 193.975 ;
+        RECT 43.245 193.605 43.765 194.145 ;
+        RECT 43.935 193.455 46.515 193.975 ;
+        RECT 46.685 193.625 49.280 194.145 ;
+        RECT 49.455 193.455 52.035 193.975 ;
+        RECT 52.205 193.625 631.270 194.145 ;
+        RECT 54.000 193.455 631.270 193.625 ;
+        RECT 42.555 192.685 43.765 193.435 ;
+        RECT 43.935 192.685 49.280 193.455 ;
+        RECT 49.455 192.685 631.270 193.455 ;
+        RECT 42.470 192.515 631.270 192.685 ;
+        RECT 42.555 191.765 43.765 192.515 ;
+        RECT 42.555 191.225 43.075 191.765 ;
+        RECT 43.935 191.745 49.280 192.515 ;
+        RECT 49.455 191.745 631.270 192.515 ;
+        RECT 43.245 191.055 43.765 191.595 ;
+        RECT 43.935 191.225 46.515 191.745 ;
+        RECT 46.685 191.055 49.280 191.575 ;
+        RECT 49.455 191.225 52.035 191.745 ;
+        RECT 54.000 191.575 631.270 191.745 ;
+        RECT 52.205 191.055 631.270 191.575 ;
+        RECT 42.555 189.965 43.765 191.055 ;
+        RECT 43.935 189.965 49.280 191.055 ;
+        RECT 49.455 189.965 631.270 191.055 ;
+        RECT 42.470 189.795 631.270 189.965 ;
+        RECT 42.555 188.705 43.765 189.795 ;
+        RECT 43.935 188.705 49.280 189.795 ;
+        RECT 49.455 188.705 631.270 189.795 ;
+        RECT 42.555 187.995 43.075 188.535 ;
+        RECT 43.245 188.165 43.765 188.705 ;
+        RECT 43.935 188.015 46.515 188.535 ;
+        RECT 46.685 188.185 49.280 188.705 ;
+        RECT 49.455 188.015 52.035 188.535 ;
+        RECT 52.205 188.185 631.270 188.705 ;
+        RECT 54.000 188.015 631.270 188.185 ;
+        RECT 42.555 187.245 43.765 187.995 ;
+        RECT 43.935 187.245 49.280 188.015 ;
+        RECT 49.455 187.245 631.270 188.015 ;
+        RECT 42.470 187.075 631.270 187.245 ;
+        RECT 42.555 186.325 43.765 187.075 ;
+        RECT 42.555 185.785 43.075 186.325 ;
+        RECT 43.935 186.305 49.280 187.075 ;
+        RECT 49.455 186.305 631.270 187.075 ;
+        RECT 43.245 185.615 43.765 186.155 ;
+        RECT 43.935 185.785 46.515 186.305 ;
+        RECT 46.685 185.615 49.280 186.135 ;
+        RECT 49.455 185.785 52.035 186.305 ;
+        RECT 54.000 186.135 631.270 186.305 ;
+        RECT 52.205 185.615 631.270 186.135 ;
+        RECT 42.555 184.525 43.765 185.615 ;
+        RECT 43.935 184.525 49.280 185.615 ;
+        RECT 49.455 184.525 631.270 185.615 ;
+        RECT 42.470 184.355 631.270 184.525 ;
+        RECT 42.555 183.265 43.765 184.355 ;
+        RECT 43.935 183.265 49.280 184.355 ;
+        RECT 49.455 183.265 631.270 184.355 ;
+        RECT 42.555 182.555 43.075 183.095 ;
+        RECT 43.245 182.725 43.765 183.265 ;
+        RECT 43.935 182.575 46.515 183.095 ;
+        RECT 46.685 182.745 49.280 183.265 ;
+        RECT 49.455 182.575 52.035 183.095 ;
+        RECT 52.205 182.745 631.270 183.265 ;
+        RECT 54.000 182.575 631.270 182.745 ;
+        RECT 42.555 181.805 43.765 182.555 ;
+        RECT 43.935 181.805 49.280 182.575 ;
+        RECT 49.455 181.805 631.270 182.575 ;
+        RECT 42.470 181.635 631.270 181.805 ;
+        RECT 42.555 180.885 43.765 181.635 ;
+        RECT 42.555 180.345 43.075 180.885 ;
+        RECT 43.935 180.865 49.280 181.635 ;
+        RECT 49.455 180.865 631.270 181.635 ;
+        RECT 43.245 180.175 43.765 180.715 ;
+        RECT 43.935 180.345 46.515 180.865 ;
+        RECT 46.685 180.175 49.280 180.695 ;
+        RECT 49.455 180.345 52.035 180.865 ;
+        RECT 54.000 180.695 631.270 180.865 ;
+        RECT 52.205 180.175 631.270 180.695 ;
+        RECT 42.555 179.085 43.765 180.175 ;
+        RECT 43.935 179.085 49.280 180.175 ;
+        RECT 49.455 179.085 631.270 180.175 ;
+        RECT 42.470 178.915 631.270 179.085 ;
+        RECT 42.555 177.825 43.765 178.915 ;
+        RECT 43.935 177.825 49.280 178.915 ;
+        RECT 49.455 177.825 631.270 178.915 ;
+        RECT 42.555 177.115 43.075 177.655 ;
+        RECT 43.245 177.285 43.765 177.825 ;
+        RECT 43.935 177.135 46.515 177.655 ;
+        RECT 46.685 177.305 49.280 177.825 ;
+        RECT 49.455 177.135 52.035 177.655 ;
+        RECT 52.205 177.305 631.270 177.825 ;
+        RECT 54.000 177.135 631.270 177.305 ;
+        RECT 42.555 176.365 43.765 177.115 ;
+        RECT 43.935 176.365 49.280 177.135 ;
+        RECT 49.455 176.365 631.270 177.135 ;
+        RECT 42.470 176.195 631.270 176.365 ;
+        RECT 42.555 175.445 43.765 176.195 ;
+        RECT 42.555 174.905 43.075 175.445 ;
+        RECT 43.935 175.425 49.280 176.195 ;
+        RECT 49.455 175.425 631.270 176.195 ;
+        RECT 43.245 174.735 43.765 175.275 ;
+        RECT 43.935 174.905 46.515 175.425 ;
+        RECT 46.685 174.735 49.280 175.255 ;
+        RECT 49.455 174.905 52.035 175.425 ;
+        RECT 54.000 175.255 631.270 175.425 ;
+        RECT 52.205 174.735 631.270 175.255 ;
+        RECT 42.555 173.645 43.765 174.735 ;
+        RECT 43.935 173.645 49.280 174.735 ;
+        RECT 49.455 173.645 631.270 174.735 ;
+        RECT 42.470 173.475 631.270 173.645 ;
+        RECT 42.555 172.385 43.765 173.475 ;
+        RECT 43.935 172.385 49.280 173.475 ;
+        RECT 49.455 172.385 631.270 173.475 ;
+        RECT 42.555 171.675 43.075 172.215 ;
+        RECT 43.245 171.845 43.765 172.385 ;
+        RECT 43.935 171.695 46.515 172.215 ;
+        RECT 46.685 171.865 49.280 172.385 ;
+        RECT 49.455 171.695 52.035 172.215 ;
+        RECT 52.205 171.865 631.270 172.385 ;
+        RECT 54.000 171.695 631.270 171.865 ;
+        RECT 42.555 170.925 43.765 171.675 ;
+        RECT 43.935 170.925 49.280 171.695 ;
+        RECT 49.455 170.925 631.270 171.695 ;
+        RECT 42.470 170.755 631.270 170.925 ;
+        RECT 42.555 170.005 43.765 170.755 ;
+        RECT 42.555 169.465 43.075 170.005 ;
+        RECT 43.935 169.985 49.280 170.755 ;
+        RECT 49.455 169.985 631.270 170.755 ;
+        RECT 43.245 169.295 43.765 169.835 ;
+        RECT 43.935 169.465 46.515 169.985 ;
+        RECT 46.685 169.295 49.280 169.815 ;
+        RECT 49.455 169.465 52.035 169.985 ;
+        RECT 54.000 169.815 631.270 169.985 ;
+        RECT 52.205 169.295 631.270 169.815 ;
+        RECT 42.555 168.205 43.765 169.295 ;
+        RECT 43.935 168.205 49.280 169.295 ;
+        RECT 49.455 168.205 631.270 169.295 ;
+        RECT 42.470 168.035 631.270 168.205 ;
+        RECT 42.555 166.945 43.765 168.035 ;
+        RECT 43.935 166.945 49.280 168.035 ;
+        RECT 49.455 166.945 631.270 168.035 ;
+        RECT 42.555 166.235 43.075 166.775 ;
+        RECT 43.245 166.405 43.765 166.945 ;
+        RECT 43.935 166.255 46.515 166.775 ;
+        RECT 46.685 166.425 49.280 166.945 ;
+        RECT 49.455 166.255 52.035 166.775 ;
+        RECT 52.205 166.425 631.270 166.945 ;
+        RECT 54.000 166.255 631.270 166.425 ;
+        RECT 42.555 165.485 43.765 166.235 ;
+        RECT 43.935 165.485 49.280 166.255 ;
+        RECT 49.455 165.485 631.270 166.255 ;
+        RECT 42.470 165.315 631.270 165.485 ;
+        RECT 42.555 164.565 43.765 165.315 ;
+        RECT 42.555 164.025 43.075 164.565 ;
+        RECT 43.935 164.545 49.280 165.315 ;
+        RECT 49.455 164.545 631.270 165.315 ;
+        RECT 43.245 163.855 43.765 164.395 ;
+        RECT 43.935 164.025 46.515 164.545 ;
+        RECT 46.685 163.855 49.280 164.375 ;
+        RECT 49.455 164.025 52.035 164.545 ;
+        RECT 54.000 164.375 631.270 164.545 ;
+        RECT 52.205 163.855 631.270 164.375 ;
+        RECT 42.555 162.765 43.765 163.855 ;
+        RECT 43.935 162.765 49.280 163.855 ;
+        RECT 49.455 162.765 631.270 163.855 ;
+        RECT 42.470 162.595 631.270 162.765 ;
+        RECT 42.555 161.505 43.765 162.595 ;
+        RECT 43.935 161.505 49.280 162.595 ;
+        RECT 49.455 161.505 631.270 162.595 ;
+        RECT 42.555 160.795 43.075 161.335 ;
+        RECT 43.245 160.965 43.765 161.505 ;
+        RECT 43.935 160.815 46.515 161.335 ;
+        RECT 46.685 160.985 49.280 161.505 ;
+        RECT 49.455 160.815 52.035 161.335 ;
+        RECT 52.205 160.985 631.270 161.505 ;
+        RECT 54.000 160.815 631.270 160.985 ;
+        RECT 42.555 160.045 43.765 160.795 ;
+        RECT 43.935 160.045 49.280 160.815 ;
+        RECT 49.455 160.045 631.270 160.815 ;
+        RECT 42.470 159.875 631.270 160.045 ;
+        RECT 42.555 159.125 43.765 159.875 ;
+        RECT 42.555 158.585 43.075 159.125 ;
+        RECT 43.935 159.105 49.280 159.875 ;
+        RECT 49.455 159.105 631.270 159.875 ;
+        RECT 43.245 158.415 43.765 158.955 ;
+        RECT 43.935 158.585 46.515 159.105 ;
+        RECT 46.685 158.415 49.280 158.935 ;
+        RECT 49.455 158.585 52.035 159.105 ;
+        RECT 54.000 158.935 631.270 159.105 ;
+        RECT 52.205 158.415 631.270 158.935 ;
+        RECT 42.555 157.325 43.765 158.415 ;
+        RECT 43.935 157.325 49.280 158.415 ;
+        RECT 49.455 157.325 631.270 158.415 ;
+        RECT 42.470 157.155 631.270 157.325 ;
+        RECT 42.555 156.065 43.765 157.155 ;
+        RECT 43.935 156.065 49.280 157.155 ;
+        RECT 49.455 156.065 631.270 157.155 ;
+        RECT 42.555 155.355 43.075 155.895 ;
+        RECT 43.245 155.525 43.765 156.065 ;
+        RECT 43.935 155.375 46.515 155.895 ;
+        RECT 46.685 155.545 49.280 156.065 ;
+        RECT 49.455 155.375 52.035 155.895 ;
+        RECT 52.205 155.545 631.270 156.065 ;
+        RECT 54.000 155.375 631.270 155.545 ;
+        RECT 42.555 154.605 43.765 155.355 ;
+        RECT 43.935 154.605 49.280 155.375 ;
+        RECT 49.455 154.605 631.270 155.375 ;
+        RECT 42.470 154.435 631.270 154.605 ;
+        RECT 42.555 153.685 43.765 154.435 ;
+        RECT 42.555 153.145 43.075 153.685 ;
+        RECT 43.935 153.665 49.280 154.435 ;
+        RECT 49.455 153.665 631.270 154.435 ;
+        RECT 43.245 152.975 43.765 153.515 ;
+        RECT 43.935 153.145 46.515 153.665 ;
+        RECT 46.685 152.975 49.280 153.495 ;
+        RECT 49.455 153.145 52.035 153.665 ;
+        RECT 54.000 153.495 631.270 153.665 ;
+        RECT 52.205 152.975 631.270 153.495 ;
+        RECT 42.555 151.885 43.765 152.975 ;
+        RECT 43.935 151.885 49.280 152.975 ;
+        RECT 49.455 151.885 631.270 152.975 ;
+        RECT 42.470 151.715 631.270 151.885 ;
+        RECT 42.555 150.625 43.765 151.715 ;
+        RECT 43.935 150.625 49.280 151.715 ;
+        RECT 49.455 150.625 631.270 151.715 ;
+        RECT 42.555 149.915 43.075 150.455 ;
+        RECT 43.245 150.085 43.765 150.625 ;
+        RECT 43.935 149.935 46.515 150.455 ;
+        RECT 46.685 150.105 49.280 150.625 ;
+        RECT 49.455 149.935 52.035 150.455 ;
+        RECT 52.205 150.105 631.270 150.625 ;
+        RECT 54.000 149.935 631.270 150.105 ;
+        RECT 42.555 149.165 43.765 149.915 ;
+        RECT 43.935 149.165 49.280 149.935 ;
+        RECT 49.455 149.165 631.270 149.935 ;
+        RECT 42.470 148.995 631.270 149.165 ;
+        RECT 42.555 148.245 43.765 148.995 ;
+        RECT 42.555 147.705 43.075 148.245 ;
+        RECT 43.935 148.225 49.280 148.995 ;
+        RECT 49.455 148.225 631.270 148.995 ;
+        RECT 43.245 147.535 43.765 148.075 ;
+        RECT 43.935 147.705 46.515 148.225 ;
+        RECT 46.685 147.535 49.280 148.055 ;
+        RECT 49.455 147.705 52.035 148.225 ;
+        RECT 54.000 148.055 631.270 148.225 ;
+        RECT 52.205 147.535 631.270 148.055 ;
+        RECT 42.555 146.445 43.765 147.535 ;
+        RECT 43.935 146.445 49.280 147.535 ;
+        RECT 49.455 146.445 631.270 147.535 ;
+        RECT 42.470 146.275 631.270 146.445 ;
+        RECT 42.555 145.185 43.765 146.275 ;
+        RECT 43.935 145.185 49.280 146.275 ;
+        RECT 49.455 145.185 631.270 146.275 ;
+        RECT 42.555 144.475 43.075 145.015 ;
+        RECT 43.245 144.645 43.765 145.185 ;
+        RECT 43.935 144.495 46.515 145.015 ;
+        RECT 46.685 144.665 49.280 145.185 ;
+        RECT 49.455 144.495 52.035 145.015 ;
+        RECT 52.205 144.665 631.270 145.185 ;
+        RECT 54.000 144.495 631.270 144.665 ;
+        RECT 42.555 143.725 43.765 144.475 ;
+        RECT 43.935 143.725 49.280 144.495 ;
+        RECT 49.455 143.725 631.270 144.495 ;
+        RECT 42.470 143.555 631.270 143.725 ;
+        RECT 42.555 142.805 43.765 143.555 ;
+        RECT 42.555 142.265 43.075 142.805 ;
+        RECT 43.935 142.785 49.280 143.555 ;
+        RECT 49.455 142.785 631.270 143.555 ;
+        RECT 43.245 142.095 43.765 142.635 ;
+        RECT 43.935 142.265 46.515 142.785 ;
+        RECT 46.685 142.095 49.280 142.615 ;
+        RECT 49.455 142.265 52.035 142.785 ;
+        RECT 54.000 142.615 631.270 142.785 ;
+        RECT 52.205 142.095 631.270 142.615 ;
+        RECT 42.555 141.005 43.765 142.095 ;
+        RECT 43.935 141.005 49.280 142.095 ;
+        RECT 49.455 141.005 631.270 142.095 ;
+        RECT 42.470 140.835 631.270 141.005 ;
+        RECT 42.555 139.745 43.765 140.835 ;
+        RECT 43.935 139.745 49.280 140.835 ;
+        RECT 49.455 139.745 631.270 140.835 ;
+        RECT 42.555 139.035 43.075 139.575 ;
+        RECT 43.245 139.205 43.765 139.745 ;
+        RECT 43.935 139.055 46.515 139.575 ;
+        RECT 46.685 139.225 49.280 139.745 ;
+        RECT 49.455 139.055 52.035 139.575 ;
+        RECT 52.205 139.225 631.270 139.745 ;
+        RECT 54.000 139.055 631.270 139.225 ;
+        RECT 42.555 138.285 43.765 139.035 ;
+        RECT 43.935 138.285 49.280 139.055 ;
+        RECT 49.455 138.285 631.270 139.055 ;
+        RECT 42.470 138.115 631.270 138.285 ;
+        RECT 42.555 137.365 43.765 138.115 ;
+        RECT 42.555 136.825 43.075 137.365 ;
+        RECT 43.935 137.345 49.280 138.115 ;
+        RECT 49.455 137.345 631.270 138.115 ;
+        RECT 43.245 136.655 43.765 137.195 ;
+        RECT 43.935 136.825 46.515 137.345 ;
+        RECT 46.685 136.655 49.280 137.175 ;
+        RECT 49.455 136.825 52.035 137.345 ;
+        RECT 54.000 137.175 631.270 137.345 ;
+        RECT 52.205 136.655 631.270 137.175 ;
+        RECT 42.555 135.565 43.765 136.655 ;
+        RECT 43.935 135.565 49.280 136.655 ;
+        RECT 49.455 135.565 631.270 136.655 ;
+        RECT 42.470 135.395 631.270 135.565 ;
+        RECT 42.555 134.305 43.765 135.395 ;
+        RECT 43.935 134.305 49.280 135.395 ;
+        RECT 49.455 134.305 631.270 135.395 ;
+        RECT 42.555 133.595 43.075 134.135 ;
+        RECT 43.245 133.765 43.765 134.305 ;
+        RECT 43.935 133.615 46.515 134.135 ;
+        RECT 46.685 133.785 49.280 134.305 ;
+        RECT 49.455 133.615 52.035 134.135 ;
+        RECT 52.205 133.785 631.270 134.305 ;
+        RECT 54.000 133.615 631.270 133.785 ;
+        RECT 42.555 132.845 43.765 133.595 ;
+        RECT 43.935 132.845 49.280 133.615 ;
+        RECT 49.455 132.845 631.270 133.615 ;
+        RECT 42.470 132.675 631.270 132.845 ;
+        RECT 42.555 131.925 43.765 132.675 ;
+        RECT 42.555 131.385 43.075 131.925 ;
+        RECT 43.935 131.905 49.280 132.675 ;
+        RECT 49.455 131.905 631.270 132.675 ;
+        RECT 43.245 131.215 43.765 131.755 ;
+        RECT 43.935 131.385 46.515 131.905 ;
+        RECT 46.685 131.215 49.280 131.735 ;
+        RECT 49.455 131.385 52.035 131.905 ;
+        RECT 54.000 131.735 631.270 131.905 ;
+        RECT 52.205 131.215 631.270 131.735 ;
+        RECT 42.555 130.125 43.765 131.215 ;
+        RECT 43.935 130.125 49.280 131.215 ;
+        RECT 49.455 130.125 631.270 131.215 ;
+        RECT 42.470 129.955 631.270 130.125 ;
+        RECT 42.555 128.865 43.765 129.955 ;
+        RECT 43.935 128.865 49.280 129.955 ;
+        RECT 49.455 128.865 631.270 129.955 ;
+        RECT 42.555 128.155 43.075 128.695 ;
+        RECT 43.245 128.325 43.765 128.865 ;
+        RECT 43.935 128.175 46.515 128.695 ;
+        RECT 46.685 128.345 49.280 128.865 ;
+        RECT 49.455 128.175 52.035 128.695 ;
+        RECT 52.205 128.345 631.270 128.865 ;
+        RECT 54.000 128.175 631.270 128.345 ;
+        RECT 42.555 127.405 43.765 128.155 ;
+        RECT 43.935 127.405 49.280 128.175 ;
+        RECT 49.455 127.405 631.270 128.175 ;
+        RECT 42.470 127.235 631.270 127.405 ;
+        RECT 42.555 126.485 43.765 127.235 ;
+        RECT 42.555 125.945 43.075 126.485 ;
+        RECT 43.935 126.465 49.280 127.235 ;
+        RECT 49.455 126.465 631.270 127.235 ;
+        RECT 43.245 125.775 43.765 126.315 ;
+        RECT 43.935 125.945 46.515 126.465 ;
+        RECT 46.685 125.775 49.280 126.295 ;
+        RECT 49.455 125.945 52.035 126.465 ;
+        RECT 54.000 126.295 631.270 126.465 ;
+        RECT 52.205 125.775 631.270 126.295 ;
+        RECT 42.555 124.685 43.765 125.775 ;
+        RECT 43.935 124.685 49.280 125.775 ;
+        RECT 49.455 124.685 631.270 125.775 ;
+        RECT 42.470 124.515 631.270 124.685 ;
+        RECT 42.555 123.425 43.765 124.515 ;
+        RECT 43.935 123.425 49.280 124.515 ;
+        RECT 49.455 123.425 631.270 124.515 ;
+        RECT 42.555 122.715 43.075 123.255 ;
+        RECT 43.245 122.885 43.765 123.425 ;
+        RECT 43.935 122.735 46.515 123.255 ;
+        RECT 46.685 122.905 49.280 123.425 ;
+        RECT 49.455 122.735 52.035 123.255 ;
+        RECT 52.205 122.905 631.270 123.425 ;
+        RECT 54.000 122.735 631.270 122.905 ;
+        RECT 42.555 121.965 43.765 122.715 ;
+        RECT 43.935 121.965 49.280 122.735 ;
+        RECT 49.455 121.965 631.270 122.735 ;
+        RECT 42.470 121.795 631.270 121.965 ;
+        RECT 42.555 121.045 43.765 121.795 ;
+        RECT 42.555 120.505 43.075 121.045 ;
+        RECT 43.935 121.025 49.280 121.795 ;
+        RECT 49.455 121.025 631.270 121.795 ;
+        RECT 43.245 120.335 43.765 120.875 ;
+        RECT 43.935 120.505 46.515 121.025 ;
+        RECT 46.685 120.335 49.280 120.855 ;
+        RECT 49.455 120.505 52.035 121.025 ;
+        RECT 54.000 120.855 631.270 121.025 ;
+        RECT 52.205 120.335 631.270 120.855 ;
+        RECT 42.555 119.245 43.765 120.335 ;
+        RECT 43.935 119.245 49.280 120.335 ;
+        RECT 49.455 119.245 631.270 120.335 ;
+        RECT 42.470 119.075 631.270 119.245 ;
+        RECT 42.555 117.985 43.765 119.075 ;
+        RECT 43.935 117.985 49.280 119.075 ;
+        RECT 49.455 117.985 631.270 119.075 ;
+        RECT 42.555 117.275 43.075 117.815 ;
+        RECT 43.245 117.445 43.765 117.985 ;
+        RECT 43.935 117.295 46.515 117.815 ;
+        RECT 46.685 117.465 49.280 117.985 ;
+        RECT 49.455 117.295 52.035 117.815 ;
+        RECT 52.205 117.465 631.270 117.985 ;
+        RECT 54.000 117.295 631.270 117.465 ;
+        RECT 42.555 116.525 43.765 117.275 ;
+        RECT 43.935 116.525 49.280 117.295 ;
+        RECT 49.455 116.525 631.270 117.295 ;
+        RECT 42.470 116.355 631.270 116.525 ;
+        RECT 42.555 115.605 43.765 116.355 ;
+        RECT 42.555 115.065 43.075 115.605 ;
+        RECT 43.935 115.585 49.280 116.355 ;
+        RECT 49.455 115.585 631.270 116.355 ;
+        RECT 43.245 114.895 43.765 115.435 ;
+        RECT 43.935 115.065 46.515 115.585 ;
+        RECT 46.685 114.895 49.280 115.415 ;
+        RECT 49.455 115.065 52.035 115.585 ;
+        RECT 54.000 115.415 631.270 115.585 ;
+        RECT 52.205 114.895 631.270 115.415 ;
+        RECT 42.555 113.805 43.765 114.895 ;
+        RECT 43.935 113.805 49.280 114.895 ;
+        RECT 49.455 113.805 631.270 114.895 ;
+        RECT 42.470 113.635 631.270 113.805 ;
+        RECT 42.555 112.545 43.765 113.635 ;
+        RECT 43.935 112.545 49.280 113.635 ;
+        RECT 49.455 112.545 631.270 113.635 ;
+        RECT 42.555 111.835 43.075 112.375 ;
+        RECT 43.245 112.005 43.765 112.545 ;
+        RECT 43.935 111.855 46.515 112.375 ;
+        RECT 46.685 112.025 49.280 112.545 ;
+        RECT 49.455 111.855 52.035 112.375 ;
+        RECT 52.205 112.025 631.270 112.545 ;
+        RECT 54.000 111.855 631.270 112.025 ;
+        RECT 42.555 111.085 43.765 111.835 ;
+        RECT 43.935 111.085 49.280 111.855 ;
+        RECT 49.455 111.085 631.270 111.855 ;
+        RECT 42.470 110.915 631.270 111.085 ;
+        RECT 42.555 110.165 43.765 110.915 ;
+        RECT 42.555 109.625 43.075 110.165 ;
+        RECT 43.935 110.145 49.280 110.915 ;
+        RECT 49.455 110.145 631.270 110.915 ;
+        RECT 43.245 109.455 43.765 109.995 ;
+        RECT 43.935 109.625 46.515 110.145 ;
+        RECT 46.685 109.455 49.280 109.975 ;
+        RECT 49.455 109.625 52.035 110.145 ;
+        RECT 54.000 109.975 631.270 110.145 ;
+        RECT 52.205 109.455 631.270 109.975 ;
+        RECT 42.555 108.365 43.765 109.455 ;
+        RECT 43.935 108.365 49.280 109.455 ;
+        RECT 49.455 108.365 631.270 109.455 ;
+        RECT 42.470 108.195 631.270 108.365 ;
+        RECT 42.555 107.105 43.765 108.195 ;
+        RECT 43.935 107.105 49.280 108.195 ;
+        RECT 49.455 107.105 631.270 108.195 ;
+        RECT 42.555 106.395 43.075 106.935 ;
+        RECT 43.245 106.565 43.765 107.105 ;
+        RECT 43.935 106.415 46.515 106.935 ;
+        RECT 46.685 106.585 49.280 107.105 ;
+        RECT 49.455 106.415 52.035 106.935 ;
+        RECT 52.205 106.585 631.270 107.105 ;
+        RECT 54.000 106.415 631.270 106.585 ;
+        RECT 42.555 105.645 43.765 106.395 ;
+        RECT 43.935 105.645 49.280 106.415 ;
+        RECT 49.455 105.645 631.270 106.415 ;
+        RECT 42.470 105.475 631.270 105.645 ;
+        RECT 42.555 104.725 43.765 105.475 ;
+        RECT 42.555 104.185 43.075 104.725 ;
+        RECT 43.935 104.705 49.280 105.475 ;
+        RECT 49.455 104.705 631.270 105.475 ;
+        RECT 43.245 104.015 43.765 104.555 ;
+        RECT 43.935 104.185 46.515 104.705 ;
+        RECT 46.685 104.015 49.280 104.535 ;
+        RECT 49.455 104.185 52.035 104.705 ;
+        RECT 54.000 104.535 631.270 104.705 ;
+        RECT 52.205 104.015 631.270 104.535 ;
+        RECT 42.555 102.925 43.765 104.015 ;
+        RECT 43.935 102.925 49.280 104.015 ;
+        RECT 49.455 102.925 631.270 104.015 ;
+        RECT 42.470 102.755 631.270 102.925 ;
+        RECT 42.555 101.665 43.765 102.755 ;
+        RECT 43.935 101.665 49.280 102.755 ;
+        RECT 49.455 101.665 631.270 102.755 ;
+        RECT 42.555 100.955 43.075 101.495 ;
+        RECT 43.245 101.125 43.765 101.665 ;
+        RECT 43.935 100.975 46.515 101.495 ;
+        RECT 46.685 101.145 49.280 101.665 ;
+        RECT 49.455 100.975 52.035 101.495 ;
+        RECT 52.205 101.145 631.270 101.665 ;
+        RECT 54.000 100.975 631.270 101.145 ;
+        RECT 42.555 100.205 43.765 100.955 ;
+        RECT 43.935 100.205 49.280 100.975 ;
+        RECT 49.455 100.205 631.270 100.975 ;
+        RECT 42.470 100.035 631.270 100.205 ;
+        RECT 42.555 99.285 43.765 100.035 ;
+        RECT 42.555 98.745 43.075 99.285 ;
+        RECT 43.935 99.265 49.280 100.035 ;
+        RECT 49.455 99.265 631.270 100.035 ;
+        RECT 43.245 98.575 43.765 99.115 ;
+        RECT 43.935 98.745 46.515 99.265 ;
+        RECT 46.685 98.575 49.280 99.095 ;
+        RECT 49.455 98.745 52.035 99.265 ;
+        RECT 54.000 99.095 631.270 99.265 ;
+        RECT 52.205 98.575 631.270 99.095 ;
+        RECT 42.555 97.485 43.765 98.575 ;
+        RECT 43.935 97.485 49.280 98.575 ;
+        RECT 49.455 97.485 631.270 98.575 ;
+        RECT 42.470 97.315 631.270 97.485 ;
+        RECT 42.555 96.225 43.765 97.315 ;
+        RECT 43.935 96.225 49.280 97.315 ;
+        RECT 49.455 96.225 631.270 97.315 ;
+        RECT 42.555 95.515 43.075 96.055 ;
+        RECT 43.245 95.685 43.765 96.225 ;
+        RECT 43.935 95.535 46.515 96.055 ;
+        RECT 46.685 95.705 49.280 96.225 ;
+        RECT 49.455 95.535 52.035 96.055 ;
+        RECT 52.205 95.705 631.270 96.225 ;
+        RECT 54.000 95.535 631.270 95.705 ;
+        RECT 42.555 94.765 43.765 95.515 ;
+        RECT 43.935 94.765 49.280 95.535 ;
+        RECT 49.455 94.765 631.270 95.535 ;
+        RECT 42.470 94.595 631.270 94.765 ;
+        RECT 42.555 93.845 43.765 94.595 ;
+        RECT 42.555 93.305 43.075 93.845 ;
+        RECT 43.935 93.825 49.280 94.595 ;
+        RECT 49.455 93.825 631.270 94.595 ;
+        RECT 43.245 93.135 43.765 93.675 ;
+        RECT 43.935 93.305 46.515 93.825 ;
+        RECT 46.685 93.135 49.280 93.655 ;
+        RECT 49.455 93.305 52.035 93.825 ;
+        RECT 54.000 93.655 631.270 93.825 ;
+        RECT 52.205 93.135 631.270 93.655 ;
+        RECT 42.555 92.045 43.765 93.135 ;
+        RECT 43.935 92.045 49.280 93.135 ;
+        RECT 49.455 92.045 631.270 93.135 ;
+        RECT 42.470 91.875 631.270 92.045 ;
+        RECT 42.555 90.785 43.765 91.875 ;
+        RECT 43.935 90.785 49.280 91.875 ;
+        RECT 49.455 90.785 631.270 91.875 ;
+        RECT 42.555 90.075 43.075 90.615 ;
+        RECT 43.245 90.245 43.765 90.785 ;
+        RECT 43.935 90.095 46.515 90.615 ;
+        RECT 46.685 90.265 49.280 90.785 ;
+        RECT 49.455 90.095 52.035 90.615 ;
+        RECT 52.205 90.265 631.270 90.785 ;
+        RECT 54.000 90.095 631.270 90.265 ;
+        RECT 42.555 89.325 43.765 90.075 ;
+        RECT 43.935 89.325 49.280 90.095 ;
+        RECT 49.455 89.325 631.270 90.095 ;
+        RECT 42.470 89.155 631.270 89.325 ;
+        RECT 42.555 88.405 43.765 89.155 ;
+        RECT 42.555 87.865 43.075 88.405 ;
+        RECT 43.935 88.385 49.280 89.155 ;
+        RECT 49.455 88.385 631.270 89.155 ;
+        RECT 43.245 87.695 43.765 88.235 ;
+        RECT 43.935 87.865 46.515 88.385 ;
+        RECT 46.685 87.695 49.280 88.215 ;
+        RECT 49.455 87.865 52.035 88.385 ;
+        RECT 54.000 88.215 631.270 88.385 ;
+        RECT 52.205 87.695 631.270 88.215 ;
+        RECT 42.555 86.605 43.765 87.695 ;
+        RECT 43.935 86.605 49.280 87.695 ;
+        RECT 49.455 86.605 631.270 87.695 ;
+        RECT 42.470 86.435 631.270 86.605 ;
+        RECT 42.555 85.345 43.765 86.435 ;
+        RECT 43.935 85.345 49.280 86.435 ;
+        RECT 49.455 85.345 631.270 86.435 ;
+        RECT 42.555 84.635 43.075 85.175 ;
+        RECT 43.245 84.805 43.765 85.345 ;
+        RECT 43.935 84.655 46.515 85.175 ;
+        RECT 46.685 84.825 49.280 85.345 ;
+        RECT 49.455 84.655 52.035 85.175 ;
+        RECT 52.205 84.825 631.270 85.345 ;
+        RECT 54.000 84.655 631.270 84.825 ;
+        RECT 42.555 83.885 43.765 84.635 ;
+        RECT 43.935 83.885 49.280 84.655 ;
+        RECT 49.455 83.885 631.270 84.655 ;
+        RECT 42.470 83.715 631.270 83.885 ;
+        RECT 42.555 82.965 43.765 83.715 ;
+        RECT 42.555 82.425 43.075 82.965 ;
+        RECT 43.935 82.945 49.280 83.715 ;
+        RECT 49.455 82.945 631.270 83.715 ;
+        RECT 43.245 82.255 43.765 82.795 ;
+        RECT 43.935 82.425 46.515 82.945 ;
+        RECT 46.685 82.255 49.280 82.775 ;
+        RECT 49.455 82.425 52.035 82.945 ;
+        RECT 54.000 82.775 631.270 82.945 ;
+        RECT 52.205 82.255 631.270 82.775 ;
+        RECT 42.555 81.165 43.765 82.255 ;
+        RECT 43.935 81.165 49.280 82.255 ;
+        RECT 49.455 81.165 631.270 82.255 ;
+        RECT 42.470 80.995 631.270 81.165 ;
+        RECT 42.555 79.905 43.765 80.995 ;
+        RECT 43.935 79.905 49.280 80.995 ;
+        RECT 49.455 79.905 631.270 80.995 ;
+        RECT 42.555 79.195 43.075 79.735 ;
+        RECT 43.245 79.365 43.765 79.905 ;
+        RECT 43.935 79.215 46.515 79.735 ;
+        RECT 46.685 79.385 49.280 79.905 ;
+        RECT 49.455 79.215 52.035 79.735 ;
+        RECT 52.205 79.385 631.270 79.905 ;
+        RECT 54.000 79.215 631.270 79.385 ;
+        RECT 42.555 78.445 43.765 79.195 ;
+        RECT 43.935 78.445 49.280 79.215 ;
+        RECT 49.455 78.445 631.270 79.215 ;
+        RECT 42.470 78.275 631.270 78.445 ;
+        RECT 42.555 77.525 43.765 78.275 ;
+        RECT 42.555 76.985 43.075 77.525 ;
+        RECT 43.935 77.505 49.280 78.275 ;
+        RECT 49.455 77.505 631.270 78.275 ;
+        RECT 43.245 76.815 43.765 77.355 ;
+        RECT 43.935 76.985 46.515 77.505 ;
+        RECT 46.685 76.815 49.280 77.335 ;
+        RECT 49.455 76.985 52.035 77.505 ;
+        RECT 54.000 77.335 631.270 77.505 ;
+        RECT 52.205 76.815 631.270 77.335 ;
+        RECT 42.555 75.725 43.765 76.815 ;
+        RECT 43.935 75.725 49.280 76.815 ;
+        RECT 49.455 75.725 631.270 76.815 ;
+        RECT 42.470 75.555 631.270 75.725 ;
+        RECT 42.555 74.465 43.765 75.555 ;
+        RECT 43.935 74.465 49.280 75.555 ;
+        RECT 49.455 74.465 631.270 75.555 ;
+        RECT 42.555 73.755 43.075 74.295 ;
+        RECT 43.245 73.925 43.765 74.465 ;
+        RECT 43.935 73.775 46.515 74.295 ;
+        RECT 46.685 73.945 49.280 74.465 ;
+        RECT 49.455 73.775 52.035 74.295 ;
+        RECT 52.205 73.945 631.270 74.465 ;
+        RECT 54.000 73.775 631.270 73.945 ;
+        RECT 42.555 73.005 43.765 73.755 ;
+        RECT 43.935 73.005 49.280 73.775 ;
+        RECT 49.455 73.005 631.270 73.775 ;
+        RECT 42.470 72.835 631.270 73.005 ;
+        RECT 42.555 72.085 43.765 72.835 ;
+        RECT 42.555 71.545 43.075 72.085 ;
+        RECT 43.935 72.065 49.280 72.835 ;
+        RECT 49.455 72.065 631.270 72.835 ;
+        RECT 43.245 71.375 43.765 71.915 ;
+        RECT 43.935 71.545 46.515 72.065 ;
+        RECT 46.685 71.375 49.280 71.895 ;
+        RECT 49.455 71.545 52.035 72.065 ;
+        RECT 54.000 71.895 631.270 72.065 ;
+        RECT 52.205 71.375 631.270 71.895 ;
+        RECT 42.555 70.285 43.765 71.375 ;
+        RECT 43.935 70.285 49.280 71.375 ;
+        RECT 49.455 70.285 631.270 71.375 ;
+        RECT 42.470 70.115 631.270 70.285 ;
+        RECT 42.555 69.025 43.765 70.115 ;
+        RECT 43.935 69.025 49.280 70.115 ;
+        RECT 49.455 69.025 631.270 70.115 ;
+        RECT 42.555 68.315 43.075 68.855 ;
+        RECT 43.245 68.485 43.765 69.025 ;
+        RECT 43.935 68.335 46.515 68.855 ;
+        RECT 46.685 68.505 49.280 69.025 ;
+        RECT 49.455 68.335 52.035 68.855 ;
+        RECT 52.205 68.505 631.270 69.025 ;
+        RECT 54.000 68.335 631.270 68.505 ;
+        RECT 42.555 67.565 43.765 68.315 ;
+        RECT 43.935 67.565 49.280 68.335 ;
+        RECT 49.455 67.565 631.270 68.335 ;
+        RECT 42.470 67.395 631.270 67.565 ;
+        RECT 42.555 66.645 43.765 67.395 ;
+        RECT 42.555 66.105 43.075 66.645 ;
+        RECT 43.935 66.625 49.280 67.395 ;
+        RECT 49.455 66.625 631.270 67.395 ;
+        RECT 43.245 65.935 43.765 66.475 ;
+        RECT 43.935 66.105 46.515 66.625 ;
+        RECT 46.685 65.935 49.280 66.455 ;
+        RECT 49.455 66.105 52.035 66.625 ;
+        RECT 54.000 66.455 631.270 66.625 ;
+        RECT 52.205 65.935 631.270 66.455 ;
+        RECT 42.555 64.845 43.765 65.935 ;
+        RECT 43.935 64.845 49.280 65.935 ;
+        RECT 49.455 64.845 631.270 65.935 ;
+        RECT 42.470 64.675 631.270 64.845 ;
+        RECT 42.555 63.585 43.765 64.675 ;
+        RECT 43.935 63.585 49.280 64.675 ;
+        RECT 49.455 63.585 631.270 64.675 ;
+        RECT 42.555 62.875 43.075 63.415 ;
+        RECT 43.245 63.045 43.765 63.585 ;
+        RECT 43.935 62.895 46.515 63.415 ;
+        RECT 46.685 63.065 49.280 63.585 ;
+        RECT 49.455 62.895 52.035 63.415 ;
+        RECT 52.205 63.065 631.270 63.585 ;
+        RECT 54.000 62.895 631.270 63.065 ;
+        RECT 42.555 62.125 43.765 62.875 ;
+        RECT 43.935 62.125 49.280 62.895 ;
+        RECT 49.455 62.125 631.270 62.895 ;
+        RECT 42.470 61.955 631.270 62.125 ;
+        RECT 42.555 61.205 43.765 61.955 ;
+        RECT 42.555 60.665 43.075 61.205 ;
+        RECT 43.935 61.185 49.280 61.955 ;
+        RECT 49.455 61.185 631.270 61.955 ;
+        RECT 43.245 60.495 43.765 61.035 ;
+        RECT 43.935 60.665 46.515 61.185 ;
+        RECT 46.685 60.495 49.280 61.015 ;
+        RECT 49.455 60.665 52.035 61.185 ;
+        RECT 54.000 61.015 631.270 61.185 ;
+        RECT 52.205 60.495 631.270 61.015 ;
+        RECT 42.555 59.405 43.765 60.495 ;
+        RECT 43.935 59.405 49.280 60.495 ;
+        RECT 49.455 59.405 631.270 60.495 ;
+        RECT 42.470 59.235 631.270 59.405 ;
+        RECT 42.555 58.145 43.765 59.235 ;
+        RECT 43.935 58.145 49.280 59.235 ;
+        RECT 49.455 58.145 631.270 59.235 ;
+        RECT 42.555 57.435 43.075 57.975 ;
+        RECT 43.245 57.605 43.765 58.145 ;
+        RECT 43.935 57.455 46.515 57.975 ;
+        RECT 46.685 57.625 49.280 58.145 ;
+        RECT 49.455 57.455 52.035 57.975 ;
+        RECT 52.205 57.625 631.270 58.145 ;
+        RECT 54.000 57.455 631.270 57.625 ;
+        RECT 42.555 56.685 43.765 57.435 ;
+        RECT 43.935 56.685 49.280 57.455 ;
+        RECT 49.455 56.685 631.270 57.455 ;
+        RECT 42.470 56.515 631.270 56.685 ;
+        RECT 42.555 55.765 43.765 56.515 ;
+        RECT 42.555 55.225 43.075 55.765 ;
+        RECT 43.935 55.745 49.280 56.515 ;
+        RECT 49.455 55.745 631.270 56.515 ;
+        RECT 43.245 55.055 43.765 55.595 ;
+        RECT 43.935 55.225 46.515 55.745 ;
+        RECT 46.685 55.055 49.280 55.575 ;
+        RECT 49.455 55.225 52.035 55.745 ;
+        RECT 54.000 55.575 631.270 55.745 ;
+        RECT 52.205 55.055 631.270 55.575 ;
+        RECT 42.555 53.965 43.765 55.055 ;
+        RECT 43.935 53.965 49.280 55.055 ;
+        RECT 49.455 54.000 631.270 55.055 ;
+        RECT 49.455 53.965 54.800 54.000 ;
+        RECT 54.975 53.965 56.645 54.000 ;
+        RECT 56.815 53.965 57.105 54.000 ;
+        RECT 57.275 53.965 62.620 54.000 ;
+        RECT 62.795 53.965 68.140 54.000 ;
+        RECT 68.315 53.965 73.660 54.000 ;
+        RECT 73.835 53.965 79.180 54.000 ;
+        RECT 79.855 53.965 80.085 54.000 ;
+        RECT 80.755 53.965 80.965 54.000 ;
+        RECT 81.195 53.965 84.705 54.000 ;
+        RECT 84.875 53.965 85.165 54.000 ;
+        RECT 86.225 53.965 86.555 54.000 ;
+        RECT 87.150 53.965 87.415 54.000 ;
+        RECT 89.320 53.965 89.490 54.000 ;
+        RECT 91.200 53.965 91.415 54.000 ;
+        RECT 92.335 53.965 92.515 54.000 ;
+        RECT 93.210 53.965 93.380 54.000 ;
+        RECT 94.050 53.965 94.220 54.000 ;
+        RECT 94.535 53.965 99.880 54.000 ;
+        RECT 100.945 53.965 101.275 54.000 ;
+        RECT 101.870 53.965 102.135 54.000 ;
+        RECT 104.040 53.965 104.210 54.000 ;
+        RECT 105.920 53.965 106.135 54.000 ;
+        RECT 107.055 53.965 107.235 54.000 ;
+        RECT 107.930 53.965 108.100 54.000 ;
+        RECT 108.770 53.965 108.940 54.000 ;
+        RECT 109.255 53.965 112.765 54.000 ;
+        RECT 112.935 53.965 113.225 54.000 ;
+        RECT 113.825 53.965 114.155 54.000 ;
+        RECT 114.750 53.965 115.015 54.000 ;
+        RECT 116.920 53.965 117.090 54.000 ;
+        RECT 118.800 53.965 119.015 54.000 ;
+        RECT 119.935 53.965 120.115 54.000 ;
+        RECT 120.810 53.965 120.980 54.000 ;
+        RECT 121.650 53.965 121.820 54.000 ;
+        RECT 122.135 53.965 127.480 54.000 ;
+        RECT 127.655 53.965 129.325 54.000 ;
+        RECT 130.425 53.965 130.675 54.000 ;
+        RECT 131.265 53.965 131.515 54.000 ;
+        RECT 133.045 53.965 133.295 54.000 ;
+        RECT 134.725 53.965 134.975 54.000 ;
+        RECT 135.565 53.965 135.815 54.000 ;
+        RECT 136.405 53.965 136.655 54.000 ;
+        RECT 137.315 53.965 140.825 54.000 ;
+        RECT 140.995 53.965 141.285 54.000 ;
+        RECT 141.885 53.965 142.215 54.000 ;
+        RECT 142.810 53.965 143.075 54.000 ;
+        RECT 144.980 53.965 145.150 54.000 ;
+        RECT 146.860 53.965 147.075 54.000 ;
+        RECT 147.995 53.965 148.175 54.000 ;
+        RECT 148.870 53.965 149.040 54.000 ;
+        RECT 149.710 53.965 149.880 54.000 ;
+        RECT 150.195 53.965 153.705 54.000 ;
+        RECT 154.305 53.965 154.635 54.000 ;
+        RECT 155.230 53.965 155.495 54.000 ;
+        RECT 157.400 53.965 157.570 54.000 ;
+        RECT 159.280 53.965 159.495 54.000 ;
+        RECT 160.415 53.965 160.595 54.000 ;
+        RECT 161.290 53.965 161.460 54.000 ;
+        RECT 162.130 53.965 162.300 54.000 ;
+        RECT 162.615 53.965 167.960 54.000 ;
+        RECT 169.055 53.965 169.345 54.000 ;
+        RECT 170.425 53.965 170.755 54.000 ;
+        RECT 171.265 53.965 171.515 54.000 ;
+        RECT 174.115 53.965 177.625 54.000 ;
+        RECT 178.225 53.965 178.555 54.000 ;
+        RECT 179.150 53.965 179.415 54.000 ;
+        RECT 181.320 53.965 181.490 54.000 ;
+        RECT 183.200 53.965 183.415 54.000 ;
+        RECT 184.335 53.965 184.515 54.000 ;
+        RECT 185.210 53.965 185.380 54.000 ;
+        RECT 186.050 53.965 186.220 54.000 ;
+        RECT 186.535 53.965 190.045 54.000 ;
+        RECT 191.190 53.965 191.360 54.000 ;
+        RECT 192.070 53.965 192.240 54.000 ;
+        RECT 192.910 53.965 193.080 54.000 ;
+        RECT 193.435 53.965 196.945 54.000 ;
+        RECT 197.115 53.965 197.405 54.000 ;
+        RECT 197.575 53.965 199.245 54.000 ;
+        RECT 200.305 53.965 200.635 54.000 ;
+        RECT 201.230 53.965 201.495 54.000 ;
+        RECT 203.400 53.965 203.570 54.000 ;
+        RECT 205.280 53.965 205.495 54.000 ;
+        RECT 206.415 53.965 206.595 54.000 ;
+        RECT 207.290 53.965 207.460 54.000 ;
+        RECT 208.130 53.965 208.300 54.000 ;
+        RECT 208.615 53.965 212.125 54.000 ;
+        RECT 212.765 53.965 213.015 54.000 ;
+        RECT 213.605 53.965 213.855 54.000 ;
+        RECT 215.385 53.965 215.635 54.000 ;
+        RECT 217.065 53.965 217.315 54.000 ;
+        RECT 217.905 53.965 218.155 54.000 ;
+        RECT 218.745 53.965 218.995 54.000 ;
+        RECT 219.655 53.965 225.000 54.000 ;
+        RECT 225.175 53.965 225.465 54.000 ;
+        RECT 225.670 53.965 225.955 54.000 ;
+        RECT 226.530 53.965 226.860 54.000 ;
+        RECT 229.180 53.965 229.460 54.000 ;
+        RECT 230.010 53.965 230.340 54.000 ;
+        RECT 230.870 53.965 231.200 54.000 ;
+        RECT 231.615 53.965 235.125 54.000 ;
+        RECT 235.335 53.965 235.565 54.000 ;
+        RECT 236.235 53.965 236.445 54.000 ;
+        RECT 236.675 53.965 240.185 54.000 ;
+        RECT 240.825 53.965 241.075 54.000 ;
+        RECT 241.665 53.965 241.915 54.000 ;
+        RECT 243.445 53.965 243.695 54.000 ;
+        RECT 245.125 53.965 245.375 54.000 ;
+        RECT 245.965 53.965 246.215 54.000 ;
+        RECT 246.805 53.965 247.055 54.000 ;
+        RECT 247.715 53.965 253.060 54.000 ;
+        RECT 253.235 53.965 253.525 54.000 ;
+        RECT 254.165 53.965 254.415 54.000 ;
+        RECT 255.005 53.965 255.255 54.000 ;
+        RECT 256.785 53.965 257.035 54.000 ;
+        RECT 258.465 53.965 258.715 54.000 ;
+        RECT 259.305 53.965 259.555 54.000 ;
+        RECT 260.145 53.965 260.395 54.000 ;
+        RECT 261.055 53.965 264.565 54.000 ;
+        RECT 265.210 53.965 265.540 54.000 ;
+        RECT 266.140 53.965 266.400 54.000 ;
+        RECT 266.575 53.965 270.085 54.000 ;
+        RECT 270.725 53.965 270.975 54.000 ;
+        RECT 271.565 53.965 271.815 54.000 ;
+        RECT 273.345 53.965 273.595 54.000 ;
+        RECT 275.025 53.965 275.275 54.000 ;
+        RECT 275.865 53.965 276.115 54.000 ;
+        RECT 276.705 53.965 276.955 54.000 ;
+        RECT 277.615 53.965 281.125 54.000 ;
+        RECT 281.295 53.965 281.585 54.000 ;
+        RECT 281.755 53.965 284.345 54.000 ;
+        RECT 284.985 53.965 285.235 54.000 ;
+        RECT 285.825 53.965 286.075 54.000 ;
+        RECT 287.605 53.965 287.855 54.000 ;
+        RECT 289.285 53.965 289.535 54.000 ;
+        RECT 290.125 53.965 290.375 54.000 ;
+        RECT 290.965 53.965 291.215 54.000 ;
+        RECT 291.875 53.965 297.220 54.000 ;
+        RECT 298.785 53.965 299.035 54.000 ;
+        RECT 299.625 53.965 299.875 54.000 ;
+        RECT 301.405 53.965 301.655 54.000 ;
+        RECT 303.085 53.965 303.335 54.000 ;
+        RECT 303.925 53.965 304.175 54.000 ;
+        RECT 304.765 53.965 305.015 54.000 ;
+        RECT 305.675 53.965 309.185 54.000 ;
+        RECT 309.355 53.965 309.645 54.000 ;
+        RECT 310.285 53.965 310.535 54.000 ;
+        RECT 311.125 53.965 311.375 54.000 ;
+        RECT 312.905 53.965 313.155 54.000 ;
+        RECT 314.585 53.965 314.835 54.000 ;
+        RECT 315.425 53.965 315.675 54.000 ;
+        RECT 316.265 53.965 316.515 54.000 ;
+        RECT 317.175 53.965 322.520 54.000 ;
+        RECT 322.695 53.965 324.365 54.000 ;
+        RECT 325.465 53.965 325.715 54.000 ;
+        RECT 326.305 53.965 326.555 54.000 ;
+        RECT 328.085 53.965 328.335 54.000 ;
+        RECT 329.765 53.965 330.015 54.000 ;
+        RECT 330.605 53.965 330.855 54.000 ;
+        RECT 331.445 53.965 331.695 54.000 ;
+        RECT 332.355 53.965 335.865 54.000 ;
+        RECT 336.035 53.965 337.245 54.000 ;
+        RECT 337.415 53.965 337.705 54.000 ;
+        RECT 337.915 53.965 338.145 54.000 ;
+        RECT 338.815 53.965 339.025 54.000 ;
+        RECT 339.255 53.965 342.765 54.000 ;
+        RECT 343.865 53.965 344.115 54.000 ;
+        RECT 344.705 53.965 344.955 54.000 ;
+        RECT 346.485 53.965 346.735 54.000 ;
+        RECT 348.165 53.965 348.415 54.000 ;
+        RECT 349.005 53.965 349.255 54.000 ;
+        RECT 349.845 53.965 350.095 54.000 ;
+        RECT 350.755 53.965 354.265 54.000 ;
+        RECT 354.905 53.965 355.155 54.000 ;
+        RECT 355.745 53.965 355.995 54.000 ;
+        RECT 357.525 53.965 357.775 54.000 ;
+        RECT 359.205 53.965 359.455 54.000 ;
+        RECT 360.045 53.965 360.295 54.000 ;
+        RECT 360.885 53.965 361.135 54.000 ;
+        RECT 361.795 53.965 365.305 54.000 ;
+        RECT 365.475 53.965 365.765 54.000 ;
+        RECT 366.385 53.965 366.715 54.000 ;
+        RECT 367.225 53.965 367.475 54.000 ;
+        RECT 370.075 53.965 373.585 54.000 ;
+        RECT 373.755 53.965 374.965 54.000 ;
+        RECT 375.605 53.965 375.855 54.000 ;
+        RECT 376.445 53.965 376.695 54.000 ;
+        RECT 378.225 53.965 378.475 54.000 ;
+        RECT 379.905 53.965 380.155 54.000 ;
+        RECT 380.745 53.965 380.995 54.000 ;
+        RECT 381.585 53.965 381.835 54.000 ;
+        RECT 382.495 53.965 387.840 54.000 ;
+        RECT 388.015 53.965 393.360 54.000 ;
+        RECT 393.535 53.965 393.825 54.000 ;
+        RECT 393.995 53.965 399.340 54.000 ;
+        RECT 399.515 53.965 404.860 54.000 ;
+        RECT 405.035 53.965 410.380 54.000 ;
+        RECT 410.555 53.965 415.900 54.000 ;
+        RECT 416.075 53.965 421.420 54.000 ;
+        RECT 421.595 53.965 421.885 54.000 ;
+        RECT 422.055 53.965 427.400 54.000 ;
+        RECT 427.575 53.965 432.920 54.000 ;
+        RECT 433.095 53.965 438.440 54.000 ;
+        RECT 438.615 53.965 443.960 54.000 ;
+        RECT 444.135 53.965 449.480 54.000 ;
+        RECT 449.655 53.965 449.945 54.000 ;
+        RECT 450.115 53.965 455.460 54.000 ;
+        RECT 455.635 53.965 460.980 54.000 ;
+        RECT 461.155 53.965 466.500 54.000 ;
+        RECT 466.675 53.965 472.020 54.000 ;
+        RECT 472.195 53.965 477.540 54.000 ;
+        RECT 477.715 53.965 478.005 54.000 ;
+        RECT 478.175 53.965 483.520 54.000 ;
+        RECT 483.695 53.965 489.040 54.000 ;
+        RECT 489.215 53.965 494.560 54.000 ;
+        RECT 494.735 53.965 500.080 54.000 ;
+        RECT 500.255 53.965 505.600 54.000 ;
+        RECT 505.775 53.965 506.065 54.000 ;
+        RECT 506.235 53.965 511.580 54.000 ;
+        RECT 511.755 53.965 517.100 54.000 ;
+        RECT 517.275 53.965 522.620 54.000 ;
+        RECT 522.795 53.965 528.140 54.000 ;
+        RECT 528.315 53.965 533.660 54.000 ;
+        RECT 533.835 53.965 534.125 54.000 ;
+        RECT 534.295 53.965 539.640 54.000 ;
+        RECT 539.815 53.965 545.160 54.000 ;
+        RECT 545.335 53.965 550.680 54.000 ;
+        RECT 550.855 53.965 556.200 54.000 ;
+        RECT 556.375 53.965 561.720 54.000 ;
+        RECT 561.895 53.965 562.185 54.000 ;
+        RECT 562.355 53.965 567.700 54.000 ;
+        RECT 567.875 53.965 573.220 54.000 ;
+        RECT 573.395 53.965 578.740 54.000 ;
+        RECT 578.915 53.965 584.260 54.000 ;
+        RECT 584.435 53.965 589.780 54.000 ;
+        RECT 589.955 53.965 590.245 54.000 ;
+        RECT 590.415 53.965 595.760 54.000 ;
+        RECT 595.935 53.965 601.280 54.000 ;
+        RECT 601.455 53.965 606.800 54.000 ;
+        RECT 606.975 53.965 612.320 54.000 ;
+        RECT 612.495 53.965 617.840 54.000 ;
+        RECT 618.015 53.965 618.305 54.000 ;
+        RECT 618.475 53.965 623.820 54.000 ;
+        RECT 623.995 53.965 629.340 54.000 ;
+        RECT 629.975 53.965 631.185 54.000 ;
+        RECT 42.470 53.795 631.270 53.965 ;
+        RECT 42.555 52.705 43.765 53.795 ;
+        RECT 43.935 52.705 49.280 53.795 ;
+        RECT 49.455 52.705 54.800 53.795 ;
+        RECT 54.975 52.705 60.320 53.795 ;
+        RECT 60.495 52.705 65.840 53.795 ;
+        RECT 66.015 52.705 69.525 53.795 ;
+        RECT 42.555 51.995 43.075 52.535 ;
+        RECT 43.245 52.165 43.765 52.705 ;
+        RECT 43.935 52.015 46.515 52.535 ;
+        RECT 46.685 52.185 49.280 52.705 ;
+        RECT 49.455 52.015 52.035 52.535 ;
+        RECT 52.205 52.185 54.800 52.705 ;
+        RECT 54.975 52.015 57.555 52.535 ;
+        RECT 57.725 52.185 60.320 52.705 ;
+        RECT 60.495 52.015 63.075 52.535 ;
+        RECT 63.245 52.185 65.840 52.705 ;
+        RECT 66.015 52.015 67.665 52.535 ;
+        RECT 67.835 52.185 69.525 52.705 ;
+        RECT 70.615 52.630 70.905 53.795 ;
+        RECT 71.075 52.705 76.420 53.795 ;
+        RECT 71.075 52.015 73.655 52.535 ;
+        RECT 73.825 52.185 76.420 52.705 ;
+        RECT 77.555 52.655 77.785 53.795 ;
+        RECT 77.955 52.645 78.285 53.625 ;
+        RECT 78.455 52.655 78.665 53.795 ;
+        RECT 78.895 52.705 82.405 53.795 ;
+        RECT 82.665 53.125 82.835 53.625 ;
+        RECT 83.005 53.295 83.335 53.795 ;
+        RECT 82.665 52.955 83.330 53.125 ;
+        RECT 77.535 52.235 77.865 52.485 ;
+        RECT 42.555 51.245 43.765 51.995 ;
+        RECT 43.935 51.245 49.280 52.015 ;
+        RECT 49.455 51.245 54.800 52.015 ;
+        RECT 54.975 51.245 60.320 52.015 ;
+        RECT 60.495 51.245 65.840 52.015 ;
+        RECT 66.015 51.245 69.525 52.015 ;
+        RECT 70.615 51.245 70.905 51.970 ;
+        RECT 71.075 51.245 76.420 52.015 ;
+        RECT 77.555 51.245 77.785 52.065 ;
+        RECT 78.035 52.045 78.285 52.645 ;
+        RECT 77.955 51.415 78.285 52.045 ;
+        RECT 78.455 51.245 78.665 52.065 ;
+        RECT 78.895 52.015 80.545 52.535 ;
+        RECT 80.715 52.185 82.405 52.705 ;
+        RECT 82.580 52.135 82.930 52.785 ;
+        RECT 78.895 51.245 82.405 52.015 ;
+        RECT 83.100 51.965 83.330 52.955 ;
+        RECT 82.665 51.795 83.330 51.965 ;
+        RECT 82.665 51.505 82.835 51.795 ;
+        RECT 83.005 51.245 83.335 51.625 ;
+        RECT 83.505 51.505 83.730 53.625 ;
+        RECT 83.930 53.335 84.195 53.795 ;
+        RECT 84.380 53.225 84.615 53.600 ;
+        RECT 84.860 53.350 85.930 53.520 ;
+        RECT 83.930 52.225 84.210 52.825 ;
+        RECT 83.945 51.245 84.195 51.705 ;
+        RECT 84.380 51.695 84.550 53.225 ;
+        RECT 84.720 52.195 84.960 53.065 ;
+        RECT 85.150 52.815 85.590 53.170 ;
+        RECT 85.760 52.735 85.930 53.350 ;
+        RECT 86.100 52.995 86.270 53.795 ;
+        RECT 86.440 53.295 86.690 53.625 ;
+        RECT 86.915 53.325 87.800 53.495 ;
+        RECT 85.760 52.645 86.270 52.735 ;
+        RECT 85.470 52.475 86.270 52.645 ;
+        RECT 84.720 51.865 85.300 52.195 ;
+        RECT 85.470 51.695 85.640 52.475 ;
+        RECT 86.100 52.405 86.270 52.475 ;
+        RECT 85.810 52.225 85.980 52.255 ;
+        RECT 86.440 52.225 86.610 53.295 ;
+        RECT 86.780 52.405 86.970 53.125 ;
+        RECT 87.140 52.735 87.460 53.065 ;
+        RECT 85.810 51.925 86.610 52.225 ;
+        RECT 87.140 52.195 87.330 52.735 ;
+        RECT 84.380 51.525 84.710 51.695 ;
+        RECT 84.890 51.525 85.640 51.695 ;
+        RECT 85.890 51.245 86.260 51.745 ;
+        RECT 86.440 51.695 86.610 51.925 ;
+        RECT 86.780 51.865 87.330 52.195 ;
+        RECT 87.630 52.405 87.800 53.325 ;
+        RECT 87.980 53.295 88.195 53.795 ;
+        RECT 88.660 52.990 88.830 53.615 ;
+        RECT 89.115 53.015 89.295 53.795 ;
+        RECT 87.970 52.830 88.830 52.990 ;
+        RECT 87.970 52.660 89.080 52.830 ;
+        RECT 88.910 52.405 89.080 52.660 ;
+        RECT 89.475 52.795 89.810 53.555 ;
+        RECT 89.990 52.965 90.160 53.795 ;
+        RECT 90.330 52.795 90.660 53.555 ;
+        RECT 90.830 52.965 91.000 53.795 ;
+        RECT 89.475 52.625 91.145 52.795 ;
+        RECT 91.315 52.705 96.660 53.795 ;
+        RECT 96.835 52.705 98.505 53.795 ;
+        RECT 87.630 52.235 88.720 52.405 ;
+        RECT 88.910 52.235 90.730 52.405 ;
+        RECT 87.630 51.695 87.800 52.235 ;
+        RECT 88.910 52.065 89.080 52.235 ;
+        RECT 88.580 51.895 89.080 52.065 ;
+        RECT 90.900 52.060 91.145 52.625 ;
+        RECT 86.440 51.525 86.900 51.695 ;
+        RECT 87.130 51.525 87.800 51.695 ;
+        RECT 88.115 51.245 88.285 51.775 ;
+        RECT 88.580 51.455 88.940 51.895 ;
+        RECT 89.475 51.890 91.145 52.060 ;
+        RECT 91.315 52.015 93.895 52.535 ;
+        RECT 94.065 52.185 96.660 52.705 ;
+        RECT 96.835 52.015 97.585 52.535 ;
+        RECT 97.755 52.185 98.505 52.705 ;
+        RECT 98.675 52.630 98.965 53.795 ;
+        RECT 99.225 53.125 99.395 53.625 ;
+        RECT 99.565 53.295 99.895 53.795 ;
+        RECT 99.225 52.955 99.890 53.125 ;
+        RECT 99.140 52.135 99.490 52.785 ;
+        RECT 89.115 51.245 89.285 51.725 ;
+        RECT 89.475 51.465 89.810 51.890 ;
+        RECT 89.985 51.245 90.155 51.720 ;
+        RECT 90.330 51.465 90.665 51.890 ;
+        RECT 90.835 51.245 91.005 51.720 ;
+        RECT 91.315 51.245 96.660 52.015 ;
+        RECT 96.835 51.245 98.505 52.015 ;
+        RECT 98.675 51.245 98.965 51.970 ;
+        RECT 99.660 51.965 99.890 52.955 ;
+        RECT 99.225 51.795 99.890 51.965 ;
+        RECT 99.225 51.505 99.395 51.795 ;
+        RECT 99.565 51.245 99.895 51.625 ;
+        RECT 100.065 51.505 100.290 53.625 ;
+        RECT 100.490 53.335 100.755 53.795 ;
+        RECT 100.940 53.225 101.175 53.600 ;
+        RECT 101.420 53.350 102.490 53.520 ;
+        RECT 100.490 52.225 100.770 52.825 ;
+        RECT 100.505 51.245 100.755 51.705 ;
+        RECT 100.940 51.695 101.110 53.225 ;
+        RECT 101.280 52.195 101.520 53.065 ;
+        RECT 101.710 52.815 102.150 53.170 ;
+        RECT 102.320 52.735 102.490 53.350 ;
+        RECT 102.660 52.995 102.830 53.795 ;
+        RECT 103.000 53.295 103.250 53.625 ;
+        RECT 103.475 53.325 104.360 53.495 ;
+        RECT 102.320 52.645 102.830 52.735 ;
+        RECT 102.030 52.475 102.830 52.645 ;
+        RECT 101.280 51.865 101.860 52.195 ;
+        RECT 102.030 51.695 102.200 52.475 ;
+        RECT 102.660 52.405 102.830 52.475 ;
+        RECT 102.370 52.225 102.540 52.255 ;
+        RECT 103.000 52.225 103.170 53.295 ;
+        RECT 103.340 52.405 103.530 53.125 ;
+        RECT 103.700 52.735 104.020 53.065 ;
+        RECT 102.370 51.925 103.170 52.225 ;
+        RECT 103.700 52.195 103.890 52.735 ;
+        RECT 100.940 51.525 101.270 51.695 ;
+        RECT 101.450 51.525 102.200 51.695 ;
+        RECT 102.450 51.245 102.820 51.745 ;
+        RECT 103.000 51.695 103.170 51.925 ;
+        RECT 103.340 51.865 103.890 52.195 ;
+        RECT 104.190 52.405 104.360 53.325 ;
+        RECT 104.540 53.295 104.755 53.795 ;
+        RECT 105.220 52.990 105.390 53.615 ;
+        RECT 105.675 53.015 105.855 53.795 ;
+        RECT 104.530 52.830 105.390 52.990 ;
+        RECT 104.530 52.660 105.640 52.830 ;
+        RECT 105.470 52.405 105.640 52.660 ;
+        RECT 106.035 52.795 106.370 53.555 ;
+        RECT 106.550 52.965 106.720 53.795 ;
+        RECT 106.890 52.795 107.220 53.555 ;
+        RECT 107.390 52.965 107.560 53.795 ;
+        RECT 106.035 52.625 107.705 52.795 ;
+        RECT 107.875 52.705 111.385 53.795 ;
+        RECT 111.645 53.125 111.815 53.625 ;
+        RECT 111.985 53.295 112.315 53.795 ;
+        RECT 111.645 52.955 112.310 53.125 ;
+        RECT 104.190 52.235 105.280 52.405 ;
+        RECT 105.470 52.235 107.290 52.405 ;
+        RECT 104.190 51.695 104.360 52.235 ;
+        RECT 105.470 52.065 105.640 52.235 ;
+        RECT 105.140 51.895 105.640 52.065 ;
+        RECT 107.460 52.060 107.705 52.625 ;
+        RECT 103.000 51.525 103.460 51.695 ;
+        RECT 103.690 51.525 104.360 51.695 ;
+        RECT 104.675 51.245 104.845 51.775 ;
+        RECT 105.140 51.455 105.500 51.895 ;
+        RECT 106.035 51.890 107.705 52.060 ;
+        RECT 107.875 52.015 109.525 52.535 ;
+        RECT 109.695 52.185 111.385 52.705 ;
+        RECT 111.560 52.135 111.910 52.785 ;
+        RECT 105.675 51.245 105.845 51.725 ;
+        RECT 106.035 51.465 106.370 51.890 ;
+        RECT 106.545 51.245 106.715 51.720 ;
+        RECT 106.890 51.465 107.225 51.890 ;
+        RECT 107.395 51.245 107.565 51.720 ;
+        RECT 107.875 51.245 111.385 52.015 ;
+        RECT 112.080 51.965 112.310 52.955 ;
+        RECT 111.645 51.795 112.310 51.965 ;
+        RECT 111.645 51.505 111.815 51.795 ;
+        RECT 111.985 51.245 112.315 51.625 ;
+        RECT 112.485 51.505 112.710 53.625 ;
+        RECT 112.910 53.335 113.175 53.795 ;
+        RECT 113.360 53.225 113.595 53.600 ;
+        RECT 113.840 53.350 114.910 53.520 ;
+        RECT 112.910 52.225 113.190 52.825 ;
+        RECT 112.925 51.245 113.175 51.705 ;
+        RECT 113.360 51.695 113.530 53.225 ;
+        RECT 113.700 52.195 113.940 53.065 ;
+        RECT 114.130 52.815 114.570 53.170 ;
+        RECT 114.740 52.735 114.910 53.350 ;
+        RECT 115.080 52.995 115.250 53.795 ;
+        RECT 115.420 53.295 115.670 53.625 ;
+        RECT 115.895 53.325 116.780 53.495 ;
+        RECT 114.740 52.645 115.250 52.735 ;
+        RECT 114.450 52.475 115.250 52.645 ;
+        RECT 113.700 51.865 114.280 52.195 ;
+        RECT 114.450 51.695 114.620 52.475 ;
+        RECT 115.080 52.405 115.250 52.475 ;
+        RECT 114.790 52.225 114.960 52.255 ;
+        RECT 115.420 52.225 115.590 53.295 ;
+        RECT 115.760 52.405 115.950 53.125 ;
+        RECT 116.120 52.735 116.440 53.065 ;
+        RECT 114.790 51.925 115.590 52.225 ;
+        RECT 116.120 52.195 116.310 52.735 ;
+        RECT 113.360 51.525 113.690 51.695 ;
+        RECT 113.870 51.525 114.620 51.695 ;
+        RECT 114.870 51.245 115.240 51.745 ;
+        RECT 115.420 51.695 115.590 51.925 ;
+        RECT 115.760 51.865 116.310 52.195 ;
+        RECT 116.610 52.405 116.780 53.325 ;
+        RECT 116.960 53.295 117.175 53.795 ;
+        RECT 117.640 52.990 117.810 53.615 ;
+        RECT 118.095 53.015 118.275 53.795 ;
+        RECT 116.950 52.830 117.810 52.990 ;
+        RECT 116.950 52.660 118.060 52.830 ;
+        RECT 117.890 52.405 118.060 52.660 ;
+        RECT 118.455 52.795 118.790 53.555 ;
+        RECT 118.970 52.965 119.140 53.795 ;
+        RECT 119.310 52.795 119.640 53.555 ;
+        RECT 119.810 52.965 119.980 53.795 ;
+        RECT 118.455 52.625 120.125 52.795 ;
+        RECT 120.295 52.705 125.640 53.795 ;
+        RECT 116.610 52.235 117.700 52.405 ;
+        RECT 117.890 52.235 119.710 52.405 ;
+        RECT 116.610 51.695 116.780 52.235 ;
+        RECT 117.890 52.065 118.060 52.235 ;
+        RECT 117.560 51.895 118.060 52.065 ;
+        RECT 119.880 52.060 120.125 52.625 ;
+        RECT 115.420 51.525 115.880 51.695 ;
+        RECT 116.110 51.525 116.780 51.695 ;
+        RECT 117.095 51.245 117.265 51.775 ;
+        RECT 117.560 51.455 117.920 51.895 ;
+        RECT 118.455 51.890 120.125 52.060 ;
+        RECT 120.295 52.015 122.875 52.535 ;
+        RECT 123.045 52.185 125.640 52.705 ;
+        RECT 126.735 52.630 127.025 53.795 ;
+        RECT 127.285 53.125 127.455 53.625 ;
+        RECT 127.625 53.295 127.955 53.795 ;
+        RECT 127.285 52.955 127.950 53.125 ;
+        RECT 127.200 52.135 127.550 52.785 ;
+        RECT 118.095 51.245 118.265 51.725 ;
+        RECT 118.455 51.465 118.790 51.890 ;
+        RECT 118.965 51.245 119.135 51.720 ;
+        RECT 119.310 51.465 119.645 51.890 ;
+        RECT 119.815 51.245 119.985 51.720 ;
+        RECT 120.295 51.245 125.640 52.015 ;
+        RECT 126.735 51.245 127.025 51.970 ;
+        RECT 127.720 51.965 127.950 52.955 ;
+        RECT 127.285 51.795 127.950 51.965 ;
+        RECT 127.285 51.505 127.455 51.795 ;
+        RECT 127.625 51.245 127.955 51.625 ;
+        RECT 128.125 51.505 128.350 53.625 ;
+        RECT 128.550 53.335 128.815 53.795 ;
+        RECT 129.000 53.225 129.235 53.600 ;
+        RECT 129.480 53.350 130.550 53.520 ;
+        RECT 128.550 52.225 128.830 52.825 ;
+        RECT 128.565 51.245 128.815 51.705 ;
+        RECT 129.000 51.695 129.170 53.225 ;
+        RECT 129.340 52.195 129.580 53.065 ;
+        RECT 129.770 52.815 130.210 53.170 ;
+        RECT 130.380 52.735 130.550 53.350 ;
+        RECT 130.720 52.995 130.890 53.795 ;
+        RECT 131.060 53.295 131.310 53.625 ;
+        RECT 131.535 53.325 132.420 53.495 ;
+        RECT 130.380 52.645 130.890 52.735 ;
+        RECT 130.090 52.475 130.890 52.645 ;
+        RECT 129.340 51.865 129.920 52.195 ;
+        RECT 130.090 51.695 130.260 52.475 ;
+        RECT 130.720 52.405 130.890 52.475 ;
+        RECT 130.430 52.225 130.600 52.255 ;
+        RECT 131.060 52.225 131.230 53.295 ;
+        RECT 131.400 52.405 131.590 53.125 ;
+        RECT 131.760 52.735 132.080 53.065 ;
+        RECT 130.430 51.925 131.230 52.225 ;
+        RECT 131.760 52.195 131.950 52.735 ;
+        RECT 129.000 51.525 129.330 51.695 ;
+        RECT 129.510 51.525 130.260 51.695 ;
+        RECT 130.510 51.245 130.880 51.745 ;
+        RECT 131.060 51.695 131.230 51.925 ;
+        RECT 131.400 51.865 131.950 52.195 ;
+        RECT 132.250 52.405 132.420 53.325 ;
+        RECT 132.600 53.295 132.815 53.795 ;
+        RECT 133.280 52.990 133.450 53.615 ;
+        RECT 133.735 53.015 133.915 53.795 ;
+        RECT 132.590 52.830 133.450 52.990 ;
+        RECT 132.590 52.660 133.700 52.830 ;
+        RECT 133.530 52.405 133.700 52.660 ;
+        RECT 134.095 52.795 134.430 53.555 ;
+        RECT 134.610 52.965 134.780 53.795 ;
+        RECT 134.950 52.795 135.280 53.555 ;
+        RECT 135.450 52.965 135.620 53.795 ;
+        RECT 134.095 52.625 135.765 52.795 ;
+        RECT 135.935 52.705 139.445 53.795 ;
+        RECT 139.705 53.125 139.875 53.625 ;
+        RECT 140.045 53.295 140.375 53.795 ;
+        RECT 139.705 52.955 140.370 53.125 ;
+        RECT 134.155 52.605 134.325 52.625 ;
+        RECT 132.250 52.235 133.340 52.405 ;
+        RECT 133.530 52.235 135.350 52.405 ;
+        RECT 132.250 51.695 132.420 52.235 ;
+        RECT 133.530 52.065 133.700 52.235 ;
+        RECT 133.200 51.895 133.700 52.065 ;
+        RECT 135.520 52.060 135.765 52.625 ;
+        RECT 131.060 51.525 131.520 51.695 ;
+        RECT 131.750 51.525 132.420 51.695 ;
+        RECT 132.735 51.245 132.905 51.775 ;
+        RECT 133.200 51.455 133.560 51.895 ;
+        RECT 134.095 51.890 135.765 52.060 ;
+        RECT 135.935 52.015 137.585 52.535 ;
+        RECT 137.755 52.185 139.445 52.705 ;
+        RECT 139.620 52.135 139.970 52.785 ;
+        RECT 133.735 51.245 133.905 51.725 ;
+        RECT 134.095 51.465 134.430 51.890 ;
+        RECT 134.605 51.245 134.775 51.720 ;
+        RECT 134.950 51.465 135.285 51.890 ;
+        RECT 135.455 51.245 135.625 51.720 ;
+        RECT 135.935 51.245 139.445 52.015 ;
+        RECT 140.140 51.965 140.370 52.955 ;
+        RECT 139.705 51.795 140.370 51.965 ;
+        RECT 139.705 51.505 139.875 51.795 ;
+        RECT 140.045 51.245 140.375 51.625 ;
+        RECT 140.545 51.505 140.770 53.625 ;
+        RECT 140.970 53.335 141.235 53.795 ;
+        RECT 141.420 53.225 141.655 53.600 ;
+        RECT 141.900 53.350 142.970 53.520 ;
+        RECT 140.970 52.225 141.250 52.825 ;
+        RECT 140.985 51.245 141.235 51.705 ;
+        RECT 141.420 51.695 141.590 53.225 ;
+        RECT 141.760 52.195 142.000 53.065 ;
+        RECT 142.190 52.815 142.630 53.170 ;
+        RECT 142.800 52.735 142.970 53.350 ;
+        RECT 143.140 52.995 143.310 53.795 ;
+        RECT 143.480 53.295 143.730 53.625 ;
+        RECT 143.955 53.325 144.840 53.495 ;
+        RECT 142.800 52.645 143.310 52.735 ;
+        RECT 142.510 52.475 143.310 52.645 ;
+        RECT 141.760 51.865 142.340 52.195 ;
+        RECT 142.510 51.695 142.680 52.475 ;
+        RECT 143.140 52.405 143.310 52.475 ;
+        RECT 142.850 52.225 143.020 52.255 ;
+        RECT 143.480 52.225 143.650 53.295 ;
+        RECT 143.820 52.405 144.010 53.125 ;
+        RECT 144.180 52.735 144.500 53.065 ;
+        RECT 142.850 51.925 143.650 52.225 ;
+        RECT 144.180 52.195 144.370 52.735 ;
+        RECT 141.420 51.525 141.750 51.695 ;
+        RECT 141.930 51.525 142.680 51.695 ;
+        RECT 142.930 51.245 143.300 51.745 ;
+        RECT 143.480 51.695 143.650 51.925 ;
+        RECT 143.820 51.865 144.370 52.195 ;
+        RECT 144.670 52.405 144.840 53.325 ;
+        RECT 145.020 53.295 145.235 53.795 ;
+        RECT 145.700 52.990 145.870 53.615 ;
+        RECT 146.155 53.015 146.335 53.795 ;
+        RECT 145.010 52.830 145.870 52.990 ;
+        RECT 145.010 52.660 146.120 52.830 ;
+        RECT 145.950 52.405 146.120 52.660 ;
+        RECT 146.515 52.795 146.850 53.555 ;
+        RECT 147.030 52.965 147.200 53.795 ;
+        RECT 147.370 52.795 147.700 53.555 ;
+        RECT 147.870 52.965 148.040 53.795 ;
+        RECT 146.515 52.625 148.185 52.795 ;
+        RECT 148.355 52.705 153.700 53.795 ;
+        RECT 146.575 52.605 146.745 52.625 ;
+        RECT 144.670 52.235 145.760 52.405 ;
+        RECT 145.950 52.235 147.770 52.405 ;
+        RECT 144.670 51.695 144.840 52.235 ;
+        RECT 145.950 52.065 146.120 52.235 ;
+        RECT 145.620 51.895 146.120 52.065 ;
+        RECT 147.940 52.060 148.185 52.625 ;
+        RECT 143.480 51.525 143.940 51.695 ;
+        RECT 144.170 51.525 144.840 51.695 ;
+        RECT 145.155 51.245 145.325 51.775 ;
+        RECT 145.620 51.455 145.980 51.895 ;
+        RECT 146.515 51.890 148.185 52.060 ;
+        RECT 148.355 52.015 150.935 52.535 ;
+        RECT 151.105 52.185 153.700 52.705 ;
+        RECT 154.795 52.630 155.085 53.795 ;
+        RECT 155.345 53.125 155.515 53.625 ;
+        RECT 155.685 53.295 156.015 53.795 ;
+        RECT 155.345 52.955 156.010 53.125 ;
+        RECT 155.260 52.135 155.610 52.785 ;
+        RECT 146.155 51.245 146.325 51.725 ;
+        RECT 146.515 51.465 146.850 51.890 ;
+        RECT 147.025 51.245 147.195 51.720 ;
+        RECT 147.370 51.465 147.705 51.890 ;
+        RECT 147.875 51.245 148.045 51.720 ;
+        RECT 148.355 51.245 153.700 52.015 ;
+        RECT 154.795 51.245 155.085 51.970 ;
+        RECT 155.780 51.965 156.010 52.955 ;
+        RECT 155.345 51.795 156.010 51.965 ;
+        RECT 155.345 51.505 155.515 51.795 ;
+        RECT 155.685 51.245 156.015 51.625 ;
+        RECT 156.185 51.505 156.410 53.625 ;
+        RECT 156.610 53.335 156.875 53.795 ;
+        RECT 157.060 53.225 157.295 53.600 ;
+        RECT 157.540 53.350 158.610 53.520 ;
+        RECT 156.610 52.225 156.890 52.825 ;
+        RECT 156.625 51.245 156.875 51.705 ;
+        RECT 157.060 51.695 157.230 53.225 ;
+        RECT 157.400 52.195 157.640 53.065 ;
+        RECT 157.830 52.815 158.270 53.170 ;
+        RECT 158.440 52.735 158.610 53.350 ;
+        RECT 158.780 52.995 158.950 53.795 ;
+        RECT 159.120 53.295 159.370 53.625 ;
+        RECT 159.595 53.325 160.480 53.495 ;
+        RECT 158.440 52.645 158.950 52.735 ;
+        RECT 158.150 52.475 158.950 52.645 ;
+        RECT 157.400 51.865 157.980 52.195 ;
+        RECT 158.150 51.695 158.320 52.475 ;
+        RECT 158.780 52.405 158.950 52.475 ;
+        RECT 158.490 52.225 158.660 52.255 ;
+        RECT 159.120 52.225 159.290 53.295 ;
+        RECT 159.460 52.405 159.650 53.125 ;
+        RECT 159.820 52.735 160.140 53.065 ;
+        RECT 158.490 51.925 159.290 52.225 ;
+        RECT 159.820 52.195 160.010 52.735 ;
+        RECT 157.060 51.525 157.390 51.695 ;
+        RECT 157.570 51.525 158.320 51.695 ;
+        RECT 158.570 51.245 158.940 51.745 ;
+        RECT 159.120 51.695 159.290 51.925 ;
+        RECT 159.460 51.865 160.010 52.195 ;
+        RECT 160.310 52.405 160.480 53.325 ;
+        RECT 160.660 53.295 160.875 53.795 ;
+        RECT 161.340 52.990 161.510 53.615 ;
+        RECT 161.795 53.015 161.975 53.795 ;
+        RECT 160.650 52.830 161.510 52.990 ;
+        RECT 160.650 52.660 161.760 52.830 ;
+        RECT 161.590 52.405 161.760 52.660 ;
+        RECT 162.155 52.795 162.490 53.555 ;
+        RECT 162.670 52.965 162.840 53.795 ;
+        RECT 163.010 52.795 163.340 53.555 ;
+        RECT 163.510 52.965 163.680 53.795 ;
+        RECT 162.155 52.625 163.825 52.795 ;
+        RECT 163.995 52.705 169.340 53.795 ;
+        RECT 170.525 53.125 170.695 53.625 ;
+        RECT 170.865 53.295 171.195 53.795 ;
+        RECT 170.525 52.955 171.190 53.125 ;
+        RECT 160.310 52.235 161.400 52.405 ;
+        RECT 161.590 52.235 163.410 52.405 ;
+        RECT 160.310 51.695 160.480 52.235 ;
+        RECT 161.590 52.065 161.760 52.235 ;
+        RECT 161.260 51.895 161.760 52.065 ;
+        RECT 163.580 52.060 163.825 52.625 ;
+        RECT 159.120 51.525 159.580 51.695 ;
+        RECT 159.810 51.525 160.480 51.695 ;
+        RECT 160.795 51.245 160.965 51.775 ;
+        RECT 161.260 51.455 161.620 51.895 ;
+        RECT 162.155 51.890 163.825 52.060 ;
+        RECT 163.995 52.015 166.575 52.535 ;
+        RECT 166.745 52.185 169.340 52.705 ;
+        RECT 170.440 52.135 170.790 52.785 ;
+        RECT 161.795 51.245 161.965 51.725 ;
+        RECT 162.155 51.465 162.490 51.890 ;
+        RECT 162.665 51.245 162.835 51.720 ;
+        RECT 163.010 51.465 163.345 51.890 ;
+        RECT 163.515 51.245 163.685 51.720 ;
+        RECT 163.995 51.245 169.340 52.015 ;
+        RECT 170.960 51.965 171.190 52.955 ;
+        RECT 170.525 51.795 171.190 51.965 ;
+        RECT 170.525 51.505 170.695 51.795 ;
+        RECT 170.865 51.245 171.195 51.625 ;
+        RECT 171.365 51.505 171.590 53.625 ;
+        RECT 171.790 53.335 172.055 53.795 ;
+        RECT 172.240 53.225 172.475 53.600 ;
+        RECT 172.720 53.350 173.790 53.520 ;
+        RECT 171.790 52.225 172.070 52.825 ;
+        RECT 171.805 51.245 172.055 51.705 ;
+        RECT 172.240 51.695 172.410 53.225 ;
+        RECT 172.580 52.195 172.820 53.065 ;
+        RECT 173.010 52.815 173.450 53.170 ;
+        RECT 173.620 52.735 173.790 53.350 ;
+        RECT 173.960 52.995 174.130 53.795 ;
+        RECT 174.300 53.295 174.550 53.625 ;
+        RECT 174.775 53.325 175.660 53.495 ;
+        RECT 173.620 52.645 174.130 52.735 ;
+        RECT 173.330 52.475 174.130 52.645 ;
+        RECT 172.580 51.865 173.160 52.195 ;
+        RECT 173.330 51.695 173.500 52.475 ;
+        RECT 173.960 52.405 174.130 52.475 ;
+        RECT 173.670 52.225 173.840 52.255 ;
+        RECT 174.300 52.225 174.470 53.295 ;
+        RECT 174.640 52.405 174.830 53.125 ;
+        RECT 175.000 52.735 175.320 53.065 ;
+        RECT 173.670 51.925 174.470 52.225 ;
+        RECT 175.000 52.195 175.190 52.735 ;
+        RECT 172.240 51.525 172.570 51.695 ;
+        RECT 172.750 51.525 173.500 51.695 ;
+        RECT 173.750 51.245 174.120 51.745 ;
+        RECT 174.300 51.695 174.470 51.925 ;
+        RECT 174.640 51.865 175.190 52.195 ;
+        RECT 175.490 52.405 175.660 53.325 ;
+        RECT 175.840 53.295 176.055 53.795 ;
+        RECT 176.520 52.990 176.690 53.615 ;
+        RECT 176.975 53.015 177.155 53.795 ;
+        RECT 175.830 52.830 176.690 52.990 ;
+        RECT 175.830 52.660 176.940 52.830 ;
+        RECT 176.770 52.405 176.940 52.660 ;
+        RECT 177.335 52.795 177.670 53.555 ;
+        RECT 177.850 52.965 178.020 53.795 ;
+        RECT 178.190 52.795 178.520 53.555 ;
+        RECT 178.690 52.965 178.860 53.795 ;
+        RECT 177.335 52.625 179.005 52.795 ;
+        RECT 179.175 52.705 182.685 53.795 ;
+        RECT 177.395 52.605 177.565 52.625 ;
+        RECT 175.490 52.235 176.580 52.405 ;
+        RECT 176.770 52.235 178.590 52.405 ;
+        RECT 175.490 51.695 175.660 52.235 ;
+        RECT 176.770 52.065 176.940 52.235 ;
+        RECT 176.440 51.895 176.940 52.065 ;
+        RECT 178.760 52.060 179.005 52.625 ;
+        RECT 174.300 51.525 174.760 51.695 ;
+        RECT 174.990 51.525 175.660 51.695 ;
+        RECT 175.975 51.245 176.145 51.775 ;
+        RECT 176.440 51.455 176.800 51.895 ;
+        RECT 177.335 51.890 179.005 52.060 ;
+        RECT 179.175 52.015 180.825 52.535 ;
+        RECT 180.995 52.185 182.685 52.705 ;
+        RECT 182.855 52.630 183.145 53.795 ;
+        RECT 183.315 52.705 184.985 53.795 ;
+        RECT 185.245 53.125 185.415 53.625 ;
+        RECT 185.585 53.295 185.915 53.795 ;
+        RECT 185.245 52.955 185.910 53.125 ;
+        RECT 183.315 52.015 184.065 52.535 ;
+        RECT 184.235 52.185 184.985 52.705 ;
+        RECT 185.160 52.135 185.510 52.785 ;
+        RECT 176.975 51.245 177.145 51.725 ;
+        RECT 177.335 51.465 177.670 51.890 ;
+        RECT 177.845 51.245 178.015 51.720 ;
+        RECT 178.190 51.465 178.525 51.890 ;
+        RECT 178.695 51.245 178.865 51.720 ;
+        RECT 179.175 51.245 182.685 52.015 ;
+        RECT 182.855 51.245 183.145 51.970 ;
+        RECT 183.315 51.245 184.985 52.015 ;
+        RECT 185.680 51.965 185.910 52.955 ;
+        RECT 185.245 51.795 185.910 51.965 ;
+        RECT 185.245 51.505 185.415 51.795 ;
+        RECT 185.585 51.245 185.915 51.625 ;
+        RECT 186.085 51.505 186.310 53.625 ;
+        RECT 186.510 53.335 186.775 53.795 ;
+        RECT 186.960 53.225 187.195 53.600 ;
+        RECT 187.440 53.350 188.510 53.520 ;
+        RECT 186.510 52.225 186.790 52.825 ;
+        RECT 186.525 51.245 186.775 51.705 ;
+        RECT 186.960 51.695 187.130 53.225 ;
+        RECT 187.300 52.195 187.540 53.065 ;
+        RECT 187.730 52.815 188.170 53.170 ;
+        RECT 188.340 52.735 188.510 53.350 ;
+        RECT 188.680 52.995 188.850 53.795 ;
+        RECT 189.020 53.295 189.270 53.625 ;
+        RECT 189.495 53.325 190.380 53.495 ;
+        RECT 188.340 52.645 188.850 52.735 ;
+        RECT 188.050 52.475 188.850 52.645 ;
+        RECT 187.300 51.865 187.880 52.195 ;
+        RECT 188.050 51.695 188.220 52.475 ;
+        RECT 188.680 52.405 188.850 52.475 ;
+        RECT 188.390 52.225 188.560 52.255 ;
+        RECT 189.020 52.225 189.190 53.295 ;
+        RECT 189.360 52.405 189.550 53.125 ;
+        RECT 189.720 52.735 190.040 53.065 ;
+        RECT 188.390 51.925 189.190 52.225 ;
+        RECT 189.720 52.195 189.910 52.735 ;
+        RECT 186.960 51.525 187.290 51.695 ;
+        RECT 187.470 51.525 188.220 51.695 ;
+        RECT 188.470 51.245 188.840 51.745 ;
+        RECT 189.020 51.695 189.190 51.925 ;
+        RECT 189.360 51.865 189.910 52.195 ;
+        RECT 190.210 52.405 190.380 53.325 ;
+        RECT 190.560 53.295 190.775 53.795 ;
+        RECT 191.240 52.990 191.410 53.615 ;
+        RECT 191.695 53.015 191.875 53.795 ;
+        RECT 190.550 52.830 191.410 52.990 ;
+        RECT 190.550 52.660 191.660 52.830 ;
+        RECT 191.490 52.405 191.660 52.660 ;
+        RECT 192.055 52.795 192.390 53.555 ;
+        RECT 192.570 52.965 192.740 53.795 ;
+        RECT 192.910 52.795 193.240 53.555 ;
+        RECT 193.410 52.965 193.580 53.795 ;
+        RECT 192.055 52.625 193.725 52.795 ;
+        RECT 193.895 52.705 197.405 53.795 ;
+        RECT 198.125 53.125 198.295 53.625 ;
+        RECT 198.465 53.295 198.795 53.795 ;
+        RECT 198.125 52.955 198.790 53.125 ;
+        RECT 190.210 52.235 191.300 52.405 ;
+        RECT 191.490 52.235 193.310 52.405 ;
+        RECT 190.210 51.695 190.380 52.235 ;
+        RECT 191.490 52.065 191.660 52.235 ;
+        RECT 191.160 51.895 191.660 52.065 ;
+        RECT 193.480 52.060 193.725 52.625 ;
+        RECT 189.020 51.525 189.480 51.695 ;
+        RECT 189.710 51.525 190.380 51.695 ;
+        RECT 190.695 51.245 190.865 51.775 ;
+        RECT 191.160 51.455 191.520 51.895 ;
+        RECT 192.055 51.890 193.725 52.060 ;
+        RECT 193.895 52.015 195.545 52.535 ;
+        RECT 195.715 52.185 197.405 52.705 ;
+        RECT 198.040 52.135 198.390 52.785 ;
+        RECT 191.695 51.245 191.865 51.725 ;
+        RECT 192.055 51.465 192.390 51.890 ;
+        RECT 192.565 51.245 192.735 51.720 ;
+        RECT 192.910 51.465 193.245 51.890 ;
+        RECT 193.415 51.245 193.585 51.720 ;
+        RECT 193.895 51.245 197.405 52.015 ;
+        RECT 198.560 51.965 198.790 52.955 ;
+        RECT 198.125 51.795 198.790 51.965 ;
+        RECT 198.125 51.505 198.295 51.795 ;
+        RECT 198.465 51.245 198.795 51.625 ;
+        RECT 198.965 51.505 199.190 53.625 ;
+        RECT 199.390 53.335 199.655 53.795 ;
+        RECT 199.840 53.225 200.075 53.600 ;
+        RECT 200.320 53.350 201.390 53.520 ;
+        RECT 199.390 52.225 199.670 52.825 ;
+        RECT 199.405 51.245 199.655 51.705 ;
+        RECT 199.840 51.695 200.010 53.225 ;
+        RECT 200.180 52.195 200.420 53.065 ;
+        RECT 200.610 52.815 201.050 53.170 ;
+        RECT 201.220 52.735 201.390 53.350 ;
+        RECT 201.560 52.995 201.730 53.795 ;
+        RECT 201.900 53.295 202.150 53.625 ;
+        RECT 202.375 53.325 203.260 53.495 ;
+        RECT 201.220 52.645 201.730 52.735 ;
+        RECT 200.930 52.475 201.730 52.645 ;
+        RECT 200.180 51.865 200.760 52.195 ;
+        RECT 200.930 51.695 201.100 52.475 ;
+        RECT 201.560 52.405 201.730 52.475 ;
+        RECT 201.270 52.225 201.440 52.255 ;
+        RECT 201.900 52.225 202.070 53.295 ;
+        RECT 202.240 52.405 202.430 53.125 ;
+        RECT 202.600 52.735 202.920 53.065 ;
+        RECT 201.270 51.925 202.070 52.225 ;
+        RECT 202.600 52.195 202.790 52.735 ;
+        RECT 199.840 51.525 200.170 51.695 ;
+        RECT 200.350 51.525 201.100 51.695 ;
+        RECT 201.350 51.245 201.720 51.745 ;
+        RECT 201.900 51.695 202.070 51.925 ;
+        RECT 202.240 51.865 202.790 52.195 ;
+        RECT 203.090 52.405 203.260 53.325 ;
+        RECT 203.440 53.295 203.655 53.795 ;
+        RECT 204.120 52.990 204.290 53.615 ;
+        RECT 204.575 53.015 204.755 53.795 ;
+        RECT 203.430 52.830 204.290 52.990 ;
+        RECT 203.430 52.660 204.540 52.830 ;
+        RECT 204.370 52.405 204.540 52.660 ;
+        RECT 204.935 52.795 205.270 53.555 ;
+        RECT 205.450 52.965 205.620 53.795 ;
+        RECT 205.790 52.795 206.120 53.555 ;
+        RECT 206.290 52.965 206.460 53.795 ;
+        RECT 204.935 52.625 206.605 52.795 ;
+        RECT 206.775 52.705 210.285 53.795 ;
+        RECT 203.090 52.235 204.180 52.405 ;
+        RECT 204.370 52.235 206.190 52.405 ;
+        RECT 203.090 51.695 203.260 52.235 ;
+        RECT 204.370 52.065 204.540 52.235 ;
+        RECT 204.040 51.895 204.540 52.065 ;
+        RECT 206.360 52.060 206.605 52.625 ;
+        RECT 201.900 51.525 202.360 51.695 ;
+        RECT 202.590 51.525 203.260 51.695 ;
+        RECT 203.575 51.245 203.745 51.775 ;
+        RECT 204.040 51.455 204.400 51.895 ;
+        RECT 204.935 51.890 206.605 52.060 ;
+        RECT 206.775 52.015 208.425 52.535 ;
+        RECT 208.595 52.185 210.285 52.705 ;
+        RECT 204.575 51.245 204.745 51.725 ;
+        RECT 204.935 51.465 205.270 51.890 ;
+        RECT 205.445 51.245 205.615 51.720 ;
+        RECT 205.790 51.465 206.125 51.890 ;
+        RECT 206.295 51.245 206.465 51.720 ;
+        RECT 206.775 51.245 210.285 52.015 ;
+        RECT 210.515 51.925 210.685 52.775 ;
+        RECT 210.915 52.630 211.205 53.795 ;
+        RECT 212.345 53.115 212.595 53.625 ;
+        RECT 212.765 53.285 213.015 53.795 ;
+        RECT 213.185 53.115 213.435 53.625 ;
+        RECT 213.605 53.285 213.855 53.795 ;
+        RECT 214.025 53.455 215.115 53.625 ;
+        RECT 214.025 53.115 214.275 53.455 ;
+        RECT 214.865 53.295 215.115 53.455 ;
+        RECT 215.385 53.295 215.635 53.795 ;
+        RECT 215.805 53.455 216.895 53.625 ;
+        RECT 215.805 53.295 216.055 53.455 ;
+        RECT 212.345 52.945 214.275 53.115 ;
+        RECT 212.295 52.605 213.895 52.775 ;
+        RECT 214.065 52.615 214.275 52.945 ;
+        RECT 214.445 53.125 214.695 53.285 ;
+        RECT 216.225 53.125 216.475 53.285 ;
+        RECT 212.295 52.235 212.785 52.605 ;
+        RECT 213.015 52.235 213.555 52.435 ;
+        RECT 213.725 52.405 213.895 52.605 ;
+        RECT 214.445 52.575 214.830 53.125 ;
+        RECT 215.345 52.945 216.475 53.125 ;
+        RECT 216.645 52.945 216.895 53.455 ;
+        RECT 217.065 52.955 217.315 53.795 ;
+        RECT 217.485 53.115 217.735 53.625 ;
+        RECT 217.905 53.325 218.155 53.795 ;
+        RECT 218.325 53.115 218.575 53.625 ;
+        RECT 217.485 52.945 218.575 53.115 ;
+        RECT 218.745 52.985 218.995 53.795 ;
+        RECT 215.345 52.825 215.515 52.945 ;
+        RECT 215.165 52.655 215.515 52.825 ;
+        RECT 218.325 52.815 218.575 52.945 ;
+        RECT 213.725 52.235 214.105 52.405 ;
+        RECT 214.445 52.065 214.655 52.575 ;
+        RECT 215.165 52.405 215.355 52.655 ;
+        RECT 215.685 52.605 217.175 52.775 ;
+        RECT 215.685 52.485 215.855 52.605 ;
+        RECT 214.825 52.235 215.355 52.405 ;
+        RECT 215.525 52.235 215.855 52.485 ;
+        RECT 216.025 52.235 216.645 52.435 ;
+        RECT 216.815 52.235 217.175 52.605 ;
+        RECT 217.345 52.405 217.670 52.775 ;
+        RECT 218.325 52.575 219.130 52.815 ;
+        RECT 219.655 52.705 223.165 53.795 ;
+        RECT 217.345 52.235 218.650 52.405 ;
+        RECT 215.165 52.065 215.355 52.235 ;
+        RECT 218.820 52.065 219.130 52.575 ;
+        RECT 210.915 51.245 211.205 51.970 ;
+        RECT 212.385 51.245 212.555 52.055 ;
+        RECT 212.725 51.635 212.975 52.065 ;
+        RECT 213.145 51.895 214.735 52.065 ;
+        RECT 213.145 51.805 213.480 51.895 ;
+        RECT 212.725 51.415 213.895 51.635 ;
+        RECT 214.065 51.245 214.235 51.715 ;
+        RECT 214.405 51.415 214.735 51.895 ;
+        RECT 215.165 51.885 216.935 52.065 ;
+        RECT 214.905 51.245 215.595 51.715 ;
+        RECT 215.765 51.415 216.095 51.885 ;
+        RECT 216.265 51.245 216.435 51.715 ;
+        RECT 216.605 51.415 216.935 51.885 ;
+        RECT 217.105 51.245 217.275 52.055 ;
+        RECT 217.445 51.885 219.130 52.065 ;
+        RECT 219.655 52.015 221.305 52.535 ;
+        RECT 221.475 52.185 223.165 52.705 ;
+        RECT 223.340 52.825 223.615 53.625 ;
+        RECT 223.785 52.995 224.115 53.795 ;
+        RECT 224.285 52.825 224.455 53.625 ;
+        RECT 224.625 52.995 224.875 53.795 ;
+        RECT 225.045 53.455 227.140 53.625 ;
+        RECT 225.045 52.825 225.375 53.455 ;
+        RECT 223.340 52.615 225.375 52.825 ;
+        RECT 225.545 52.905 225.715 53.285 ;
+        RECT 225.885 53.095 226.215 53.455 ;
+        RECT 226.385 52.905 226.555 53.285 ;
+        RECT 226.725 53.075 227.140 53.455 ;
+        RECT 225.545 52.605 227.305 52.905 ;
+        RECT 227.475 52.705 230.985 53.795 ;
+        RECT 223.390 52.235 225.050 52.435 ;
+        RECT 225.370 52.235 226.735 52.435 ;
+        RECT 226.905 52.065 227.305 52.605 ;
+        RECT 217.445 51.435 217.775 51.885 ;
+        RECT 217.945 51.245 218.115 51.715 ;
+        RECT 218.285 51.435 218.615 51.885 ;
+        RECT 218.785 51.245 218.955 51.715 ;
+        RECT 219.655 51.245 223.165 52.015 ;
+        RECT 223.340 51.245 223.615 52.065 ;
+        RECT 223.785 51.885 227.305 52.065 ;
+        RECT 227.475 52.015 229.125 52.535 ;
+        RECT 229.295 52.185 230.985 52.705 ;
+        RECT 231.185 52.500 231.435 53.495 ;
+        RECT 231.615 52.910 231.795 53.625 ;
+        RECT 231.965 53.095 232.415 53.795 ;
+        RECT 232.590 52.910 232.770 53.625 ;
+        RECT 232.980 53.095 233.310 53.795 ;
+        RECT 233.520 52.920 233.710 53.625 ;
+        RECT 233.880 53.095 234.210 53.795 ;
+        RECT 234.380 52.925 234.570 53.625 ;
+        RECT 234.740 53.095 235.070 53.795 ;
+        RECT 234.380 52.920 235.125 52.925 ;
+        RECT 231.615 52.740 233.350 52.910 ;
+        RECT 233.140 52.515 233.350 52.740 ;
+        RECT 233.520 52.695 235.125 52.920 ;
+        RECT 235.295 52.705 238.805 53.795 ;
+        RECT 231.185 52.155 231.945 52.500 ;
+        RECT 223.785 51.415 224.115 51.885 ;
+        RECT 224.285 51.245 224.455 51.715 ;
+        RECT 224.625 51.415 224.955 51.885 ;
+        RECT 225.125 51.245 225.295 51.715 ;
+        RECT 225.465 51.415 225.795 51.885 ;
+        RECT 225.965 51.245 226.135 51.715 ;
+        RECT 226.305 51.415 226.635 51.885 ;
+        RECT 226.805 51.245 227.090 51.715 ;
+        RECT 227.475 51.245 230.985 52.015 ;
+        RECT 231.535 51.725 231.870 51.965 ;
+        RECT 232.135 51.905 232.425 52.500 ;
+        RECT 232.595 52.155 232.970 52.485 ;
+        RECT 233.140 52.180 234.675 52.515 ;
+        RECT 233.140 51.965 233.350 52.180 ;
+        RECT 234.845 52.005 235.125 52.695 ;
+        RECT 232.605 51.775 233.350 51.965 ;
+        RECT 233.520 51.775 235.125 52.005 ;
+        RECT 235.295 52.015 236.945 52.535 ;
+        RECT 237.115 52.185 238.805 52.705 ;
+        RECT 238.975 52.630 239.265 53.795 ;
+        RECT 239.525 52.865 239.695 53.625 ;
+        RECT 239.910 53.035 240.240 53.795 ;
+        RECT 239.525 52.695 240.240 52.865 ;
+        RECT 240.410 52.720 240.665 53.625 ;
+        RECT 239.435 52.145 239.790 52.515 ;
+        RECT 240.070 52.485 240.240 52.695 ;
+        RECT 240.070 52.155 240.325 52.485 ;
+        RECT 232.605 51.725 232.795 51.775 ;
+        RECT 231.535 51.535 232.795 51.725 ;
+        RECT 233.520 51.675 233.710 51.775 ;
+        RECT 231.535 51.415 231.870 51.535 ;
+        RECT 232.975 51.245 233.305 51.605 ;
+        RECT 233.880 51.245 234.210 51.605 ;
+        RECT 234.380 51.415 234.570 51.775 ;
+        RECT 234.740 51.245 235.070 51.605 ;
+        RECT 235.295 51.245 238.805 52.015 ;
+        RECT 238.975 51.245 239.265 51.970 ;
+        RECT 240.070 51.965 240.240 52.155 ;
+        RECT 240.495 51.990 240.665 52.720 ;
+        RECT 240.840 52.645 241.100 53.795 ;
+        RECT 241.275 52.705 244.785 53.795 ;
+        RECT 245.005 53.115 245.255 53.625 ;
+        RECT 245.425 53.285 245.675 53.795 ;
+        RECT 245.845 53.115 246.095 53.625 ;
+        RECT 246.265 53.285 246.515 53.795 ;
+        RECT 246.685 53.455 247.775 53.625 ;
+        RECT 246.685 53.115 246.935 53.455 ;
+        RECT 247.525 53.295 247.775 53.455 ;
+        RECT 248.045 53.295 248.295 53.795 ;
+        RECT 248.465 53.455 249.555 53.625 ;
+        RECT 248.465 53.295 248.715 53.455 ;
+        RECT 245.005 52.945 246.935 53.115 ;
+        RECT 239.525 51.795 240.240 51.965 ;
+        RECT 239.525 51.415 239.695 51.795 ;
+        RECT 239.910 51.245 240.240 51.625 ;
+        RECT 240.410 51.415 240.665 51.990 ;
+        RECT 240.840 51.245 241.100 52.085 ;
+        RECT 241.275 52.015 242.925 52.535 ;
+        RECT 243.095 52.185 244.785 52.705 ;
+        RECT 244.955 52.605 246.555 52.775 ;
+        RECT 246.725 52.615 246.935 52.945 ;
+        RECT 247.105 53.125 247.355 53.285 ;
+        RECT 248.885 53.125 249.135 53.285 ;
+        RECT 244.955 52.235 245.445 52.605 ;
+        RECT 245.675 52.235 246.215 52.435 ;
+        RECT 246.385 52.405 246.555 52.605 ;
+        RECT 247.105 52.575 247.490 53.125 ;
+        RECT 248.005 52.945 249.135 53.125 ;
+        RECT 249.305 52.945 249.555 53.455 ;
+        RECT 249.725 52.955 249.975 53.795 ;
+        RECT 250.145 53.115 250.395 53.625 ;
+        RECT 250.565 53.325 250.815 53.795 ;
+        RECT 250.985 53.115 251.235 53.625 ;
+        RECT 250.145 52.945 251.235 53.115 ;
+        RECT 251.405 52.985 251.655 53.795 ;
+        RECT 248.005 52.825 248.175 52.945 ;
+        RECT 247.825 52.655 248.175 52.825 ;
+        RECT 250.985 52.815 251.235 52.945 ;
+        RECT 246.385 52.235 246.765 52.405 ;
+        RECT 247.105 52.065 247.315 52.575 ;
+        RECT 247.825 52.405 248.015 52.655 ;
+        RECT 248.345 52.605 249.835 52.775 ;
+        RECT 248.345 52.485 248.515 52.605 ;
+        RECT 247.485 52.235 248.015 52.405 ;
+        RECT 248.185 52.235 248.515 52.485 ;
+        RECT 248.685 52.235 249.305 52.435 ;
+        RECT 249.475 52.235 249.835 52.605 ;
+        RECT 250.005 52.405 250.330 52.775 ;
+        RECT 250.985 52.575 251.790 52.815 ;
+        RECT 252.315 52.705 255.825 53.795 ;
+        RECT 256.045 53.115 256.295 53.625 ;
+        RECT 256.465 53.285 256.715 53.795 ;
+        RECT 256.885 53.115 257.135 53.625 ;
+        RECT 257.305 53.285 257.555 53.795 ;
+        RECT 257.725 53.455 258.815 53.625 ;
+        RECT 257.725 53.115 257.975 53.455 ;
+        RECT 258.565 53.295 258.815 53.455 ;
+        RECT 259.085 53.295 259.335 53.795 ;
+        RECT 259.505 53.455 260.595 53.625 ;
+        RECT 259.505 53.295 259.755 53.455 ;
+        RECT 256.045 52.945 257.975 53.115 ;
+        RECT 250.005 52.235 251.310 52.405 ;
+        RECT 247.825 52.065 248.015 52.235 ;
+        RECT 251.480 52.065 251.790 52.575 ;
+        RECT 241.275 51.245 244.785 52.015 ;
+        RECT 245.045 51.245 245.215 52.055 ;
+        RECT 245.385 51.635 245.635 52.065 ;
+        RECT 245.805 51.895 247.395 52.065 ;
+        RECT 245.805 51.805 246.140 51.895 ;
+        RECT 245.385 51.415 246.555 51.635 ;
+        RECT 246.725 51.245 246.895 51.715 ;
+        RECT 247.065 51.415 247.395 51.895 ;
+        RECT 247.825 51.885 249.595 52.065 ;
+        RECT 247.565 51.245 248.255 51.715 ;
+        RECT 248.425 51.415 248.755 51.885 ;
+        RECT 248.925 51.245 249.095 51.715 ;
+        RECT 249.265 51.415 249.595 51.885 ;
+        RECT 249.765 51.245 249.935 52.055 ;
+        RECT 250.105 51.885 251.790 52.065 ;
+        RECT 252.315 52.015 253.965 52.535 ;
+        RECT 254.135 52.185 255.825 52.705 ;
+        RECT 255.995 52.605 257.595 52.775 ;
+        RECT 257.765 52.615 257.975 52.945 ;
+        RECT 258.145 53.125 258.395 53.285 ;
+        RECT 259.925 53.125 260.175 53.285 ;
+        RECT 255.995 52.235 256.485 52.605 ;
+        RECT 256.715 52.235 257.255 52.435 ;
+        RECT 257.425 52.405 257.595 52.605 ;
+        RECT 258.145 52.575 258.530 53.125 ;
+        RECT 259.045 52.945 260.175 53.125 ;
+        RECT 260.345 52.945 260.595 53.455 ;
+        RECT 260.765 52.955 261.015 53.795 ;
+        RECT 261.185 53.115 261.435 53.625 ;
+        RECT 261.605 53.325 261.855 53.795 ;
+        RECT 262.025 53.115 262.275 53.625 ;
+        RECT 261.185 52.945 262.275 53.115 ;
+        RECT 262.445 52.985 262.695 53.795 ;
+        RECT 259.045 52.825 259.215 52.945 ;
+        RECT 258.865 52.655 259.215 52.825 ;
+        RECT 262.025 52.815 262.275 52.945 ;
+        RECT 257.425 52.235 257.805 52.405 ;
+        RECT 258.145 52.065 258.355 52.575 ;
+        RECT 258.865 52.405 259.055 52.655 ;
+        RECT 259.385 52.605 260.875 52.775 ;
+        RECT 259.385 52.485 259.555 52.605 ;
+        RECT 258.525 52.235 259.055 52.405 ;
+        RECT 259.225 52.235 259.555 52.485 ;
+        RECT 259.725 52.235 260.345 52.435 ;
+        RECT 260.515 52.235 260.875 52.605 ;
+        RECT 261.045 52.405 261.370 52.775 ;
+        RECT 262.025 52.575 262.830 52.815 ;
+        RECT 263.355 52.705 266.865 53.795 ;
+        RECT 261.045 52.235 262.350 52.405 ;
+        RECT 258.865 52.065 259.055 52.235 ;
+        RECT 262.520 52.065 262.830 52.575 ;
+        RECT 250.105 51.435 250.435 51.885 ;
+        RECT 250.605 51.245 250.775 51.715 ;
+        RECT 250.945 51.435 251.275 51.885 ;
+        RECT 251.445 51.245 251.615 51.715 ;
+        RECT 252.315 51.245 255.825 52.015 ;
+        RECT 256.085 51.245 256.255 52.055 ;
+        RECT 256.425 51.635 256.675 52.065 ;
+        RECT 256.845 51.895 258.435 52.065 ;
+        RECT 256.845 51.805 257.180 51.895 ;
+        RECT 256.425 51.415 257.595 51.635 ;
+        RECT 257.765 51.245 257.935 51.715 ;
+        RECT 258.105 51.415 258.435 51.895 ;
+        RECT 258.865 51.885 260.635 52.065 ;
+        RECT 258.605 51.245 259.295 51.715 ;
+        RECT 259.465 51.415 259.795 51.885 ;
+        RECT 259.965 51.245 260.135 51.715 ;
+        RECT 260.305 51.415 260.635 51.885 ;
+        RECT 260.805 51.245 260.975 52.055 ;
+        RECT 261.145 51.885 262.830 52.065 ;
+        RECT 263.355 52.015 265.005 52.535 ;
+        RECT 265.175 52.185 266.865 52.705 ;
+        RECT 267.035 52.630 267.325 53.795 ;
+        RECT 267.495 52.705 271.005 53.795 ;
+        RECT 271.225 53.115 271.475 53.625 ;
+        RECT 271.645 53.285 271.895 53.795 ;
+        RECT 272.065 53.115 272.315 53.625 ;
+        RECT 272.485 53.285 272.735 53.795 ;
+        RECT 272.905 53.455 273.995 53.625 ;
+        RECT 272.905 53.115 273.155 53.455 ;
+        RECT 273.745 53.295 273.995 53.455 ;
+        RECT 274.265 53.295 274.515 53.795 ;
+        RECT 274.685 53.455 275.775 53.625 ;
+        RECT 274.685 53.295 274.935 53.455 ;
+        RECT 271.225 52.945 273.155 53.115 ;
+        RECT 267.495 52.015 269.145 52.535 ;
+        RECT 269.315 52.185 271.005 52.705 ;
+        RECT 271.175 52.605 272.775 52.775 ;
+        RECT 272.945 52.615 273.155 52.945 ;
+        RECT 273.325 53.125 273.575 53.285 ;
+        RECT 275.105 53.125 275.355 53.285 ;
+        RECT 271.175 52.235 271.665 52.605 ;
+        RECT 272.605 52.435 272.775 52.605 ;
+        RECT 273.325 52.575 273.710 53.125 ;
+        RECT 274.225 52.945 275.355 53.125 ;
+        RECT 275.525 52.945 275.775 53.455 ;
+        RECT 275.945 52.955 276.195 53.795 ;
+        RECT 276.365 53.115 276.615 53.625 ;
+        RECT 276.785 53.325 277.035 53.795 ;
+        RECT 277.205 53.115 277.455 53.625 ;
+        RECT 276.365 52.945 277.455 53.115 ;
+        RECT 277.625 52.985 277.875 53.795 ;
+        RECT 274.225 52.825 274.395 52.945 ;
+        RECT 274.045 52.655 274.395 52.825 ;
+        RECT 277.205 52.815 277.455 52.945 ;
+        RECT 271.895 52.235 272.435 52.435 ;
+        RECT 272.605 52.405 272.785 52.435 ;
+        RECT 272.605 52.235 272.985 52.405 ;
+        RECT 273.325 52.065 273.535 52.575 ;
+        RECT 274.045 52.405 274.235 52.655 ;
+        RECT 274.565 52.605 276.055 52.775 ;
+        RECT 274.565 52.485 274.735 52.605 ;
+        RECT 273.705 52.235 274.235 52.405 ;
+        RECT 274.405 52.235 274.735 52.485 ;
+        RECT 274.905 52.235 275.525 52.435 ;
+        RECT 275.695 52.235 276.055 52.605 ;
+        RECT 276.225 52.405 276.550 52.775 ;
+        RECT 277.205 52.575 278.010 52.815 ;
+        RECT 278.535 52.705 282.045 53.795 ;
+        RECT 282.265 53.115 282.515 53.625 ;
+        RECT 282.685 53.285 282.935 53.795 ;
+        RECT 283.105 53.115 283.355 53.625 ;
+        RECT 283.525 53.285 283.775 53.795 ;
+        RECT 283.945 53.455 285.035 53.625 ;
+        RECT 283.945 53.115 284.195 53.455 ;
+        RECT 284.785 53.295 285.035 53.455 ;
+        RECT 285.305 53.295 285.555 53.795 ;
+        RECT 285.725 53.455 286.815 53.625 ;
+        RECT 285.725 53.295 285.975 53.455 ;
+        RECT 282.265 52.945 284.195 53.115 ;
+        RECT 276.225 52.235 277.530 52.405 ;
+        RECT 274.045 52.065 274.235 52.235 ;
+        RECT 277.700 52.065 278.010 52.575 ;
+        RECT 261.145 51.435 261.475 51.885 ;
+        RECT 261.645 51.245 261.815 51.715 ;
+        RECT 261.985 51.435 262.315 51.885 ;
+        RECT 262.485 51.245 262.655 51.715 ;
+        RECT 263.355 51.245 266.865 52.015 ;
+        RECT 267.035 51.245 267.325 51.970 ;
+        RECT 267.495 51.245 271.005 52.015 ;
+        RECT 271.265 51.245 271.435 52.055 ;
+        RECT 271.605 51.635 271.855 52.065 ;
+        RECT 272.025 51.895 273.615 52.065 ;
+        RECT 272.025 51.805 272.360 51.895 ;
+        RECT 271.605 51.415 272.775 51.635 ;
+        RECT 272.945 51.245 273.115 51.715 ;
+        RECT 273.285 51.415 273.615 51.895 ;
+        RECT 274.045 51.885 275.815 52.065 ;
+        RECT 273.785 51.245 274.475 51.715 ;
+        RECT 274.645 51.415 274.975 51.885 ;
+        RECT 275.145 51.245 275.315 51.715 ;
+        RECT 275.485 51.415 275.815 51.885 ;
+        RECT 275.985 51.245 276.155 52.055 ;
+        RECT 276.325 51.885 278.010 52.065 ;
+        RECT 278.535 52.015 280.185 52.535 ;
+        RECT 280.355 52.185 282.045 52.705 ;
+        RECT 282.215 52.605 283.815 52.775 ;
+        RECT 283.985 52.615 284.195 52.945 ;
+        RECT 284.365 53.125 284.615 53.285 ;
+        RECT 286.145 53.125 286.395 53.285 ;
+        RECT 282.215 52.235 282.705 52.605 ;
+        RECT 283.645 52.435 283.815 52.605 ;
+        RECT 284.365 52.575 284.750 53.125 ;
+        RECT 285.265 52.945 286.395 53.125 ;
+        RECT 286.565 52.945 286.815 53.455 ;
+        RECT 286.985 52.955 287.235 53.795 ;
+        RECT 287.405 53.115 287.655 53.625 ;
+        RECT 287.825 53.325 288.075 53.795 ;
+        RECT 288.245 53.115 288.495 53.625 ;
+        RECT 287.405 52.945 288.495 53.115 ;
+        RECT 288.665 52.985 288.915 53.795 ;
+        RECT 285.265 52.825 285.435 52.945 ;
+        RECT 285.085 52.655 285.435 52.825 ;
+        RECT 288.245 52.815 288.495 52.945 ;
+        RECT 282.935 52.235 283.475 52.435 ;
+        RECT 283.645 52.405 283.825 52.435 ;
+        RECT 283.645 52.235 284.025 52.405 ;
+        RECT 284.365 52.065 284.575 52.575 ;
+        RECT 285.085 52.405 285.275 52.655 ;
+        RECT 285.605 52.605 287.095 52.775 ;
+        RECT 285.605 52.485 285.775 52.605 ;
+        RECT 284.745 52.235 285.275 52.405 ;
+        RECT 285.445 52.235 285.775 52.485 ;
+        RECT 285.945 52.235 286.565 52.435 ;
+        RECT 286.735 52.235 287.095 52.605 ;
+        RECT 287.265 52.405 287.590 52.775 ;
+        RECT 288.245 52.575 289.050 52.815 ;
+        RECT 289.575 52.705 294.920 53.795 ;
+        RECT 287.265 52.235 288.570 52.405 ;
+        RECT 285.085 52.065 285.275 52.235 ;
+        RECT 288.740 52.065 289.050 52.575 ;
+        RECT 276.325 51.435 276.655 51.885 ;
+        RECT 276.825 51.245 276.995 51.715 ;
+        RECT 277.165 51.435 277.495 51.885 ;
+        RECT 277.665 51.245 277.835 51.715 ;
+        RECT 278.535 51.245 282.045 52.015 ;
+        RECT 282.305 51.245 282.475 52.055 ;
+        RECT 282.645 51.635 282.895 52.065 ;
+        RECT 283.065 51.895 284.655 52.065 ;
+        RECT 283.065 51.805 283.400 51.895 ;
+        RECT 282.645 51.415 283.815 51.635 ;
+        RECT 283.985 51.245 284.155 51.715 ;
+        RECT 284.325 51.415 284.655 51.895 ;
+        RECT 285.085 51.885 286.855 52.065 ;
+        RECT 284.825 51.245 285.515 51.715 ;
+        RECT 285.685 51.415 286.015 51.885 ;
+        RECT 286.185 51.245 286.355 51.715 ;
+        RECT 286.525 51.415 286.855 51.885 ;
+        RECT 287.025 51.245 287.195 52.055 ;
+        RECT 287.365 51.885 289.050 52.065 ;
+        RECT 289.575 52.015 292.155 52.535 ;
+        RECT 292.325 52.185 294.920 52.705 ;
+        RECT 295.095 52.630 295.385 53.795 ;
+        RECT 295.560 52.825 295.835 53.625 ;
+        RECT 296.005 52.995 296.335 53.795 ;
+        RECT 296.505 52.825 296.675 53.625 ;
+        RECT 296.845 52.995 297.095 53.795 ;
+        RECT 297.265 53.455 299.360 53.625 ;
+        RECT 297.265 52.825 297.595 53.455 ;
+        RECT 295.560 52.615 297.595 52.825 ;
+        RECT 297.765 52.905 297.935 53.285 ;
+        RECT 298.105 53.095 298.435 53.455 ;
+        RECT 298.605 52.905 298.775 53.285 ;
+        RECT 298.945 53.075 299.360 53.455 ;
+        RECT 297.765 52.605 299.525 52.905 ;
+        RECT 299.695 52.705 305.040 53.795 ;
+        RECT 306.185 53.115 306.435 53.625 ;
+        RECT 306.605 53.285 306.855 53.795 ;
+        RECT 307.025 53.115 307.275 53.625 ;
+        RECT 307.445 53.285 307.695 53.795 ;
+        RECT 307.865 53.455 308.955 53.625 ;
+        RECT 307.865 53.115 308.115 53.455 ;
+        RECT 308.705 53.295 308.955 53.455 ;
+        RECT 309.225 53.295 309.475 53.795 ;
+        RECT 309.645 53.455 310.735 53.625 ;
+        RECT 309.645 53.295 309.895 53.455 ;
+        RECT 306.185 52.945 308.115 53.115 ;
+        RECT 295.610 52.235 297.270 52.435 ;
+        RECT 297.590 52.235 298.955 52.435 ;
+        RECT 299.125 52.065 299.525 52.605 ;
+        RECT 287.365 51.435 287.695 51.885 ;
+        RECT 287.865 51.245 288.035 51.715 ;
+        RECT 288.205 51.435 288.535 51.885 ;
+        RECT 288.705 51.245 288.875 51.715 ;
+        RECT 289.575 51.245 294.920 52.015 ;
+        RECT 295.095 51.245 295.385 51.970 ;
+        RECT 295.560 51.245 295.835 52.065 ;
+        RECT 296.005 51.885 299.525 52.065 ;
+        RECT 299.695 52.015 302.275 52.535 ;
+        RECT 302.445 52.185 305.040 52.705 ;
+        RECT 306.135 52.605 307.735 52.775 ;
+        RECT 307.905 52.615 308.115 52.945 ;
+        RECT 308.285 53.125 308.535 53.285 ;
+        RECT 310.065 53.125 310.315 53.285 ;
+        RECT 306.135 52.235 306.625 52.605 ;
+        RECT 307.565 52.435 307.735 52.605 ;
+        RECT 308.285 52.575 308.670 53.125 ;
+        RECT 309.185 52.945 310.315 53.125 ;
+        RECT 310.485 52.945 310.735 53.455 ;
+        RECT 310.905 52.955 311.155 53.795 ;
+        RECT 311.325 53.115 311.575 53.625 ;
+        RECT 311.745 53.325 311.995 53.795 ;
+        RECT 312.165 53.115 312.415 53.625 ;
+        RECT 311.325 52.945 312.415 53.115 ;
+        RECT 312.585 52.985 312.835 53.795 ;
+        RECT 309.185 52.825 309.355 52.945 ;
+        RECT 309.005 52.655 309.355 52.825 ;
+        RECT 312.165 52.815 312.415 52.945 ;
+        RECT 306.855 52.235 307.395 52.435 ;
+        RECT 307.565 52.405 307.745 52.435 ;
+        RECT 307.565 52.235 307.945 52.405 ;
+        RECT 308.285 52.065 308.495 52.575 ;
+        RECT 309.005 52.405 309.195 52.655 ;
+        RECT 309.525 52.605 311.015 52.775 ;
+        RECT 309.525 52.485 309.695 52.605 ;
+        RECT 308.665 52.235 309.195 52.405 ;
+        RECT 309.365 52.235 309.695 52.485 ;
+        RECT 309.865 52.235 310.485 52.435 ;
+        RECT 310.655 52.235 311.015 52.605 ;
+        RECT 311.185 52.405 311.510 52.775 ;
+        RECT 312.165 52.575 312.970 52.815 ;
+        RECT 313.495 52.705 317.005 53.795 ;
+        RECT 311.185 52.235 312.490 52.405 ;
+        RECT 309.005 52.065 309.195 52.235 ;
+        RECT 312.660 52.065 312.970 52.575 ;
+        RECT 296.005 51.415 296.335 51.885 ;
+        RECT 296.505 51.245 296.675 51.715 ;
+        RECT 296.845 51.415 297.175 51.885 ;
+        RECT 297.345 51.245 297.515 51.715 ;
+        RECT 297.685 51.415 298.015 51.885 ;
+        RECT 298.185 51.245 298.355 51.715 ;
+        RECT 298.525 51.415 298.855 51.885 ;
+        RECT 299.025 51.245 299.310 51.715 ;
+        RECT 299.695 51.245 305.040 52.015 ;
+        RECT 306.225 51.245 306.395 52.055 ;
+        RECT 306.565 51.635 306.815 52.065 ;
+        RECT 306.985 51.895 308.575 52.065 ;
+        RECT 306.985 51.805 307.320 51.895 ;
+        RECT 306.565 51.415 307.735 51.635 ;
+        RECT 307.905 51.245 308.075 51.715 ;
+        RECT 308.245 51.415 308.575 51.895 ;
+        RECT 309.005 51.885 310.775 52.065 ;
+        RECT 308.745 51.245 309.435 51.715 ;
+        RECT 309.605 51.415 309.935 51.885 ;
+        RECT 310.105 51.245 310.275 51.715 ;
+        RECT 310.445 51.415 310.775 51.885 ;
+        RECT 310.945 51.245 311.115 52.055 ;
+        RECT 311.285 51.885 312.970 52.065 ;
+        RECT 313.495 52.015 315.145 52.535 ;
+        RECT 315.315 52.185 317.005 52.705 ;
+        RECT 317.265 52.865 317.435 53.625 ;
+        RECT 317.650 53.035 317.980 53.795 ;
+        RECT 317.265 52.695 317.980 52.865 ;
+        RECT 318.150 52.720 318.405 53.625 ;
+        RECT 317.175 52.145 317.530 52.515 ;
+        RECT 317.810 52.485 317.980 52.695 ;
+        RECT 317.810 52.155 318.065 52.485 ;
+        RECT 311.285 51.435 311.615 51.885 ;
+        RECT 311.785 51.245 311.955 51.715 ;
+        RECT 312.125 51.435 312.455 51.885 ;
+        RECT 312.625 51.245 312.795 51.715 ;
+        RECT 313.495 51.245 317.005 52.015 ;
+        RECT 317.810 51.965 317.980 52.155 ;
+        RECT 318.235 51.990 318.405 52.720 ;
+        RECT 318.580 52.645 318.840 53.795 ;
+        RECT 319.015 52.705 322.525 53.795 ;
+        RECT 317.265 51.795 317.980 51.965 ;
+        RECT 317.265 51.415 317.435 51.795 ;
+        RECT 317.650 51.245 317.980 51.625 ;
+        RECT 318.150 51.415 318.405 51.990 ;
+        RECT 318.580 51.245 318.840 52.085 ;
+        RECT 319.015 52.015 320.665 52.535 ;
+        RECT 320.835 52.185 322.525 52.705 ;
+        RECT 323.155 52.630 323.445 53.795 ;
+        RECT 323.705 52.865 323.875 53.625 ;
+        RECT 324.090 53.035 324.420 53.795 ;
+        RECT 323.705 52.695 324.420 52.865 ;
+        RECT 324.590 52.720 324.845 53.625 ;
+        RECT 323.615 52.145 323.970 52.515 ;
+        RECT 324.250 52.485 324.420 52.695 ;
+        RECT 324.250 52.155 324.505 52.485 ;
+        RECT 319.015 51.245 322.525 52.015 ;
+        RECT 323.155 51.245 323.445 51.970 ;
+        RECT 324.250 51.965 324.420 52.155 ;
+        RECT 324.675 51.990 324.845 52.720 ;
+        RECT 325.020 52.645 325.280 53.795 ;
+        RECT 325.455 52.705 328.965 53.795 ;
+        RECT 329.185 53.115 329.435 53.625 ;
+        RECT 329.605 53.285 329.855 53.795 ;
+        RECT 330.025 53.115 330.275 53.625 ;
+        RECT 330.445 53.285 330.695 53.795 ;
+        RECT 330.865 53.455 331.955 53.625 ;
+        RECT 330.865 53.115 331.115 53.455 ;
+        RECT 331.705 53.295 331.955 53.455 ;
+        RECT 332.225 53.295 332.475 53.795 ;
+        RECT 332.645 53.455 333.735 53.625 ;
+        RECT 332.645 53.295 332.895 53.455 ;
+        RECT 329.185 52.945 331.115 53.115 ;
+        RECT 323.705 51.795 324.420 51.965 ;
+        RECT 323.705 51.415 323.875 51.795 ;
+        RECT 324.090 51.245 324.420 51.625 ;
+        RECT 324.590 51.415 324.845 51.990 ;
+        RECT 325.020 51.245 325.280 52.085 ;
+        RECT 325.455 52.015 327.105 52.535 ;
+        RECT 327.275 52.185 328.965 52.705 ;
+        RECT 329.135 52.605 330.735 52.775 ;
+        RECT 330.905 52.615 331.115 52.945 ;
+        RECT 331.285 53.125 331.535 53.285 ;
+        RECT 333.065 53.125 333.315 53.285 ;
+        RECT 329.135 52.235 329.625 52.605 ;
+        RECT 329.855 52.235 330.395 52.435 ;
+        RECT 330.565 52.405 330.735 52.605 ;
+        RECT 331.285 52.575 331.670 53.125 ;
+        RECT 332.185 52.945 333.315 53.125 ;
+        RECT 333.485 52.945 333.735 53.455 ;
+        RECT 333.905 52.955 334.155 53.795 ;
+        RECT 334.325 53.115 334.575 53.625 ;
+        RECT 334.745 53.325 334.995 53.795 ;
+        RECT 335.165 53.115 335.415 53.625 ;
+        RECT 334.325 52.945 335.415 53.115 ;
+        RECT 335.585 52.985 335.835 53.795 ;
+        RECT 332.185 52.825 332.355 52.945 ;
+        RECT 332.005 52.655 332.355 52.825 ;
+        RECT 335.165 52.815 335.415 52.945 ;
+        RECT 330.565 52.235 330.945 52.405 ;
+        RECT 331.285 52.065 331.495 52.575 ;
+        RECT 332.005 52.405 332.195 52.655 ;
+        RECT 332.525 52.605 334.015 52.775 ;
+        RECT 332.525 52.485 332.695 52.605 ;
+        RECT 331.665 52.235 332.195 52.405 ;
+        RECT 332.365 52.235 332.695 52.485 ;
+        RECT 332.865 52.235 333.485 52.435 ;
+        RECT 333.655 52.235 334.015 52.605 ;
+        RECT 334.185 52.405 334.510 52.775 ;
+        RECT 335.165 52.575 335.970 52.815 ;
+        RECT 336.495 52.705 340.005 53.795 ;
+        RECT 340.225 53.115 340.475 53.625 ;
+        RECT 340.645 53.285 340.895 53.795 ;
+        RECT 341.065 53.115 341.315 53.625 ;
+        RECT 341.485 53.285 341.735 53.795 ;
+        RECT 341.905 53.455 342.995 53.625 ;
+        RECT 341.905 53.115 342.155 53.455 ;
+        RECT 342.745 53.295 342.995 53.455 ;
+        RECT 343.265 53.295 343.515 53.795 ;
+        RECT 343.685 53.455 344.775 53.625 ;
+        RECT 343.685 53.295 343.935 53.455 ;
+        RECT 340.225 52.945 342.155 53.115 ;
+        RECT 334.185 52.235 335.490 52.405 ;
+        RECT 332.005 52.065 332.195 52.235 ;
+        RECT 335.660 52.065 335.970 52.575 ;
+        RECT 325.455 51.245 328.965 52.015 ;
+        RECT 329.225 51.245 329.395 52.055 ;
+        RECT 329.565 51.635 329.815 52.065 ;
+        RECT 329.985 51.895 331.575 52.065 ;
+        RECT 329.985 51.805 330.320 51.895 ;
+        RECT 329.565 51.415 330.735 51.635 ;
+        RECT 330.905 51.245 331.075 51.715 ;
+        RECT 331.245 51.415 331.575 51.895 ;
+        RECT 332.005 51.885 333.775 52.065 ;
+        RECT 331.745 51.245 332.435 51.715 ;
+        RECT 332.605 51.415 332.935 51.885 ;
+        RECT 333.105 51.245 333.275 51.715 ;
+        RECT 333.445 51.415 333.775 51.885 ;
+        RECT 333.945 51.245 334.115 52.055 ;
+        RECT 334.285 51.885 335.970 52.065 ;
+        RECT 336.495 52.015 338.145 52.535 ;
+        RECT 338.315 52.185 340.005 52.705 ;
+        RECT 340.175 52.605 341.775 52.775 ;
+        RECT 341.945 52.615 342.155 52.945 ;
+        RECT 342.325 53.125 342.575 53.285 ;
+        RECT 344.105 53.125 344.355 53.285 ;
+        RECT 340.175 52.235 340.665 52.605 ;
+        RECT 340.895 52.235 341.435 52.435 ;
+        RECT 341.605 52.405 341.775 52.605 ;
+        RECT 342.325 52.575 342.710 53.125 ;
+        RECT 343.225 52.945 344.355 53.125 ;
+        RECT 344.525 52.945 344.775 53.455 ;
+        RECT 344.945 52.955 345.195 53.795 ;
+        RECT 345.365 53.115 345.615 53.625 ;
+        RECT 345.785 53.325 346.035 53.795 ;
+        RECT 346.205 53.115 346.455 53.625 ;
+        RECT 345.365 52.945 346.455 53.115 ;
+        RECT 346.625 52.985 346.875 53.795 ;
+        RECT 343.225 52.825 343.395 52.945 ;
+        RECT 343.045 52.655 343.395 52.825 ;
+        RECT 346.205 52.815 346.455 52.945 ;
+        RECT 341.605 52.235 341.985 52.405 ;
+        RECT 342.325 52.065 342.535 52.575 ;
+        RECT 343.045 52.405 343.235 52.655 ;
+        RECT 343.565 52.605 345.055 52.775 ;
+        RECT 343.565 52.485 343.735 52.605 ;
+        RECT 342.705 52.235 343.235 52.405 ;
+        RECT 343.405 52.235 343.735 52.485 ;
+        RECT 343.905 52.235 344.525 52.435 ;
+        RECT 344.695 52.235 345.055 52.605 ;
+        RECT 345.225 52.405 345.550 52.775 ;
+        RECT 346.205 52.575 347.010 52.815 ;
+        RECT 347.535 52.705 351.045 53.795 ;
+        RECT 345.225 52.235 346.530 52.405 ;
+        RECT 343.045 52.065 343.235 52.235 ;
+        RECT 346.700 52.065 347.010 52.575 ;
+        RECT 334.285 51.435 334.615 51.885 ;
+        RECT 334.785 51.245 334.955 51.715 ;
+        RECT 335.125 51.435 335.455 51.885 ;
+        RECT 335.625 51.245 335.795 51.715 ;
+        RECT 336.495 51.245 340.005 52.015 ;
+        RECT 340.265 51.245 340.435 52.055 ;
+        RECT 340.605 51.635 340.855 52.065 ;
+        RECT 341.025 51.895 342.615 52.065 ;
+        RECT 341.025 51.805 341.360 51.895 ;
+        RECT 340.605 51.415 341.775 51.635 ;
+        RECT 341.945 51.245 342.115 51.715 ;
+        RECT 342.285 51.415 342.615 51.895 ;
+        RECT 343.045 51.885 344.815 52.065 ;
+        RECT 342.785 51.245 343.475 51.715 ;
+        RECT 343.645 51.415 343.975 51.885 ;
+        RECT 344.145 51.245 344.315 51.715 ;
+        RECT 344.485 51.415 344.815 51.885 ;
+        RECT 344.985 51.245 345.155 52.055 ;
+        RECT 345.325 51.885 347.010 52.065 ;
+        RECT 347.535 52.015 349.185 52.535 ;
+        RECT 349.355 52.185 351.045 52.705 ;
+        RECT 351.215 52.630 351.505 53.795 ;
+        RECT 351.725 53.115 351.975 53.625 ;
+        RECT 352.145 53.285 352.395 53.795 ;
+        RECT 352.565 53.115 352.815 53.625 ;
+        RECT 352.985 53.285 353.235 53.795 ;
+        RECT 353.405 53.455 354.495 53.625 ;
+        RECT 353.405 53.115 353.655 53.455 ;
+        RECT 354.245 53.295 354.495 53.455 ;
+        RECT 354.765 53.295 355.015 53.795 ;
+        RECT 355.185 53.455 356.275 53.625 ;
+        RECT 355.185 53.295 355.435 53.455 ;
+        RECT 351.725 52.945 353.655 53.115 ;
+        RECT 351.675 52.605 353.275 52.775 ;
+        RECT 353.445 52.615 353.655 52.945 ;
+        RECT 353.825 53.125 354.075 53.285 ;
+        RECT 355.605 53.125 355.855 53.285 ;
+        RECT 351.675 52.235 352.165 52.605 ;
+        RECT 352.395 52.235 352.935 52.435 ;
+        RECT 353.105 52.405 353.275 52.605 ;
+        RECT 353.825 52.575 354.210 53.125 ;
+        RECT 354.725 52.945 355.855 53.125 ;
+        RECT 356.025 52.945 356.275 53.455 ;
+        RECT 356.445 52.955 356.695 53.795 ;
+        RECT 356.865 53.115 357.115 53.625 ;
+        RECT 357.285 53.325 357.535 53.795 ;
+        RECT 357.705 53.115 357.955 53.625 ;
+        RECT 356.865 52.945 357.955 53.115 ;
+        RECT 358.125 52.985 358.375 53.795 ;
+        RECT 354.725 52.825 354.895 52.945 ;
+        RECT 354.545 52.655 354.895 52.825 ;
+        RECT 357.705 52.815 357.955 52.945 ;
+        RECT 353.105 52.235 353.485 52.405 ;
+        RECT 353.825 52.065 354.035 52.575 ;
+        RECT 354.545 52.405 354.735 52.655 ;
+        RECT 355.065 52.605 356.555 52.775 ;
+        RECT 355.065 52.485 355.235 52.605 ;
+        RECT 354.205 52.235 354.735 52.405 ;
+        RECT 354.905 52.235 355.235 52.485 ;
+        RECT 355.405 52.235 356.025 52.435 ;
+        RECT 356.195 52.235 356.555 52.605 ;
+        RECT 356.725 52.405 357.050 52.775 ;
+        RECT 357.705 52.575 358.510 52.815 ;
+        RECT 359.035 52.705 362.545 53.795 ;
+        RECT 356.725 52.235 358.030 52.405 ;
+        RECT 354.545 52.065 354.735 52.235 ;
+        RECT 358.200 52.065 358.510 52.575 ;
+        RECT 345.325 51.435 345.655 51.885 ;
+        RECT 345.825 51.245 345.995 51.715 ;
+        RECT 346.165 51.435 346.495 51.885 ;
+        RECT 346.665 51.245 346.835 51.715 ;
+        RECT 347.535 51.245 351.045 52.015 ;
+        RECT 351.215 51.245 351.505 51.970 ;
+        RECT 351.765 51.245 351.935 52.055 ;
+        RECT 352.105 51.635 352.355 52.065 ;
+        RECT 352.525 51.895 354.115 52.065 ;
+        RECT 352.525 51.805 352.860 51.895 ;
+        RECT 352.105 51.415 353.275 51.635 ;
+        RECT 353.445 51.245 353.615 51.715 ;
+        RECT 353.785 51.415 354.115 51.895 ;
+        RECT 354.545 51.885 356.315 52.065 ;
+        RECT 354.285 51.245 354.975 51.715 ;
+        RECT 355.145 51.415 355.475 51.885 ;
+        RECT 355.645 51.245 355.815 51.715 ;
+        RECT 355.985 51.415 356.315 51.885 ;
+        RECT 356.485 51.245 356.655 52.055 ;
+        RECT 356.825 51.885 358.510 52.065 ;
+        RECT 359.035 52.015 360.685 52.535 ;
+        RECT 360.855 52.185 362.545 52.705 ;
+        RECT 362.720 52.825 362.995 53.625 ;
+        RECT 363.165 52.995 363.495 53.795 ;
+        RECT 363.665 52.825 363.835 53.625 ;
+        RECT 364.005 52.995 364.255 53.795 ;
+        RECT 364.425 53.455 366.520 53.625 ;
+        RECT 364.425 52.825 364.755 53.455 ;
+        RECT 362.720 52.615 364.755 52.825 ;
+        RECT 364.925 52.905 365.095 53.285 ;
+        RECT 365.265 53.095 365.595 53.455 ;
+        RECT 365.765 52.905 365.935 53.285 ;
+        RECT 366.105 53.075 366.520 53.455 ;
+        RECT 364.925 52.605 366.685 52.905 ;
+        RECT 366.855 52.705 370.365 53.795 ;
+        RECT 362.770 52.235 364.430 52.435 ;
+        RECT 364.750 52.235 366.115 52.435 ;
+        RECT 366.285 52.065 366.685 52.605 ;
+        RECT 356.825 51.435 357.155 51.885 ;
+        RECT 357.325 51.245 357.495 51.715 ;
+        RECT 357.665 51.435 357.995 51.885 ;
+        RECT 358.165 51.245 358.335 51.715 ;
+        RECT 359.035 51.245 362.545 52.015 ;
+        RECT 362.720 51.245 362.995 52.065 ;
+        RECT 363.165 51.885 366.685 52.065 ;
+        RECT 366.855 52.015 368.505 52.535 ;
+        RECT 368.675 52.185 370.365 52.705 ;
+        RECT 370.540 52.825 370.815 53.625 ;
+        RECT 370.985 52.995 371.315 53.795 ;
+        RECT 371.485 52.825 371.655 53.625 ;
+        RECT 371.825 52.995 372.075 53.795 ;
+        RECT 372.245 53.455 374.340 53.625 ;
+        RECT 372.245 52.825 372.575 53.455 ;
+        RECT 370.540 52.615 372.575 52.825 ;
+        RECT 372.745 52.905 372.915 53.285 ;
+        RECT 373.085 53.095 373.415 53.455 ;
+        RECT 373.585 52.905 373.755 53.285 ;
+        RECT 373.925 53.075 374.340 53.455 ;
+        RECT 372.745 52.605 374.505 52.905 ;
+        RECT 374.675 52.705 378.185 53.795 ;
+        RECT 370.590 52.235 372.250 52.435 ;
+        RECT 372.570 52.235 373.935 52.435 ;
+        RECT 374.105 52.065 374.505 52.605 ;
+        RECT 363.165 51.415 363.495 51.885 ;
+        RECT 363.665 51.245 363.835 51.715 ;
+        RECT 364.005 51.415 364.335 51.885 ;
+        RECT 364.505 51.245 364.675 51.715 ;
+        RECT 364.845 51.415 365.175 51.885 ;
+        RECT 365.345 51.245 365.515 51.715 ;
+        RECT 365.685 51.415 366.015 51.885 ;
+        RECT 366.185 51.245 366.470 51.715 ;
+        RECT 366.855 51.245 370.365 52.015 ;
+        RECT 370.540 51.245 370.815 52.065 ;
+        RECT 370.985 51.885 374.505 52.065 ;
+        RECT 374.675 52.015 376.325 52.535 ;
+        RECT 376.495 52.185 378.185 52.705 ;
+        RECT 379.275 52.630 379.565 53.795 ;
+        RECT 379.925 53.070 380.255 53.795 ;
+        RECT 370.985 51.415 371.315 51.885 ;
+        RECT 371.485 51.245 371.655 51.715 ;
+        RECT 371.825 51.415 372.155 51.885 ;
+        RECT 372.325 51.245 372.495 51.715 ;
+        RECT 372.665 51.415 372.995 51.885 ;
+        RECT 373.165 51.245 373.335 51.715 ;
+        RECT 373.505 51.415 373.835 51.885 ;
+        RECT 374.005 51.245 374.290 51.715 ;
+        RECT 374.675 51.245 378.185 52.015 ;
+        RECT 379.275 51.245 379.565 51.970 ;
+        RECT 379.735 51.415 380.255 52.900 ;
+        RECT 380.425 52.075 380.945 53.625 ;
+        RECT 381.115 52.705 384.625 53.795 ;
+        RECT 384.985 53.070 385.315 53.795 ;
+        RECT 381.115 52.015 382.765 52.535 ;
+        RECT 382.935 52.185 384.625 52.705 ;
+        RECT 380.425 51.245 380.765 51.905 ;
+        RECT 381.115 51.245 384.625 52.015 ;
+        RECT 384.795 51.415 385.315 52.900 ;
+        RECT 385.485 52.075 386.005 53.625 ;
+        RECT 386.175 52.705 389.685 53.795 ;
+        RECT 390.045 53.070 390.375 53.795 ;
+        RECT 386.175 52.015 387.825 52.535 ;
+        RECT 387.995 52.185 389.685 52.705 ;
+        RECT 385.485 51.245 385.825 51.905 ;
+        RECT 386.175 51.245 389.685 52.015 ;
+        RECT 389.855 51.415 390.375 52.900 ;
+        RECT 390.545 52.075 391.065 53.625 ;
+        RECT 391.235 52.705 396.580 53.795 ;
+        RECT 396.755 52.705 402.100 53.795 ;
+        RECT 402.275 52.705 405.785 53.795 ;
+        RECT 405.955 52.705 407.165 53.795 ;
+        RECT 391.235 52.015 393.815 52.535 ;
+        RECT 393.985 52.185 396.580 52.705 ;
+        RECT 396.755 52.015 399.335 52.535 ;
+        RECT 399.505 52.185 402.100 52.705 ;
+        RECT 402.275 52.015 403.925 52.535 ;
+        RECT 404.095 52.185 405.785 52.705 ;
+        RECT 390.545 51.245 390.885 51.905 ;
+        RECT 391.235 51.245 396.580 52.015 ;
+        RECT 396.755 51.245 402.100 52.015 ;
+        RECT 402.275 51.245 405.785 52.015 ;
+        RECT 405.955 51.995 406.475 52.535 ;
+        RECT 406.645 52.165 407.165 52.705 ;
+        RECT 407.335 52.630 407.625 53.795 ;
+        RECT 407.795 52.705 413.140 53.795 ;
+        RECT 413.315 52.705 418.660 53.795 ;
+        RECT 418.835 52.705 424.180 53.795 ;
+        RECT 424.355 52.705 429.700 53.795 ;
+        RECT 429.875 52.705 435.220 53.795 ;
+        RECT 407.795 52.015 410.375 52.535 ;
+        RECT 410.545 52.185 413.140 52.705 ;
+        RECT 413.315 52.015 415.895 52.535 ;
+        RECT 416.065 52.185 418.660 52.705 ;
+        RECT 418.835 52.015 421.415 52.535 ;
+        RECT 421.585 52.185 424.180 52.705 ;
+        RECT 424.355 52.015 426.935 52.535 ;
+        RECT 427.105 52.185 429.700 52.705 ;
+        RECT 429.875 52.015 432.455 52.535 ;
+        RECT 432.625 52.185 435.220 52.705 ;
+        RECT 435.395 52.630 435.685 53.795 ;
+        RECT 435.855 52.705 441.200 53.795 ;
+        RECT 441.375 52.705 446.720 53.795 ;
+        RECT 446.895 52.705 452.240 53.795 ;
+        RECT 452.415 52.705 457.760 53.795 ;
+        RECT 457.935 52.705 463.280 53.795 ;
+        RECT 435.855 52.015 438.435 52.535 ;
+        RECT 438.605 52.185 441.200 52.705 ;
+        RECT 441.375 52.015 443.955 52.535 ;
+        RECT 444.125 52.185 446.720 52.705 ;
+        RECT 446.895 52.015 449.475 52.535 ;
+        RECT 449.645 52.185 452.240 52.705 ;
+        RECT 452.415 52.015 454.995 52.535 ;
+        RECT 455.165 52.185 457.760 52.705 ;
+        RECT 457.935 52.015 460.515 52.535 ;
+        RECT 460.685 52.185 463.280 52.705 ;
+        RECT 463.455 52.630 463.745 53.795 ;
+        RECT 463.915 52.705 469.260 53.795 ;
+        RECT 469.435 52.705 474.780 53.795 ;
+        RECT 474.955 52.705 480.300 53.795 ;
+        RECT 480.475 52.705 485.820 53.795 ;
+        RECT 485.995 52.705 491.340 53.795 ;
+        RECT 463.915 52.015 466.495 52.535 ;
+        RECT 466.665 52.185 469.260 52.705 ;
+        RECT 469.435 52.015 472.015 52.535 ;
+        RECT 472.185 52.185 474.780 52.705 ;
+        RECT 474.955 52.015 477.535 52.535 ;
+        RECT 477.705 52.185 480.300 52.705 ;
+        RECT 480.475 52.015 483.055 52.535 ;
+        RECT 483.225 52.185 485.820 52.705 ;
+        RECT 485.995 52.015 488.575 52.535 ;
+        RECT 488.745 52.185 491.340 52.705 ;
+        RECT 491.515 52.630 491.805 53.795 ;
+        RECT 491.975 52.705 497.320 53.795 ;
+        RECT 497.495 52.705 502.840 53.795 ;
+        RECT 503.015 52.705 508.360 53.795 ;
+        RECT 508.535 52.705 513.880 53.795 ;
+        RECT 514.055 52.705 519.400 53.795 ;
+        RECT 491.975 52.015 494.555 52.535 ;
+        RECT 494.725 52.185 497.320 52.705 ;
+        RECT 497.495 52.015 500.075 52.535 ;
+        RECT 500.245 52.185 502.840 52.705 ;
+        RECT 503.015 52.015 505.595 52.535 ;
+        RECT 505.765 52.185 508.360 52.705 ;
+        RECT 508.535 52.015 511.115 52.535 ;
+        RECT 511.285 52.185 513.880 52.705 ;
+        RECT 514.055 52.015 516.635 52.535 ;
+        RECT 516.805 52.185 519.400 52.705 ;
+        RECT 519.575 52.630 519.865 53.795 ;
+        RECT 520.035 52.705 525.380 53.795 ;
+        RECT 525.555 52.705 530.900 53.795 ;
+        RECT 531.075 52.705 536.420 53.795 ;
+        RECT 536.595 52.705 541.940 53.795 ;
+        RECT 542.115 52.705 547.460 53.795 ;
+        RECT 520.035 52.015 522.615 52.535 ;
+        RECT 522.785 52.185 525.380 52.705 ;
+        RECT 525.555 52.015 528.135 52.535 ;
+        RECT 528.305 52.185 530.900 52.705 ;
+        RECT 531.075 52.015 533.655 52.535 ;
+        RECT 533.825 52.185 536.420 52.705 ;
+        RECT 536.595 52.015 539.175 52.535 ;
+        RECT 539.345 52.185 541.940 52.705 ;
+        RECT 542.115 52.015 544.695 52.535 ;
+        RECT 544.865 52.185 547.460 52.705 ;
+        RECT 547.635 52.630 547.925 53.795 ;
+        RECT 548.095 52.705 553.440 53.795 ;
+        RECT 553.615 52.705 558.960 53.795 ;
+        RECT 559.135 52.705 564.480 53.795 ;
+        RECT 564.655 52.705 570.000 53.795 ;
+        RECT 570.175 52.705 575.520 53.795 ;
+        RECT 548.095 52.015 550.675 52.535 ;
+        RECT 550.845 52.185 553.440 52.705 ;
+        RECT 553.615 52.015 556.195 52.535 ;
+        RECT 556.365 52.185 558.960 52.705 ;
+        RECT 559.135 52.015 561.715 52.535 ;
+        RECT 561.885 52.185 564.480 52.705 ;
+        RECT 564.655 52.015 567.235 52.535 ;
+        RECT 567.405 52.185 570.000 52.705 ;
+        RECT 570.175 52.015 572.755 52.535 ;
+        RECT 572.925 52.185 575.520 52.705 ;
+        RECT 575.695 52.630 575.985 53.795 ;
+        RECT 576.155 52.705 581.500 53.795 ;
+        RECT 581.675 52.705 587.020 53.795 ;
+        RECT 587.195 52.705 592.540 53.795 ;
+        RECT 592.715 52.705 598.060 53.795 ;
+        RECT 598.235 52.705 603.580 53.795 ;
+        RECT 576.155 52.015 578.735 52.535 ;
+        RECT 578.905 52.185 581.500 52.705 ;
+        RECT 581.675 52.015 584.255 52.535 ;
+        RECT 584.425 52.185 587.020 52.705 ;
+        RECT 587.195 52.015 589.775 52.535 ;
+        RECT 589.945 52.185 592.540 52.705 ;
+        RECT 592.715 52.015 595.295 52.535 ;
+        RECT 595.465 52.185 598.060 52.705 ;
+        RECT 598.235 52.015 600.815 52.535 ;
+        RECT 600.985 52.185 603.580 52.705 ;
+        RECT 603.755 52.630 604.045 53.795 ;
+        RECT 604.215 52.705 609.560 53.795 ;
+        RECT 609.735 52.705 615.080 53.795 ;
+        RECT 615.255 52.705 620.600 53.795 ;
+        RECT 620.775 52.705 623.365 53.795 ;
+        RECT 624.185 53.070 624.515 53.795 ;
+        RECT 604.215 52.015 606.795 52.535 ;
+        RECT 606.965 52.185 609.560 52.705 ;
+        RECT 609.735 52.015 612.315 52.535 ;
+        RECT 612.485 52.185 615.080 52.705 ;
+        RECT 615.255 52.015 617.835 52.535 ;
+        RECT 618.005 52.185 620.600 52.705 ;
+        RECT 620.775 52.015 621.985 52.535 ;
+        RECT 622.155 52.185 623.365 52.705 ;
+        RECT 405.955 51.245 407.165 51.995 ;
+        RECT 407.335 51.245 407.625 51.970 ;
+        RECT 407.795 51.245 413.140 52.015 ;
+        RECT 413.315 51.245 418.660 52.015 ;
+        RECT 418.835 51.245 424.180 52.015 ;
+        RECT 424.355 51.245 429.700 52.015 ;
+        RECT 429.875 51.245 435.220 52.015 ;
+        RECT 435.395 51.245 435.685 51.970 ;
+        RECT 435.855 51.245 441.200 52.015 ;
+        RECT 441.375 51.245 446.720 52.015 ;
+        RECT 446.895 51.245 452.240 52.015 ;
+        RECT 452.415 51.245 457.760 52.015 ;
+        RECT 457.935 51.245 463.280 52.015 ;
+        RECT 463.455 51.245 463.745 51.970 ;
+        RECT 463.915 51.245 469.260 52.015 ;
+        RECT 469.435 51.245 474.780 52.015 ;
+        RECT 474.955 51.245 480.300 52.015 ;
+        RECT 480.475 51.245 485.820 52.015 ;
+        RECT 485.995 51.245 491.340 52.015 ;
+        RECT 491.515 51.245 491.805 51.970 ;
+        RECT 491.975 51.245 497.320 52.015 ;
+        RECT 497.495 51.245 502.840 52.015 ;
+        RECT 503.015 51.245 508.360 52.015 ;
+        RECT 508.535 51.245 513.880 52.015 ;
+        RECT 514.055 51.245 519.400 52.015 ;
+        RECT 519.575 51.245 519.865 51.970 ;
+        RECT 520.035 51.245 525.380 52.015 ;
+        RECT 525.555 51.245 530.900 52.015 ;
+        RECT 531.075 51.245 536.420 52.015 ;
+        RECT 536.595 51.245 541.940 52.015 ;
+        RECT 542.115 51.245 547.460 52.015 ;
+        RECT 547.635 51.245 547.925 51.970 ;
+        RECT 548.095 51.245 553.440 52.015 ;
+        RECT 553.615 51.245 558.960 52.015 ;
+        RECT 559.135 51.245 564.480 52.015 ;
+        RECT 564.655 51.245 570.000 52.015 ;
+        RECT 570.175 51.245 575.520 52.015 ;
+        RECT 575.695 51.245 575.985 51.970 ;
+        RECT 576.155 51.245 581.500 52.015 ;
+        RECT 581.675 51.245 587.020 52.015 ;
+        RECT 587.195 51.245 592.540 52.015 ;
+        RECT 592.715 51.245 598.060 52.015 ;
+        RECT 598.235 51.245 603.580 52.015 ;
+        RECT 603.755 51.245 604.045 51.970 ;
+        RECT 604.215 51.245 609.560 52.015 ;
+        RECT 609.735 51.245 615.080 52.015 ;
+        RECT 615.255 51.245 620.600 52.015 ;
+        RECT 620.775 51.245 623.365 52.015 ;
+        RECT 623.995 51.415 624.515 52.900 ;
+        RECT 624.685 52.075 625.205 53.625 ;
+        RECT 625.375 52.705 628.885 53.795 ;
+        RECT 625.375 52.015 627.025 52.535 ;
+        RECT 627.195 52.185 628.885 52.705 ;
+        RECT 629.975 52.705 631.185 53.795 ;
+        RECT 629.975 52.165 630.495 52.705 ;
+        RECT 624.685 51.245 625.025 51.905 ;
+        RECT 625.375 51.245 628.885 52.015 ;
+        RECT 630.665 51.995 631.185 52.535 ;
+        RECT 629.975 51.245 631.185 51.995 ;
+        RECT 42.470 51.075 631.270 51.245 ;
+        RECT 42.555 50.325 43.765 51.075 ;
+        RECT 42.555 49.785 43.075 50.325 ;
+        RECT 43.935 50.305 49.280 51.075 ;
+        RECT 49.455 50.305 54.800 51.075 ;
+        RECT 54.975 50.305 56.645 51.075 ;
+        RECT 56.815 50.350 57.105 51.075 ;
+        RECT 57.275 50.305 62.620 51.075 ;
+        RECT 62.795 50.305 68.140 51.075 ;
+        RECT 68.315 50.305 71.825 51.075 ;
+        RECT 43.245 49.615 43.765 50.155 ;
+        RECT 43.935 49.785 46.515 50.305 ;
+        RECT 46.685 49.615 49.280 50.135 ;
+        RECT 49.455 49.785 52.035 50.305 ;
+        RECT 52.205 49.615 54.800 50.135 ;
+        RECT 54.975 49.785 55.725 50.305 ;
+        RECT 55.895 49.615 56.645 50.135 ;
+        RECT 57.275 49.785 59.855 50.305 ;
+        RECT 42.555 48.525 43.765 49.615 ;
+        RECT 43.935 48.525 49.280 49.615 ;
+        RECT 49.455 48.525 54.800 49.615 ;
+        RECT 54.975 48.525 56.645 49.615 ;
+        RECT 56.815 48.525 57.105 49.690 ;
+        RECT 60.025 49.615 62.620 50.135 ;
+        RECT 62.795 49.785 65.375 50.305 ;
+        RECT 65.545 49.615 68.140 50.135 ;
+        RECT 68.315 49.785 69.965 50.305 ;
+        RECT 72.955 50.255 73.185 51.075 ;
+        RECT 73.355 50.275 73.685 50.905 ;
+        RECT 70.135 49.615 71.825 50.135 ;
+        RECT 72.935 49.835 73.265 50.085 ;
+        RECT 73.435 49.675 73.685 50.275 ;
+        RECT 73.855 50.255 74.065 51.075 ;
+        RECT 74.295 50.305 77.805 51.075 ;
+        RECT 77.995 50.565 78.235 51.075 ;
+        RECT 74.295 49.785 75.945 50.305 ;
+        RECT 57.275 48.525 62.620 49.615 ;
+        RECT 62.795 48.525 68.140 49.615 ;
+        RECT 68.315 48.525 71.825 49.615 ;
+        RECT 72.955 48.525 73.185 49.665 ;
+        RECT 73.355 48.695 73.685 49.675 ;
+        RECT 73.855 48.525 74.065 49.665 ;
+        RECT 76.115 49.615 77.805 50.135 ;
+        RECT 77.980 49.835 78.235 50.395 ;
+        RECT 78.405 50.335 78.735 50.870 ;
+        RECT 78.950 50.335 79.120 51.075 ;
+        RECT 79.330 50.425 79.660 50.895 ;
+        RECT 79.830 50.595 80.000 51.075 ;
+        RECT 80.170 50.425 80.500 50.895 ;
+        RECT 80.670 50.595 80.840 51.075 ;
+        RECT 78.405 49.665 78.585 50.335 ;
+        RECT 79.330 50.255 81.025 50.425 ;
+        RECT 78.755 49.835 79.130 50.165 ;
+        RECT 79.300 49.915 80.510 50.085 ;
+        RECT 79.300 49.665 79.505 49.915 ;
+        RECT 80.680 49.665 81.025 50.255 ;
+        RECT 81.195 50.305 84.705 51.075 ;
+        RECT 84.875 50.350 85.165 51.075 ;
+        RECT 85.885 50.525 86.055 50.905 ;
+        RECT 86.270 50.695 86.600 51.075 ;
+        RECT 85.885 50.355 86.600 50.525 ;
+        RECT 81.195 49.785 82.845 50.305 ;
+        RECT 74.295 48.525 77.805 49.615 ;
+        RECT 78.045 49.495 79.505 49.665 ;
+        RECT 80.170 49.495 81.025 49.665 ;
+        RECT 83.015 49.615 84.705 50.135 ;
+        RECT 85.795 49.805 86.150 50.175 ;
+        RECT 86.430 50.165 86.600 50.355 ;
+        RECT 86.770 50.330 87.025 50.905 ;
+        RECT 86.430 49.835 86.685 50.165 ;
+        RECT 78.045 48.695 78.405 49.495 ;
+        RECT 80.170 49.325 80.500 49.495 ;
+        RECT 78.950 48.525 79.120 49.325 ;
+        RECT 79.330 49.155 80.500 49.325 ;
+        RECT 79.330 48.695 79.660 49.155 ;
+        RECT 79.830 48.525 80.000 48.985 ;
+        RECT 80.170 48.695 80.500 49.155 ;
+        RECT 80.670 48.525 80.840 49.325 ;
+        RECT 81.195 48.525 84.705 49.615 ;
+        RECT 84.875 48.525 85.165 49.690 ;
+        RECT 86.430 49.625 86.600 49.835 ;
+        RECT 85.885 49.455 86.600 49.625 ;
+        RECT 86.855 49.600 87.025 50.330 ;
+        RECT 87.200 50.235 87.460 51.075 ;
+        RECT 87.635 50.305 91.145 51.075 ;
+        RECT 91.405 50.525 91.575 50.815 ;
+        RECT 91.745 50.695 92.075 51.075 ;
+        RECT 91.405 50.355 92.070 50.525 ;
+        RECT 87.635 49.785 89.285 50.305 ;
+        RECT 85.885 48.695 86.055 49.455 ;
+        RECT 86.270 48.525 86.600 49.285 ;
+        RECT 86.770 48.695 87.025 49.600 ;
+        RECT 87.200 48.525 87.460 49.675 ;
+        RECT 89.455 49.615 91.145 50.135 ;
+        RECT 87.635 48.525 91.145 49.615 ;
+        RECT 91.320 49.535 91.670 50.185 ;
+        RECT 91.840 49.365 92.070 50.355 ;
+        RECT 91.405 49.195 92.070 49.365 ;
+        RECT 91.405 48.695 91.575 49.195 ;
+        RECT 91.745 48.525 92.075 49.025 ;
+        RECT 92.245 48.695 92.470 50.815 ;
+        RECT 92.685 50.615 92.935 51.075 ;
+        RECT 93.120 50.625 93.450 50.795 ;
+        RECT 93.630 50.625 94.380 50.795 ;
+        RECT 92.670 49.495 92.950 50.095 ;
+        RECT 93.120 49.095 93.290 50.625 ;
+        RECT 93.460 50.125 94.040 50.455 ;
+        RECT 93.460 49.255 93.700 50.125 ;
+        RECT 94.210 49.845 94.380 50.625 ;
+        RECT 94.630 50.575 95.000 51.075 ;
+        RECT 95.180 50.625 95.640 50.795 ;
+        RECT 95.870 50.625 96.540 50.795 ;
+        RECT 95.180 50.395 95.350 50.625 ;
+        RECT 94.550 50.095 95.350 50.395 ;
+        RECT 95.520 50.125 96.070 50.455 ;
+        RECT 94.550 50.065 94.720 50.095 ;
+        RECT 94.840 49.845 95.010 49.915 ;
+        RECT 94.210 49.675 95.010 49.845 ;
+        RECT 94.500 49.585 95.010 49.675 ;
+        RECT 93.890 49.150 94.330 49.505 ;
+        RECT 92.670 48.525 92.935 48.985 ;
+        RECT 93.120 48.720 93.355 49.095 ;
+        RECT 94.500 48.970 94.670 49.585 ;
+        RECT 93.600 48.800 94.670 48.970 ;
+        RECT 94.840 48.525 95.010 49.325 ;
+        RECT 95.180 49.025 95.350 50.095 ;
+        RECT 95.520 49.195 95.710 49.915 ;
+        RECT 95.880 49.585 96.070 50.125 ;
+        RECT 96.370 50.085 96.540 50.625 ;
+        RECT 96.855 50.545 97.025 51.075 ;
+        RECT 97.320 50.425 97.680 50.865 ;
+        RECT 97.855 50.595 98.025 51.075 ;
+        RECT 98.215 50.430 98.550 50.855 ;
+        RECT 98.725 50.600 98.895 51.075 ;
+        RECT 99.070 50.430 99.405 50.855 ;
+        RECT 99.575 50.600 99.745 51.075 ;
+        RECT 97.320 50.255 97.820 50.425 ;
+        RECT 98.215 50.260 99.885 50.430 ;
+        RECT 97.650 50.085 97.820 50.255 ;
+        RECT 96.370 49.915 97.460 50.085 ;
+        RECT 97.650 49.915 99.470 50.085 ;
+        RECT 95.880 49.255 96.200 49.585 ;
+        RECT 95.180 48.695 95.430 49.025 ;
+        RECT 96.370 48.995 96.540 49.915 ;
+        RECT 97.650 49.660 97.820 49.915 ;
+        RECT 99.640 49.695 99.885 50.260 ;
+        RECT 100.055 50.305 103.565 51.075 ;
+        RECT 103.735 50.325 104.945 51.075 ;
+        RECT 100.055 49.785 101.705 50.305 ;
+        RECT 96.710 49.490 97.820 49.660 ;
+        RECT 98.215 49.525 99.885 49.695 ;
+        RECT 101.875 49.615 103.565 50.135 ;
+        RECT 103.735 49.785 104.255 50.325 ;
+        RECT 105.120 50.255 105.395 51.075 ;
+        RECT 105.565 50.435 105.895 50.905 ;
+        RECT 106.065 50.605 106.235 51.075 ;
+        RECT 106.405 50.435 106.735 50.905 ;
+        RECT 106.905 50.605 107.075 51.075 ;
+        RECT 107.245 50.435 107.575 50.905 ;
+        RECT 107.745 50.605 107.915 51.075 ;
+        RECT 108.085 50.435 108.415 50.905 ;
+        RECT 108.585 50.605 108.870 51.075 ;
+        RECT 105.565 50.255 109.085 50.435 ;
+        RECT 104.425 49.615 104.945 50.155 ;
+        RECT 105.170 49.885 106.830 50.085 ;
+        RECT 107.150 49.885 108.515 50.085 ;
+        RECT 108.685 49.715 109.085 50.255 ;
+        RECT 109.255 50.305 112.765 51.075 ;
+        RECT 112.935 50.350 113.225 51.075 ;
+        RECT 113.485 50.525 113.655 50.815 ;
+        RECT 113.825 50.695 114.155 51.075 ;
+        RECT 113.485 50.355 114.150 50.525 ;
+        RECT 109.255 49.785 110.905 50.305 ;
+        RECT 96.710 49.330 97.570 49.490 ;
+        RECT 95.655 48.825 96.540 48.995 ;
+        RECT 96.720 48.525 96.935 49.025 ;
+        RECT 97.400 48.705 97.570 49.330 ;
+        RECT 97.855 48.525 98.035 49.305 ;
+        RECT 98.215 48.765 98.550 49.525 ;
+        RECT 98.730 48.525 98.900 49.355 ;
+        RECT 99.070 48.765 99.400 49.525 ;
+        RECT 99.570 48.525 99.740 49.355 ;
+        RECT 100.055 48.525 103.565 49.615 ;
+        RECT 103.735 48.525 104.945 49.615 ;
+        RECT 105.120 49.495 107.155 49.705 ;
+        RECT 105.120 48.695 105.395 49.495 ;
+        RECT 105.565 48.525 105.895 49.325 ;
+        RECT 106.065 48.695 106.235 49.495 ;
+        RECT 106.405 48.525 106.655 49.325 ;
+        RECT 106.825 48.865 107.155 49.495 ;
+        RECT 107.325 49.415 109.085 49.715 ;
+        RECT 111.075 49.615 112.765 50.135 ;
+        RECT 107.325 49.035 107.495 49.415 ;
+        RECT 107.665 48.865 107.995 49.225 ;
+        RECT 108.165 49.035 108.335 49.415 ;
+        RECT 108.505 48.865 108.920 49.245 ;
+        RECT 106.825 48.695 108.920 48.865 ;
+        RECT 109.255 48.525 112.765 49.615 ;
+        RECT 112.935 48.525 113.225 49.690 ;
+        RECT 113.400 49.535 113.750 50.185 ;
+        RECT 113.920 49.365 114.150 50.355 ;
+        RECT 113.485 49.195 114.150 49.365 ;
+        RECT 113.485 48.695 113.655 49.195 ;
+        RECT 113.825 48.525 114.155 49.025 ;
+        RECT 114.325 48.695 114.550 50.815 ;
+        RECT 114.765 50.615 115.015 51.075 ;
+        RECT 115.200 50.625 115.530 50.795 ;
+        RECT 115.710 50.625 116.460 50.795 ;
+        RECT 114.750 49.495 115.030 50.095 ;
+        RECT 115.200 49.095 115.370 50.625 ;
+        RECT 115.540 50.125 116.120 50.455 ;
+        RECT 115.540 49.255 115.780 50.125 ;
+        RECT 116.290 49.845 116.460 50.625 ;
+        RECT 116.710 50.575 117.080 51.075 ;
+        RECT 117.260 50.625 117.720 50.795 ;
+        RECT 117.950 50.625 118.620 50.795 ;
+        RECT 117.260 50.395 117.430 50.625 ;
+        RECT 116.630 50.095 117.430 50.395 ;
+        RECT 117.600 50.125 118.150 50.455 ;
+        RECT 116.630 50.065 116.800 50.095 ;
+        RECT 116.920 49.845 117.090 49.915 ;
+        RECT 116.290 49.675 117.090 49.845 ;
+        RECT 116.580 49.585 117.090 49.675 ;
+        RECT 115.970 49.150 116.410 49.505 ;
+        RECT 114.750 48.525 115.015 48.985 ;
+        RECT 115.200 48.720 115.435 49.095 ;
+        RECT 116.580 48.970 116.750 49.585 ;
+        RECT 115.680 48.800 116.750 48.970 ;
+        RECT 116.920 48.525 117.090 49.325 ;
+        RECT 117.260 49.025 117.430 50.095 ;
+        RECT 117.600 49.195 117.790 49.915 ;
+        RECT 117.960 49.585 118.150 50.125 ;
+        RECT 118.450 50.085 118.620 50.625 ;
+        RECT 118.935 50.545 119.105 51.075 ;
+        RECT 119.400 50.425 119.760 50.865 ;
+        RECT 119.935 50.595 120.105 51.075 ;
+        RECT 120.295 50.430 120.630 50.855 ;
+        RECT 120.805 50.600 120.975 51.075 ;
+        RECT 121.150 50.430 121.485 50.855 ;
+        RECT 121.655 50.600 121.825 51.075 ;
+        RECT 119.400 50.255 119.900 50.425 ;
+        RECT 120.295 50.260 121.965 50.430 ;
+        RECT 119.730 50.085 119.900 50.255 ;
+        RECT 118.450 49.915 119.540 50.085 ;
+        RECT 119.730 49.915 121.550 50.085 ;
+        RECT 117.960 49.255 118.280 49.585 ;
+        RECT 117.260 48.695 117.510 49.025 ;
+        RECT 118.450 48.995 118.620 49.915 ;
+        RECT 119.730 49.660 119.900 49.915 ;
+        RECT 121.720 49.695 121.965 50.260 ;
+        RECT 122.135 50.305 125.645 51.075 ;
+        RECT 125.905 50.525 126.075 50.815 ;
+        RECT 126.245 50.695 126.575 51.075 ;
+        RECT 125.905 50.355 126.570 50.525 ;
+        RECT 122.135 49.785 123.785 50.305 ;
+        RECT 118.790 49.490 119.900 49.660 ;
+        RECT 120.295 49.525 121.965 49.695 ;
+        RECT 123.955 49.615 125.645 50.135 ;
+        RECT 118.790 49.330 119.650 49.490 ;
+        RECT 117.735 48.825 118.620 48.995 ;
+        RECT 118.800 48.525 119.015 49.025 ;
+        RECT 119.480 48.705 119.650 49.330 ;
+        RECT 119.935 48.525 120.115 49.305 ;
+        RECT 120.295 48.765 120.630 49.525 ;
+        RECT 120.810 48.525 120.980 49.355 ;
+        RECT 121.150 48.765 121.480 49.525 ;
+        RECT 121.650 48.525 121.820 49.355 ;
+        RECT 122.135 48.525 125.645 49.615 ;
+        RECT 125.820 49.535 126.170 50.185 ;
+        RECT 126.340 49.365 126.570 50.355 ;
+        RECT 125.905 49.195 126.570 49.365 ;
+        RECT 125.905 48.695 126.075 49.195 ;
+        RECT 126.245 48.525 126.575 49.025 ;
+        RECT 126.745 48.695 126.970 50.815 ;
+        RECT 127.185 50.615 127.435 51.075 ;
+        RECT 127.620 50.625 127.950 50.795 ;
+        RECT 128.130 50.625 128.880 50.795 ;
+        RECT 127.170 49.495 127.450 50.095 ;
+        RECT 127.620 49.095 127.790 50.625 ;
+        RECT 127.960 50.125 128.540 50.455 ;
+        RECT 127.960 49.255 128.200 50.125 ;
+        RECT 128.710 49.845 128.880 50.625 ;
+        RECT 129.130 50.575 129.500 51.075 ;
+        RECT 129.680 50.625 130.140 50.795 ;
+        RECT 130.370 50.625 131.040 50.795 ;
+        RECT 129.680 50.395 129.850 50.625 ;
+        RECT 129.050 50.095 129.850 50.395 ;
+        RECT 130.020 50.125 130.570 50.455 ;
+        RECT 129.050 50.065 129.220 50.095 ;
+        RECT 129.340 49.845 129.510 49.915 ;
+        RECT 128.710 49.675 129.510 49.845 ;
+        RECT 129.000 49.585 129.510 49.675 ;
+        RECT 128.390 49.150 128.830 49.505 ;
+        RECT 127.170 48.525 127.435 48.985 ;
+        RECT 127.620 48.720 127.855 49.095 ;
+        RECT 129.000 48.970 129.170 49.585 ;
+        RECT 128.100 48.800 129.170 48.970 ;
+        RECT 129.340 48.525 129.510 49.325 ;
+        RECT 129.680 49.025 129.850 50.095 ;
+        RECT 130.020 49.195 130.210 49.915 ;
+        RECT 130.380 49.585 130.570 50.125 ;
+        RECT 130.870 50.085 131.040 50.625 ;
+        RECT 131.355 50.545 131.525 51.075 ;
+        RECT 131.820 50.425 132.180 50.865 ;
+        RECT 132.355 50.595 132.525 51.075 ;
+        RECT 132.715 50.430 133.050 50.855 ;
+        RECT 133.225 50.600 133.395 51.075 ;
+        RECT 133.570 50.430 133.905 50.855 ;
+        RECT 134.075 50.600 134.245 51.075 ;
+        RECT 131.820 50.255 132.320 50.425 ;
+        RECT 132.715 50.260 134.385 50.430 ;
+        RECT 132.150 50.085 132.320 50.255 ;
+        RECT 130.870 49.915 131.960 50.085 ;
+        RECT 132.150 49.915 133.970 50.085 ;
+        RECT 130.380 49.255 130.700 49.585 ;
+        RECT 129.680 48.695 129.930 49.025 ;
+        RECT 130.870 48.995 131.040 49.915 ;
+        RECT 132.150 49.660 132.320 49.915 ;
+        RECT 134.140 49.695 134.385 50.260 ;
+        RECT 134.555 50.305 139.900 51.075 ;
+        RECT 140.995 50.350 141.285 51.075 ;
+        RECT 141.545 50.525 141.715 50.815 ;
+        RECT 141.885 50.695 142.215 51.075 ;
+        RECT 141.545 50.355 142.210 50.525 ;
+        RECT 134.555 49.785 137.135 50.305 ;
+        RECT 131.210 49.490 132.320 49.660 ;
+        RECT 132.715 49.525 134.385 49.695 ;
+        RECT 137.305 49.615 139.900 50.135 ;
+        RECT 131.210 49.330 132.070 49.490 ;
+        RECT 130.155 48.825 131.040 48.995 ;
+        RECT 131.220 48.525 131.435 49.025 ;
+        RECT 131.900 48.705 132.070 49.330 ;
+        RECT 132.355 48.525 132.535 49.305 ;
+        RECT 132.715 48.765 133.050 49.525 ;
+        RECT 133.230 48.525 133.400 49.355 ;
+        RECT 133.570 48.765 133.900 49.525 ;
+        RECT 134.070 48.525 134.240 49.355 ;
+        RECT 134.555 48.525 139.900 49.615 ;
+        RECT 140.995 48.525 141.285 49.690 ;
+        RECT 141.460 49.535 141.810 50.185 ;
+        RECT 141.980 49.365 142.210 50.355 ;
+        RECT 141.545 49.195 142.210 49.365 ;
+        RECT 141.545 48.695 141.715 49.195 ;
+        RECT 141.885 48.525 142.215 49.025 ;
+        RECT 142.385 48.695 142.610 50.815 ;
+        RECT 142.825 50.615 143.075 51.075 ;
+        RECT 143.260 50.625 143.590 50.795 ;
+        RECT 143.770 50.625 144.520 50.795 ;
+        RECT 142.810 49.495 143.090 50.095 ;
+        RECT 143.260 49.095 143.430 50.625 ;
+        RECT 143.600 50.125 144.180 50.455 ;
+        RECT 143.600 49.255 143.840 50.125 ;
+        RECT 144.350 49.845 144.520 50.625 ;
+        RECT 144.770 50.575 145.140 51.075 ;
+        RECT 145.320 50.625 145.780 50.795 ;
+        RECT 146.010 50.625 146.680 50.795 ;
+        RECT 145.320 50.395 145.490 50.625 ;
+        RECT 144.690 50.095 145.490 50.395 ;
+        RECT 145.660 50.125 146.210 50.455 ;
+        RECT 144.690 50.065 144.860 50.095 ;
+        RECT 144.980 49.845 145.150 49.915 ;
+        RECT 144.350 49.675 145.150 49.845 ;
+        RECT 144.640 49.585 145.150 49.675 ;
+        RECT 144.030 49.150 144.470 49.505 ;
+        RECT 142.810 48.525 143.075 48.985 ;
+        RECT 143.260 48.720 143.495 49.095 ;
+        RECT 144.640 48.970 144.810 49.585 ;
+        RECT 143.740 48.800 144.810 48.970 ;
+        RECT 144.980 48.525 145.150 49.325 ;
+        RECT 145.320 49.025 145.490 50.095 ;
+        RECT 145.660 49.195 145.850 49.915 ;
+        RECT 146.020 49.585 146.210 50.125 ;
+        RECT 146.510 50.085 146.680 50.625 ;
+        RECT 146.995 50.545 147.165 51.075 ;
+        RECT 147.460 50.425 147.820 50.865 ;
+        RECT 147.995 50.595 148.165 51.075 ;
+        RECT 148.865 50.600 149.035 51.075 ;
+        RECT 149.715 50.600 149.885 51.075 ;
+        RECT 147.460 50.255 147.960 50.425 ;
+        RECT 147.790 50.085 147.960 50.255 ;
+        RECT 150.195 50.305 153.705 51.075 ;
+        RECT 153.965 50.525 154.135 50.815 ;
+        RECT 154.305 50.695 154.635 51.075 ;
+        RECT 153.965 50.355 154.630 50.525 ;
+        RECT 146.510 49.915 147.600 50.085 ;
+        RECT 147.790 49.915 149.610 50.085 ;
+        RECT 146.020 49.255 146.340 49.585 ;
+        RECT 145.320 48.695 145.570 49.025 ;
+        RECT 146.510 48.995 146.680 49.915 ;
+        RECT 147.790 49.660 147.960 49.915 ;
+        RECT 150.195 49.785 151.845 50.305 ;
+        RECT 146.850 49.490 147.960 49.660 ;
+        RECT 152.015 49.615 153.705 50.135 ;
+        RECT 146.850 49.330 147.710 49.490 ;
+        RECT 145.795 48.825 146.680 48.995 ;
+        RECT 146.860 48.525 147.075 49.025 ;
+        RECT 147.540 48.705 147.710 49.330 ;
+        RECT 147.995 48.525 148.175 49.305 ;
+        RECT 148.870 48.525 149.040 49.355 ;
+        RECT 149.710 48.525 149.880 49.355 ;
+        RECT 150.195 48.525 153.705 49.615 ;
+        RECT 153.880 49.535 154.230 50.185 ;
+        RECT 154.400 49.365 154.630 50.355 ;
+        RECT 153.965 49.195 154.630 49.365 ;
+        RECT 153.965 48.695 154.135 49.195 ;
+        RECT 154.305 48.525 154.635 49.025 ;
+        RECT 154.805 48.695 155.030 50.815 ;
+        RECT 155.245 50.615 155.495 51.075 ;
+        RECT 155.680 50.625 156.010 50.795 ;
+        RECT 156.190 50.625 156.940 50.795 ;
+        RECT 155.230 49.495 155.510 50.095 ;
+        RECT 155.680 49.095 155.850 50.625 ;
+        RECT 156.020 50.125 156.600 50.455 ;
+        RECT 156.020 49.255 156.260 50.125 ;
+        RECT 156.770 49.845 156.940 50.625 ;
+        RECT 157.190 50.575 157.560 51.075 ;
+        RECT 157.740 50.625 158.200 50.795 ;
+        RECT 158.430 50.625 159.100 50.795 ;
+        RECT 157.740 50.395 157.910 50.625 ;
+        RECT 157.110 50.095 157.910 50.395 ;
+        RECT 158.080 50.125 158.630 50.455 ;
+        RECT 157.110 50.065 157.280 50.095 ;
+        RECT 157.400 49.845 157.570 49.915 ;
+        RECT 156.770 49.675 157.570 49.845 ;
+        RECT 157.060 49.585 157.570 49.675 ;
+        RECT 156.450 49.150 156.890 49.505 ;
+        RECT 155.230 48.525 155.495 48.985 ;
+        RECT 155.680 48.720 155.915 49.095 ;
+        RECT 157.060 48.970 157.230 49.585 ;
+        RECT 156.160 48.800 157.230 48.970 ;
+        RECT 157.400 48.525 157.570 49.325 ;
+        RECT 157.740 49.025 157.910 50.095 ;
+        RECT 158.080 49.195 158.270 49.915 ;
+        RECT 158.440 49.585 158.630 50.125 ;
+        RECT 158.930 50.085 159.100 50.625 ;
+        RECT 159.415 50.545 159.585 51.075 ;
+        RECT 159.880 50.425 160.240 50.865 ;
+        RECT 160.415 50.595 160.585 51.075 ;
+        RECT 160.775 50.430 161.110 50.855 ;
+        RECT 161.285 50.600 161.455 51.075 ;
+        RECT 161.630 50.430 161.965 50.855 ;
+        RECT 162.135 50.600 162.305 51.075 ;
+        RECT 159.880 50.255 160.380 50.425 ;
+        RECT 160.775 50.260 162.445 50.430 ;
+        RECT 160.210 50.085 160.380 50.255 ;
+        RECT 158.930 49.915 160.020 50.085 ;
+        RECT 160.210 49.915 162.030 50.085 ;
+        RECT 158.440 49.255 158.760 49.585 ;
+        RECT 157.740 48.695 157.990 49.025 ;
+        RECT 158.930 48.995 159.100 49.915 ;
+        RECT 160.210 49.660 160.380 49.915 ;
+        RECT 162.200 49.695 162.445 50.260 ;
+        RECT 162.615 50.305 167.960 51.075 ;
+        RECT 169.055 50.350 169.345 51.075 ;
+        RECT 169.605 50.525 169.775 50.815 ;
+        RECT 169.945 50.695 170.275 51.075 ;
+        RECT 169.605 50.355 170.270 50.525 ;
+        RECT 162.615 49.785 165.195 50.305 ;
+        RECT 159.270 49.490 160.380 49.660 ;
+        RECT 160.775 49.525 162.445 49.695 ;
+        RECT 165.365 49.615 167.960 50.135 ;
+        RECT 159.270 49.330 160.130 49.490 ;
+        RECT 158.215 48.825 159.100 48.995 ;
+        RECT 159.280 48.525 159.495 49.025 ;
+        RECT 159.960 48.705 160.130 49.330 ;
+        RECT 160.415 48.525 160.595 49.305 ;
+        RECT 160.775 48.765 161.110 49.525 ;
+        RECT 161.290 48.525 161.460 49.355 ;
+        RECT 161.630 48.765 161.960 49.525 ;
+        RECT 162.130 48.525 162.300 49.355 ;
+        RECT 162.615 48.525 167.960 49.615 ;
+        RECT 169.055 48.525 169.345 49.690 ;
+        RECT 169.520 49.535 169.870 50.185 ;
+        RECT 170.040 49.365 170.270 50.355 ;
+        RECT 169.605 49.195 170.270 49.365 ;
+        RECT 169.605 48.695 169.775 49.195 ;
+        RECT 169.945 48.525 170.275 49.025 ;
+        RECT 170.445 48.695 170.670 50.815 ;
+        RECT 170.885 50.615 171.135 51.075 ;
+        RECT 171.320 50.625 171.650 50.795 ;
+        RECT 171.830 50.625 172.580 50.795 ;
+        RECT 170.870 49.495 171.150 50.095 ;
+        RECT 171.320 49.095 171.490 50.625 ;
+        RECT 171.660 50.125 172.240 50.455 ;
+        RECT 171.660 49.255 171.900 50.125 ;
+        RECT 172.410 49.845 172.580 50.625 ;
+        RECT 172.830 50.575 173.200 51.075 ;
+        RECT 173.380 50.625 173.840 50.795 ;
+        RECT 174.070 50.625 174.740 50.795 ;
+        RECT 173.380 50.395 173.550 50.625 ;
+        RECT 172.750 50.095 173.550 50.395 ;
+        RECT 173.720 50.125 174.270 50.455 ;
+        RECT 172.750 50.065 172.920 50.095 ;
+        RECT 173.040 49.845 173.210 49.915 ;
+        RECT 172.410 49.675 173.210 49.845 ;
+        RECT 172.700 49.585 173.210 49.675 ;
+        RECT 172.090 49.150 172.530 49.505 ;
+        RECT 170.870 48.525 171.135 48.985 ;
+        RECT 171.320 48.720 171.555 49.095 ;
+        RECT 172.700 48.970 172.870 49.585 ;
+        RECT 171.800 48.800 172.870 48.970 ;
+        RECT 173.040 48.525 173.210 49.325 ;
+        RECT 173.380 49.025 173.550 50.095 ;
+        RECT 173.720 49.195 173.910 49.915 ;
+        RECT 174.080 49.585 174.270 50.125 ;
+        RECT 174.570 50.085 174.740 50.625 ;
+        RECT 175.055 50.545 175.225 51.075 ;
+        RECT 175.520 50.425 175.880 50.865 ;
+        RECT 176.055 50.595 176.225 51.075 ;
+        RECT 176.415 50.430 176.750 50.855 ;
+        RECT 176.925 50.600 177.095 51.075 ;
+        RECT 177.270 50.430 177.605 50.855 ;
+        RECT 177.775 50.600 177.945 51.075 ;
+        RECT 175.520 50.255 176.020 50.425 ;
+        RECT 176.415 50.260 178.085 50.430 ;
+        RECT 175.850 50.085 176.020 50.255 ;
+        RECT 174.570 49.915 175.660 50.085 ;
+        RECT 175.850 49.915 177.670 50.085 ;
+        RECT 174.080 49.255 174.400 49.585 ;
+        RECT 173.380 48.695 173.630 49.025 ;
+        RECT 174.570 48.995 174.740 49.915 ;
+        RECT 175.850 49.660 176.020 49.915 ;
+        RECT 177.840 49.695 178.085 50.260 ;
+        RECT 178.255 50.305 181.765 51.075 ;
+        RECT 182.025 50.525 182.195 50.815 ;
+        RECT 182.365 50.695 182.695 51.075 ;
+        RECT 182.025 50.355 182.690 50.525 ;
+        RECT 178.255 49.785 179.905 50.305 ;
+        RECT 174.910 49.490 176.020 49.660 ;
+        RECT 176.415 49.525 178.085 49.695 ;
+        RECT 180.075 49.615 181.765 50.135 ;
+        RECT 174.910 49.330 175.770 49.490 ;
+        RECT 173.855 48.825 174.740 48.995 ;
+        RECT 174.920 48.525 175.135 49.025 ;
+        RECT 175.600 48.705 175.770 49.330 ;
+        RECT 176.055 48.525 176.235 49.305 ;
+        RECT 176.415 48.765 176.750 49.525 ;
+        RECT 176.930 48.525 177.100 49.355 ;
+        RECT 177.270 48.765 177.600 49.525 ;
+        RECT 177.770 48.525 177.940 49.355 ;
+        RECT 178.255 48.525 181.765 49.615 ;
+        RECT 181.940 49.535 182.290 50.185 ;
+        RECT 182.460 49.365 182.690 50.355 ;
+        RECT 182.025 49.195 182.690 49.365 ;
+        RECT 182.025 48.695 182.195 49.195 ;
+        RECT 182.365 48.525 182.695 49.025 ;
+        RECT 182.865 48.695 183.090 50.815 ;
+        RECT 183.305 50.615 183.555 51.075 ;
+        RECT 183.740 50.625 184.070 50.795 ;
+        RECT 184.250 50.625 185.000 50.795 ;
+        RECT 183.290 49.495 183.570 50.095 ;
+        RECT 183.740 49.095 183.910 50.625 ;
+        RECT 184.080 50.125 184.660 50.455 ;
+        RECT 184.080 49.255 184.320 50.125 ;
+        RECT 184.830 49.845 185.000 50.625 ;
+        RECT 185.250 50.575 185.620 51.075 ;
+        RECT 185.800 50.625 186.260 50.795 ;
+        RECT 186.490 50.625 187.160 50.795 ;
+        RECT 185.800 50.395 185.970 50.625 ;
+        RECT 185.170 50.095 185.970 50.395 ;
+        RECT 186.140 50.125 186.690 50.455 ;
+        RECT 185.170 50.065 185.340 50.095 ;
+        RECT 185.460 49.845 185.630 49.915 ;
+        RECT 184.830 49.675 185.630 49.845 ;
+        RECT 185.120 49.585 185.630 49.675 ;
+        RECT 184.510 49.150 184.950 49.505 ;
+        RECT 183.290 48.525 183.555 48.985 ;
+        RECT 183.740 48.720 183.975 49.095 ;
+        RECT 185.120 48.970 185.290 49.585 ;
+        RECT 184.220 48.800 185.290 48.970 ;
+        RECT 185.460 48.525 185.630 49.325 ;
+        RECT 185.800 49.025 185.970 50.095 ;
+        RECT 186.140 49.195 186.330 49.915 ;
+        RECT 186.500 49.585 186.690 50.125 ;
+        RECT 186.990 50.085 187.160 50.625 ;
+        RECT 187.475 50.545 187.645 51.075 ;
+        RECT 187.940 50.425 188.300 50.865 ;
+        RECT 188.475 50.595 188.645 51.075 ;
+        RECT 188.835 50.430 189.170 50.855 ;
+        RECT 189.345 50.600 189.515 51.075 ;
+        RECT 189.690 50.430 190.025 50.855 ;
+        RECT 190.195 50.600 190.365 51.075 ;
+        RECT 187.940 50.255 188.440 50.425 ;
+        RECT 188.835 50.260 190.505 50.430 ;
+        RECT 188.270 50.085 188.440 50.255 ;
+        RECT 186.990 49.915 188.080 50.085 ;
+        RECT 188.270 49.915 190.090 50.085 ;
+        RECT 186.500 49.255 186.820 49.585 ;
+        RECT 185.800 48.695 186.050 49.025 ;
+        RECT 186.990 48.995 187.160 49.915 ;
+        RECT 188.270 49.660 188.440 49.915 ;
+        RECT 190.260 49.695 190.505 50.260 ;
+        RECT 190.675 50.305 194.185 51.075 ;
+        RECT 194.355 50.400 194.615 50.905 ;
+        RECT 194.795 50.695 195.125 51.075 ;
+        RECT 195.305 50.525 195.475 50.905 ;
+        RECT 190.675 49.785 192.325 50.305 ;
+        RECT 187.330 49.490 188.440 49.660 ;
+        RECT 188.835 49.525 190.505 49.695 ;
+        RECT 192.495 49.615 194.185 50.135 ;
+        RECT 187.330 49.330 188.190 49.490 ;
+        RECT 186.275 48.825 187.160 48.995 ;
+        RECT 187.340 48.525 187.555 49.025 ;
+        RECT 188.020 48.705 188.190 49.330 ;
+        RECT 188.475 48.525 188.655 49.305 ;
+        RECT 188.835 48.765 189.170 49.525 ;
+        RECT 189.350 48.525 189.520 49.355 ;
+        RECT 189.690 48.765 190.020 49.525 ;
+        RECT 190.190 48.525 190.360 49.355 ;
+        RECT 190.675 48.525 194.185 49.615 ;
+        RECT 194.355 49.600 194.525 50.400 ;
+        RECT 194.810 50.355 195.475 50.525 ;
+        RECT 194.810 50.100 194.980 50.355 ;
+        RECT 195.735 50.325 196.945 51.075 ;
+        RECT 197.115 50.350 197.405 51.075 ;
+        RECT 197.665 50.525 197.835 50.815 ;
+        RECT 198.005 50.695 198.335 51.075 ;
+        RECT 197.665 50.355 198.330 50.525 ;
+        RECT 194.695 49.770 194.980 50.100 ;
+        RECT 195.215 49.805 195.545 50.175 ;
+        RECT 195.735 49.785 196.255 50.325 ;
+        RECT 194.810 49.625 194.980 49.770 ;
+        RECT 194.355 48.695 194.625 49.600 ;
+        RECT 194.810 49.455 195.475 49.625 ;
+        RECT 196.425 49.615 196.945 50.155 ;
+        RECT 194.795 48.525 195.125 49.285 ;
+        RECT 195.305 48.695 195.475 49.455 ;
+        RECT 195.735 48.525 196.945 49.615 ;
+        RECT 197.115 48.525 197.405 49.690 ;
+        RECT 197.580 49.535 197.930 50.185 ;
+        RECT 198.100 49.365 198.330 50.355 ;
+        RECT 197.665 49.195 198.330 49.365 ;
+        RECT 197.665 48.695 197.835 49.195 ;
+        RECT 198.005 48.525 198.335 49.025 ;
+        RECT 198.505 48.695 198.730 50.815 ;
+        RECT 198.945 50.615 199.195 51.075 ;
+        RECT 199.380 50.625 199.710 50.795 ;
+        RECT 199.890 50.625 200.640 50.795 ;
+        RECT 198.930 49.495 199.210 50.095 ;
+        RECT 199.380 49.095 199.550 50.625 ;
+        RECT 199.720 50.125 200.300 50.455 ;
+        RECT 199.720 49.255 199.960 50.125 ;
+        RECT 200.470 49.845 200.640 50.625 ;
+        RECT 200.890 50.575 201.260 51.075 ;
+        RECT 201.440 50.625 201.900 50.795 ;
+        RECT 202.130 50.625 202.800 50.795 ;
+        RECT 201.440 50.395 201.610 50.625 ;
+        RECT 200.810 50.095 201.610 50.395 ;
+        RECT 201.780 50.125 202.330 50.455 ;
+        RECT 200.810 50.065 200.980 50.095 ;
+        RECT 201.100 49.845 201.270 49.915 ;
+        RECT 200.470 49.675 201.270 49.845 ;
+        RECT 200.760 49.585 201.270 49.675 ;
+        RECT 200.150 49.150 200.590 49.505 ;
+        RECT 198.930 48.525 199.195 48.985 ;
+        RECT 199.380 48.720 199.615 49.095 ;
+        RECT 200.760 48.970 200.930 49.585 ;
+        RECT 199.860 48.800 200.930 48.970 ;
+        RECT 201.100 48.525 201.270 49.325 ;
+        RECT 201.440 49.025 201.610 50.095 ;
+        RECT 201.780 49.195 201.970 49.915 ;
+        RECT 202.140 49.585 202.330 50.125 ;
+        RECT 202.630 50.085 202.800 50.625 ;
+        RECT 203.115 50.545 203.285 51.075 ;
+        RECT 203.580 50.425 203.940 50.865 ;
+        RECT 204.115 50.595 204.285 51.075 ;
+        RECT 204.475 50.430 204.810 50.855 ;
+        RECT 204.985 50.600 205.155 51.075 ;
+        RECT 205.330 50.430 205.665 50.855 ;
+        RECT 205.835 50.600 206.005 51.075 ;
+        RECT 203.580 50.255 204.080 50.425 ;
+        RECT 204.475 50.260 206.145 50.430 ;
+        RECT 203.910 50.085 204.080 50.255 ;
+        RECT 202.630 49.915 203.720 50.085 ;
+        RECT 203.910 49.915 205.730 50.085 ;
+        RECT 202.140 49.255 202.460 49.585 ;
+        RECT 201.440 48.695 201.690 49.025 ;
+        RECT 202.630 48.995 202.800 49.915 ;
+        RECT 203.910 49.660 204.080 49.915 ;
+        RECT 205.900 49.695 206.145 50.260 ;
+        RECT 206.315 50.305 209.825 51.075 ;
+        RECT 206.315 49.785 207.965 50.305 ;
+        RECT 210.085 50.265 210.255 51.075 ;
+        RECT 210.425 50.685 211.595 50.905 ;
+        RECT 210.425 50.255 210.675 50.685 ;
+        RECT 211.765 50.605 211.935 51.075 ;
+        RECT 210.845 50.425 211.180 50.515 ;
+        RECT 212.105 50.425 212.435 50.905 ;
+        RECT 212.605 50.605 213.295 51.075 ;
+        RECT 213.465 50.435 213.795 50.905 ;
+        RECT 213.965 50.605 214.135 51.075 ;
+        RECT 214.305 50.435 214.635 50.905 ;
+        RECT 210.845 50.255 212.435 50.425 ;
+        RECT 212.865 50.255 214.635 50.435 ;
+        RECT 214.805 50.265 214.975 51.075 ;
+        RECT 215.145 50.435 215.475 50.885 ;
+        RECT 215.645 50.605 215.815 51.075 ;
+        RECT 215.985 50.435 216.315 50.885 ;
+        RECT 216.485 50.605 216.655 51.075 ;
+        RECT 215.145 50.255 216.830 50.435 ;
+        RECT 202.970 49.490 204.080 49.660 ;
+        RECT 204.475 49.525 206.145 49.695 ;
+        RECT 208.135 49.615 209.825 50.135 ;
+        RECT 202.970 49.330 203.830 49.490 ;
+        RECT 201.915 48.825 202.800 48.995 ;
+        RECT 202.980 48.525 203.195 49.025 ;
+        RECT 203.660 48.705 203.830 49.330 ;
+        RECT 204.115 48.525 204.295 49.305 ;
+        RECT 204.475 48.765 204.810 49.525 ;
+        RECT 204.990 48.525 205.160 49.355 ;
+        RECT 205.330 48.765 205.660 49.525 ;
+        RECT 205.830 48.525 206.000 49.355 ;
+        RECT 206.315 48.525 209.825 49.615 ;
+        RECT 209.995 49.715 210.485 50.085 ;
+        RECT 210.715 49.885 211.255 50.085 ;
+        RECT 211.425 49.915 211.805 50.085 ;
+        RECT 211.425 49.715 211.595 49.915 ;
+        RECT 209.995 49.545 211.595 49.715 ;
+        RECT 212.145 49.745 212.355 50.255 ;
+        RECT 212.865 50.085 213.055 50.255 ;
+        RECT 212.525 49.915 213.055 50.085 ;
+        RECT 211.765 49.375 211.975 49.705 ;
+        RECT 210.045 49.205 211.975 49.375 ;
+        RECT 210.045 48.695 210.295 49.205 ;
+        RECT 210.465 48.525 210.715 49.035 ;
+        RECT 210.885 48.695 211.135 49.205 ;
+        RECT 211.305 48.525 211.555 49.035 ;
+        RECT 211.725 48.865 211.975 49.205 ;
+        RECT 212.145 49.195 212.530 49.745 ;
+        RECT 212.865 49.665 213.055 49.915 ;
+        RECT 213.225 49.835 213.555 50.085 ;
+        RECT 213.725 49.885 214.345 50.085 ;
+        RECT 213.385 49.715 213.555 49.835 ;
+        RECT 214.515 49.715 214.875 50.085 ;
+        RECT 212.865 49.495 213.215 49.665 ;
+        RECT 213.385 49.545 214.875 49.715 ;
+        RECT 215.045 49.915 216.350 50.085 ;
+        RECT 215.045 49.545 215.370 49.915 ;
+        RECT 216.520 49.745 216.830 50.255 ;
+        RECT 217.355 50.305 222.700 51.075 ;
+        RECT 222.875 50.305 224.545 51.075 ;
+        RECT 225.175 50.350 225.465 51.075 ;
+        RECT 225.635 50.305 229.145 51.075 ;
+        RECT 230.155 50.785 230.490 50.905 ;
+        RECT 230.155 50.595 231.415 50.785 ;
+        RECT 231.595 50.715 231.925 51.075 ;
+        RECT 232.500 50.715 232.830 51.075 ;
+        RECT 230.155 50.355 230.490 50.595 ;
+        RECT 231.225 50.545 231.415 50.595 ;
+        RECT 232.140 50.545 232.330 50.645 ;
+        RECT 233.000 50.545 233.190 50.905 ;
+        RECT 233.360 50.715 233.690 51.075 ;
+        RECT 217.355 49.785 219.935 50.305 ;
+        RECT 213.045 49.375 213.215 49.495 ;
+        RECT 216.025 49.505 216.830 49.745 ;
+        RECT 220.105 49.615 222.700 50.135 ;
+        RECT 222.875 49.785 223.625 50.305 ;
+        RECT 223.795 49.615 224.545 50.135 ;
+        RECT 225.635 49.785 227.285 50.305 ;
+        RECT 216.025 49.375 216.275 49.505 ;
+        RECT 213.045 49.195 214.175 49.375 ;
+        RECT 212.145 49.035 212.395 49.195 ;
+        RECT 213.925 49.035 214.175 49.195 ;
+        RECT 212.565 48.865 212.815 49.025 ;
+        RECT 211.725 48.695 212.815 48.865 ;
+        RECT 213.085 48.525 213.335 49.025 ;
+        RECT 213.505 48.865 213.755 49.025 ;
+        RECT 214.345 48.865 214.595 49.375 ;
+        RECT 213.505 48.695 214.595 48.865 ;
+        RECT 214.765 48.525 215.015 49.365 ;
+        RECT 215.185 49.205 216.275 49.375 ;
+        RECT 215.185 48.695 215.435 49.205 ;
+        RECT 215.605 48.525 215.855 48.995 ;
+        RECT 216.025 48.695 216.275 49.205 ;
+        RECT 216.445 48.525 216.695 49.335 ;
+        RECT 217.355 48.525 222.700 49.615 ;
+        RECT 222.875 48.525 224.545 49.615 ;
+        RECT 225.175 48.525 225.465 49.690 ;
+        RECT 227.455 49.615 229.145 50.135 ;
+        RECT 225.635 48.525 229.145 49.615 ;
+        RECT 229.805 49.820 230.565 50.165 ;
+        RECT 230.755 49.820 231.045 50.415 ;
+        RECT 231.225 50.355 231.970 50.545 ;
+        RECT 231.215 49.835 231.590 50.165 ;
+        RECT 231.760 50.140 231.970 50.355 ;
+        RECT 232.140 50.315 233.745 50.545 ;
+        RECT 229.805 48.825 230.055 49.820 ;
+        RECT 231.760 49.805 233.295 50.140 ;
+        RECT 231.760 49.580 231.970 49.805 ;
+        RECT 233.465 49.625 233.745 50.315 ;
+        RECT 233.915 50.305 237.425 51.075 ;
+        RECT 233.915 49.785 235.565 50.305 ;
+        RECT 237.685 50.265 237.855 51.075 ;
+        RECT 238.025 50.685 239.195 50.905 ;
+        RECT 238.025 50.255 238.275 50.685 ;
+        RECT 239.365 50.605 239.535 51.075 ;
+        RECT 238.445 50.425 238.780 50.515 ;
+        RECT 239.705 50.425 240.035 50.905 ;
+        RECT 240.205 50.605 240.895 51.075 ;
+        RECT 241.065 50.435 241.395 50.905 ;
+        RECT 241.565 50.605 241.735 51.075 ;
+        RECT 241.905 50.435 242.235 50.905 ;
+        RECT 238.445 50.255 240.035 50.425 ;
+        RECT 240.465 50.255 242.235 50.435 ;
+        RECT 242.405 50.265 242.575 51.075 ;
+        RECT 242.745 50.435 243.075 50.885 ;
+        RECT 243.245 50.605 243.415 51.075 ;
+        RECT 243.585 50.435 243.915 50.885 ;
+        RECT 244.085 50.605 244.255 51.075 ;
+        RECT 242.745 50.255 244.430 50.435 ;
+        RECT 230.235 49.410 231.970 49.580 ;
+        RECT 230.235 48.695 230.415 49.410 ;
+        RECT 230.585 48.525 231.035 49.225 ;
+        RECT 231.210 48.695 231.390 49.410 ;
+        RECT 232.140 49.400 233.745 49.625 ;
+        RECT 235.735 49.615 237.425 50.135 ;
+        RECT 231.600 48.525 231.930 49.225 ;
+        RECT 232.140 49.035 232.330 49.400 ;
+        RECT 233.000 49.395 233.745 49.400 ;
+        RECT 232.135 48.865 232.330 49.035 ;
+        RECT 232.140 48.695 232.330 48.865 ;
+        RECT 232.500 48.525 232.830 49.225 ;
+        RECT 233.000 48.695 233.190 49.395 ;
+        RECT 233.360 48.525 233.690 49.225 ;
+        RECT 233.915 48.525 237.425 49.615 ;
+        RECT 237.595 49.715 238.085 50.085 ;
+        RECT 238.315 49.885 238.855 50.085 ;
+        RECT 239.025 49.915 239.405 50.085 ;
+        RECT 239.025 49.715 239.195 49.915 ;
+        RECT 237.595 49.545 239.195 49.715 ;
+        RECT 239.745 49.745 239.955 50.255 ;
+        RECT 240.465 50.085 240.655 50.255 ;
+        RECT 240.125 49.915 240.655 50.085 ;
+        RECT 239.365 49.375 239.575 49.705 ;
+        RECT 237.645 49.205 239.575 49.375 ;
+        RECT 237.645 48.695 237.895 49.205 ;
+        RECT 238.065 48.525 238.315 49.035 ;
+        RECT 238.485 48.695 238.735 49.205 ;
+        RECT 238.905 48.525 239.155 49.035 ;
+        RECT 239.325 48.865 239.575 49.205 ;
+        RECT 239.745 49.195 240.130 49.745 ;
+        RECT 240.465 49.665 240.655 49.915 ;
+        RECT 240.825 49.835 241.155 50.085 ;
+        RECT 241.325 49.885 241.945 50.085 ;
+        RECT 240.985 49.715 241.155 49.835 ;
+        RECT 242.115 49.715 242.475 50.085 ;
+        RECT 240.465 49.495 240.815 49.665 ;
+        RECT 240.985 49.545 242.475 49.715 ;
+        RECT 242.645 49.915 243.950 50.085 ;
+        RECT 242.645 49.545 242.970 49.915 ;
+        RECT 244.120 49.745 244.430 50.255 ;
+        RECT 244.955 50.305 250.300 51.075 ;
+        RECT 250.475 50.305 253.065 51.075 ;
+        RECT 253.235 50.350 253.525 51.075 ;
+        RECT 244.955 49.785 247.535 50.305 ;
+        RECT 240.645 49.375 240.815 49.495 ;
+        RECT 243.625 49.505 244.430 49.745 ;
+        RECT 247.705 49.615 250.300 50.135 ;
+        RECT 250.475 49.785 251.685 50.305 ;
+        RECT 253.785 50.265 253.955 51.075 ;
+        RECT 254.125 50.685 255.295 50.905 ;
+        RECT 254.125 50.255 254.375 50.685 ;
+        RECT 255.465 50.605 255.635 51.075 ;
+        RECT 254.545 50.425 254.880 50.515 ;
+        RECT 255.805 50.425 256.135 50.905 ;
+        RECT 256.305 50.605 256.995 51.075 ;
+        RECT 257.165 50.435 257.495 50.905 ;
+        RECT 257.665 50.605 257.835 51.075 ;
+        RECT 258.005 50.435 258.335 50.905 ;
+        RECT 254.545 50.255 256.135 50.425 ;
+        RECT 256.565 50.255 258.335 50.435 ;
+        RECT 258.505 50.265 258.675 51.075 ;
+        RECT 258.845 50.435 259.175 50.885 ;
+        RECT 259.345 50.605 259.515 51.075 ;
+        RECT 259.685 50.435 260.015 50.885 ;
+        RECT 260.185 50.605 260.355 51.075 ;
+        RECT 258.845 50.255 260.530 50.435 ;
+        RECT 251.855 49.615 253.065 50.135 ;
+        RECT 253.695 49.715 254.185 50.085 ;
+        RECT 254.415 49.885 254.955 50.085 ;
+        RECT 255.125 49.915 255.505 50.085 ;
+        RECT 255.125 49.715 255.295 49.915 ;
+        RECT 243.625 49.375 243.875 49.505 ;
+        RECT 240.645 49.195 241.775 49.375 ;
+        RECT 239.745 49.035 239.995 49.195 ;
+        RECT 241.525 49.035 241.775 49.195 ;
+        RECT 240.165 48.865 240.415 49.025 ;
+        RECT 239.325 48.695 240.415 48.865 ;
+        RECT 240.685 48.525 240.935 49.025 ;
+        RECT 241.105 48.865 241.355 49.025 ;
+        RECT 241.945 48.865 242.195 49.375 ;
+        RECT 241.105 48.695 242.195 48.865 ;
+        RECT 242.365 48.525 242.615 49.365 ;
+        RECT 242.785 49.205 243.875 49.375 ;
+        RECT 242.785 48.695 243.035 49.205 ;
+        RECT 243.205 48.525 243.455 48.995 ;
+        RECT 243.625 48.695 243.875 49.205 ;
+        RECT 244.045 48.525 244.295 49.335 ;
+        RECT 244.955 48.525 250.300 49.615 ;
+        RECT 250.475 48.525 253.065 49.615 ;
+        RECT 253.235 48.525 253.525 49.690 ;
+        RECT 253.695 49.545 255.295 49.715 ;
+        RECT 255.845 49.745 256.055 50.255 ;
+        RECT 256.565 50.085 256.755 50.255 ;
+        RECT 256.225 49.915 256.755 50.085 ;
+        RECT 255.465 49.375 255.675 49.705 ;
+        RECT 253.745 49.205 255.675 49.375 ;
+        RECT 253.745 48.695 253.995 49.205 ;
+        RECT 254.165 48.525 254.415 49.035 ;
+        RECT 254.585 48.695 254.835 49.205 ;
+        RECT 255.005 48.525 255.255 49.035 ;
+        RECT 255.425 48.865 255.675 49.205 ;
+        RECT 255.845 49.195 256.230 49.745 ;
+        RECT 256.565 49.665 256.755 49.915 ;
+        RECT 256.925 49.835 257.255 50.085 ;
+        RECT 257.425 49.885 258.045 50.085 ;
+        RECT 257.085 49.715 257.255 49.835 ;
+        RECT 258.215 49.715 258.575 50.085 ;
+        RECT 256.565 49.495 256.915 49.665 ;
+        RECT 257.085 49.545 258.575 49.715 ;
+        RECT 258.745 49.915 260.050 50.085 ;
+        RECT 258.745 49.545 259.070 49.915 ;
+        RECT 260.220 49.745 260.530 50.255 ;
+        RECT 261.055 50.305 264.565 51.075 ;
+        RECT 264.825 50.525 264.995 50.905 ;
+        RECT 265.210 50.695 265.540 51.075 ;
+        RECT 264.825 50.355 265.540 50.525 ;
+        RECT 261.055 49.785 262.705 50.305 ;
+        RECT 256.745 49.375 256.915 49.495 ;
+        RECT 259.725 49.505 260.530 49.745 ;
+        RECT 262.875 49.615 264.565 50.135 ;
+        RECT 264.735 49.805 265.090 50.175 ;
+        RECT 265.370 50.165 265.540 50.355 ;
+        RECT 265.710 50.330 265.965 50.905 ;
+        RECT 265.370 49.835 265.625 50.165 ;
+        RECT 265.370 49.625 265.540 49.835 ;
+        RECT 259.725 49.375 259.975 49.505 ;
+        RECT 256.745 49.195 257.875 49.375 ;
+        RECT 255.845 49.035 256.095 49.195 ;
+        RECT 257.625 49.035 257.875 49.195 ;
+        RECT 256.265 48.865 256.515 49.025 ;
+        RECT 255.425 48.695 256.515 48.865 ;
+        RECT 256.785 48.525 257.035 49.025 ;
+        RECT 257.205 48.865 257.455 49.025 ;
+        RECT 258.045 48.865 258.295 49.375 ;
+        RECT 257.205 48.695 258.295 48.865 ;
+        RECT 258.465 48.525 258.715 49.365 ;
+        RECT 258.885 49.205 259.975 49.375 ;
+        RECT 258.885 48.695 259.135 49.205 ;
+        RECT 259.305 48.525 259.555 48.995 ;
+        RECT 259.725 48.695 259.975 49.205 ;
+        RECT 260.145 48.525 260.395 49.335 ;
+        RECT 261.055 48.525 264.565 49.615 ;
+        RECT 264.825 49.455 265.540 49.625 ;
+        RECT 265.795 49.600 265.965 50.330 ;
+        RECT 266.140 50.235 266.400 51.075 ;
+        RECT 266.575 50.305 270.085 51.075 ;
+        RECT 266.575 49.785 268.225 50.305 ;
+        RECT 270.345 50.265 270.515 51.075 ;
+        RECT 270.685 50.685 271.855 50.905 ;
+        RECT 270.685 50.255 270.935 50.685 ;
+        RECT 272.025 50.605 272.195 51.075 ;
+        RECT 271.105 50.425 271.440 50.515 ;
+        RECT 272.365 50.425 272.695 50.905 ;
+        RECT 272.865 50.605 273.555 51.075 ;
+        RECT 273.725 50.435 274.055 50.905 ;
+        RECT 274.225 50.605 274.395 51.075 ;
+        RECT 274.565 50.435 274.895 50.905 ;
+        RECT 271.105 50.255 272.695 50.425 ;
+        RECT 273.125 50.255 274.895 50.435 ;
+        RECT 275.065 50.265 275.235 51.075 ;
+        RECT 275.405 50.435 275.735 50.885 ;
+        RECT 275.905 50.605 276.075 51.075 ;
+        RECT 276.245 50.435 276.575 50.885 ;
+        RECT 276.745 50.605 276.915 51.075 ;
+        RECT 275.405 50.255 277.090 50.435 ;
+        RECT 264.825 48.695 264.995 49.455 ;
+        RECT 265.210 48.525 265.540 49.285 ;
+        RECT 265.710 48.695 265.965 49.600 ;
+        RECT 266.140 48.525 266.400 49.675 ;
+        RECT 268.395 49.615 270.085 50.135 ;
+        RECT 266.575 48.525 270.085 49.615 ;
+        RECT 270.255 49.715 270.745 50.085 ;
+        RECT 270.975 49.885 271.515 50.085 ;
+        RECT 271.685 49.915 272.065 50.085 ;
+        RECT 271.685 49.715 271.855 49.915 ;
+        RECT 270.255 49.545 271.855 49.715 ;
+        RECT 272.405 49.745 272.615 50.255 ;
+        RECT 273.125 50.085 273.315 50.255 ;
+        RECT 272.785 49.915 273.315 50.085 ;
+        RECT 272.025 49.375 272.235 49.705 ;
+        RECT 270.305 49.205 272.235 49.375 ;
+        RECT 270.305 48.695 270.555 49.205 ;
+        RECT 270.725 48.525 270.975 49.035 ;
+        RECT 271.145 48.695 271.395 49.205 ;
+        RECT 271.565 48.525 271.815 49.035 ;
+        RECT 271.985 48.865 272.235 49.205 ;
+        RECT 272.405 49.195 272.790 49.745 ;
+        RECT 273.125 49.665 273.315 49.915 ;
+        RECT 273.485 49.835 273.815 50.085 ;
+        RECT 273.985 49.885 274.605 50.085 ;
+        RECT 273.645 49.715 273.815 49.835 ;
+        RECT 274.775 49.715 275.135 50.085 ;
+        RECT 273.125 49.495 273.475 49.665 ;
+        RECT 273.645 49.545 275.135 49.715 ;
+        RECT 275.305 49.915 276.610 50.085 ;
+        RECT 275.305 49.545 275.630 49.915 ;
+        RECT 276.780 49.745 277.090 50.255 ;
+        RECT 277.615 50.305 281.125 51.075 ;
+        RECT 281.295 50.350 281.585 51.075 ;
+        RECT 277.615 49.785 279.265 50.305 ;
+        RECT 281.760 50.255 282.035 51.075 ;
+        RECT 282.205 50.435 282.535 50.905 ;
+        RECT 282.705 50.605 282.875 51.075 ;
+        RECT 283.045 50.435 283.375 50.905 ;
+        RECT 283.545 50.605 283.715 51.075 ;
+        RECT 283.885 50.435 284.215 50.905 ;
+        RECT 284.385 50.605 284.555 51.075 ;
+        RECT 284.725 50.435 285.055 50.905 ;
+        RECT 285.225 50.605 285.510 51.075 ;
+        RECT 282.205 50.255 285.725 50.435 ;
+        RECT 273.305 49.375 273.475 49.495 ;
+        RECT 276.285 49.505 277.090 49.745 ;
+        RECT 279.435 49.615 281.125 50.135 ;
+        RECT 281.810 49.885 283.470 50.085 ;
+        RECT 283.790 49.885 285.155 50.085 ;
+        RECT 285.325 49.715 285.725 50.255 ;
+        RECT 285.895 50.305 289.405 51.075 ;
+        RECT 285.895 49.785 287.545 50.305 ;
+        RECT 289.665 50.265 289.835 51.075 ;
+        RECT 290.005 50.685 291.175 50.905 ;
+        RECT 290.005 50.255 290.255 50.685 ;
+        RECT 291.345 50.605 291.515 51.075 ;
+        RECT 290.425 50.425 290.760 50.515 ;
+        RECT 291.685 50.425 292.015 50.905 ;
+        RECT 292.185 50.605 292.875 51.075 ;
+        RECT 293.045 50.435 293.375 50.905 ;
+        RECT 293.545 50.605 293.715 51.075 ;
+        RECT 293.885 50.435 294.215 50.905 ;
+        RECT 290.425 50.255 292.015 50.425 ;
+        RECT 292.445 50.255 294.215 50.435 ;
+        RECT 294.385 50.265 294.555 51.075 ;
+        RECT 294.725 50.435 295.055 50.885 ;
+        RECT 295.225 50.605 295.395 51.075 ;
+        RECT 295.565 50.435 295.895 50.885 ;
+        RECT 296.065 50.605 296.235 51.075 ;
+        RECT 294.725 50.255 296.410 50.435 ;
+        RECT 276.285 49.375 276.535 49.505 ;
+        RECT 273.305 49.195 274.435 49.375 ;
+        RECT 272.405 49.035 272.655 49.195 ;
+        RECT 274.185 49.035 274.435 49.195 ;
+        RECT 272.825 48.865 273.075 49.025 ;
+        RECT 271.985 48.695 273.075 48.865 ;
+        RECT 273.345 48.525 273.595 49.025 ;
+        RECT 273.765 48.865 274.015 49.025 ;
+        RECT 274.605 48.865 274.855 49.375 ;
+        RECT 273.765 48.695 274.855 48.865 ;
+        RECT 275.025 48.525 275.275 49.365 ;
+        RECT 275.445 49.205 276.535 49.375 ;
+        RECT 275.445 48.695 275.695 49.205 ;
+        RECT 275.865 48.525 276.115 48.995 ;
+        RECT 276.285 48.695 276.535 49.205 ;
+        RECT 276.705 48.525 276.955 49.335 ;
+        RECT 277.615 48.525 281.125 49.615 ;
+        RECT 281.295 48.525 281.585 49.690 ;
+        RECT 281.760 49.495 283.795 49.705 ;
+        RECT 281.760 48.695 282.035 49.495 ;
+        RECT 282.205 48.525 282.535 49.325 ;
+        RECT 282.705 48.695 282.875 49.495 ;
+        RECT 283.045 48.525 283.295 49.325 ;
+        RECT 283.465 48.865 283.795 49.495 ;
+        RECT 283.965 49.415 285.725 49.715 ;
+        RECT 287.715 49.615 289.405 50.135 ;
+        RECT 283.965 49.035 284.135 49.415 ;
+        RECT 284.305 48.865 284.635 49.225 ;
+        RECT 284.805 49.035 284.975 49.415 ;
+        RECT 285.145 48.865 285.560 49.245 ;
+        RECT 283.465 48.695 285.560 48.865 ;
+        RECT 285.895 48.525 289.405 49.615 ;
+        RECT 289.575 49.715 290.065 50.085 ;
+        RECT 290.295 49.885 290.835 50.085 ;
+        RECT 291.005 49.915 291.385 50.085 ;
+        RECT 291.005 49.715 291.175 49.915 ;
+        RECT 289.575 49.545 291.175 49.715 ;
+        RECT 291.725 49.745 291.935 50.255 ;
+        RECT 292.445 50.085 292.635 50.255 ;
+        RECT 296.075 50.225 296.410 50.255 ;
+        RECT 292.105 49.915 292.635 50.085 ;
+        RECT 291.345 49.375 291.555 49.705 ;
+        RECT 289.625 49.205 291.555 49.375 ;
+        RECT 289.625 48.695 289.875 49.205 ;
+        RECT 290.045 48.525 290.295 49.035 ;
+        RECT 290.465 48.695 290.715 49.205 ;
+        RECT 290.885 48.525 291.135 49.035 ;
+        RECT 291.305 48.865 291.555 49.205 ;
+        RECT 291.725 49.195 292.110 49.745 ;
+        RECT 292.445 49.665 292.635 49.915 ;
+        RECT 292.805 49.835 293.135 50.085 ;
+        RECT 293.305 49.885 293.925 50.085 ;
+        RECT 292.965 49.715 293.135 49.835 ;
+        RECT 294.095 49.715 294.455 50.085 ;
+        RECT 292.445 49.495 292.795 49.665 ;
+        RECT 292.965 49.545 294.455 49.715 ;
+        RECT 294.625 49.915 295.930 50.085 ;
+        RECT 294.625 49.545 294.950 49.915 ;
+        RECT 296.100 49.745 296.410 50.225 ;
+        RECT 296.935 50.305 300.445 51.075 ;
+        RECT 296.935 49.785 298.585 50.305 ;
+        RECT 300.620 50.255 300.895 51.075 ;
+        RECT 301.065 50.435 301.395 50.905 ;
+        RECT 301.565 50.605 301.735 51.075 ;
+        RECT 301.905 50.435 302.235 50.905 ;
+        RECT 302.405 50.605 302.575 51.075 ;
+        RECT 302.745 50.435 303.075 50.905 ;
+        RECT 303.245 50.605 303.415 51.075 ;
+        RECT 303.585 50.435 303.915 50.905 ;
+        RECT 304.085 50.605 304.370 51.075 ;
+        RECT 301.065 50.255 304.585 50.435 ;
+        RECT 292.625 49.375 292.795 49.495 ;
+        RECT 295.605 49.505 296.410 49.745 ;
+        RECT 298.755 49.615 300.445 50.135 ;
+        RECT 300.670 49.885 302.330 50.085 ;
+        RECT 302.650 49.885 304.015 50.085 ;
+        RECT 304.185 49.715 304.585 50.255 ;
+        RECT 304.755 50.305 308.265 51.075 ;
+        RECT 309.355 50.350 309.645 51.075 ;
+        RECT 309.815 50.305 313.325 51.075 ;
+        RECT 304.755 49.785 306.405 50.305 ;
+        RECT 295.605 49.375 295.855 49.505 ;
+        RECT 292.625 49.195 293.755 49.375 ;
+        RECT 291.725 49.035 291.975 49.195 ;
+        RECT 293.505 49.035 293.755 49.195 ;
+        RECT 292.145 48.865 292.395 49.025 ;
+        RECT 291.305 48.695 292.395 48.865 ;
+        RECT 292.665 48.525 292.915 49.025 ;
+        RECT 293.085 48.865 293.335 49.025 ;
+        RECT 293.925 48.865 294.175 49.375 ;
+        RECT 293.085 48.695 294.175 48.865 ;
+        RECT 294.345 48.525 294.595 49.365 ;
+        RECT 294.765 49.205 295.855 49.375 ;
+        RECT 294.765 48.695 295.015 49.205 ;
+        RECT 295.185 48.525 295.435 48.995 ;
+        RECT 295.605 48.695 295.855 49.205 ;
+        RECT 296.025 48.525 296.275 49.335 ;
+        RECT 296.935 48.525 300.445 49.615 ;
+        RECT 300.620 49.495 302.655 49.705 ;
+        RECT 300.620 48.695 300.895 49.495 ;
+        RECT 301.065 48.525 301.395 49.325 ;
+        RECT 301.565 48.695 301.735 49.495 ;
+        RECT 301.905 48.525 302.155 49.325 ;
+        RECT 302.325 48.865 302.655 49.495 ;
+        RECT 302.825 49.415 304.585 49.715 ;
+        RECT 306.575 49.615 308.265 50.135 ;
+        RECT 309.815 49.785 311.465 50.305 ;
+        RECT 314.420 50.255 314.695 51.075 ;
+        RECT 314.865 50.435 315.195 50.905 ;
+        RECT 315.365 50.605 315.535 51.075 ;
+        RECT 315.705 50.435 316.035 50.905 ;
+        RECT 316.205 50.605 316.375 51.075 ;
+        RECT 316.545 50.435 316.875 50.905 ;
+        RECT 317.045 50.605 317.215 51.075 ;
+        RECT 317.385 50.435 317.715 50.905 ;
+        RECT 317.885 50.605 318.170 51.075 ;
+        RECT 314.865 50.255 318.385 50.435 ;
+        RECT 302.825 49.035 302.995 49.415 ;
+        RECT 303.165 48.865 303.495 49.225 ;
+        RECT 303.665 49.035 303.835 49.415 ;
+        RECT 304.005 48.865 304.420 49.245 ;
+        RECT 302.325 48.695 304.420 48.865 ;
+        RECT 304.755 48.525 308.265 49.615 ;
+        RECT 309.355 48.525 309.645 49.690 ;
+        RECT 311.635 49.615 313.325 50.135 ;
+        RECT 314.470 49.885 316.130 50.085 ;
+        RECT 316.450 49.885 317.815 50.085 ;
+        RECT 317.985 49.715 318.385 50.255 ;
+        RECT 318.555 50.305 322.065 51.075 ;
+        RECT 318.555 49.785 320.205 50.305 ;
+        RECT 323.245 50.265 323.415 51.075 ;
+        RECT 323.585 50.685 324.755 50.905 ;
+        RECT 323.585 50.255 323.835 50.685 ;
+        RECT 324.925 50.605 325.095 51.075 ;
+        RECT 324.005 50.425 324.340 50.515 ;
+        RECT 325.265 50.425 325.595 50.905 ;
+        RECT 325.765 50.605 326.455 51.075 ;
+        RECT 326.625 50.435 326.955 50.905 ;
+        RECT 327.125 50.605 327.295 51.075 ;
+        RECT 327.465 50.435 327.795 50.905 ;
+        RECT 324.005 50.255 325.595 50.425 ;
+        RECT 326.025 50.255 327.795 50.435 ;
+        RECT 327.965 50.265 328.135 51.075 ;
+        RECT 328.305 50.435 328.635 50.885 ;
+        RECT 328.805 50.605 328.975 51.075 ;
+        RECT 329.145 50.435 329.475 50.885 ;
+        RECT 329.645 50.605 329.815 51.075 ;
+        RECT 328.305 50.255 329.990 50.435 ;
+        RECT 309.815 48.525 313.325 49.615 ;
+        RECT 314.420 49.495 316.455 49.705 ;
+        RECT 314.420 48.695 314.695 49.495 ;
+        RECT 314.865 48.525 315.195 49.325 ;
+        RECT 315.365 48.695 315.535 49.495 ;
+        RECT 315.705 48.525 315.955 49.325 ;
+        RECT 316.125 48.865 316.455 49.495 ;
+        RECT 316.625 49.415 318.385 49.715 ;
+        RECT 320.375 49.615 322.065 50.135 ;
+        RECT 316.625 49.035 316.795 49.415 ;
+        RECT 316.965 48.865 317.295 49.225 ;
+        RECT 317.465 49.035 317.635 49.415 ;
+        RECT 317.805 48.865 318.220 49.245 ;
+        RECT 316.125 48.695 318.220 48.865 ;
+        RECT 318.555 48.525 322.065 49.615 ;
+        RECT 323.155 49.715 323.645 50.085 ;
+        RECT 323.875 49.885 324.415 50.085 ;
+        RECT 324.585 49.915 324.965 50.085 ;
+        RECT 324.585 49.715 324.755 49.915 ;
+        RECT 323.155 49.545 324.755 49.715 ;
+        RECT 325.305 49.745 325.515 50.255 ;
+        RECT 326.025 50.085 326.215 50.255 ;
+        RECT 329.655 50.225 329.990 50.255 ;
+        RECT 325.685 49.915 326.215 50.085 ;
+        RECT 324.925 49.375 325.135 49.705 ;
+        RECT 323.205 49.205 325.135 49.375 ;
+        RECT 323.205 48.695 323.455 49.205 ;
+        RECT 323.625 48.525 323.875 49.035 ;
+        RECT 324.045 48.695 324.295 49.205 ;
+        RECT 324.465 48.525 324.715 49.035 ;
+        RECT 324.885 48.865 325.135 49.205 ;
+        RECT 325.305 49.195 325.690 49.745 ;
+        RECT 326.025 49.665 326.215 49.915 ;
+        RECT 326.385 49.835 326.715 50.085 ;
+        RECT 326.885 49.885 327.505 50.085 ;
+        RECT 326.545 49.715 326.715 49.835 ;
+        RECT 327.675 49.715 328.035 50.085 ;
+        RECT 326.025 49.495 326.375 49.665 ;
+        RECT 326.545 49.545 328.035 49.715 ;
+        RECT 328.205 49.915 329.510 50.085 ;
+        RECT 328.205 49.545 328.530 49.915 ;
+        RECT 329.680 49.745 329.990 50.225 ;
+        RECT 330.515 50.305 335.860 51.075 ;
+        RECT 336.035 50.325 337.245 51.075 ;
+        RECT 337.415 50.350 337.705 51.075 ;
+        RECT 337.965 50.525 338.135 50.905 ;
+        RECT 338.350 50.695 338.680 51.075 ;
+        RECT 337.965 50.355 338.680 50.525 ;
+        RECT 330.515 49.785 333.095 50.305 ;
+        RECT 326.205 49.375 326.375 49.495 ;
+        RECT 329.185 49.505 329.990 49.745 ;
+        RECT 333.265 49.615 335.860 50.135 ;
+        RECT 336.035 49.785 336.555 50.325 ;
+        RECT 336.725 49.615 337.245 50.155 ;
+        RECT 337.875 49.805 338.230 50.175 ;
+        RECT 338.510 50.165 338.680 50.355 ;
+        RECT 339.280 50.235 339.540 51.075 ;
+        RECT 339.715 50.305 343.225 51.075 ;
+        RECT 338.510 49.835 338.765 50.165 ;
+        RECT 329.185 49.375 329.435 49.505 ;
+        RECT 326.205 49.195 327.335 49.375 ;
+        RECT 325.305 49.035 325.555 49.195 ;
+        RECT 327.085 49.035 327.335 49.195 ;
+        RECT 325.725 48.865 325.975 49.025 ;
+        RECT 324.885 48.695 325.975 48.865 ;
+        RECT 326.245 48.525 326.495 49.025 ;
+        RECT 326.665 48.865 326.915 49.025 ;
+        RECT 327.505 48.865 327.755 49.375 ;
+        RECT 326.665 48.695 327.755 48.865 ;
+        RECT 327.925 48.525 328.175 49.365 ;
+        RECT 328.345 49.205 329.435 49.375 ;
+        RECT 328.345 48.695 328.595 49.205 ;
+        RECT 328.765 48.525 329.015 48.995 ;
+        RECT 329.185 48.695 329.435 49.205 ;
+        RECT 329.605 48.525 329.855 49.335 ;
+        RECT 330.515 48.525 335.860 49.615 ;
+        RECT 336.035 48.525 337.245 49.615 ;
+        RECT 337.415 48.525 337.705 49.690 ;
+        RECT 338.510 49.625 338.680 49.835 ;
+        RECT 339.715 49.785 341.365 50.305 ;
+        RECT 343.485 50.265 343.655 51.075 ;
+        RECT 343.825 50.685 344.995 50.905 ;
+        RECT 343.825 50.255 344.075 50.685 ;
+        RECT 345.165 50.605 345.335 51.075 ;
+        RECT 344.245 50.425 344.580 50.515 ;
+        RECT 345.505 50.425 345.835 50.905 ;
+        RECT 346.005 50.605 346.695 51.075 ;
+        RECT 346.865 50.435 347.195 50.905 ;
+        RECT 347.365 50.605 347.535 51.075 ;
+        RECT 347.705 50.435 348.035 50.905 ;
+        RECT 344.245 50.255 345.835 50.425 ;
+        RECT 346.265 50.255 348.035 50.435 ;
+        RECT 348.205 50.265 348.375 51.075 ;
+        RECT 348.545 50.435 348.875 50.885 ;
+        RECT 349.045 50.605 349.215 51.075 ;
+        RECT 349.385 50.435 349.715 50.885 ;
+        RECT 349.885 50.605 350.055 51.075 ;
+        RECT 348.545 50.255 350.230 50.435 ;
+        RECT 337.965 49.455 338.680 49.625 ;
+        RECT 337.965 48.695 338.135 49.455 ;
+        RECT 338.350 48.525 338.680 49.285 ;
+        RECT 339.280 48.525 339.540 49.675 ;
+        RECT 341.535 49.615 343.225 50.135 ;
+        RECT 339.715 48.525 343.225 49.615 ;
+        RECT 343.395 49.715 343.885 50.085 ;
+        RECT 344.115 49.885 344.655 50.085 ;
+        RECT 344.825 49.915 345.205 50.085 ;
+        RECT 344.825 49.715 344.995 49.915 ;
+        RECT 343.395 49.545 344.995 49.715 ;
+        RECT 345.545 49.745 345.755 50.255 ;
+        RECT 346.265 50.085 346.455 50.255 ;
+        RECT 345.925 49.915 346.455 50.085 ;
+        RECT 345.165 49.375 345.375 49.705 ;
+        RECT 343.445 49.205 345.375 49.375 ;
+        RECT 343.445 48.695 343.695 49.205 ;
+        RECT 343.865 48.525 344.115 49.035 ;
+        RECT 344.285 48.695 344.535 49.205 ;
+        RECT 344.705 48.525 344.955 49.035 ;
+        RECT 345.125 48.865 345.375 49.205 ;
+        RECT 345.545 49.195 345.930 49.745 ;
+        RECT 346.265 49.665 346.455 49.915 ;
+        RECT 346.625 49.835 346.955 50.085 ;
+        RECT 347.125 49.885 347.745 50.085 ;
+        RECT 346.785 49.715 346.955 49.835 ;
+        RECT 347.915 49.715 348.275 50.085 ;
+        RECT 346.265 49.495 346.615 49.665 ;
+        RECT 346.785 49.545 348.275 49.715 ;
+        RECT 348.445 49.915 349.750 50.085 ;
+        RECT 348.445 49.545 348.770 49.915 ;
+        RECT 349.920 49.745 350.230 50.255 ;
+        RECT 350.755 50.305 354.265 51.075 ;
+        RECT 350.755 49.785 352.405 50.305 ;
+        RECT 354.440 50.255 354.715 51.075 ;
+        RECT 354.885 50.435 355.215 50.905 ;
+        RECT 355.385 50.605 355.555 51.075 ;
+        RECT 355.725 50.435 356.055 50.905 ;
+        RECT 356.225 50.605 356.395 51.075 ;
+        RECT 356.565 50.435 356.895 50.905 ;
+        RECT 357.065 50.605 357.235 51.075 ;
+        RECT 357.405 50.435 357.735 50.905 ;
+        RECT 357.905 50.605 358.190 51.075 ;
+        RECT 354.885 50.255 358.405 50.435 ;
+        RECT 346.445 49.375 346.615 49.495 ;
+        RECT 349.425 49.505 350.230 49.745 ;
+        RECT 352.575 49.615 354.265 50.135 ;
+        RECT 354.490 49.885 356.150 50.085 ;
+        RECT 356.470 49.885 357.835 50.085 ;
+        RECT 358.005 49.715 358.405 50.255 ;
+        RECT 358.575 50.305 363.920 51.075 ;
+        RECT 364.095 50.325 365.305 51.075 ;
+        RECT 365.475 50.350 365.765 51.075 ;
+        RECT 358.575 49.785 361.155 50.305 ;
+        RECT 349.425 49.375 349.675 49.505 ;
+        RECT 346.445 49.195 347.575 49.375 ;
+        RECT 345.545 49.035 345.795 49.195 ;
+        RECT 347.325 49.035 347.575 49.195 ;
+        RECT 345.965 48.865 346.215 49.025 ;
+        RECT 345.125 48.695 346.215 48.865 ;
+        RECT 346.485 48.525 346.735 49.025 ;
+        RECT 346.905 48.865 347.155 49.025 ;
+        RECT 347.745 48.865 347.995 49.375 ;
+        RECT 346.905 48.695 347.995 48.865 ;
+        RECT 348.165 48.525 348.415 49.365 ;
+        RECT 348.585 49.205 349.675 49.375 ;
+        RECT 348.585 48.695 348.835 49.205 ;
+        RECT 349.005 48.525 349.255 48.995 ;
+        RECT 349.425 48.695 349.675 49.205 ;
+        RECT 349.845 48.525 350.095 49.335 ;
+        RECT 350.755 48.525 354.265 49.615 ;
+        RECT 354.440 49.495 356.475 49.705 ;
+        RECT 354.440 48.695 354.715 49.495 ;
+        RECT 354.885 48.525 355.215 49.325 ;
+        RECT 355.385 48.695 355.555 49.495 ;
+        RECT 355.725 48.525 355.975 49.325 ;
+        RECT 356.145 48.865 356.475 49.495 ;
+        RECT 356.645 49.415 358.405 49.715 ;
+        RECT 361.325 49.615 363.920 50.135 ;
+        RECT 364.095 49.785 364.615 50.325 ;
+        RECT 365.940 50.255 366.215 51.075 ;
+        RECT 366.385 50.435 366.715 50.905 ;
+        RECT 366.885 50.605 367.055 51.075 ;
+        RECT 367.225 50.435 367.555 50.905 ;
+        RECT 367.725 50.605 367.895 51.075 ;
+        RECT 368.065 50.435 368.395 50.905 ;
+        RECT 368.565 50.605 368.735 51.075 ;
+        RECT 368.905 50.435 369.235 50.905 ;
+        RECT 369.405 50.605 369.690 51.075 ;
+        RECT 366.385 50.255 369.905 50.435 ;
+        RECT 364.785 49.615 365.305 50.155 ;
+        RECT 365.990 49.885 367.650 50.085 ;
+        RECT 367.970 49.885 369.335 50.085 ;
+        RECT 369.505 49.715 369.905 50.255 ;
+        RECT 370.075 50.305 373.585 51.075 ;
+        RECT 370.075 49.785 371.725 50.305 ;
+        RECT 373.760 50.255 374.035 51.075 ;
+        RECT 374.205 50.435 374.535 50.905 ;
+        RECT 374.705 50.605 374.875 51.075 ;
+        RECT 375.045 50.435 375.375 50.905 ;
+        RECT 375.545 50.605 375.715 51.075 ;
+        RECT 375.885 50.435 376.215 50.905 ;
+        RECT 376.385 50.605 376.555 51.075 ;
+        RECT 376.725 50.435 377.055 50.905 ;
+        RECT 377.225 50.605 377.510 51.075 ;
+        RECT 374.205 50.255 377.725 50.435 ;
+        RECT 356.645 49.035 356.815 49.415 ;
+        RECT 356.985 48.865 357.315 49.225 ;
+        RECT 357.485 49.035 357.655 49.415 ;
+        RECT 357.825 48.865 358.240 49.245 ;
+        RECT 356.145 48.695 358.240 48.865 ;
+        RECT 358.575 48.525 363.920 49.615 ;
+        RECT 364.095 48.525 365.305 49.615 ;
+        RECT 365.475 48.525 365.765 49.690 ;
+        RECT 365.940 49.495 367.975 49.705 ;
+        RECT 365.940 48.695 366.215 49.495 ;
+        RECT 366.385 48.525 366.715 49.325 ;
+        RECT 366.885 48.695 367.055 49.495 ;
+        RECT 367.225 48.525 367.475 49.325 ;
+        RECT 367.645 48.865 367.975 49.495 ;
+        RECT 368.145 49.415 369.905 49.715 ;
+        RECT 371.895 49.615 373.585 50.135 ;
+        RECT 373.810 49.885 375.470 50.085 ;
+        RECT 375.790 49.885 377.155 50.085 ;
+        RECT 377.325 49.715 377.725 50.255 ;
+        RECT 377.895 50.305 381.405 51.075 ;
+        RECT 377.895 49.785 379.545 50.305 ;
+        RECT 368.145 49.035 368.315 49.415 ;
+        RECT 368.485 48.865 368.815 49.225 ;
+        RECT 368.985 49.035 369.155 49.415 ;
+        RECT 369.325 48.865 369.740 49.245 ;
+        RECT 367.645 48.695 369.740 48.865 ;
+        RECT 370.075 48.525 373.585 49.615 ;
+        RECT 373.760 49.495 375.795 49.705 ;
+        RECT 373.760 48.695 374.035 49.495 ;
+        RECT 374.205 48.525 374.535 49.325 ;
+        RECT 374.705 48.695 374.875 49.495 ;
+        RECT 375.045 48.525 375.295 49.325 ;
+        RECT 375.465 48.865 375.795 49.495 ;
+        RECT 375.965 49.415 377.725 49.715 ;
+        RECT 379.715 49.615 381.405 50.135 ;
+        RECT 375.965 49.035 376.135 49.415 ;
+        RECT 376.305 48.865 376.635 49.225 ;
+        RECT 376.805 49.035 376.975 49.415 ;
+        RECT 377.145 48.865 377.560 49.245 ;
+        RECT 375.465 48.695 377.560 48.865 ;
+        RECT 377.895 48.525 381.405 49.615 ;
+        RECT 381.575 49.420 382.095 50.905 ;
+        RECT 382.265 50.415 382.605 51.075 ;
+        RECT 382.955 50.305 386.465 51.075 ;
+        RECT 381.765 48.525 382.095 49.250 ;
+        RECT 382.265 48.695 382.785 50.245 ;
+        RECT 382.955 49.785 384.605 50.305 ;
+        RECT 384.775 49.615 386.465 50.135 ;
+        RECT 382.955 48.525 386.465 49.615 ;
+        RECT 386.635 49.420 387.155 50.905 ;
+        RECT 387.325 50.415 387.665 51.075 ;
+        RECT 388.015 50.305 393.360 51.075 ;
+        RECT 393.535 50.350 393.825 51.075 ;
+        RECT 386.825 48.525 387.155 49.250 ;
+        RECT 387.325 48.695 387.845 50.245 ;
+        RECT 388.015 49.785 390.595 50.305 ;
+        RECT 390.765 49.615 393.360 50.135 ;
+        RECT 388.015 48.525 393.360 49.615 ;
+        RECT 393.535 48.525 393.825 49.690 ;
+        RECT 393.995 49.420 394.515 50.905 ;
+        RECT 394.685 50.415 395.025 51.075 ;
+        RECT 395.375 50.305 398.885 51.075 ;
+        RECT 394.185 48.525 394.515 49.250 ;
+        RECT 394.685 48.695 395.205 50.245 ;
+        RECT 395.375 49.785 397.025 50.305 ;
+        RECT 397.195 49.615 398.885 50.135 ;
+        RECT 395.375 48.525 398.885 49.615 ;
+        RECT 399.055 49.420 399.575 50.905 ;
+        RECT 399.745 50.415 400.085 51.075 ;
+        RECT 400.435 50.305 403.945 51.075 ;
+        RECT 399.245 48.525 399.575 49.250 ;
+        RECT 399.745 48.695 400.265 50.245 ;
+        RECT 400.435 49.785 402.085 50.305 ;
+        RECT 402.255 49.615 403.945 50.135 ;
+        RECT 400.435 48.525 403.945 49.615 ;
+        RECT 404.115 49.420 404.635 50.905 ;
+        RECT 404.805 50.415 405.145 51.075 ;
+        RECT 405.495 50.305 410.840 51.075 ;
+        RECT 411.015 50.305 412.685 51.075 ;
+        RECT 404.305 48.525 404.635 49.250 ;
+        RECT 404.805 48.695 405.325 50.245 ;
+        RECT 405.495 49.785 408.075 50.305 ;
+        RECT 408.245 49.615 410.840 50.135 ;
+        RECT 411.015 49.785 411.765 50.305 ;
+        RECT 411.935 49.615 412.685 50.135 ;
+        RECT 405.495 48.525 410.840 49.615 ;
+        RECT 411.015 48.525 412.685 49.615 ;
+        RECT 413.315 49.420 413.835 50.905 ;
+        RECT 414.005 50.415 414.345 51.075 ;
+        RECT 414.695 50.305 420.040 51.075 ;
+        RECT 420.215 50.325 421.425 51.075 ;
+        RECT 421.595 50.350 421.885 51.075 ;
+        RECT 413.505 48.525 413.835 49.250 ;
+        RECT 414.005 48.695 414.525 50.245 ;
+        RECT 414.695 49.785 417.275 50.305 ;
+        RECT 417.445 49.615 420.040 50.135 ;
+        RECT 420.215 49.785 420.735 50.325 ;
+        RECT 422.055 50.305 427.400 51.075 ;
+        RECT 420.905 49.615 421.425 50.155 ;
+        RECT 422.055 49.785 424.635 50.305 ;
+        RECT 414.695 48.525 420.040 49.615 ;
+        RECT 420.215 48.525 421.425 49.615 ;
+        RECT 421.595 48.525 421.885 49.690 ;
+        RECT 424.805 49.615 427.400 50.135 ;
+        RECT 422.055 48.525 427.400 49.615 ;
+        RECT 428.035 49.420 428.555 50.905 ;
+        RECT 428.725 50.415 429.065 51.075 ;
+        RECT 429.415 50.305 434.760 51.075 ;
+        RECT 428.225 48.525 428.555 49.250 ;
+        RECT 428.725 48.695 429.245 50.245 ;
+        RECT 429.415 49.785 431.995 50.305 ;
+        RECT 432.165 49.615 434.760 50.135 ;
+        RECT 429.415 48.525 434.760 49.615 ;
+        RECT 435.395 49.420 435.915 50.905 ;
+        RECT 436.085 50.415 436.425 51.075 ;
+        RECT 436.775 50.305 442.120 51.075 ;
+        RECT 442.295 50.305 447.640 51.075 ;
+        RECT 447.815 50.305 449.485 51.075 ;
+        RECT 449.655 50.350 449.945 51.075 ;
+        RECT 435.585 48.525 435.915 49.250 ;
+        RECT 436.085 48.695 436.605 50.245 ;
+        RECT 436.775 49.785 439.355 50.305 ;
+        RECT 439.525 49.615 442.120 50.135 ;
+        RECT 442.295 49.785 444.875 50.305 ;
+        RECT 445.045 49.615 447.640 50.135 ;
+        RECT 447.815 49.785 448.565 50.305 ;
+        RECT 448.735 49.615 449.485 50.135 ;
+        RECT 436.775 48.525 442.120 49.615 ;
+        RECT 442.295 48.525 447.640 49.615 ;
+        RECT 447.815 48.525 449.485 49.615 ;
+        RECT 449.655 48.525 449.945 49.690 ;
+        RECT 450.115 49.420 450.635 50.905 ;
+        RECT 450.805 50.415 451.145 51.075 ;
+        RECT 451.495 50.305 456.840 51.075 ;
+        RECT 450.305 48.525 450.635 49.250 ;
+        RECT 450.805 48.695 451.325 50.245 ;
+        RECT 451.495 49.785 454.075 50.305 ;
+        RECT 454.245 49.615 456.840 50.135 ;
+        RECT 451.495 48.525 456.840 49.615 ;
+        RECT 457.475 49.420 457.995 50.905 ;
+        RECT 458.165 50.415 458.505 51.075 ;
+        RECT 458.855 50.305 464.200 51.075 ;
+        RECT 464.375 50.305 467.885 51.075 ;
+        RECT 457.665 48.525 457.995 49.250 ;
+        RECT 458.165 48.695 458.685 50.245 ;
+        RECT 458.855 49.785 461.435 50.305 ;
+        RECT 461.605 49.615 464.200 50.135 ;
+        RECT 464.375 49.785 466.025 50.305 ;
+        RECT 466.195 49.615 467.885 50.135 ;
+        RECT 458.855 48.525 464.200 49.615 ;
+        RECT 464.375 48.525 467.885 49.615 ;
+        RECT 468.515 49.420 469.035 50.905 ;
+        RECT 469.205 50.415 469.545 51.075 ;
+        RECT 469.895 50.305 475.240 51.075 ;
+        RECT 475.415 50.305 477.085 51.075 ;
+        RECT 477.715 50.350 478.005 51.075 ;
+        RECT 478.175 50.325 479.385 51.075 ;
+        RECT 468.705 48.525 469.035 49.250 ;
+        RECT 469.205 48.695 469.725 50.245 ;
+        RECT 469.895 49.785 472.475 50.305 ;
+        RECT 472.645 49.615 475.240 50.135 ;
+        RECT 475.415 49.785 476.165 50.305 ;
+        RECT 476.335 49.615 477.085 50.135 ;
+        RECT 478.175 49.785 478.695 50.325 ;
+        RECT 469.895 48.525 475.240 49.615 ;
+        RECT 475.415 48.525 477.085 49.615 ;
+        RECT 477.715 48.525 478.005 49.690 ;
+        RECT 478.865 49.615 479.385 50.155 ;
+        RECT 478.175 48.525 479.385 49.615 ;
+        RECT 479.555 49.420 480.075 50.905 ;
+        RECT 480.245 50.415 480.585 51.075 ;
+        RECT 480.935 50.305 486.280 51.075 ;
+        RECT 479.745 48.525 480.075 49.250 ;
+        RECT 480.245 48.695 480.765 50.245 ;
+        RECT 480.935 49.785 483.515 50.305 ;
+        RECT 483.685 49.615 486.280 50.135 ;
+        RECT 480.935 48.525 486.280 49.615 ;
+        RECT 486.915 49.420 487.435 50.905 ;
+        RECT 487.605 50.415 487.945 51.075 ;
+        RECT 488.295 50.305 493.640 51.075 ;
+        RECT 493.815 50.305 499.160 51.075 ;
+        RECT 499.335 50.305 504.680 51.075 ;
+        RECT 505.775 50.350 506.065 51.075 ;
+        RECT 506.235 50.305 511.580 51.075 ;
+        RECT 487.105 48.525 487.435 49.250 ;
+        RECT 487.605 48.695 488.125 50.245 ;
+        RECT 488.295 49.785 490.875 50.305 ;
+        RECT 491.045 49.615 493.640 50.135 ;
+        RECT 493.815 49.785 496.395 50.305 ;
+        RECT 496.565 49.615 499.160 50.135 ;
+        RECT 499.335 49.785 501.915 50.305 ;
+        RECT 502.085 49.615 504.680 50.135 ;
+        RECT 506.235 49.785 508.815 50.305 ;
+        RECT 488.295 48.525 493.640 49.615 ;
+        RECT 493.815 48.525 499.160 49.615 ;
+        RECT 499.335 48.525 504.680 49.615 ;
+        RECT 505.775 48.525 506.065 49.690 ;
+        RECT 508.985 49.615 511.580 50.135 ;
+        RECT 506.235 48.525 511.580 49.615 ;
+        RECT 512.675 49.420 513.195 50.905 ;
+        RECT 513.365 50.415 513.705 51.075 ;
+        RECT 514.055 50.305 519.400 51.075 ;
+        RECT 512.865 48.525 513.195 49.250 ;
+        RECT 513.365 48.695 513.885 50.245 ;
+        RECT 514.055 49.785 516.635 50.305 ;
+        RECT 516.805 49.615 519.400 50.135 ;
+        RECT 514.055 48.525 519.400 49.615 ;
+        RECT 520.035 49.420 520.555 50.905 ;
+        RECT 520.725 50.415 521.065 51.075 ;
+        RECT 521.415 50.305 526.760 51.075 ;
+        RECT 526.935 50.305 532.280 51.075 ;
+        RECT 532.455 50.325 533.665 51.075 ;
+        RECT 533.835 50.350 534.125 51.075 ;
+        RECT 520.225 48.525 520.555 49.250 ;
+        RECT 520.725 48.695 521.245 50.245 ;
+        RECT 521.415 49.785 523.995 50.305 ;
+        RECT 524.165 49.615 526.760 50.135 ;
+        RECT 526.935 49.785 529.515 50.305 ;
+        RECT 529.685 49.615 532.280 50.135 ;
+        RECT 532.455 49.785 532.975 50.325 ;
+        RECT 533.145 49.615 533.665 50.155 ;
+        RECT 521.415 48.525 526.760 49.615 ;
+        RECT 526.935 48.525 532.280 49.615 ;
+        RECT 532.455 48.525 533.665 49.615 ;
+        RECT 533.835 48.525 534.125 49.690 ;
+        RECT 534.755 49.420 535.275 50.905 ;
+        RECT 535.445 50.415 535.785 51.075 ;
+        RECT 536.135 50.305 541.480 51.075 ;
+        RECT 534.945 48.525 535.275 49.250 ;
+        RECT 535.445 48.695 535.965 50.245 ;
+        RECT 536.135 49.785 538.715 50.305 ;
+        RECT 538.885 49.615 541.480 50.135 ;
+        RECT 536.135 48.525 541.480 49.615 ;
+        RECT 542.115 49.420 542.635 50.905 ;
+        RECT 542.805 50.415 543.145 51.075 ;
+        RECT 543.495 50.305 548.840 51.075 ;
+        RECT 549.015 50.305 552.525 51.075 ;
+        RECT 542.305 48.525 542.635 49.250 ;
+        RECT 542.805 48.695 543.325 50.245 ;
+        RECT 543.495 49.785 546.075 50.305 ;
+        RECT 546.245 49.615 548.840 50.135 ;
+        RECT 549.015 49.785 550.665 50.305 ;
+        RECT 550.835 49.615 552.525 50.135 ;
+        RECT 543.495 48.525 548.840 49.615 ;
+        RECT 549.015 48.525 552.525 49.615 ;
+        RECT 553.155 49.420 553.675 50.905 ;
+        RECT 553.845 50.415 554.185 51.075 ;
+        RECT 554.535 50.305 559.880 51.075 ;
+        RECT 560.055 50.305 561.725 51.075 ;
+        RECT 561.895 50.350 562.185 51.075 ;
+        RECT 562.355 50.325 563.565 51.075 ;
+        RECT 553.345 48.525 553.675 49.250 ;
+        RECT 553.845 48.695 554.365 50.245 ;
+        RECT 554.535 49.785 557.115 50.305 ;
+        RECT 557.285 49.615 559.880 50.135 ;
+        RECT 560.055 49.785 560.805 50.305 ;
+        RECT 560.975 49.615 561.725 50.135 ;
+        RECT 562.355 49.785 562.875 50.325 ;
+        RECT 554.535 48.525 559.880 49.615 ;
+        RECT 560.055 48.525 561.725 49.615 ;
+        RECT 561.895 48.525 562.185 49.690 ;
+        RECT 563.045 49.615 563.565 50.155 ;
+        RECT 562.355 48.525 563.565 49.615 ;
+        RECT 563.735 49.420 564.255 50.905 ;
+        RECT 564.425 50.415 564.765 51.075 ;
+        RECT 565.115 50.305 570.460 51.075 ;
+        RECT 563.925 48.525 564.255 49.250 ;
+        RECT 564.425 48.695 564.945 50.245 ;
+        RECT 565.115 49.785 567.695 50.305 ;
+        RECT 567.865 49.615 570.460 50.135 ;
+        RECT 565.115 48.525 570.460 49.615 ;
+        RECT 571.095 49.420 571.615 50.905 ;
+        RECT 571.785 50.415 572.125 51.075 ;
+        RECT 572.475 50.305 577.820 51.075 ;
+        RECT 577.995 50.305 583.340 51.075 ;
+        RECT 583.515 50.305 588.860 51.075 ;
+        RECT 589.955 50.350 590.245 51.075 ;
+        RECT 590.415 50.305 595.760 51.075 ;
+        RECT 571.285 48.525 571.615 49.250 ;
+        RECT 571.785 48.695 572.305 50.245 ;
+        RECT 572.475 49.785 575.055 50.305 ;
+        RECT 575.225 49.615 577.820 50.135 ;
+        RECT 577.995 49.785 580.575 50.305 ;
+        RECT 580.745 49.615 583.340 50.135 ;
+        RECT 583.515 49.785 586.095 50.305 ;
+        RECT 586.265 49.615 588.860 50.135 ;
+        RECT 590.415 49.785 592.995 50.305 ;
+        RECT 572.475 48.525 577.820 49.615 ;
+        RECT 577.995 48.525 583.340 49.615 ;
+        RECT 583.515 48.525 588.860 49.615 ;
+        RECT 589.955 48.525 590.245 49.690 ;
+        RECT 593.165 49.615 595.760 50.135 ;
+        RECT 590.415 48.525 595.760 49.615 ;
+        RECT 596.855 49.420 597.375 50.905 ;
+        RECT 597.545 50.415 597.885 51.075 ;
+        RECT 598.235 50.305 603.580 51.075 ;
+        RECT 597.045 48.525 597.375 49.250 ;
+        RECT 597.545 48.695 598.065 50.245 ;
+        RECT 598.235 49.785 600.815 50.305 ;
+        RECT 600.985 49.615 603.580 50.135 ;
+        RECT 598.235 48.525 603.580 49.615 ;
+        RECT 604.215 49.420 604.735 50.905 ;
+        RECT 604.905 50.415 605.245 51.075 ;
+        RECT 605.595 50.305 610.940 51.075 ;
+        RECT 611.115 50.305 616.460 51.075 ;
+        RECT 616.635 50.325 617.845 51.075 ;
+        RECT 618.015 50.350 618.305 51.075 ;
+        RECT 605.595 49.785 608.175 50.305 ;
+        RECT 608.345 49.615 610.940 50.135 ;
+        RECT 611.115 49.785 613.695 50.305 ;
+        RECT 613.865 49.615 616.460 50.135 ;
+        RECT 616.635 49.785 617.155 50.325 ;
+        RECT 617.325 49.615 617.845 50.155 ;
+        RECT 604.405 48.525 604.735 49.250 ;
+        RECT 605.595 48.525 610.940 49.615 ;
+        RECT 611.115 48.525 616.460 49.615 ;
+        RECT 616.635 48.525 617.845 49.615 ;
+        RECT 618.015 48.525 618.305 49.690 ;
+        RECT 618.935 49.420 619.455 50.905 ;
+        RECT 619.625 50.415 619.965 51.075 ;
+        RECT 620.315 50.305 623.825 51.075 ;
+        RECT 619.125 48.525 619.455 49.250 ;
+        RECT 619.625 48.695 620.145 50.245 ;
+        RECT 620.315 49.785 621.965 50.305 ;
+        RECT 622.135 49.615 623.825 50.135 ;
+        RECT 620.315 48.525 623.825 49.615 ;
+        RECT 623.995 49.420 624.515 50.905 ;
+        RECT 624.685 50.415 625.025 51.075 ;
+        RECT 625.375 50.305 628.885 51.075 ;
+        RECT 629.975 50.325 631.185 51.075 ;
+        RECT 624.185 48.525 624.515 49.250 ;
+        RECT 624.685 48.695 625.205 50.245 ;
+        RECT 625.375 49.785 627.025 50.305 ;
+        RECT 627.195 49.615 628.885 50.135 ;
+        RECT 625.375 48.525 628.885 49.615 ;
+        RECT 629.975 49.615 630.495 50.155 ;
+        RECT 630.665 49.785 631.185 50.325 ;
+        RECT 629.975 48.525 631.185 49.615 ;
+        RECT 42.470 48.355 631.270 48.525 ;
+        RECT 42.555 47.265 43.765 48.355 ;
+        RECT 43.935 47.265 49.280 48.355 ;
+        RECT 49.455 47.265 54.800 48.355 ;
+        RECT 54.975 47.265 60.320 48.355 ;
+        RECT 60.495 47.265 65.840 48.355 ;
+        RECT 66.015 47.265 69.525 48.355 ;
+        RECT 42.555 46.555 43.075 47.095 ;
+        RECT 43.245 46.725 43.765 47.265 ;
+        RECT 43.935 46.575 46.515 47.095 ;
+        RECT 46.685 46.745 49.280 47.265 ;
+        RECT 49.455 46.575 52.035 47.095 ;
+        RECT 52.205 46.745 54.800 47.265 ;
+        RECT 54.975 46.575 57.555 47.095 ;
+        RECT 57.725 46.745 60.320 47.265 ;
+        RECT 60.495 46.575 63.075 47.095 ;
+        RECT 63.245 46.745 65.840 47.265 ;
+        RECT 66.015 46.575 67.665 47.095 ;
+        RECT 67.835 46.745 69.525 47.265 ;
+        RECT 70.615 47.190 70.905 48.355 ;
+        RECT 71.075 47.265 72.285 48.355 ;
+        RECT 42.555 45.805 43.765 46.555 ;
+        RECT 43.935 45.805 49.280 46.575 ;
+        RECT 49.455 45.805 54.800 46.575 ;
+        RECT 54.975 45.805 60.320 46.575 ;
+        RECT 60.495 45.805 65.840 46.575 ;
+        RECT 66.015 45.805 69.525 46.575 ;
+        RECT 71.075 46.555 71.595 47.095 ;
+        RECT 71.765 46.725 72.285 47.265 ;
+        RECT 72.495 47.215 72.725 48.355 ;
+        RECT 72.895 47.205 73.225 48.185 ;
+        RECT 73.395 47.215 73.605 48.355 ;
+        RECT 73.835 47.265 77.345 48.355 ;
+        RECT 77.525 47.600 77.855 48.355 ;
+        RECT 78.035 47.470 78.215 48.185 ;
+        RECT 78.420 47.655 78.750 48.355 ;
+        RECT 78.960 47.480 79.150 48.185 ;
+        RECT 79.320 47.655 79.650 48.355 ;
+        RECT 79.820 47.485 80.010 48.185 ;
+        RECT 80.180 47.655 80.510 48.355 ;
+        RECT 79.820 47.480 80.565 47.485 ;
+        RECT 72.475 46.795 72.805 47.045 ;
+        RECT 70.615 45.805 70.905 46.530 ;
+        RECT 71.075 45.805 72.285 46.555 ;
+        RECT 72.495 45.805 72.725 46.625 ;
+        RECT 72.975 46.605 73.225 47.205 ;
+        RECT 72.895 45.975 73.225 46.605 ;
+        RECT 73.395 45.805 73.605 46.625 ;
+        RECT 73.835 46.575 75.485 47.095 ;
+        RECT 75.655 46.745 77.345 47.265 ;
+        RECT 77.555 46.715 77.865 47.335 ;
+        RECT 78.035 47.300 78.790 47.470 ;
+        RECT 78.580 47.075 78.790 47.300 ;
+        RECT 78.960 47.255 80.565 47.480 ;
+        RECT 80.735 47.265 84.245 48.355 ;
+        RECT 78.035 46.715 78.410 47.045 ;
+        RECT 78.580 46.740 80.115 47.075 ;
+        RECT 73.835 45.805 77.345 46.575 ;
+        RECT 78.580 46.525 78.790 46.740 ;
+        RECT 80.285 46.565 80.565 47.255 ;
+        RECT 77.525 46.335 78.790 46.525 ;
+        RECT 78.960 46.335 80.565 46.565 ;
+        RECT 80.735 46.575 82.385 47.095 ;
+        RECT 82.555 46.745 84.245 47.265 ;
+        RECT 85.425 47.425 85.595 48.185 ;
+        RECT 85.810 47.595 86.140 48.355 ;
+        RECT 85.425 47.255 86.140 47.425 ;
+        RECT 86.310 47.280 86.565 48.185 ;
+        RECT 85.335 46.705 85.690 47.075 ;
+        RECT 85.970 47.045 86.140 47.255 ;
+        RECT 85.970 46.715 86.225 47.045 ;
+        RECT 77.525 45.975 77.855 46.335 ;
+        RECT 78.960 46.235 79.150 46.335 ;
+        RECT 78.385 45.805 78.715 46.165 ;
+        RECT 79.320 45.805 79.650 46.165 ;
+        RECT 79.820 45.975 80.010 46.335 ;
+        RECT 80.180 45.805 80.510 46.165 ;
+        RECT 80.735 45.805 84.245 46.575 ;
+        RECT 85.970 46.525 86.140 46.715 ;
+        RECT 86.395 46.550 86.565 47.280 ;
+        RECT 86.740 47.205 87.000 48.355 ;
+        RECT 87.175 47.265 90.685 48.355 ;
+        RECT 85.425 46.355 86.140 46.525 ;
+        RECT 85.425 45.975 85.595 46.355 ;
+        RECT 85.810 45.805 86.140 46.185 ;
+        RECT 86.310 45.975 86.565 46.550 ;
+        RECT 86.740 45.805 87.000 46.645 ;
+        RECT 87.175 46.575 88.825 47.095 ;
+        RECT 88.995 46.745 90.685 47.265 ;
+        RECT 90.860 47.385 91.135 48.185 ;
+        RECT 91.305 47.555 91.635 48.355 ;
+        RECT 91.805 47.385 91.975 48.185 ;
+        RECT 92.145 47.555 92.395 48.355 ;
+        RECT 92.565 48.015 94.660 48.185 ;
+        RECT 92.565 47.385 92.895 48.015 ;
+        RECT 90.860 47.175 92.895 47.385 ;
+        RECT 93.065 47.465 93.235 47.845 ;
+        RECT 93.405 47.655 93.735 48.015 ;
+        RECT 93.905 47.465 94.075 47.845 ;
+        RECT 94.245 47.635 94.660 48.015 ;
+        RECT 93.065 47.165 94.825 47.465 ;
+        RECT 94.995 47.265 98.505 48.355 ;
+        RECT 90.910 46.795 92.570 46.995 ;
+        RECT 92.890 46.795 94.255 46.995 ;
+        RECT 94.425 46.625 94.825 47.165 ;
+        RECT 87.175 45.805 90.685 46.575 ;
+        RECT 90.860 45.805 91.135 46.625 ;
+        RECT 91.305 46.445 94.825 46.625 ;
+        RECT 94.995 46.575 96.645 47.095 ;
+        RECT 96.815 46.745 98.505 47.265 ;
+        RECT 98.675 47.190 98.965 48.355 ;
+        RECT 99.135 47.265 102.645 48.355 ;
+        RECT 99.135 46.575 100.785 47.095 ;
+        RECT 100.955 46.745 102.645 47.265 ;
+        RECT 103.280 47.385 103.555 48.185 ;
+        RECT 103.725 47.555 104.055 48.355 ;
+        RECT 104.225 47.385 104.395 48.185 ;
+        RECT 104.565 47.555 104.815 48.355 ;
+        RECT 104.985 48.015 107.080 48.185 ;
+        RECT 104.985 47.385 105.315 48.015 ;
+        RECT 103.280 47.175 105.315 47.385 ;
+        RECT 105.485 47.465 105.655 47.845 ;
+        RECT 105.825 47.655 106.155 48.015 ;
+        RECT 106.325 47.465 106.495 47.845 ;
+        RECT 106.665 47.635 107.080 48.015 ;
+        RECT 105.485 47.165 107.245 47.465 ;
+        RECT 107.415 47.265 110.925 48.355 ;
+        RECT 103.330 46.795 104.990 46.995 ;
+        RECT 105.310 46.795 106.675 46.995 ;
+        RECT 106.845 46.625 107.245 47.165 ;
+        RECT 91.305 45.975 91.635 46.445 ;
+        RECT 91.805 45.805 91.975 46.275 ;
+        RECT 92.145 45.975 92.475 46.445 ;
+        RECT 92.645 45.805 92.815 46.275 ;
+        RECT 92.985 45.975 93.315 46.445 ;
+        RECT 93.485 45.805 93.655 46.275 ;
+        RECT 93.825 45.975 94.155 46.445 ;
+        RECT 94.325 45.805 94.610 46.275 ;
+        RECT 94.995 45.805 98.505 46.575 ;
+        RECT 98.675 45.805 98.965 46.530 ;
+        RECT 99.135 45.805 102.645 46.575 ;
+        RECT 103.280 45.805 103.555 46.625 ;
+        RECT 103.725 46.445 107.245 46.625 ;
+        RECT 107.415 46.575 109.065 47.095 ;
+        RECT 109.235 46.745 110.925 47.265 ;
+        RECT 111.100 47.385 111.375 48.185 ;
+        RECT 111.545 47.555 111.875 48.355 ;
+        RECT 112.045 47.385 112.215 48.185 ;
+        RECT 112.385 47.555 112.635 48.355 ;
+        RECT 112.805 48.015 114.900 48.185 ;
+        RECT 112.805 47.385 113.135 48.015 ;
+        RECT 111.100 47.175 113.135 47.385 ;
+        RECT 113.305 47.465 113.475 47.845 ;
+        RECT 113.645 47.655 113.975 48.015 ;
+        RECT 114.145 47.465 114.315 47.845 ;
+        RECT 114.485 47.635 114.900 48.015 ;
+        RECT 113.305 47.165 115.065 47.465 ;
+        RECT 115.235 47.265 118.745 48.355 ;
+        RECT 111.150 46.795 112.810 46.995 ;
+        RECT 113.130 46.795 114.495 46.995 ;
+        RECT 114.665 46.625 115.065 47.165 ;
+        RECT 103.725 45.975 104.055 46.445 ;
+        RECT 104.225 45.805 104.395 46.275 ;
+        RECT 104.565 45.975 104.895 46.445 ;
+        RECT 105.065 45.805 105.235 46.275 ;
+        RECT 105.405 45.975 105.735 46.445 ;
+        RECT 105.905 45.805 106.075 46.275 ;
+        RECT 106.245 45.975 106.575 46.445 ;
+        RECT 106.745 45.805 107.030 46.275 ;
+        RECT 107.415 45.805 110.925 46.575 ;
+        RECT 111.100 45.805 111.375 46.625 ;
+        RECT 111.545 46.445 115.065 46.625 ;
+        RECT 115.235 46.575 116.885 47.095 ;
+        RECT 117.055 46.745 118.745 47.265 ;
+        RECT 118.945 47.385 119.280 48.170 ;
+        RECT 118.945 47.215 119.540 47.385 ;
+        RECT 111.545 45.975 111.875 46.445 ;
+        RECT 112.045 45.805 112.215 46.275 ;
+        RECT 112.385 45.975 112.715 46.445 ;
+        RECT 112.885 45.805 113.055 46.275 ;
+        RECT 113.225 45.975 113.555 46.445 ;
+        RECT 113.725 45.805 113.895 46.275 ;
+        RECT 114.065 45.975 114.395 46.445 ;
+        RECT 114.565 45.805 114.850 46.275 ;
+        RECT 115.235 45.805 118.745 46.575 ;
+        RECT 118.915 46.475 119.200 47.045 ;
+        RECT 119.370 46.545 119.540 47.215 ;
+        RECT 119.710 47.340 120.060 48.095 ;
+        RECT 120.230 47.505 120.550 48.095 ;
+        RECT 120.835 47.515 121.085 48.355 ;
+        RECT 119.710 46.715 119.880 47.340 ;
+        RECT 120.230 47.170 120.440 47.505 ;
+        RECT 121.310 47.345 121.560 48.185 ;
+        RECT 121.730 47.515 121.980 48.355 ;
+        RECT 122.150 47.345 122.400 48.185 ;
+        RECT 122.570 47.515 122.820 48.355 ;
+        RECT 120.110 46.715 120.440 47.170 ;
+        RECT 120.670 47.165 121.105 47.335 ;
+        RECT 121.310 47.175 122.885 47.345 ;
+        RECT 123.055 47.265 126.565 48.355 ;
+        RECT 120.670 46.715 120.840 47.165 ;
+        RECT 121.010 46.795 122.470 46.965 ;
+        RECT 121.010 46.545 121.180 46.795 ;
+        RECT 122.640 46.625 122.885 47.175 ;
+        RECT 119.370 46.375 121.180 46.545 ;
+        RECT 121.350 46.445 122.885 46.625 ;
+        RECT 123.055 46.575 124.705 47.095 ;
+        RECT 124.875 46.745 126.565 47.265 ;
+        RECT 126.735 47.190 127.025 48.355 ;
+        RECT 127.745 47.685 127.915 48.185 ;
+        RECT 128.085 47.855 128.415 48.355 ;
+        RECT 127.745 47.515 128.410 47.685 ;
+        RECT 127.660 46.695 128.010 47.345 ;
+        RECT 118.950 45.805 119.200 46.305 ;
+        RECT 119.530 46.025 119.700 46.375 ;
+        RECT 119.900 45.805 120.230 46.205 ;
+        RECT 120.400 46.025 120.570 46.375 ;
+        RECT 120.790 45.805 121.170 46.205 ;
+        RECT 121.350 45.975 121.600 46.445 ;
+        RECT 121.770 45.805 121.940 46.275 ;
+        RECT 122.110 45.975 122.440 46.445 ;
+        RECT 122.610 45.805 122.780 46.275 ;
+        RECT 123.055 45.805 126.565 46.575 ;
+        RECT 126.735 45.805 127.025 46.530 ;
+        RECT 128.180 46.525 128.410 47.515 ;
+        RECT 127.745 46.355 128.410 46.525 ;
+        RECT 127.745 46.065 127.915 46.355 ;
+        RECT 128.085 45.805 128.415 46.185 ;
+        RECT 128.585 46.065 128.810 48.185 ;
+        RECT 129.010 47.895 129.275 48.355 ;
+        RECT 129.460 47.785 129.695 48.160 ;
+        RECT 129.940 47.910 131.010 48.080 ;
+        RECT 129.010 46.785 129.290 47.385 ;
+        RECT 129.025 45.805 129.275 46.265 ;
+        RECT 129.460 46.255 129.630 47.785 ;
+        RECT 129.800 46.755 130.040 47.625 ;
+        RECT 130.230 47.375 130.670 47.730 ;
+        RECT 130.840 47.295 131.010 47.910 ;
+        RECT 131.180 47.555 131.350 48.355 ;
+        RECT 131.520 47.855 131.770 48.185 ;
+        RECT 131.995 47.885 132.880 48.055 ;
+        RECT 130.840 47.205 131.350 47.295 ;
+        RECT 130.550 47.035 131.350 47.205 ;
+        RECT 129.800 46.425 130.380 46.755 ;
+        RECT 130.550 46.255 130.720 47.035 ;
+        RECT 131.180 46.965 131.350 47.035 ;
+        RECT 130.890 46.785 131.060 46.815 ;
+        RECT 131.520 46.785 131.690 47.855 ;
+        RECT 131.860 46.965 132.050 47.685 ;
+        RECT 132.220 47.295 132.540 47.625 ;
+        RECT 130.890 46.485 131.690 46.785 ;
+        RECT 132.220 46.755 132.410 47.295 ;
+        RECT 129.460 46.085 129.790 46.255 ;
+        RECT 129.970 46.085 130.720 46.255 ;
+        RECT 130.970 45.805 131.340 46.305 ;
+        RECT 131.520 46.255 131.690 46.485 ;
+        RECT 131.860 46.425 132.410 46.755 ;
+        RECT 132.710 46.965 132.880 47.885 ;
+        RECT 133.060 47.855 133.275 48.355 ;
+        RECT 133.740 47.550 133.910 48.175 ;
+        RECT 134.195 47.575 134.375 48.355 ;
+        RECT 133.050 47.390 133.910 47.550 ;
+        RECT 133.050 47.220 134.160 47.390 ;
+        RECT 133.990 46.965 134.160 47.220 ;
+        RECT 134.555 47.355 134.890 48.115 ;
+        RECT 135.070 47.525 135.240 48.355 ;
+        RECT 135.410 47.355 135.740 48.115 ;
+        RECT 135.910 47.525 136.080 48.355 ;
+        RECT 134.555 47.185 136.225 47.355 ;
+        RECT 136.395 47.265 141.740 48.355 ;
+        RECT 141.915 47.265 143.585 48.355 ;
+        RECT 143.805 47.675 144.055 48.185 ;
+        RECT 144.225 47.845 144.475 48.355 ;
+        RECT 144.645 47.675 144.895 48.185 ;
+        RECT 145.065 47.845 145.315 48.355 ;
+        RECT 145.485 48.015 146.575 48.185 ;
+        RECT 145.485 47.675 145.735 48.015 ;
+        RECT 146.325 47.855 146.575 48.015 ;
+        RECT 146.845 47.855 147.095 48.355 ;
+        RECT 147.265 48.015 148.355 48.185 ;
+        RECT 147.265 47.855 147.515 48.015 ;
+        RECT 143.805 47.505 145.735 47.675 ;
+        RECT 132.710 46.795 133.800 46.965 ;
+        RECT 133.990 46.795 135.810 46.965 ;
+        RECT 132.710 46.255 132.880 46.795 ;
+        RECT 133.990 46.625 134.160 46.795 ;
+        RECT 133.660 46.455 134.160 46.625 ;
+        RECT 135.980 46.620 136.225 47.185 ;
+        RECT 131.520 46.085 131.980 46.255 ;
+        RECT 132.210 46.085 132.880 46.255 ;
+        RECT 133.195 45.805 133.365 46.335 ;
+        RECT 133.660 46.015 134.020 46.455 ;
+        RECT 134.555 46.450 136.225 46.620 ;
+        RECT 136.395 46.575 138.975 47.095 ;
+        RECT 139.145 46.745 141.740 47.265 ;
+        RECT 141.915 46.575 142.665 47.095 ;
+        RECT 142.835 46.745 143.585 47.265 ;
+        RECT 143.755 47.165 145.355 47.335 ;
+        RECT 145.525 47.175 145.735 47.505 ;
+        RECT 145.905 47.685 146.155 47.845 ;
+        RECT 147.685 47.685 147.935 47.845 ;
+        RECT 143.755 46.795 144.245 47.165 ;
+        RECT 145.185 46.995 145.355 47.165 ;
+        RECT 145.905 47.135 146.290 47.685 ;
+        RECT 146.805 47.505 147.935 47.685 ;
+        RECT 148.105 47.505 148.355 48.015 ;
+        RECT 148.525 47.515 148.775 48.355 ;
+        RECT 148.945 47.675 149.195 48.185 ;
+        RECT 149.365 47.885 149.615 48.355 ;
+        RECT 149.785 47.675 150.035 48.185 ;
+        RECT 148.945 47.505 150.035 47.675 ;
+        RECT 150.205 47.545 150.455 48.355 ;
+        RECT 146.805 47.385 146.975 47.505 ;
+        RECT 146.625 47.215 146.975 47.385 ;
+        RECT 149.785 47.375 150.035 47.505 ;
+        RECT 144.475 46.795 145.015 46.995 ;
+        RECT 145.185 46.965 145.365 46.995 ;
+        RECT 145.185 46.795 145.565 46.965 ;
+        RECT 145.905 46.625 146.115 47.135 ;
+        RECT 146.625 46.965 146.815 47.215 ;
+        RECT 147.145 47.165 148.635 47.335 ;
+        RECT 147.145 47.045 147.315 47.165 ;
+        RECT 146.285 46.795 146.815 46.965 ;
+        RECT 146.985 46.795 147.315 47.045 ;
+        RECT 147.485 46.795 148.105 46.995 ;
+        RECT 148.275 46.795 148.635 47.165 ;
+        RECT 148.805 46.965 149.130 47.335 ;
+        RECT 149.785 47.135 150.590 47.375 ;
+        RECT 151.115 47.265 154.625 48.355 ;
+        RECT 148.805 46.795 150.110 46.965 ;
+        RECT 146.625 46.625 146.815 46.795 ;
+        RECT 150.280 46.625 150.590 47.135 ;
+        RECT 134.195 45.805 134.365 46.285 ;
+        RECT 134.555 46.025 134.890 46.450 ;
+        RECT 135.065 45.805 135.235 46.280 ;
+        RECT 135.410 46.025 135.745 46.450 ;
+        RECT 135.915 45.805 136.085 46.280 ;
+        RECT 136.395 45.805 141.740 46.575 ;
+        RECT 141.915 45.805 143.585 46.575 ;
+        RECT 143.845 45.805 144.015 46.615 ;
+        RECT 144.185 46.195 144.435 46.625 ;
+        RECT 144.605 46.455 146.195 46.625 ;
+        RECT 144.605 46.365 144.940 46.455 ;
+        RECT 144.185 45.975 145.355 46.195 ;
+        RECT 145.525 45.805 145.695 46.275 ;
+        RECT 145.865 45.975 146.195 46.455 ;
+        RECT 146.625 46.445 148.395 46.625 ;
+        RECT 146.365 45.805 147.055 46.275 ;
+        RECT 147.225 45.975 147.555 46.445 ;
+        RECT 147.725 45.805 147.895 46.275 ;
+        RECT 148.065 45.975 148.395 46.445 ;
+        RECT 148.565 45.805 148.735 46.615 ;
+        RECT 148.905 46.445 150.590 46.625 ;
+        RECT 151.115 46.575 152.765 47.095 ;
+        RECT 152.935 46.745 154.625 47.265 ;
+        RECT 154.795 47.190 155.085 48.355 ;
+        RECT 155.345 47.685 155.515 48.185 ;
+        RECT 155.685 47.855 156.015 48.355 ;
+        RECT 155.345 47.515 156.010 47.685 ;
+        RECT 155.260 46.695 155.610 47.345 ;
+        RECT 148.905 45.995 149.235 46.445 ;
+        RECT 149.405 45.805 149.575 46.275 ;
+        RECT 149.745 45.995 150.075 46.445 ;
+        RECT 150.245 45.805 150.415 46.275 ;
+        RECT 151.115 45.805 154.625 46.575 ;
+        RECT 154.795 45.805 155.085 46.530 ;
+        RECT 155.780 46.525 156.010 47.515 ;
+        RECT 155.345 46.355 156.010 46.525 ;
+        RECT 155.345 46.065 155.515 46.355 ;
+        RECT 155.685 45.805 156.015 46.185 ;
+        RECT 156.185 46.065 156.410 48.185 ;
+        RECT 156.610 47.895 156.875 48.355 ;
+        RECT 157.060 47.785 157.295 48.160 ;
+        RECT 157.540 47.910 158.610 48.080 ;
+        RECT 156.610 46.785 156.890 47.385 ;
+        RECT 156.625 45.805 156.875 46.265 ;
+        RECT 157.060 46.255 157.230 47.785 ;
+        RECT 157.400 46.755 157.640 47.625 ;
+        RECT 157.830 47.375 158.270 47.730 ;
+        RECT 158.440 47.295 158.610 47.910 ;
+        RECT 158.780 47.555 158.950 48.355 ;
+        RECT 159.120 47.855 159.370 48.185 ;
+        RECT 159.595 47.885 160.480 48.055 ;
+        RECT 158.440 47.205 158.950 47.295 ;
+        RECT 158.150 47.035 158.950 47.205 ;
+        RECT 157.400 46.425 157.980 46.755 ;
+        RECT 158.150 46.255 158.320 47.035 ;
+        RECT 158.780 46.965 158.950 47.035 ;
+        RECT 158.490 46.785 158.660 46.815 ;
+        RECT 159.120 46.785 159.290 47.855 ;
+        RECT 159.460 46.965 159.650 47.685 ;
+        RECT 159.820 47.295 160.140 47.625 ;
+        RECT 158.490 46.485 159.290 46.785 ;
+        RECT 159.820 46.755 160.010 47.295 ;
+        RECT 157.060 46.085 157.390 46.255 ;
+        RECT 157.570 46.085 158.320 46.255 ;
+        RECT 158.570 45.805 158.940 46.305 ;
+        RECT 159.120 46.255 159.290 46.485 ;
+        RECT 159.460 46.425 160.010 46.755 ;
+        RECT 160.310 46.965 160.480 47.885 ;
+        RECT 160.660 47.855 160.875 48.355 ;
+        RECT 161.340 47.550 161.510 48.175 ;
+        RECT 161.795 47.575 161.975 48.355 ;
+        RECT 160.650 47.390 161.510 47.550 ;
+        RECT 160.650 47.220 161.760 47.390 ;
+        RECT 161.590 46.965 161.760 47.220 ;
+        RECT 162.155 47.355 162.490 48.115 ;
+        RECT 162.670 47.525 162.840 48.355 ;
+        RECT 163.010 47.355 163.340 48.115 ;
+        RECT 163.510 47.525 163.680 48.355 ;
+        RECT 162.155 47.185 163.825 47.355 ;
+        RECT 163.995 47.265 167.505 48.355 ;
+        RECT 167.675 47.265 168.885 48.355 ;
+        RECT 169.145 47.685 169.315 48.185 ;
+        RECT 169.485 47.855 169.815 48.355 ;
+        RECT 169.145 47.515 169.810 47.685 ;
+        RECT 160.310 46.795 161.400 46.965 ;
+        RECT 161.590 46.795 163.410 46.965 ;
+        RECT 160.310 46.255 160.480 46.795 ;
+        RECT 161.590 46.625 161.760 46.795 ;
+        RECT 161.260 46.455 161.760 46.625 ;
+        RECT 163.580 46.620 163.825 47.185 ;
+        RECT 159.120 46.085 159.580 46.255 ;
+        RECT 159.810 46.085 160.480 46.255 ;
+        RECT 160.795 45.805 160.965 46.335 ;
+        RECT 161.260 46.015 161.620 46.455 ;
+        RECT 162.155 46.450 163.825 46.620 ;
+        RECT 163.995 46.575 165.645 47.095 ;
+        RECT 165.815 46.745 167.505 47.265 ;
+        RECT 161.795 45.805 161.965 46.285 ;
+        RECT 162.155 46.025 162.490 46.450 ;
+        RECT 162.665 45.805 162.835 46.280 ;
+        RECT 163.010 46.025 163.345 46.450 ;
+        RECT 163.515 45.805 163.685 46.280 ;
+        RECT 163.995 45.805 167.505 46.575 ;
+        RECT 167.675 46.555 168.195 47.095 ;
+        RECT 168.365 46.725 168.885 47.265 ;
+        RECT 169.060 46.695 169.410 47.345 ;
+        RECT 167.675 45.805 168.885 46.555 ;
+        RECT 169.580 46.525 169.810 47.515 ;
+        RECT 169.145 46.355 169.810 46.525 ;
+        RECT 169.145 46.065 169.315 46.355 ;
+        RECT 169.485 45.805 169.815 46.185 ;
+        RECT 169.985 46.065 170.210 48.185 ;
+        RECT 170.410 47.895 170.675 48.355 ;
+        RECT 170.860 47.785 171.095 48.160 ;
+        RECT 171.340 47.910 172.410 48.080 ;
+        RECT 170.410 46.785 170.690 47.385 ;
+        RECT 170.425 45.805 170.675 46.265 ;
+        RECT 170.860 46.255 171.030 47.785 ;
+        RECT 171.200 46.755 171.440 47.625 ;
+        RECT 171.630 47.375 172.070 47.730 ;
+        RECT 172.240 47.295 172.410 47.910 ;
+        RECT 172.580 47.555 172.750 48.355 ;
+        RECT 172.920 47.855 173.170 48.185 ;
+        RECT 173.395 47.885 174.280 48.055 ;
+        RECT 172.240 47.205 172.750 47.295 ;
+        RECT 171.950 47.035 172.750 47.205 ;
+        RECT 171.200 46.425 171.780 46.755 ;
+        RECT 171.950 46.255 172.120 47.035 ;
+        RECT 172.580 46.965 172.750 47.035 ;
+        RECT 172.290 46.785 172.460 46.815 ;
+        RECT 172.920 46.785 173.090 47.855 ;
+        RECT 173.260 46.965 173.450 47.685 ;
+        RECT 173.620 47.295 173.940 47.625 ;
+        RECT 172.290 46.485 173.090 46.785 ;
+        RECT 173.620 46.755 173.810 47.295 ;
+        RECT 170.860 46.085 171.190 46.255 ;
+        RECT 171.370 46.085 172.120 46.255 ;
+        RECT 172.370 45.805 172.740 46.305 ;
+        RECT 172.920 46.255 173.090 46.485 ;
+        RECT 173.260 46.425 173.810 46.755 ;
+        RECT 174.110 46.965 174.280 47.885 ;
+        RECT 174.460 47.855 174.675 48.355 ;
+        RECT 175.140 47.550 175.310 48.175 ;
+        RECT 175.595 47.575 175.775 48.355 ;
+        RECT 174.450 47.390 175.310 47.550 ;
+        RECT 176.470 47.525 176.640 48.355 ;
+        RECT 177.310 47.525 177.480 48.355 ;
+        RECT 174.450 47.220 175.560 47.390 ;
+        RECT 177.795 47.265 181.305 48.355 ;
+        RECT 181.475 47.265 182.685 48.355 ;
+        RECT 175.390 46.965 175.560 47.220 ;
+        RECT 174.110 46.795 175.200 46.965 ;
+        RECT 175.390 46.795 177.210 46.965 ;
+        RECT 174.110 46.255 174.280 46.795 ;
+        RECT 175.390 46.625 175.560 46.795 ;
+        RECT 175.060 46.455 175.560 46.625 ;
+        RECT 177.795 46.575 179.445 47.095 ;
+        RECT 179.615 46.745 181.305 47.265 ;
+        RECT 172.920 46.085 173.380 46.255 ;
+        RECT 173.610 46.085 174.280 46.255 ;
+        RECT 174.595 45.805 174.765 46.335 ;
+        RECT 175.060 46.015 175.420 46.455 ;
+        RECT 175.595 45.805 175.765 46.285 ;
+        RECT 176.465 45.805 176.635 46.280 ;
+        RECT 177.315 45.805 177.485 46.280 ;
+        RECT 177.795 45.805 181.305 46.575 ;
+        RECT 181.475 46.555 181.995 47.095 ;
+        RECT 182.165 46.725 182.685 47.265 ;
+        RECT 182.855 47.190 183.145 48.355 ;
+        RECT 183.315 47.265 184.525 48.355 ;
+        RECT 184.785 47.685 184.955 48.185 ;
+        RECT 185.125 47.855 185.455 48.355 ;
+        RECT 184.785 47.515 185.450 47.685 ;
+        RECT 183.315 46.555 183.835 47.095 ;
+        RECT 184.005 46.725 184.525 47.265 ;
+        RECT 184.700 46.695 185.050 47.345 ;
+        RECT 181.475 45.805 182.685 46.555 ;
+        RECT 182.855 45.805 183.145 46.530 ;
+        RECT 183.315 45.805 184.525 46.555 ;
+        RECT 185.220 46.525 185.450 47.515 ;
+        RECT 184.785 46.355 185.450 46.525 ;
+        RECT 184.785 46.065 184.955 46.355 ;
+        RECT 185.125 45.805 185.455 46.185 ;
+        RECT 185.625 46.065 185.850 48.185 ;
+        RECT 186.050 47.895 186.315 48.355 ;
+        RECT 186.500 47.785 186.735 48.160 ;
+        RECT 186.980 47.910 188.050 48.080 ;
+        RECT 186.050 46.785 186.330 47.385 ;
+        RECT 186.065 45.805 186.315 46.265 ;
+        RECT 186.500 46.255 186.670 47.785 ;
+        RECT 186.840 46.755 187.080 47.625 ;
+        RECT 187.270 47.375 187.710 47.730 ;
+        RECT 187.880 47.295 188.050 47.910 ;
+        RECT 188.220 47.555 188.390 48.355 ;
+        RECT 188.560 47.855 188.810 48.185 ;
+        RECT 189.035 47.885 189.920 48.055 ;
+        RECT 187.880 47.205 188.390 47.295 ;
+        RECT 187.590 47.035 188.390 47.205 ;
+        RECT 186.840 46.425 187.420 46.755 ;
+        RECT 187.590 46.255 187.760 47.035 ;
+        RECT 188.220 46.965 188.390 47.035 ;
+        RECT 187.930 46.785 188.100 46.815 ;
+        RECT 188.560 46.785 188.730 47.855 ;
+        RECT 188.900 46.965 189.090 47.685 ;
+        RECT 189.260 47.295 189.580 47.625 ;
+        RECT 187.930 46.485 188.730 46.785 ;
+        RECT 189.260 46.755 189.450 47.295 ;
+        RECT 186.500 46.085 186.830 46.255 ;
+        RECT 187.010 46.085 187.760 46.255 ;
+        RECT 188.010 45.805 188.380 46.305 ;
+        RECT 188.560 46.255 188.730 46.485 ;
+        RECT 188.900 46.425 189.450 46.755 ;
+        RECT 189.750 46.965 189.920 47.885 ;
+        RECT 190.100 47.855 190.315 48.355 ;
+        RECT 190.780 47.550 190.950 48.175 ;
+        RECT 191.235 47.575 191.415 48.355 ;
+        RECT 190.090 47.390 190.950 47.550 ;
+        RECT 190.090 47.220 191.200 47.390 ;
+        RECT 191.030 46.965 191.200 47.220 ;
+        RECT 191.595 47.355 191.930 48.115 ;
+        RECT 192.110 47.525 192.280 48.355 ;
+        RECT 192.450 47.355 192.780 48.115 ;
+        RECT 192.950 47.525 193.120 48.355 ;
+        RECT 191.595 47.185 193.265 47.355 ;
+        RECT 193.435 47.265 196.945 48.355 ;
+        RECT 197.205 47.685 197.375 48.185 ;
+        RECT 197.545 47.855 197.875 48.355 ;
+        RECT 197.205 47.515 197.870 47.685 ;
+        RECT 189.750 46.795 190.840 46.965 ;
+        RECT 191.030 46.795 192.850 46.965 ;
+        RECT 189.750 46.255 189.920 46.795 ;
+        RECT 191.030 46.625 191.200 46.795 ;
+        RECT 190.700 46.455 191.200 46.625 ;
+        RECT 193.020 46.620 193.265 47.185 ;
+        RECT 188.560 46.085 189.020 46.255 ;
+        RECT 189.250 46.085 189.920 46.255 ;
+        RECT 190.235 45.805 190.405 46.335 ;
+        RECT 190.700 46.015 191.060 46.455 ;
+        RECT 191.595 46.450 193.265 46.620 ;
+        RECT 193.435 46.575 195.085 47.095 ;
+        RECT 195.255 46.745 196.945 47.265 ;
+        RECT 197.120 46.695 197.470 47.345 ;
+        RECT 191.235 45.805 191.405 46.285 ;
+        RECT 191.595 46.025 191.930 46.450 ;
+        RECT 192.105 45.805 192.275 46.280 ;
+        RECT 192.450 46.025 192.785 46.450 ;
+        RECT 192.955 45.805 193.125 46.280 ;
+        RECT 193.435 45.805 196.945 46.575 ;
+        RECT 197.640 46.525 197.870 47.515 ;
+        RECT 197.205 46.355 197.870 46.525 ;
+        RECT 197.205 46.065 197.375 46.355 ;
+        RECT 197.545 45.805 197.875 46.185 ;
+        RECT 198.045 46.065 198.270 48.185 ;
+        RECT 198.470 47.895 198.735 48.355 ;
+        RECT 198.920 47.785 199.155 48.160 ;
+        RECT 199.400 47.910 200.470 48.080 ;
+        RECT 198.470 46.785 198.750 47.385 ;
+        RECT 198.485 45.805 198.735 46.265 ;
+        RECT 198.920 46.255 199.090 47.785 ;
+        RECT 199.260 46.755 199.500 47.625 ;
+        RECT 199.690 47.375 200.130 47.730 ;
+        RECT 200.300 47.295 200.470 47.910 ;
+        RECT 200.640 47.555 200.810 48.355 ;
+        RECT 200.980 47.855 201.230 48.185 ;
+        RECT 201.455 47.885 202.340 48.055 ;
+        RECT 200.300 47.205 200.810 47.295 ;
+        RECT 200.010 47.035 200.810 47.205 ;
+        RECT 199.260 46.425 199.840 46.755 ;
+        RECT 200.010 46.255 200.180 47.035 ;
+        RECT 200.640 46.965 200.810 47.035 ;
+        RECT 200.350 46.785 200.520 46.815 ;
+        RECT 200.980 46.785 201.150 47.855 ;
+        RECT 201.320 46.965 201.510 47.685 ;
+        RECT 201.680 47.295 202.000 47.625 ;
+        RECT 200.350 46.485 201.150 46.785 ;
+        RECT 201.680 46.755 201.870 47.295 ;
+        RECT 198.920 46.085 199.250 46.255 ;
+        RECT 199.430 46.085 200.180 46.255 ;
+        RECT 200.430 45.805 200.800 46.305 ;
+        RECT 200.980 46.255 201.150 46.485 ;
+        RECT 201.320 46.425 201.870 46.755 ;
+        RECT 202.170 46.965 202.340 47.885 ;
+        RECT 202.520 47.855 202.735 48.355 ;
+        RECT 203.200 47.550 203.370 48.175 ;
+        RECT 203.655 47.575 203.835 48.355 ;
+        RECT 202.510 47.390 203.370 47.550 ;
+        RECT 202.510 47.220 203.620 47.390 ;
+        RECT 203.450 46.965 203.620 47.220 ;
+        RECT 204.015 47.355 204.350 48.115 ;
+        RECT 204.530 47.525 204.700 48.355 ;
+        RECT 204.870 47.355 205.200 48.115 ;
+        RECT 205.370 47.525 205.540 48.355 ;
+        RECT 204.015 47.185 205.685 47.355 ;
+        RECT 205.855 47.265 209.365 48.355 ;
+        RECT 209.535 47.265 210.745 48.355 ;
+        RECT 202.170 46.795 203.260 46.965 ;
+        RECT 203.450 46.795 205.270 46.965 ;
+        RECT 202.170 46.255 202.340 46.795 ;
+        RECT 203.450 46.625 203.620 46.795 ;
+        RECT 203.120 46.455 203.620 46.625 ;
+        RECT 205.440 46.620 205.685 47.185 ;
+        RECT 200.980 46.085 201.440 46.255 ;
+        RECT 201.670 46.085 202.340 46.255 ;
+        RECT 202.655 45.805 202.825 46.335 ;
+        RECT 203.120 46.015 203.480 46.455 ;
+        RECT 204.015 46.450 205.685 46.620 ;
+        RECT 205.855 46.575 207.505 47.095 ;
+        RECT 207.675 46.745 209.365 47.265 ;
+        RECT 203.655 45.805 203.825 46.285 ;
+        RECT 204.015 46.025 204.350 46.450 ;
+        RECT 204.525 45.805 204.695 46.280 ;
+        RECT 204.870 46.025 205.205 46.450 ;
+        RECT 205.375 45.805 205.545 46.280 ;
+        RECT 205.855 45.805 209.365 46.575 ;
+        RECT 209.535 46.555 210.055 47.095 ;
+        RECT 210.225 46.725 210.745 47.265 ;
+        RECT 210.915 47.190 211.205 48.355 ;
+        RECT 211.405 47.385 211.740 48.170 ;
+        RECT 211.405 47.215 212.000 47.385 ;
+        RECT 209.535 45.805 210.745 46.555 ;
+        RECT 210.915 45.805 211.205 46.530 ;
+        RECT 211.375 46.475 211.660 47.045 ;
+        RECT 211.830 46.545 212.000 47.215 ;
+        RECT 212.170 47.340 212.520 48.095 ;
+        RECT 212.690 47.505 213.010 48.095 ;
+        RECT 213.295 47.515 213.545 48.355 ;
+        RECT 212.170 46.715 212.340 47.340 ;
+        RECT 212.690 47.170 212.900 47.505 ;
+        RECT 213.770 47.345 214.020 48.185 ;
+        RECT 214.190 47.515 214.440 48.355 ;
+        RECT 214.610 47.345 214.860 48.185 ;
+        RECT 215.030 47.515 215.280 48.355 ;
+        RECT 212.570 46.715 212.900 47.170 ;
+        RECT 213.130 47.165 213.565 47.335 ;
+        RECT 213.770 47.175 215.345 47.345 ;
+        RECT 215.515 47.265 219.025 48.355 ;
+        RECT 213.130 46.715 213.300 47.165 ;
+        RECT 213.470 46.795 214.930 46.965 ;
+        RECT 213.470 46.545 213.640 46.795 ;
+        RECT 215.100 46.625 215.345 47.175 ;
+        RECT 211.830 46.375 213.640 46.545 ;
+        RECT 213.810 46.445 215.345 46.625 ;
+        RECT 215.515 46.575 217.165 47.095 ;
+        RECT 217.335 46.745 219.025 47.265 ;
+        RECT 219.225 47.060 219.475 48.055 ;
+        RECT 219.655 47.470 219.835 48.185 ;
+        RECT 220.005 47.655 220.455 48.355 ;
+        RECT 220.630 47.470 220.810 48.185 ;
+        RECT 221.020 47.655 221.350 48.355 ;
+        RECT 221.560 47.480 221.750 48.185 ;
+        RECT 221.920 47.655 222.250 48.355 ;
+        RECT 222.420 47.485 222.610 48.185 ;
+        RECT 222.780 47.655 223.110 48.355 ;
+        RECT 222.420 47.480 223.165 47.485 ;
+        RECT 219.655 47.300 221.390 47.470 ;
+        RECT 221.180 47.075 221.390 47.300 ;
+        RECT 221.560 47.255 223.165 47.480 ;
+        RECT 223.335 47.265 228.680 48.355 ;
+        RECT 228.855 47.265 230.525 48.355 ;
+        RECT 219.225 46.715 219.985 47.060 ;
+        RECT 211.410 45.805 211.660 46.305 ;
+        RECT 211.990 46.025 212.160 46.375 ;
+        RECT 212.360 45.805 212.690 46.205 ;
+        RECT 212.860 46.025 213.030 46.375 ;
+        RECT 213.250 45.805 213.630 46.205 ;
+        RECT 213.810 45.975 214.060 46.445 ;
+        RECT 214.230 45.805 214.400 46.275 ;
+        RECT 214.570 45.975 214.900 46.445 ;
+        RECT 215.070 45.805 215.240 46.275 ;
+        RECT 215.515 45.805 219.025 46.575 ;
+        RECT 219.575 46.285 219.910 46.525 ;
+        RECT 220.175 46.465 220.465 47.060 ;
+        RECT 220.635 46.715 221.010 47.045 ;
+        RECT 221.180 46.740 222.715 47.075 ;
+        RECT 221.180 46.525 221.390 46.740 ;
+        RECT 222.885 46.565 223.165 47.255 ;
+        RECT 220.645 46.335 221.390 46.525 ;
+        RECT 221.560 46.335 223.165 46.565 ;
+        RECT 223.335 46.575 225.915 47.095 ;
+        RECT 226.085 46.745 228.680 47.265 ;
+        RECT 228.855 46.575 229.605 47.095 ;
+        RECT 229.775 46.745 230.525 47.265 ;
+        RECT 230.725 47.385 231.060 48.170 ;
+        RECT 230.725 47.215 231.320 47.385 ;
+        RECT 220.645 46.285 220.835 46.335 ;
+        RECT 219.575 46.095 220.835 46.285 ;
+        RECT 221.560 46.235 221.750 46.335 ;
+        RECT 219.575 45.975 219.910 46.095 ;
+        RECT 221.015 45.805 221.345 46.165 ;
+        RECT 221.920 45.805 222.250 46.165 ;
+        RECT 222.420 45.975 222.610 46.335 ;
+        RECT 222.780 45.805 223.110 46.165 ;
+        RECT 223.335 45.805 228.680 46.575 ;
+        RECT 228.855 45.805 230.525 46.575 ;
+        RECT 230.695 46.475 230.980 47.045 ;
+        RECT 231.150 46.545 231.320 47.215 ;
+        RECT 231.490 47.340 231.840 48.095 ;
+        RECT 232.010 47.505 232.330 48.095 ;
+        RECT 232.615 47.515 232.865 48.355 ;
+        RECT 231.490 46.715 231.660 47.340 ;
+        RECT 232.010 47.170 232.220 47.505 ;
+        RECT 233.090 47.345 233.340 48.185 ;
+        RECT 233.510 47.515 233.760 48.355 ;
+        RECT 233.930 47.345 234.180 48.185 ;
+        RECT 234.350 47.515 234.600 48.355 ;
+        RECT 231.890 46.715 232.220 47.170 ;
+        RECT 232.450 47.165 232.885 47.335 ;
+        RECT 233.090 47.175 234.665 47.345 ;
+        RECT 234.835 47.265 238.345 48.355 ;
+        RECT 232.450 46.715 232.620 47.165 ;
+        RECT 232.790 46.795 234.250 46.965 ;
+        RECT 232.790 46.545 232.960 46.795 ;
+        RECT 234.420 46.625 234.665 47.175 ;
+        RECT 231.150 46.375 232.960 46.545 ;
+        RECT 233.130 46.445 234.665 46.625 ;
+        RECT 234.835 46.575 236.485 47.095 ;
+        RECT 236.655 46.745 238.345 47.265 ;
+        RECT 238.975 47.190 239.265 48.355 ;
+        RECT 239.485 47.675 239.735 48.185 ;
+        RECT 239.905 47.845 240.155 48.355 ;
+        RECT 240.325 47.675 240.575 48.185 ;
+        RECT 240.745 47.845 240.995 48.355 ;
+        RECT 241.165 48.015 242.255 48.185 ;
+        RECT 241.165 47.675 241.415 48.015 ;
+        RECT 242.005 47.855 242.255 48.015 ;
+        RECT 242.525 47.855 242.775 48.355 ;
+        RECT 242.945 48.015 244.035 48.185 ;
+        RECT 242.945 47.855 243.195 48.015 ;
+        RECT 239.485 47.505 241.415 47.675 ;
+        RECT 239.435 47.165 241.035 47.335 ;
+        RECT 241.205 47.175 241.415 47.505 ;
+        RECT 241.585 47.685 241.835 47.845 ;
+        RECT 243.365 47.685 243.615 47.845 ;
+        RECT 239.435 46.795 239.925 47.165 ;
+        RECT 240.155 46.795 240.695 46.995 ;
+        RECT 240.865 46.965 241.035 47.165 ;
+        RECT 241.585 47.135 241.970 47.685 ;
+        RECT 242.485 47.505 243.615 47.685 ;
+        RECT 243.785 47.505 244.035 48.015 ;
+        RECT 244.205 47.515 244.455 48.355 ;
+        RECT 244.625 47.675 244.875 48.185 ;
+        RECT 245.045 47.885 245.295 48.355 ;
+        RECT 245.465 47.675 245.715 48.185 ;
+        RECT 244.625 47.505 245.715 47.675 ;
+        RECT 245.885 47.545 246.135 48.355 ;
+        RECT 242.485 47.385 242.655 47.505 ;
+        RECT 242.305 47.215 242.655 47.385 ;
+        RECT 245.465 47.375 245.715 47.505 ;
+        RECT 240.865 46.795 241.245 46.965 ;
+        RECT 241.585 46.625 241.795 47.135 ;
+        RECT 242.305 46.965 242.495 47.215 ;
+        RECT 242.825 47.165 244.315 47.335 ;
+        RECT 242.825 47.045 242.995 47.165 ;
+        RECT 241.965 46.795 242.495 46.965 ;
+        RECT 242.665 46.795 242.995 47.045 ;
+        RECT 243.165 46.795 243.785 46.995 ;
+        RECT 243.955 46.795 244.315 47.165 ;
+        RECT 244.485 46.965 244.810 47.335 ;
+        RECT 245.465 47.135 246.270 47.375 ;
+        RECT 246.795 47.265 250.305 48.355 ;
+        RECT 244.485 46.795 245.790 46.965 ;
+        RECT 242.305 46.625 242.495 46.795 ;
+        RECT 245.960 46.625 246.270 47.135 ;
+        RECT 230.730 45.805 230.980 46.305 ;
+        RECT 231.310 46.025 231.480 46.375 ;
+        RECT 231.680 45.805 232.010 46.205 ;
+        RECT 232.180 46.025 232.350 46.375 ;
+        RECT 232.570 45.805 232.950 46.205 ;
+        RECT 233.130 45.975 233.380 46.445 ;
+        RECT 233.550 45.805 233.720 46.275 ;
+        RECT 233.890 45.975 234.220 46.445 ;
+        RECT 234.390 45.805 234.560 46.275 ;
+        RECT 234.835 45.805 238.345 46.575 ;
+        RECT 238.975 45.805 239.265 46.530 ;
+        RECT 239.525 45.805 239.695 46.615 ;
+        RECT 239.865 46.195 240.115 46.625 ;
+        RECT 240.285 46.455 241.875 46.625 ;
+        RECT 240.285 46.365 240.620 46.455 ;
+        RECT 239.865 45.975 241.035 46.195 ;
+        RECT 241.205 45.805 241.375 46.275 ;
+        RECT 241.545 45.975 241.875 46.455 ;
+        RECT 242.305 46.445 244.075 46.625 ;
+        RECT 242.045 45.805 242.735 46.275 ;
+        RECT 242.905 45.975 243.235 46.445 ;
+        RECT 243.405 45.805 243.575 46.275 ;
+        RECT 243.745 45.975 244.075 46.445 ;
+        RECT 244.245 45.805 244.415 46.615 ;
+        RECT 244.585 46.445 246.270 46.625 ;
+        RECT 246.795 46.575 248.445 47.095 ;
+        RECT 248.615 46.745 250.305 47.265 ;
+        RECT 250.505 47.385 250.840 48.170 ;
+        RECT 251.270 47.675 251.620 48.095 ;
+        RECT 251.225 47.505 251.620 47.675 ;
+        RECT 250.505 47.215 251.100 47.385 ;
+        RECT 244.585 45.995 244.915 46.445 ;
+        RECT 245.085 45.805 245.255 46.275 ;
+        RECT 245.425 45.995 245.755 46.445 ;
+        RECT 245.925 45.805 246.095 46.275 ;
+        RECT 246.795 45.805 250.305 46.575 ;
+        RECT 250.475 46.475 250.760 47.045 ;
+        RECT 250.930 46.545 251.100 47.215 ;
+        RECT 251.270 47.340 251.620 47.505 ;
+        RECT 251.790 47.505 252.110 48.095 ;
+        RECT 252.395 47.515 252.645 48.355 ;
+        RECT 252.870 48.015 253.120 48.185 ;
+        RECT 252.835 47.845 253.120 48.015 ;
+        RECT 251.270 46.715 251.440 47.340 ;
+        RECT 251.790 47.170 252.000 47.505 ;
+        RECT 252.870 47.345 253.120 47.845 ;
+        RECT 253.290 47.515 253.540 48.355 ;
+        RECT 253.710 47.345 253.960 48.185 ;
+        RECT 254.130 47.515 254.380 48.355 ;
+        RECT 251.670 46.715 252.000 47.170 ;
+        RECT 252.230 47.165 252.665 47.335 ;
+        RECT 252.870 47.175 254.445 47.345 ;
+        RECT 254.615 47.265 258.125 48.355 ;
+        RECT 252.230 46.715 252.400 47.165 ;
+        RECT 252.570 46.795 254.030 46.965 ;
+        RECT 252.570 46.545 252.740 46.795 ;
+        RECT 254.200 46.625 254.445 47.175 ;
+        RECT 250.930 46.375 252.740 46.545 ;
+        RECT 252.910 46.445 254.445 46.625 ;
+        RECT 254.615 46.575 256.265 47.095 ;
+        RECT 256.435 46.745 258.125 47.265 ;
+        RECT 258.365 47.385 258.725 48.185 ;
+        RECT 259.270 47.555 259.440 48.355 ;
+        RECT 259.650 47.725 259.980 48.185 ;
+        RECT 260.150 47.895 260.320 48.355 ;
+        RECT 260.490 47.725 260.820 48.185 ;
+        RECT 259.650 47.555 260.820 47.725 ;
+        RECT 260.990 47.555 261.160 48.355 ;
+        RECT 260.490 47.385 260.820 47.555 ;
+        RECT 258.365 47.215 259.825 47.385 ;
+        RECT 260.490 47.215 261.345 47.385 ;
+        RECT 261.515 47.265 266.860 48.355 ;
+        RECT 250.510 45.805 250.760 46.305 ;
+        RECT 251.090 46.025 251.260 46.375 ;
+        RECT 251.460 45.805 251.790 46.205 ;
+        RECT 251.960 46.025 252.130 46.375 ;
+        RECT 252.350 45.805 252.730 46.205 ;
+        RECT 252.910 45.975 253.160 46.445 ;
+        RECT 253.330 45.805 253.500 46.275 ;
+        RECT 253.670 45.975 254.000 46.445 ;
+        RECT 254.170 45.805 254.340 46.275 ;
+        RECT 254.615 45.805 258.125 46.575 ;
+        RECT 258.300 46.485 258.555 47.045 ;
+        RECT 258.725 46.545 258.905 47.215 ;
+        RECT 259.075 46.715 259.450 47.045 ;
+        RECT 259.620 46.965 259.825 47.215 ;
+        RECT 259.620 46.795 260.830 46.965 ;
+        RECT 261.000 46.625 261.345 47.215 ;
+        RECT 258.315 45.805 258.555 46.315 ;
+        RECT 258.725 46.010 259.055 46.545 ;
+        RECT 259.270 45.805 259.440 46.545 ;
+        RECT 259.650 46.455 261.345 46.625 ;
+        RECT 261.515 46.575 264.095 47.095 ;
+        RECT 264.265 46.745 266.860 47.265 ;
+        RECT 267.035 47.190 267.325 48.355 ;
+        RECT 267.585 47.425 267.755 48.185 ;
+        RECT 267.970 47.595 268.300 48.355 ;
+        RECT 267.585 47.255 268.300 47.425 ;
+        RECT 268.470 47.280 268.725 48.185 ;
+        RECT 267.495 46.705 267.850 47.075 ;
+        RECT 268.130 47.045 268.300 47.255 ;
+        RECT 268.130 46.715 268.385 47.045 ;
+        RECT 259.650 45.985 259.980 46.455 ;
+        RECT 260.150 45.805 260.320 46.285 ;
+        RECT 260.490 45.985 260.820 46.455 ;
+        RECT 260.990 45.805 261.160 46.285 ;
+        RECT 261.515 45.805 266.860 46.575 ;
+        RECT 267.035 45.805 267.325 46.530 ;
+        RECT 268.130 46.525 268.300 46.715 ;
+        RECT 268.555 46.550 268.725 47.280 ;
+        RECT 268.900 47.205 269.160 48.355 ;
+        RECT 269.335 47.265 272.845 48.355 ;
+        RECT 267.585 46.355 268.300 46.525 ;
+        RECT 267.585 45.975 267.755 46.355 ;
+        RECT 267.970 45.805 268.300 46.185 ;
+        RECT 268.470 45.975 268.725 46.550 ;
+        RECT 268.900 45.805 269.160 46.645 ;
+        RECT 269.335 46.575 270.985 47.095 ;
+        RECT 271.155 46.745 272.845 47.265 ;
+        RECT 273.020 47.385 273.295 48.185 ;
+        RECT 273.465 47.555 273.795 48.355 ;
+        RECT 273.965 47.385 274.135 48.185 ;
+        RECT 274.305 47.555 274.555 48.355 ;
+        RECT 274.725 48.015 276.820 48.185 ;
+        RECT 274.725 47.385 275.055 48.015 ;
+        RECT 273.020 47.175 275.055 47.385 ;
+        RECT 275.225 47.465 275.395 47.845 ;
+        RECT 275.565 47.655 275.895 48.015 ;
+        RECT 276.065 47.465 276.235 47.845 ;
+        RECT 276.405 47.635 276.820 48.015 ;
+        RECT 275.225 47.165 276.985 47.465 ;
+        RECT 277.155 47.265 282.500 48.355 ;
+        RECT 282.675 47.265 283.885 48.355 ;
+        RECT 273.070 46.795 274.730 46.995 ;
+        RECT 275.050 46.795 276.415 46.995 ;
+        RECT 276.585 46.625 276.985 47.165 ;
+        RECT 269.335 45.805 272.845 46.575 ;
+        RECT 273.020 45.805 273.295 46.625 ;
+        RECT 273.465 46.445 276.985 46.625 ;
+        RECT 277.155 46.575 279.735 47.095 ;
+        RECT 279.905 46.745 282.500 47.265 ;
+        RECT 273.465 45.975 273.795 46.445 ;
+        RECT 273.965 45.805 274.135 46.275 ;
+        RECT 274.305 45.975 274.635 46.445 ;
+        RECT 274.805 45.805 274.975 46.275 ;
+        RECT 275.145 45.975 275.475 46.445 ;
+        RECT 275.645 45.805 275.815 46.275 ;
+        RECT 275.985 45.975 276.315 46.445 ;
+        RECT 276.485 45.805 276.770 46.275 ;
+        RECT 277.155 45.805 282.500 46.575 ;
+        RECT 282.675 46.555 283.195 47.095 ;
+        RECT 283.365 46.725 283.885 47.265 ;
+        RECT 282.675 45.805 283.885 46.555 ;
+        RECT 284.055 45.975 284.805 48.185 ;
+        RECT 285.110 47.545 285.360 48.355 ;
+        RECT 285.530 47.335 285.780 48.185 ;
+        RECT 285.950 47.515 286.200 48.355 ;
+        RECT 286.370 47.335 286.620 48.185 ;
+        RECT 286.790 47.845 287.560 48.355 ;
+        RECT 287.730 48.015 288.820 48.185 ;
+        RECT 287.730 47.845 287.980 48.015 ;
+        RECT 288.570 47.845 288.820 48.015 ;
+        RECT 288.990 47.845 289.320 48.355 ;
+        RECT 289.490 48.015 290.580 48.185 ;
+        RECT 289.490 47.845 289.740 48.015 ;
+        RECT 288.150 47.675 288.400 47.845 ;
+        RECT 289.910 47.675 290.160 47.845 ;
+        RECT 284.975 47.165 286.620 47.335 ;
+        RECT 286.790 47.505 290.160 47.675 ;
+        RECT 290.330 47.505 290.580 48.015 ;
+        RECT 284.975 46.625 285.260 47.165 ;
+        RECT 286.790 46.995 287.120 47.505 ;
+        RECT 285.430 46.795 287.120 46.995 ;
+        RECT 287.310 47.165 289.070 47.335 ;
+        RECT 287.310 46.795 287.845 47.165 ;
+        RECT 288.015 46.795 288.570 46.995 ;
+        RECT 288.740 46.795 289.070 47.165 ;
+        RECT 289.240 47.165 290.625 47.335 ;
+        RECT 290.795 47.175 291.000 48.355 ;
+        RECT 291.415 47.265 294.925 48.355 ;
+        RECT 289.240 46.795 289.570 47.165 ;
+        RECT 290.455 46.995 290.625 47.165 ;
+        RECT 289.790 46.795 290.285 46.995 ;
+        RECT 290.455 46.795 291.245 46.995 ;
+        RECT 286.830 46.625 287.120 46.795 ;
+        RECT 284.975 46.445 286.660 46.625 ;
+        RECT 286.830 46.455 288.860 46.625 ;
+        RECT 285.150 45.805 285.320 46.275 ;
+        RECT 285.490 45.985 285.820 46.445 ;
+        RECT 285.990 45.805 286.160 46.275 ;
+        RECT 286.330 45.975 286.660 46.445 ;
+        RECT 287.305 46.365 288.860 46.455 ;
+        RECT 289.030 46.455 291.040 46.625 ;
+        RECT 286.830 45.805 287.000 46.275 ;
+        RECT 289.030 46.195 289.360 46.455 ;
+        RECT 289.870 46.445 291.040 46.455 ;
+        RECT 287.270 45.975 289.360 46.195 ;
+        RECT 289.530 45.805 289.700 46.275 ;
+        RECT 289.870 45.975 290.200 46.445 ;
+        RECT 290.370 45.805 290.540 46.275 ;
+        RECT 290.710 45.975 291.040 46.445 ;
+        RECT 291.415 46.575 293.065 47.095 ;
+        RECT 293.235 46.745 294.925 47.265 ;
+        RECT 295.095 47.190 295.385 48.355 ;
+        RECT 295.560 47.385 295.835 48.185 ;
+        RECT 296.005 47.555 296.335 48.355 ;
+        RECT 296.505 47.385 296.675 48.185 ;
+        RECT 296.845 47.555 297.095 48.355 ;
+        RECT 297.265 48.015 299.360 48.185 ;
+        RECT 297.265 47.385 297.595 48.015 ;
+        RECT 295.560 47.175 297.595 47.385 ;
+        RECT 297.765 47.465 297.935 47.845 ;
+        RECT 298.105 47.655 298.435 48.015 ;
+        RECT 298.605 47.465 298.775 47.845 ;
+        RECT 298.945 47.635 299.360 48.015 ;
+        RECT 297.765 47.165 299.525 47.465 ;
+        RECT 299.695 47.265 303.205 48.355 ;
+        RECT 297.590 46.795 298.955 46.995 ;
+        RECT 299.125 46.625 299.525 47.165 ;
+        RECT 291.415 45.805 294.925 46.575 ;
+        RECT 295.095 45.805 295.385 46.530 ;
+        RECT 295.560 45.805 295.835 46.625 ;
+        RECT 296.005 46.445 299.525 46.625 ;
+        RECT 299.695 46.575 301.345 47.095 ;
+        RECT 301.515 46.745 303.205 47.265 ;
+        RECT 303.380 47.385 303.655 48.185 ;
+        RECT 303.825 47.555 304.155 48.355 ;
+        RECT 304.325 47.385 304.495 48.185 ;
+        RECT 304.665 47.555 304.915 48.355 ;
+        RECT 305.085 48.015 307.180 48.185 ;
+        RECT 305.085 47.385 305.415 48.015 ;
+        RECT 303.380 47.175 305.415 47.385 ;
+        RECT 305.585 47.465 305.755 47.845 ;
+        RECT 305.925 47.655 306.255 48.015 ;
+        RECT 306.425 47.465 306.595 47.845 ;
+        RECT 306.765 47.635 307.180 48.015 ;
+        RECT 305.585 47.165 307.345 47.465 ;
+        RECT 307.515 47.265 311.025 48.355 ;
+        RECT 303.430 46.795 305.090 46.995 ;
+        RECT 305.410 46.795 306.775 46.995 ;
+        RECT 306.945 46.625 307.345 47.165 ;
+        RECT 296.005 45.975 296.335 46.445 ;
+        RECT 296.505 45.805 296.675 46.275 ;
+        RECT 296.845 45.975 297.175 46.445 ;
+        RECT 297.345 45.805 297.515 46.275 ;
+        RECT 297.685 45.975 298.015 46.445 ;
+        RECT 298.185 45.805 298.355 46.275 ;
+        RECT 298.525 45.975 298.855 46.445 ;
+        RECT 299.025 45.805 299.310 46.275 ;
+        RECT 299.695 45.805 303.205 46.575 ;
+        RECT 303.380 45.805 303.655 46.625 ;
+        RECT 303.825 46.445 307.345 46.625 ;
+        RECT 307.515 46.575 309.165 47.095 ;
+        RECT 309.335 46.745 311.025 47.265 ;
+        RECT 311.200 47.385 311.475 48.185 ;
+        RECT 311.645 47.555 311.975 48.355 ;
+        RECT 312.145 47.385 312.315 48.185 ;
+        RECT 312.485 47.555 312.735 48.355 ;
+        RECT 312.905 48.015 315.000 48.185 ;
+        RECT 312.905 47.385 313.235 48.015 ;
+        RECT 311.200 47.175 313.235 47.385 ;
+        RECT 313.405 47.465 313.575 47.845 ;
+        RECT 313.745 47.655 314.075 48.015 ;
+        RECT 314.245 47.465 314.415 47.845 ;
+        RECT 314.585 47.635 315.000 48.015 ;
+        RECT 313.405 47.165 315.165 47.465 ;
+        RECT 315.335 47.265 320.680 48.355 ;
+        RECT 320.855 47.265 322.525 48.355 ;
+        RECT 311.250 46.795 312.910 46.995 ;
+        RECT 313.230 46.795 314.595 46.995 ;
+        RECT 314.765 46.625 315.165 47.165 ;
+        RECT 303.825 45.975 304.155 46.445 ;
+        RECT 304.325 45.805 304.495 46.275 ;
+        RECT 304.665 45.975 304.995 46.445 ;
+        RECT 305.165 45.805 305.335 46.275 ;
+        RECT 305.505 45.975 305.835 46.445 ;
+        RECT 306.005 45.805 306.175 46.275 ;
+        RECT 306.345 45.975 306.675 46.445 ;
+        RECT 306.845 45.805 307.130 46.275 ;
+        RECT 307.515 45.805 311.025 46.575 ;
+        RECT 311.200 45.805 311.475 46.625 ;
+        RECT 311.645 46.445 315.165 46.625 ;
+        RECT 315.335 46.575 317.915 47.095 ;
+        RECT 318.085 46.745 320.680 47.265 ;
+        RECT 320.855 46.575 321.605 47.095 ;
+        RECT 321.775 46.745 322.525 47.265 ;
+        RECT 323.155 47.190 323.445 48.355 ;
+        RECT 323.620 47.385 323.895 48.185 ;
+        RECT 324.065 47.555 324.395 48.355 ;
+        RECT 324.565 47.385 324.735 48.185 ;
+        RECT 324.905 47.555 325.155 48.355 ;
+        RECT 325.325 48.015 327.420 48.185 ;
+        RECT 325.325 47.385 325.655 48.015 ;
+        RECT 323.620 47.175 325.655 47.385 ;
+        RECT 325.825 47.465 325.995 47.845 ;
+        RECT 326.165 47.655 326.495 48.015 ;
+        RECT 326.665 47.465 326.835 47.845 ;
+        RECT 327.005 47.635 327.420 48.015 ;
+        RECT 325.825 47.165 327.585 47.465 ;
+        RECT 327.755 47.265 331.265 48.355 ;
+        RECT 323.670 46.795 325.330 46.995 ;
+        RECT 325.650 46.795 327.015 46.995 ;
+        RECT 327.185 46.625 327.585 47.165 ;
+        RECT 311.645 45.975 311.975 46.445 ;
+        RECT 312.145 45.805 312.315 46.275 ;
+        RECT 312.485 45.975 312.815 46.445 ;
+        RECT 312.985 45.805 313.155 46.275 ;
+        RECT 313.325 45.975 313.655 46.445 ;
+        RECT 313.825 45.805 313.995 46.275 ;
+        RECT 314.165 45.975 314.495 46.445 ;
+        RECT 314.665 45.805 314.950 46.275 ;
+        RECT 315.335 45.805 320.680 46.575 ;
+        RECT 320.855 45.805 322.525 46.575 ;
+        RECT 323.155 45.805 323.445 46.530 ;
+        RECT 323.620 45.805 323.895 46.625 ;
+        RECT 324.065 46.445 327.585 46.625 ;
+        RECT 327.755 46.575 329.405 47.095 ;
+        RECT 329.575 46.745 331.265 47.265 ;
+        RECT 331.440 47.385 331.715 48.185 ;
+        RECT 331.885 47.555 332.215 48.355 ;
+        RECT 332.385 47.385 332.555 48.185 ;
+        RECT 332.725 47.555 332.975 48.355 ;
+        RECT 333.145 48.015 335.240 48.185 ;
+        RECT 333.145 47.385 333.475 48.015 ;
+        RECT 331.440 47.175 333.475 47.385 ;
+        RECT 333.645 47.465 333.815 47.845 ;
+        RECT 333.985 47.655 334.315 48.015 ;
+        RECT 334.485 47.465 334.655 47.845 ;
+        RECT 334.825 47.635 335.240 48.015 ;
+        RECT 333.645 47.165 335.405 47.465 ;
+        RECT 335.575 47.265 339.085 48.355 ;
+        RECT 331.490 46.795 333.150 46.995 ;
+        RECT 333.470 46.795 334.835 46.995 ;
+        RECT 335.005 46.625 335.405 47.165 ;
+        RECT 324.065 45.975 324.395 46.445 ;
+        RECT 324.565 45.805 324.735 46.275 ;
+        RECT 324.905 45.975 325.235 46.445 ;
+        RECT 325.405 45.805 325.575 46.275 ;
+        RECT 325.745 45.975 326.075 46.445 ;
+        RECT 326.245 45.805 326.415 46.275 ;
+        RECT 326.585 45.975 326.915 46.445 ;
+        RECT 327.085 45.805 327.370 46.275 ;
+        RECT 327.755 45.805 331.265 46.575 ;
+        RECT 331.440 45.805 331.715 46.625 ;
+        RECT 331.885 46.445 335.405 46.625 ;
+        RECT 335.575 46.575 337.225 47.095 ;
+        RECT 337.395 46.745 339.085 47.265 ;
+        RECT 339.260 47.385 339.535 48.185 ;
+        RECT 339.705 47.555 340.035 48.355 ;
+        RECT 340.205 47.385 340.375 48.185 ;
+        RECT 340.545 47.555 340.795 48.355 ;
+        RECT 340.965 48.015 343.060 48.185 ;
+        RECT 340.965 47.385 341.295 48.015 ;
+        RECT 339.260 47.175 341.295 47.385 ;
+        RECT 341.465 47.465 341.635 47.845 ;
+        RECT 341.805 47.655 342.135 48.015 ;
+        RECT 342.305 47.465 342.475 47.845 ;
+        RECT 342.645 47.635 343.060 48.015 ;
+        RECT 341.465 47.165 343.225 47.465 ;
+        RECT 343.395 47.265 348.740 48.355 ;
+        RECT 348.915 47.265 350.585 48.355 ;
+        RECT 339.310 46.795 340.970 46.995 ;
+        RECT 341.290 46.795 342.655 46.995 ;
+        RECT 342.825 46.625 343.225 47.165 ;
+        RECT 331.885 45.975 332.215 46.445 ;
+        RECT 332.385 45.805 332.555 46.275 ;
+        RECT 332.725 45.975 333.055 46.445 ;
+        RECT 333.225 45.805 333.395 46.275 ;
+        RECT 333.565 45.975 333.895 46.445 ;
+        RECT 334.065 45.805 334.235 46.275 ;
+        RECT 334.405 45.975 334.735 46.445 ;
+        RECT 334.905 45.805 335.190 46.275 ;
+        RECT 335.575 45.805 339.085 46.575 ;
+        RECT 339.260 45.805 339.535 46.625 ;
+        RECT 339.705 46.445 343.225 46.625 ;
+        RECT 343.395 46.575 345.975 47.095 ;
+        RECT 346.145 46.745 348.740 47.265 ;
+        RECT 348.915 46.575 349.665 47.095 ;
+        RECT 349.835 46.745 350.585 47.265 ;
+        RECT 351.215 47.190 351.505 48.355 ;
+        RECT 351.680 47.385 351.955 48.185 ;
+        RECT 352.125 47.555 352.455 48.355 ;
+        RECT 352.625 47.385 352.795 48.185 ;
+        RECT 352.965 47.555 353.215 48.355 ;
+        RECT 353.385 48.015 355.480 48.185 ;
+        RECT 353.385 47.385 353.715 48.015 ;
+        RECT 351.680 47.175 353.715 47.385 ;
+        RECT 353.885 47.465 354.055 47.845 ;
+        RECT 354.225 47.655 354.555 48.015 ;
+        RECT 354.725 47.465 354.895 47.845 ;
+        RECT 355.065 47.635 355.480 48.015 ;
+        RECT 353.885 47.165 355.645 47.465 ;
+        RECT 355.815 47.265 359.325 48.355 ;
+        RECT 351.730 46.795 353.390 46.995 ;
+        RECT 353.710 46.795 355.075 46.995 ;
+        RECT 355.245 46.625 355.645 47.165 ;
+        RECT 339.705 45.975 340.035 46.445 ;
+        RECT 340.205 45.805 340.375 46.275 ;
+        RECT 340.545 45.975 340.875 46.445 ;
+        RECT 341.045 45.805 341.215 46.275 ;
+        RECT 341.385 45.975 341.715 46.445 ;
+        RECT 341.885 45.805 342.055 46.275 ;
+        RECT 342.225 45.975 342.555 46.445 ;
+        RECT 342.725 45.805 343.010 46.275 ;
+        RECT 343.395 45.805 348.740 46.575 ;
+        RECT 348.915 45.805 350.585 46.575 ;
+        RECT 351.215 45.805 351.505 46.530 ;
+        RECT 351.680 45.805 351.955 46.625 ;
+        RECT 352.125 46.445 355.645 46.625 ;
+        RECT 355.815 46.575 357.465 47.095 ;
+        RECT 357.635 46.745 359.325 47.265 ;
+        RECT 359.500 47.385 359.775 48.185 ;
+        RECT 359.945 47.555 360.275 48.355 ;
+        RECT 360.445 47.385 360.615 48.185 ;
+        RECT 360.785 47.555 361.035 48.355 ;
+        RECT 361.205 48.015 363.300 48.185 ;
+        RECT 361.205 47.385 361.535 48.015 ;
+        RECT 359.500 47.175 361.535 47.385 ;
+        RECT 361.705 47.465 361.875 47.845 ;
+        RECT 362.045 47.655 362.375 48.015 ;
+        RECT 362.545 47.465 362.715 47.845 ;
+        RECT 362.885 47.635 363.300 48.015 ;
+        RECT 361.705 47.165 363.465 47.465 ;
+        RECT 363.635 47.265 367.145 48.355 ;
+        RECT 359.550 46.795 361.210 46.995 ;
+        RECT 361.530 46.795 362.895 46.995 ;
+        RECT 363.065 46.625 363.465 47.165 ;
+        RECT 352.125 45.975 352.455 46.445 ;
+        RECT 352.625 45.805 352.795 46.275 ;
+        RECT 352.965 45.975 353.295 46.445 ;
+        RECT 353.465 45.805 353.635 46.275 ;
+        RECT 353.805 45.975 354.135 46.445 ;
+        RECT 354.305 45.805 354.475 46.275 ;
+        RECT 354.645 45.975 354.975 46.445 ;
+        RECT 355.145 45.805 355.430 46.275 ;
+        RECT 355.815 45.805 359.325 46.575 ;
+        RECT 359.500 45.805 359.775 46.625 ;
+        RECT 359.945 46.445 363.465 46.625 ;
+        RECT 363.635 46.575 365.285 47.095 ;
+        RECT 365.455 46.745 367.145 47.265 ;
+        RECT 367.320 47.385 367.595 48.185 ;
+        RECT 367.765 47.555 368.095 48.355 ;
+        RECT 368.265 47.385 368.435 48.185 ;
+        RECT 368.605 47.555 368.855 48.355 ;
+        RECT 369.025 48.015 371.120 48.185 ;
+        RECT 369.025 47.385 369.355 48.015 ;
+        RECT 367.320 47.175 369.355 47.385 ;
+        RECT 369.525 47.465 369.695 47.845 ;
+        RECT 369.865 47.655 370.195 48.015 ;
+        RECT 370.365 47.465 370.535 47.845 ;
+        RECT 370.705 47.635 371.120 48.015 ;
+        RECT 369.525 47.165 371.285 47.465 ;
+        RECT 371.455 47.265 376.800 48.355 ;
+        RECT 376.975 47.265 378.645 48.355 ;
+        RECT 367.370 46.795 369.030 46.995 ;
+        RECT 369.350 46.795 370.715 46.995 ;
+        RECT 370.885 46.625 371.285 47.165 ;
+        RECT 359.945 45.975 360.275 46.445 ;
+        RECT 360.445 45.805 360.615 46.275 ;
+        RECT 360.785 45.975 361.115 46.445 ;
+        RECT 361.285 45.805 361.455 46.275 ;
+        RECT 361.625 45.975 361.955 46.445 ;
+        RECT 362.125 45.805 362.295 46.275 ;
+        RECT 362.465 45.975 362.795 46.445 ;
+        RECT 362.965 45.805 363.250 46.275 ;
+        RECT 363.635 45.805 367.145 46.575 ;
+        RECT 367.320 45.805 367.595 46.625 ;
+        RECT 367.765 46.445 371.285 46.625 ;
+        RECT 371.455 46.575 374.035 47.095 ;
+        RECT 374.205 46.745 376.800 47.265 ;
+        RECT 376.975 46.575 377.725 47.095 ;
+        RECT 377.895 46.745 378.645 47.265 ;
+        RECT 379.275 47.190 379.565 48.355 ;
+        RECT 379.925 47.630 380.255 48.355 ;
+        RECT 367.765 45.975 368.095 46.445 ;
+        RECT 368.265 45.805 368.435 46.275 ;
+        RECT 368.605 45.975 368.935 46.445 ;
+        RECT 369.105 45.805 369.275 46.275 ;
+        RECT 369.445 45.975 369.775 46.445 ;
+        RECT 369.945 45.805 370.115 46.275 ;
+        RECT 370.285 45.975 370.615 46.445 ;
+        RECT 370.785 45.805 371.070 46.275 ;
+        RECT 371.455 45.805 376.800 46.575 ;
+        RECT 376.975 45.805 378.645 46.575 ;
+        RECT 379.275 45.805 379.565 46.530 ;
+        RECT 379.735 45.975 380.255 47.460 ;
+        RECT 381.115 47.265 384.625 48.355 ;
+        RECT 384.985 47.630 385.315 48.355 ;
+        RECT 381.115 46.575 382.765 47.095 ;
+        RECT 382.935 46.745 384.625 47.265 ;
+        RECT 380.425 45.805 380.765 46.465 ;
+        RECT 381.115 45.805 384.625 46.575 ;
+        RECT 384.795 45.975 385.315 47.460 ;
+        RECT 385.485 46.635 386.005 48.185 ;
+        RECT 386.175 47.265 389.685 48.355 ;
+        RECT 390.045 47.630 390.375 48.355 ;
+        RECT 386.175 46.575 387.825 47.095 ;
+        RECT 387.995 46.745 389.685 47.265 ;
+        RECT 385.485 45.805 385.825 46.465 ;
+        RECT 386.175 45.805 389.685 46.575 ;
+        RECT 389.855 45.975 390.375 47.460 ;
+        RECT 390.545 46.635 391.065 48.185 ;
+        RECT 391.235 47.265 394.745 48.355 ;
+        RECT 395.105 47.630 395.435 48.355 ;
+        RECT 391.235 46.575 392.885 47.095 ;
+        RECT 393.055 46.745 394.745 47.265 ;
+        RECT 390.545 45.805 390.885 46.465 ;
+        RECT 391.235 45.805 394.745 46.575 ;
+        RECT 394.915 45.975 395.435 47.460 ;
+        RECT 396.295 47.265 399.805 48.355 ;
+        RECT 400.165 47.630 400.495 48.355 ;
+        RECT 396.295 46.575 397.945 47.095 ;
+        RECT 398.115 46.745 399.805 47.265 ;
+        RECT 395.605 45.805 395.945 46.465 ;
+        RECT 396.295 45.805 399.805 46.575 ;
+        RECT 399.975 45.975 400.495 47.460 ;
+        RECT 400.665 46.635 401.185 48.185 ;
+        RECT 401.355 47.265 406.700 48.355 ;
+        RECT 401.355 46.575 403.935 47.095 ;
+        RECT 404.105 46.745 406.700 47.265 ;
+        RECT 407.335 47.190 407.625 48.355 ;
+        RECT 407.985 47.630 408.315 48.355 ;
+        RECT 400.665 45.805 401.005 46.465 ;
+        RECT 401.355 45.805 406.700 46.575 ;
+        RECT 407.335 45.805 407.625 46.530 ;
+        RECT 407.795 45.975 408.315 47.460 ;
+        RECT 408.485 46.635 409.005 48.185 ;
+        RECT 409.175 47.265 412.685 48.355 ;
+        RECT 413.045 47.630 413.375 48.355 ;
+        RECT 409.175 46.575 410.825 47.095 ;
+        RECT 410.995 46.745 412.685 47.265 ;
+        RECT 408.485 45.805 408.825 46.465 ;
+        RECT 409.175 45.805 412.685 46.575 ;
+        RECT 412.855 45.975 413.375 47.460 ;
+        RECT 413.545 46.635 414.065 48.185 ;
+        RECT 414.235 47.265 417.745 48.355 ;
+        RECT 418.105 47.630 418.435 48.355 ;
+        RECT 414.235 46.575 415.885 47.095 ;
+        RECT 416.055 46.745 417.745 47.265 ;
+        RECT 413.545 45.805 413.885 46.465 ;
+        RECT 414.235 45.805 417.745 46.575 ;
+        RECT 417.915 45.975 418.435 47.460 ;
+        RECT 418.605 46.635 419.125 48.185 ;
+        RECT 419.295 47.265 422.805 48.355 ;
+        RECT 423.165 47.630 423.495 48.355 ;
+        RECT 419.295 46.575 420.945 47.095 ;
+        RECT 421.115 46.745 422.805 47.265 ;
+        RECT 418.605 45.805 418.945 46.465 ;
+        RECT 419.295 45.805 422.805 46.575 ;
+        RECT 422.975 45.975 423.495 47.460 ;
+        RECT 423.665 46.635 424.185 48.185 ;
+        RECT 424.355 47.265 427.865 48.355 ;
+        RECT 428.225 47.630 428.555 48.355 ;
+        RECT 424.355 46.575 426.005 47.095 ;
+        RECT 426.175 46.745 427.865 47.265 ;
+        RECT 423.665 45.805 424.005 46.465 ;
+        RECT 424.355 45.805 427.865 46.575 ;
+        RECT 428.035 45.975 428.555 47.460 ;
+        RECT 428.725 46.635 429.245 48.185 ;
+        RECT 429.415 47.265 434.760 48.355 ;
+        RECT 429.415 46.575 431.995 47.095 ;
+        RECT 432.165 46.745 434.760 47.265 ;
+        RECT 435.395 47.190 435.685 48.355 ;
+        RECT 436.045 47.630 436.375 48.355 ;
+        RECT 428.725 45.805 429.065 46.465 ;
+        RECT 429.415 45.805 434.760 46.575 ;
+        RECT 435.395 45.805 435.685 46.530 ;
+        RECT 435.855 45.975 436.375 47.460 ;
+        RECT 437.235 47.265 440.745 48.355 ;
+        RECT 441.105 47.630 441.435 48.355 ;
+        RECT 437.235 46.575 438.885 47.095 ;
+        RECT 439.055 46.745 440.745 47.265 ;
+        RECT 436.545 45.805 436.885 46.465 ;
+        RECT 437.235 45.805 440.745 46.575 ;
+        RECT 440.915 45.975 441.435 47.460 ;
+        RECT 441.605 46.635 442.125 48.185 ;
+        RECT 442.295 47.265 445.805 48.355 ;
+        RECT 446.165 47.630 446.495 48.355 ;
+        RECT 442.295 46.575 443.945 47.095 ;
+        RECT 444.115 46.745 445.805 47.265 ;
+        RECT 441.605 45.805 441.945 46.465 ;
+        RECT 442.295 45.805 445.805 46.575 ;
+        RECT 445.975 45.975 446.495 47.460 ;
+        RECT 446.665 46.635 447.185 48.185 ;
+        RECT 447.355 47.265 450.865 48.355 ;
+        RECT 451.225 47.630 451.555 48.355 ;
+        RECT 447.355 46.575 449.005 47.095 ;
+        RECT 449.175 46.745 450.865 47.265 ;
+        RECT 446.665 45.805 447.005 46.465 ;
+        RECT 447.355 45.805 450.865 46.575 ;
+        RECT 451.035 45.975 451.555 47.460 ;
+        RECT 451.725 46.635 452.245 48.185 ;
+        RECT 452.415 47.265 455.925 48.355 ;
+        RECT 456.285 47.630 456.615 48.355 ;
+        RECT 452.415 46.575 454.065 47.095 ;
+        RECT 454.235 46.745 455.925 47.265 ;
+        RECT 451.725 45.805 452.065 46.465 ;
+        RECT 452.415 45.805 455.925 46.575 ;
+        RECT 456.095 45.975 456.615 47.460 ;
+        RECT 456.785 46.635 457.305 48.185 ;
+        RECT 457.475 47.265 462.820 48.355 ;
+        RECT 457.475 46.575 460.055 47.095 ;
+        RECT 460.225 46.745 462.820 47.265 ;
+        RECT 463.455 47.190 463.745 48.355 ;
+        RECT 464.105 47.630 464.435 48.355 ;
+        RECT 456.785 45.805 457.125 46.465 ;
+        RECT 457.475 45.805 462.820 46.575 ;
+        RECT 463.455 45.805 463.745 46.530 ;
+        RECT 463.915 45.975 464.435 47.460 ;
+        RECT 465.295 47.265 468.805 48.355 ;
+        RECT 469.165 47.630 469.495 48.355 ;
+        RECT 465.295 46.575 466.945 47.095 ;
+        RECT 467.115 46.745 468.805 47.265 ;
+        RECT 464.605 45.805 464.945 46.465 ;
+        RECT 465.295 45.805 468.805 46.575 ;
+        RECT 468.975 45.975 469.495 47.460 ;
+        RECT 469.665 46.635 470.185 48.185 ;
+        RECT 470.355 47.265 473.865 48.355 ;
+        RECT 474.225 47.630 474.555 48.355 ;
+        RECT 470.355 46.575 472.005 47.095 ;
+        RECT 472.175 46.745 473.865 47.265 ;
+        RECT 469.665 45.805 470.005 46.465 ;
+        RECT 470.355 45.805 473.865 46.575 ;
+        RECT 474.035 45.975 474.555 47.460 ;
+        RECT 474.725 46.635 475.245 48.185 ;
+        RECT 475.415 47.265 478.925 48.355 ;
+        RECT 479.285 47.630 479.615 48.355 ;
+        RECT 475.415 46.575 477.065 47.095 ;
+        RECT 477.235 46.745 478.925 47.265 ;
+        RECT 474.725 45.805 475.065 46.465 ;
+        RECT 475.415 45.805 478.925 46.575 ;
+        RECT 479.095 45.975 479.615 47.460 ;
+        RECT 479.785 46.635 480.305 48.185 ;
+        RECT 480.475 47.265 483.985 48.355 ;
+        RECT 484.345 47.630 484.675 48.355 ;
+        RECT 480.475 46.575 482.125 47.095 ;
+        RECT 482.295 46.745 483.985 47.265 ;
+        RECT 479.785 45.805 480.125 46.465 ;
+        RECT 480.475 45.805 483.985 46.575 ;
+        RECT 484.155 45.975 484.675 47.460 ;
+        RECT 484.845 46.635 485.365 48.185 ;
+        RECT 485.535 47.265 490.880 48.355 ;
+        RECT 485.535 46.575 488.115 47.095 ;
+        RECT 488.285 46.745 490.880 47.265 ;
+        RECT 491.515 47.190 491.805 48.355 ;
+        RECT 492.165 47.630 492.495 48.355 ;
+        RECT 484.845 45.805 485.185 46.465 ;
+        RECT 485.535 45.805 490.880 46.575 ;
+        RECT 491.515 45.805 491.805 46.530 ;
+        RECT 491.975 45.975 492.495 47.460 ;
+        RECT 492.665 46.635 493.185 48.185 ;
+        RECT 493.355 47.265 496.865 48.355 ;
+        RECT 497.225 47.630 497.555 48.355 ;
+        RECT 493.355 46.575 495.005 47.095 ;
+        RECT 495.175 46.745 496.865 47.265 ;
+        RECT 492.665 45.805 493.005 46.465 ;
+        RECT 493.355 45.805 496.865 46.575 ;
+        RECT 497.035 45.975 497.555 47.460 ;
+        RECT 497.725 46.635 498.245 48.185 ;
+        RECT 498.415 47.265 501.925 48.355 ;
+        RECT 502.285 47.630 502.615 48.355 ;
+        RECT 498.415 46.575 500.065 47.095 ;
+        RECT 500.235 46.745 501.925 47.265 ;
+        RECT 497.725 45.805 498.065 46.465 ;
+        RECT 498.415 45.805 501.925 46.575 ;
+        RECT 502.095 45.975 502.615 47.460 ;
+        RECT 502.785 46.635 503.305 48.185 ;
+        RECT 503.475 47.265 506.985 48.355 ;
+        RECT 507.345 47.630 507.675 48.355 ;
+        RECT 503.475 46.575 505.125 47.095 ;
+        RECT 505.295 46.745 506.985 47.265 ;
+        RECT 502.785 45.805 503.125 46.465 ;
+        RECT 503.475 45.805 506.985 46.575 ;
+        RECT 507.155 45.975 507.675 47.460 ;
+        RECT 507.845 46.635 508.365 48.185 ;
+        RECT 508.535 47.265 512.045 48.355 ;
+        RECT 512.405 47.630 512.735 48.355 ;
+        RECT 508.535 46.575 510.185 47.095 ;
+        RECT 510.355 46.745 512.045 47.265 ;
+        RECT 507.845 45.805 508.185 46.465 ;
+        RECT 508.535 45.805 512.045 46.575 ;
+        RECT 512.215 45.975 512.735 47.460 ;
+        RECT 513.595 47.265 518.940 48.355 ;
+        RECT 513.595 46.575 516.175 47.095 ;
+        RECT 516.345 46.745 518.940 47.265 ;
+        RECT 519.575 47.190 519.865 48.355 ;
+        RECT 520.225 47.630 520.555 48.355 ;
+        RECT 512.905 45.805 513.245 46.465 ;
+        RECT 513.595 45.805 518.940 46.575 ;
+        RECT 519.575 45.805 519.865 46.530 ;
+        RECT 520.035 45.975 520.555 47.460 ;
+        RECT 520.725 46.635 521.245 48.185 ;
+        RECT 521.415 47.265 524.925 48.355 ;
+        RECT 525.285 47.630 525.615 48.355 ;
+        RECT 521.415 46.575 523.065 47.095 ;
+        RECT 523.235 46.745 524.925 47.265 ;
+        RECT 520.725 45.805 521.065 46.465 ;
+        RECT 521.415 45.805 524.925 46.575 ;
+        RECT 525.095 45.975 525.615 47.460 ;
+        RECT 525.785 46.635 526.305 48.185 ;
+        RECT 526.475 47.265 529.985 48.355 ;
+        RECT 530.345 47.630 530.675 48.355 ;
+        RECT 526.475 46.575 528.125 47.095 ;
+        RECT 528.295 46.745 529.985 47.265 ;
+        RECT 525.785 45.805 526.125 46.465 ;
+        RECT 526.475 45.805 529.985 46.575 ;
+        RECT 530.155 45.975 530.675 47.460 ;
+        RECT 530.845 46.635 531.365 48.185 ;
+        RECT 531.535 47.265 535.045 48.355 ;
+        RECT 535.405 47.630 535.735 48.355 ;
+        RECT 531.535 46.575 533.185 47.095 ;
+        RECT 533.355 46.745 535.045 47.265 ;
+        RECT 530.845 45.805 531.185 46.465 ;
+        RECT 531.535 45.805 535.045 46.575 ;
+        RECT 535.215 45.975 535.735 47.460 ;
+        RECT 535.905 46.635 536.425 48.185 ;
+        RECT 536.595 47.265 540.105 48.355 ;
+        RECT 540.465 47.630 540.795 48.355 ;
+        RECT 536.595 46.575 538.245 47.095 ;
+        RECT 538.415 46.745 540.105 47.265 ;
+        RECT 535.905 45.805 536.245 46.465 ;
+        RECT 536.595 45.805 540.105 46.575 ;
+        RECT 540.275 45.975 540.795 47.460 ;
+        RECT 540.965 46.635 541.485 48.185 ;
+        RECT 541.655 47.265 547.000 48.355 ;
+        RECT 541.655 46.575 544.235 47.095 ;
+        RECT 544.405 46.745 547.000 47.265 ;
+        RECT 547.635 47.190 547.925 48.355 ;
+        RECT 548.285 47.630 548.615 48.355 ;
+        RECT 540.965 45.805 541.305 46.465 ;
+        RECT 541.655 45.805 547.000 46.575 ;
+        RECT 547.635 45.805 547.925 46.530 ;
+        RECT 548.095 45.975 548.615 47.460 ;
+        RECT 548.785 46.635 549.305 48.185 ;
+        RECT 549.475 47.265 552.985 48.355 ;
+        RECT 553.345 47.630 553.675 48.355 ;
+        RECT 549.475 46.575 551.125 47.095 ;
+        RECT 551.295 46.745 552.985 47.265 ;
+        RECT 548.785 45.805 549.125 46.465 ;
+        RECT 549.475 45.805 552.985 46.575 ;
+        RECT 553.155 45.975 553.675 47.460 ;
+        RECT 553.845 46.635 554.365 48.185 ;
+        RECT 554.535 47.265 558.045 48.355 ;
+        RECT 558.405 47.630 558.735 48.355 ;
+        RECT 554.535 46.575 556.185 47.095 ;
+        RECT 556.355 46.745 558.045 47.265 ;
+        RECT 553.845 45.805 554.185 46.465 ;
+        RECT 554.535 45.805 558.045 46.575 ;
+        RECT 558.215 45.975 558.735 47.460 ;
+        RECT 558.905 46.635 559.425 48.185 ;
+        RECT 559.595 47.265 563.105 48.355 ;
+        RECT 563.465 47.630 563.795 48.355 ;
+        RECT 559.595 46.575 561.245 47.095 ;
+        RECT 561.415 46.745 563.105 47.265 ;
+        RECT 558.905 45.805 559.245 46.465 ;
+        RECT 559.595 45.805 563.105 46.575 ;
+        RECT 563.275 45.975 563.795 47.460 ;
+        RECT 563.965 46.635 564.485 48.185 ;
+        RECT 564.655 47.265 568.165 48.355 ;
+        RECT 568.525 47.630 568.855 48.355 ;
+        RECT 564.655 46.575 566.305 47.095 ;
+        RECT 566.475 46.745 568.165 47.265 ;
+        RECT 563.965 45.805 564.305 46.465 ;
+        RECT 564.655 45.805 568.165 46.575 ;
+        RECT 568.335 45.975 568.855 47.460 ;
+        RECT 569.025 46.635 569.545 48.185 ;
+        RECT 569.715 47.265 575.060 48.355 ;
+        RECT 569.715 46.575 572.295 47.095 ;
+        RECT 572.465 46.745 575.060 47.265 ;
+        RECT 575.695 47.190 575.985 48.355 ;
+        RECT 576.345 47.630 576.675 48.355 ;
+        RECT 569.025 45.805 569.365 46.465 ;
+        RECT 569.715 45.805 575.060 46.575 ;
+        RECT 575.695 45.805 575.985 46.530 ;
+        RECT 576.155 45.975 576.675 47.460 ;
+        RECT 576.845 46.635 577.365 48.185 ;
+        RECT 577.535 47.265 581.045 48.355 ;
+        RECT 581.405 47.630 581.735 48.355 ;
+        RECT 577.535 46.575 579.185 47.095 ;
+        RECT 579.355 46.745 581.045 47.265 ;
+        RECT 576.845 45.805 577.185 46.465 ;
+        RECT 577.535 45.805 581.045 46.575 ;
+        RECT 581.215 45.975 581.735 47.460 ;
+        RECT 581.905 46.635 582.425 48.185 ;
+        RECT 582.595 47.265 586.105 48.355 ;
+        RECT 586.465 47.630 586.795 48.355 ;
+        RECT 582.595 46.575 584.245 47.095 ;
+        RECT 584.415 46.745 586.105 47.265 ;
+        RECT 581.905 45.805 582.245 46.465 ;
+        RECT 582.595 45.805 586.105 46.575 ;
+        RECT 586.275 45.975 586.795 47.460 ;
+        RECT 586.965 46.635 587.485 48.185 ;
+        RECT 587.655 47.265 591.165 48.355 ;
+        RECT 591.525 47.630 591.855 48.355 ;
+        RECT 587.655 46.575 589.305 47.095 ;
+        RECT 589.475 46.745 591.165 47.265 ;
+        RECT 586.965 45.805 587.305 46.465 ;
+        RECT 587.655 45.805 591.165 46.575 ;
+        RECT 591.335 45.975 591.855 47.460 ;
+        RECT 592.025 46.635 592.545 48.185 ;
+        RECT 592.715 47.265 596.225 48.355 ;
+        RECT 596.585 47.630 596.915 48.355 ;
+        RECT 592.715 46.575 594.365 47.095 ;
+        RECT 594.535 46.745 596.225 47.265 ;
+        RECT 592.025 45.805 592.365 46.465 ;
+        RECT 592.715 45.805 596.225 46.575 ;
+        RECT 596.395 45.975 596.915 47.460 ;
+        RECT 597.085 46.635 597.605 48.185 ;
+        RECT 597.775 47.265 603.120 48.355 ;
+        RECT 597.775 46.575 600.355 47.095 ;
+        RECT 600.525 46.745 603.120 47.265 ;
+        RECT 603.755 47.190 604.045 48.355 ;
+        RECT 604.405 47.630 604.735 48.355 ;
+        RECT 597.085 45.805 597.425 46.465 ;
+        RECT 597.775 45.805 603.120 46.575 ;
+        RECT 603.755 45.805 604.045 46.530 ;
+        RECT 604.215 45.975 604.735 47.460 ;
+        RECT 604.905 46.635 605.425 48.185 ;
+        RECT 605.595 47.265 609.105 48.355 ;
+        RECT 609.465 47.630 609.795 48.355 ;
+        RECT 605.595 46.575 607.245 47.095 ;
+        RECT 607.415 46.745 609.105 47.265 ;
+        RECT 604.905 45.805 605.245 46.465 ;
+        RECT 605.595 45.805 609.105 46.575 ;
+        RECT 609.275 45.975 609.795 47.460 ;
+        RECT 609.965 46.635 610.485 48.185 ;
+        RECT 610.655 47.265 614.165 48.355 ;
+        RECT 614.525 47.630 614.855 48.355 ;
+        RECT 610.655 46.575 612.305 47.095 ;
+        RECT 612.475 46.745 614.165 47.265 ;
+        RECT 609.965 45.805 610.305 46.465 ;
+        RECT 610.655 45.805 614.165 46.575 ;
+        RECT 614.335 45.975 614.855 47.460 ;
+        RECT 615.025 46.635 615.545 48.185 ;
+        RECT 615.715 47.265 619.225 48.355 ;
+        RECT 619.585 47.630 619.915 48.355 ;
+        RECT 615.715 46.575 617.365 47.095 ;
+        RECT 617.535 46.745 619.225 47.265 ;
+        RECT 615.025 45.805 615.365 46.465 ;
+        RECT 615.715 45.805 619.225 46.575 ;
+        RECT 619.395 45.975 619.915 47.460 ;
+        RECT 620.085 46.635 620.605 48.185 ;
+        RECT 620.775 47.265 624.285 48.355 ;
+        RECT 624.645 47.630 624.975 48.355 ;
+        RECT 620.775 46.575 622.425 47.095 ;
+        RECT 622.595 46.745 624.285 47.265 ;
+        RECT 620.085 45.805 620.425 46.465 ;
+        RECT 620.775 45.805 624.285 46.575 ;
+        RECT 624.455 45.975 624.975 47.460 ;
+        RECT 625.145 46.635 625.665 48.185 ;
+        RECT 625.835 47.265 629.345 48.355 ;
+        RECT 625.835 46.575 627.485 47.095 ;
+        RECT 627.655 46.745 629.345 47.265 ;
+        RECT 629.975 47.265 631.185 48.355 ;
+        RECT 629.975 46.725 630.495 47.265 ;
+        RECT 625.145 45.805 625.485 46.465 ;
+        RECT 625.835 45.805 629.345 46.575 ;
+        RECT 630.665 46.555 631.185 47.095 ;
+        RECT 629.975 45.805 631.185 46.555 ;
+        RECT 42.470 45.635 631.270 45.805 ;
+        RECT 42.555 44.885 43.765 45.635 ;
+        RECT 42.555 44.345 43.075 44.885 ;
+        RECT 43.935 44.865 49.280 45.635 ;
+        RECT 49.455 44.865 54.800 45.635 ;
+        RECT 54.975 44.865 56.645 45.635 ;
+        RECT 56.815 44.910 57.105 45.635 ;
+        RECT 57.275 44.865 62.620 45.635 ;
+        RECT 62.795 44.865 68.140 45.635 ;
+        RECT 68.315 44.865 70.905 45.635 ;
+        RECT 71.075 44.910 71.365 45.635 ;
+        RECT 71.535 44.865 76.880 45.635 ;
+        RECT 77.055 44.885 78.265 45.635 ;
+        RECT 78.455 45.125 78.695 45.635 ;
+        RECT 43.245 44.175 43.765 44.715 ;
+        RECT 43.935 44.345 46.515 44.865 ;
+        RECT 46.685 44.175 49.280 44.695 ;
+        RECT 49.455 44.345 52.035 44.865 ;
+        RECT 52.205 44.175 54.800 44.695 ;
+        RECT 54.975 44.345 55.725 44.865 ;
+        RECT 55.895 44.175 56.645 44.695 ;
+        RECT 57.275 44.345 59.855 44.865 ;
+        RECT 42.555 43.085 43.765 44.175 ;
+        RECT 43.935 43.085 49.280 44.175 ;
+        RECT 49.455 43.085 54.800 44.175 ;
+        RECT 54.975 43.085 56.645 44.175 ;
+        RECT 56.815 43.085 57.105 44.250 ;
+        RECT 60.025 44.175 62.620 44.695 ;
+        RECT 62.795 44.345 65.375 44.865 ;
+        RECT 65.545 44.175 68.140 44.695 ;
+        RECT 68.315 44.345 69.525 44.865 ;
+        RECT 69.695 44.175 70.905 44.695 ;
+        RECT 71.535 44.345 74.115 44.865 ;
+        RECT 57.275 43.085 62.620 44.175 ;
+        RECT 62.795 43.085 68.140 44.175 ;
+        RECT 68.315 43.085 70.905 44.175 ;
+        RECT 71.075 43.085 71.365 44.250 ;
+        RECT 74.285 44.175 76.880 44.695 ;
+        RECT 77.055 44.345 77.575 44.885 ;
+        RECT 77.745 44.175 78.265 44.715 ;
+        RECT 78.440 44.395 78.695 44.955 ;
+        RECT 78.865 44.895 79.195 45.430 ;
+        RECT 79.410 44.895 79.580 45.635 ;
+        RECT 79.790 44.985 80.120 45.455 ;
+        RECT 80.290 45.155 80.460 45.635 ;
+        RECT 80.630 44.985 80.960 45.455 ;
+        RECT 81.130 45.155 81.300 45.635 ;
+        RECT 78.865 44.225 79.045 44.895 ;
+        RECT 79.790 44.815 81.485 44.985 ;
+        RECT 79.215 44.395 79.590 44.725 ;
+        RECT 79.760 44.475 80.970 44.645 ;
+        RECT 79.760 44.225 79.965 44.475 ;
+        RECT 81.140 44.225 81.485 44.815 ;
+        RECT 81.655 44.865 85.165 45.635 ;
+        RECT 85.335 44.910 85.625 45.635 ;
+        RECT 81.655 44.345 83.305 44.865 ;
+        RECT 86.755 44.815 86.985 45.635 ;
+        RECT 87.155 44.835 87.485 45.465 ;
+        RECT 71.535 43.085 76.880 44.175 ;
+        RECT 77.055 43.085 78.265 44.175 ;
+        RECT 78.505 44.055 79.965 44.225 ;
+        RECT 80.630 44.055 81.485 44.225 ;
+        RECT 83.475 44.175 85.165 44.695 ;
+        RECT 86.735 44.395 87.065 44.645 ;
+        RECT 78.505 43.255 78.865 44.055 ;
+        RECT 80.630 43.885 80.960 44.055 ;
+        RECT 79.410 43.085 79.580 43.885 ;
+        RECT 79.790 43.715 80.960 43.885 ;
+        RECT 79.790 43.255 80.120 43.715 ;
+        RECT 80.290 43.085 80.460 43.545 ;
+        RECT 80.630 43.255 80.960 43.715 ;
+        RECT 81.130 43.085 81.300 43.885 ;
+        RECT 81.655 43.085 85.165 44.175 ;
+        RECT 85.335 43.085 85.625 44.250 ;
+        RECT 87.235 44.235 87.485 44.835 ;
+        RECT 87.655 44.815 87.865 45.635 ;
+        RECT 88.095 44.865 91.605 45.635 ;
+        RECT 88.095 44.345 89.745 44.865 ;
+        RECT 91.780 44.815 92.055 45.635 ;
+        RECT 92.225 44.995 92.555 45.465 ;
+        RECT 92.725 45.165 92.895 45.635 ;
+        RECT 93.065 44.995 93.395 45.465 ;
+        RECT 93.565 45.165 93.735 45.635 ;
+        RECT 93.905 44.995 94.235 45.465 ;
+        RECT 94.405 45.165 94.575 45.635 ;
+        RECT 94.745 44.995 95.075 45.465 ;
+        RECT 95.245 45.165 95.530 45.635 ;
+        RECT 92.225 44.815 95.745 44.995 ;
+        RECT 86.755 43.085 86.985 44.225 ;
+        RECT 87.155 43.255 87.485 44.235 ;
+        RECT 87.655 43.085 87.865 44.225 ;
+        RECT 89.915 44.175 91.605 44.695 ;
+        RECT 93.810 44.445 95.175 44.645 ;
+        RECT 95.345 44.275 95.745 44.815 ;
+        RECT 95.915 44.865 99.425 45.635 ;
+        RECT 99.595 44.910 99.885 45.635 ;
+        RECT 100.605 45.085 100.775 45.465 ;
+        RECT 100.990 45.255 101.320 45.635 ;
+        RECT 100.605 44.915 101.320 45.085 ;
+        RECT 95.915 44.345 97.565 44.865 ;
+        RECT 88.095 43.085 91.605 44.175 ;
+        RECT 91.780 44.055 93.815 44.265 ;
+        RECT 91.780 43.255 92.055 44.055 ;
+        RECT 92.225 43.085 92.555 43.885 ;
+        RECT 92.725 43.255 92.895 44.055 ;
+        RECT 93.065 43.085 93.315 43.885 ;
+        RECT 93.485 43.425 93.815 44.055 ;
+        RECT 93.985 43.975 95.745 44.275 ;
+        RECT 97.735 44.175 99.425 44.695 ;
+        RECT 100.515 44.365 100.870 44.735 ;
+        RECT 101.150 44.725 101.320 44.915 ;
+        RECT 101.490 44.890 101.745 45.465 ;
+        RECT 101.150 44.395 101.405 44.725 ;
+        RECT 93.985 43.595 94.155 43.975 ;
+        RECT 94.325 43.425 94.655 43.785 ;
+        RECT 94.825 43.595 94.995 43.975 ;
+        RECT 95.165 43.425 95.580 43.805 ;
+        RECT 93.485 43.255 95.580 43.425 ;
+        RECT 95.915 43.085 99.425 44.175 ;
+        RECT 99.595 43.085 99.885 44.250 ;
+        RECT 101.150 44.185 101.320 44.395 ;
+        RECT 100.605 44.015 101.320 44.185 ;
+        RECT 101.575 44.160 101.745 44.890 ;
+        RECT 101.920 44.795 102.180 45.635 ;
+        RECT 102.355 44.865 105.865 45.635 ;
+        RECT 106.070 45.135 106.320 45.635 ;
+        RECT 106.650 45.065 106.820 45.415 ;
+        RECT 107.020 45.235 107.350 45.635 ;
+        RECT 107.520 45.065 107.690 45.415 ;
+        RECT 107.910 45.235 108.290 45.635 ;
+        RECT 102.355 44.345 104.005 44.865 ;
+        RECT 100.605 43.255 100.775 44.015 ;
+        RECT 100.990 43.085 101.320 43.845 ;
+        RECT 101.490 43.255 101.745 44.160 ;
+        RECT 101.920 43.085 102.180 44.235 ;
+        RECT 104.175 44.175 105.865 44.695 ;
+        RECT 106.035 44.395 106.320 44.965 ;
+        RECT 106.490 44.895 108.300 45.065 ;
+        RECT 106.490 44.225 106.660 44.895 ;
+        RECT 102.355 43.085 105.865 44.175 ;
+        RECT 106.065 44.055 106.660 44.225 ;
+        RECT 106.830 44.100 107.000 44.725 ;
+        RECT 107.230 44.270 107.560 44.725 ;
+        RECT 106.065 43.270 106.400 44.055 ;
+        RECT 106.830 43.595 107.180 44.100 ;
+        RECT 106.785 43.425 107.180 43.595 ;
+        RECT 106.830 43.345 107.180 43.425 ;
+        RECT 107.350 43.935 107.560 44.270 ;
+        RECT 107.790 44.275 107.960 44.725 ;
+        RECT 108.130 44.645 108.300 44.895 ;
+        RECT 108.470 44.995 108.720 45.465 ;
+        RECT 108.890 45.165 109.060 45.635 ;
+        RECT 109.230 44.995 109.560 45.465 ;
+        RECT 109.730 45.165 109.900 45.635 ;
+        RECT 108.470 44.815 110.005 44.995 ;
+        RECT 108.130 44.475 109.590 44.645 ;
+        RECT 107.790 44.105 108.225 44.275 ;
+        RECT 109.760 44.265 110.005 44.815 ;
+        RECT 110.175 44.865 113.685 45.635 ;
+        RECT 113.855 44.910 114.145 45.635 ;
+        RECT 115.270 45.135 115.520 45.635 ;
+        RECT 115.850 45.065 116.020 45.415 ;
+        RECT 116.220 45.235 116.550 45.635 ;
+        RECT 116.720 45.065 116.890 45.415 ;
+        RECT 117.110 45.235 117.490 45.635 ;
+        RECT 110.175 44.345 111.825 44.865 ;
+        RECT 108.430 44.095 110.005 44.265 ;
+        RECT 111.995 44.175 113.685 44.695 ;
+        RECT 115.235 44.395 115.520 44.965 ;
+        RECT 115.690 44.895 117.500 45.065 ;
+        RECT 107.350 43.345 107.670 43.935 ;
+        RECT 107.955 43.085 108.205 43.925 ;
+        RECT 108.430 43.255 108.680 44.095 ;
+        RECT 108.850 43.085 109.100 43.925 ;
+        RECT 109.270 43.255 109.520 44.095 ;
+        RECT 109.690 43.085 109.940 43.925 ;
+        RECT 110.175 43.085 113.685 44.175 ;
+        RECT 113.855 43.085 114.145 44.250 ;
+        RECT 115.690 44.225 115.860 44.895 ;
+        RECT 115.265 44.055 115.860 44.225 ;
+        RECT 116.030 44.100 116.200 44.725 ;
+        RECT 116.430 44.270 116.760 44.725 ;
+        RECT 115.265 43.270 115.600 44.055 ;
+        RECT 116.030 43.935 116.380 44.100 ;
+        RECT 115.985 43.765 116.380 43.935 ;
+        RECT 116.030 43.345 116.380 43.765 ;
+        RECT 116.550 43.935 116.760 44.270 ;
+        RECT 116.990 44.275 117.160 44.725 ;
+        RECT 117.330 44.645 117.500 44.895 ;
+        RECT 117.670 44.995 117.920 45.465 ;
+        RECT 118.090 45.165 118.260 45.635 ;
+        RECT 118.430 44.995 118.760 45.465 ;
+        RECT 118.930 45.165 119.100 45.635 ;
+        RECT 117.670 44.815 119.205 44.995 ;
+        RECT 117.330 44.475 118.790 44.645 ;
+        RECT 116.990 44.105 117.425 44.275 ;
+        RECT 118.960 44.265 119.205 44.815 ;
+        RECT 119.375 44.865 122.885 45.635 ;
+        RECT 119.375 44.345 121.025 44.865 ;
+        RECT 123.095 44.815 123.325 45.635 ;
+        RECT 123.495 44.835 123.825 45.465 ;
+        RECT 117.630 44.095 119.205 44.265 ;
+        RECT 121.195 44.175 122.885 44.695 ;
+        RECT 123.075 44.395 123.405 44.645 ;
+        RECT 123.575 44.235 123.825 44.835 ;
+        RECT 123.995 44.815 124.205 45.635 ;
+        RECT 124.435 44.865 127.945 45.635 ;
+        RECT 128.115 44.910 128.405 45.635 ;
+        RECT 128.610 45.135 128.860 45.635 ;
+        RECT 129.190 45.065 129.360 45.415 ;
+        RECT 129.560 45.235 129.890 45.635 ;
+        RECT 130.060 45.065 130.230 45.415 ;
+        RECT 130.450 45.235 130.830 45.635 ;
+        RECT 124.435 44.345 126.085 44.865 ;
+        RECT 116.550 43.345 116.870 43.935 ;
+        RECT 117.155 43.085 117.405 43.925 ;
+        RECT 117.630 43.255 117.880 44.095 ;
+        RECT 118.050 43.085 118.300 43.925 ;
+        RECT 118.470 43.255 118.720 44.095 ;
+        RECT 118.890 43.085 119.140 43.925 ;
+        RECT 119.375 43.085 122.885 44.175 ;
+        RECT 123.095 43.085 123.325 44.225 ;
+        RECT 123.495 43.255 123.825 44.235 ;
+        RECT 123.995 43.085 124.205 44.225 ;
+        RECT 126.255 44.175 127.945 44.695 ;
+        RECT 128.575 44.395 128.860 44.965 ;
+        RECT 129.030 44.895 130.840 45.065 ;
+        RECT 124.435 43.085 127.945 44.175 ;
+        RECT 128.115 43.085 128.405 44.250 ;
+        RECT 129.030 44.225 129.200 44.895 ;
+        RECT 128.605 44.055 129.200 44.225 ;
+        RECT 129.370 44.100 129.540 44.725 ;
+        RECT 129.770 44.270 130.100 44.725 ;
+        RECT 128.605 43.270 128.940 44.055 ;
+        RECT 129.370 43.345 129.720 44.100 ;
+        RECT 129.890 43.935 130.100 44.270 ;
+        RECT 130.330 44.275 130.500 44.725 ;
+        RECT 130.670 44.645 130.840 44.895 ;
+        RECT 131.010 44.995 131.260 45.465 ;
+        RECT 131.430 45.165 131.600 45.635 ;
+        RECT 131.770 44.995 132.100 45.465 ;
+        RECT 132.270 45.165 132.440 45.635 ;
+        RECT 131.010 44.815 132.545 44.995 ;
+        RECT 130.670 44.475 132.130 44.645 ;
+        RECT 130.330 44.105 130.765 44.275 ;
+        RECT 132.300 44.265 132.545 44.815 ;
+        RECT 132.715 44.865 136.225 45.635 ;
+        RECT 136.945 45.085 137.115 45.465 ;
+        RECT 137.330 45.255 137.660 45.635 ;
+        RECT 136.945 44.915 137.660 45.085 ;
+        RECT 132.715 44.345 134.365 44.865 ;
+        RECT 130.970 44.095 132.545 44.265 ;
+        RECT 134.535 44.175 136.225 44.695 ;
+        RECT 136.855 44.365 137.210 44.735 ;
+        RECT 137.490 44.725 137.660 44.915 ;
+        RECT 137.830 44.890 138.085 45.465 ;
+        RECT 137.490 44.395 137.745 44.725 ;
+        RECT 137.490 44.185 137.660 44.395 ;
+        RECT 129.890 43.345 130.210 43.935 ;
+        RECT 130.495 43.085 130.745 43.925 ;
+        RECT 130.970 43.255 131.220 44.095 ;
+        RECT 131.390 43.085 131.640 43.925 ;
+        RECT 131.810 43.255 132.060 44.095 ;
+        RECT 132.230 43.085 132.480 43.925 ;
+        RECT 132.715 43.085 136.225 44.175 ;
+        RECT 136.945 44.015 137.660 44.185 ;
+        RECT 137.915 44.160 138.085 44.890 ;
+        RECT 138.260 44.795 138.520 45.635 ;
+        RECT 138.695 44.865 142.205 45.635 ;
+        RECT 142.375 44.910 142.665 45.635 ;
+        RECT 143.385 45.085 143.555 45.465 ;
+        RECT 143.770 45.255 144.100 45.635 ;
+        RECT 143.385 44.915 144.100 45.085 ;
+        RECT 138.695 44.345 140.345 44.865 ;
+        RECT 136.945 43.255 137.115 44.015 ;
+        RECT 137.330 43.085 137.660 43.845 ;
+        RECT 137.830 43.255 138.085 44.160 ;
+        RECT 138.260 43.085 138.520 44.235 ;
+        RECT 140.515 44.175 142.205 44.695 ;
+        RECT 143.295 44.365 143.650 44.735 ;
+        RECT 143.930 44.725 144.100 44.915 ;
+        RECT 144.270 44.890 144.525 45.465 ;
+        RECT 143.930 44.395 144.185 44.725 ;
+        RECT 138.695 43.085 142.205 44.175 ;
+        RECT 142.375 43.085 142.665 44.250 ;
+        RECT 143.930 44.185 144.100 44.395 ;
+        RECT 143.385 44.015 144.100 44.185 ;
+        RECT 144.355 44.160 144.525 44.890 ;
+        RECT 144.700 44.795 144.960 45.635 ;
+        RECT 145.135 44.865 148.645 45.635 ;
+        RECT 145.135 44.345 146.785 44.865 ;
+        RECT 148.820 44.815 149.095 45.635 ;
+        RECT 149.265 44.995 149.595 45.465 ;
+        RECT 149.765 45.165 149.935 45.635 ;
+        RECT 150.105 44.995 150.435 45.465 ;
+        RECT 150.605 45.165 150.775 45.635 ;
+        RECT 150.945 44.995 151.275 45.465 ;
+        RECT 151.445 45.165 151.615 45.635 ;
+        RECT 151.785 44.995 152.115 45.465 ;
+        RECT 152.285 45.165 152.570 45.635 ;
+        RECT 149.265 44.815 152.785 44.995 ;
+        RECT 143.385 43.255 143.555 44.015 ;
+        RECT 143.770 43.085 144.100 43.845 ;
+        RECT 144.270 43.255 144.525 44.160 ;
+        RECT 144.700 43.085 144.960 44.235 ;
+        RECT 146.955 44.175 148.645 44.695 ;
+        RECT 148.870 44.445 150.530 44.645 ;
+        RECT 150.850 44.445 152.215 44.645 ;
+        RECT 152.385 44.275 152.785 44.815 ;
+        RECT 152.955 44.865 156.465 45.635 ;
+        RECT 156.635 44.910 156.925 45.635 ;
+        RECT 157.185 45.085 157.355 45.375 ;
+        RECT 157.525 45.255 157.855 45.635 ;
+        RECT 157.185 44.915 157.850 45.085 ;
+        RECT 152.955 44.345 154.605 44.865 ;
+        RECT 145.135 43.085 148.645 44.175 ;
+        RECT 148.820 44.055 150.855 44.265 ;
+        RECT 148.820 43.255 149.095 44.055 ;
+        RECT 149.265 43.085 149.595 43.885 ;
+        RECT 149.765 43.255 149.935 44.055 ;
+        RECT 150.105 43.085 150.355 43.885 ;
+        RECT 150.525 43.425 150.855 44.055 ;
+        RECT 151.025 43.975 152.785 44.275 ;
+        RECT 154.775 44.175 156.465 44.695 ;
+        RECT 151.025 43.595 151.195 43.975 ;
+        RECT 151.365 43.425 151.695 43.785 ;
+        RECT 151.865 43.595 152.035 43.975 ;
+        RECT 152.205 43.425 152.620 43.805 ;
+        RECT 150.525 43.255 152.620 43.425 ;
+        RECT 152.955 43.085 156.465 44.175 ;
+        RECT 156.635 43.085 156.925 44.250 ;
+        RECT 157.100 44.095 157.450 44.745 ;
+        RECT 157.620 43.925 157.850 44.915 ;
+        RECT 157.185 43.755 157.850 43.925 ;
+        RECT 157.185 43.255 157.355 43.755 ;
+        RECT 157.525 43.085 157.855 43.585 ;
+        RECT 158.025 43.255 158.250 45.375 ;
+        RECT 158.465 45.175 158.715 45.635 ;
+        RECT 158.900 45.185 159.230 45.355 ;
+        RECT 159.410 45.185 160.160 45.355 ;
+        RECT 158.450 44.055 158.730 44.655 ;
+        RECT 158.900 43.655 159.070 45.185 ;
+        RECT 159.240 44.685 159.820 45.015 ;
+        RECT 159.240 43.815 159.480 44.685 ;
+        RECT 159.990 44.405 160.160 45.185 ;
+        RECT 160.410 45.135 160.780 45.635 ;
+        RECT 160.960 45.185 161.420 45.355 ;
+        RECT 161.650 45.185 162.320 45.355 ;
+        RECT 160.960 44.955 161.130 45.185 ;
+        RECT 160.330 44.655 161.130 44.955 ;
+        RECT 161.300 44.685 161.850 45.015 ;
+        RECT 160.330 44.625 160.500 44.655 ;
+        RECT 160.620 44.405 160.790 44.475 ;
+        RECT 159.990 44.235 160.790 44.405 ;
+        RECT 160.280 44.145 160.790 44.235 ;
+        RECT 159.670 43.710 160.110 44.065 ;
+        RECT 158.450 43.085 158.715 43.545 ;
+        RECT 158.900 43.280 159.135 43.655 ;
+        RECT 160.280 43.530 160.450 44.145 ;
+        RECT 159.380 43.360 160.450 43.530 ;
+        RECT 160.620 43.085 160.790 43.885 ;
+        RECT 160.960 43.585 161.130 44.655 ;
+        RECT 161.300 43.755 161.490 44.475 ;
+        RECT 161.660 44.145 161.850 44.685 ;
+        RECT 162.150 44.645 162.320 45.185 ;
+        RECT 162.635 45.105 162.805 45.635 ;
+        RECT 163.100 44.985 163.460 45.425 ;
+        RECT 163.635 45.155 163.805 45.635 ;
+        RECT 163.995 44.990 164.330 45.415 ;
+        RECT 164.505 45.160 164.675 45.635 ;
+        RECT 164.850 44.990 165.185 45.415 ;
+        RECT 165.355 45.160 165.525 45.635 ;
+        RECT 163.100 44.815 163.600 44.985 ;
+        RECT 163.995 44.820 165.665 44.990 ;
+        RECT 163.430 44.645 163.600 44.815 ;
+        RECT 162.150 44.475 163.240 44.645 ;
+        RECT 163.430 44.475 165.250 44.645 ;
+        RECT 161.660 43.815 161.980 44.145 ;
+        RECT 160.960 43.255 161.210 43.585 ;
+        RECT 162.150 43.555 162.320 44.475 ;
+        RECT 163.430 44.220 163.600 44.475 ;
+        RECT 165.420 44.255 165.665 44.820 ;
+        RECT 165.835 44.865 169.345 45.635 ;
+        RECT 169.515 44.885 170.725 45.635 ;
+        RECT 170.895 44.910 171.185 45.635 ;
+        RECT 165.835 44.345 167.485 44.865 ;
+        RECT 162.490 44.050 163.600 44.220 ;
+        RECT 163.995 44.085 165.665 44.255 ;
+        RECT 167.655 44.175 169.345 44.695 ;
+        RECT 169.515 44.345 170.035 44.885 ;
+        RECT 171.355 44.865 173.025 45.635 ;
+        RECT 170.205 44.175 170.725 44.715 ;
+        RECT 171.355 44.345 172.105 44.865 ;
+        RECT 173.745 44.825 173.915 45.635 ;
+        RECT 174.085 45.245 175.255 45.465 ;
+        RECT 174.085 44.815 174.335 45.245 ;
+        RECT 175.425 45.165 175.595 45.635 ;
+        RECT 174.505 44.985 174.840 45.075 ;
+        RECT 175.765 44.985 176.095 45.465 ;
+        RECT 176.265 45.165 176.955 45.635 ;
+        RECT 177.125 44.995 177.455 45.465 ;
+        RECT 177.625 45.165 177.795 45.635 ;
+        RECT 177.965 44.995 178.295 45.465 ;
+        RECT 174.505 44.815 176.095 44.985 ;
+        RECT 176.525 44.815 178.295 44.995 ;
+        RECT 178.465 44.825 178.635 45.635 ;
+        RECT 178.805 44.995 179.135 45.445 ;
+        RECT 179.305 45.165 179.475 45.635 ;
+        RECT 179.645 44.995 179.975 45.445 ;
+        RECT 180.145 45.165 180.315 45.635 ;
+        RECT 178.805 44.815 180.490 44.995 ;
+        RECT 162.490 43.890 163.350 44.050 ;
+        RECT 161.435 43.385 162.320 43.555 ;
+        RECT 162.500 43.085 162.715 43.585 ;
+        RECT 163.180 43.265 163.350 43.890 ;
+        RECT 163.635 43.085 163.815 43.865 ;
+        RECT 163.995 43.325 164.330 44.085 ;
+        RECT 164.510 43.085 164.680 43.915 ;
+        RECT 164.850 43.325 165.180 44.085 ;
+        RECT 165.350 43.085 165.520 43.915 ;
+        RECT 165.835 43.085 169.345 44.175 ;
+        RECT 169.515 43.085 170.725 44.175 ;
+        RECT 170.895 43.085 171.185 44.250 ;
+        RECT 172.275 44.175 173.025 44.695 ;
+        RECT 171.355 43.085 173.025 44.175 ;
+        RECT 173.655 44.275 174.145 44.645 ;
+        RECT 174.375 44.445 174.915 44.645 ;
+        RECT 175.085 44.475 175.465 44.645 ;
+        RECT 175.085 44.275 175.255 44.475 ;
+        RECT 173.655 44.105 175.255 44.275 ;
+        RECT 175.805 44.305 176.015 44.815 ;
+        RECT 176.525 44.645 176.715 44.815 ;
+        RECT 176.185 44.475 176.715 44.645 ;
+        RECT 175.425 43.935 175.635 44.265 ;
+        RECT 173.705 43.765 175.635 43.935 ;
+        RECT 173.705 43.255 173.955 43.765 ;
+        RECT 174.125 43.085 174.375 43.595 ;
+        RECT 174.545 43.255 174.795 43.765 ;
+        RECT 174.965 43.085 175.215 43.595 ;
+        RECT 175.385 43.425 175.635 43.765 ;
+        RECT 175.805 43.755 176.190 44.305 ;
+        RECT 176.525 44.225 176.715 44.475 ;
+        RECT 176.885 44.395 177.215 44.645 ;
+        RECT 177.385 44.445 178.005 44.645 ;
+        RECT 177.045 44.275 177.215 44.395 ;
+        RECT 178.175 44.275 178.535 44.645 ;
+        RECT 176.525 44.055 176.875 44.225 ;
+        RECT 177.045 44.105 178.535 44.275 ;
+        RECT 178.705 44.475 180.010 44.645 ;
+        RECT 178.705 44.105 179.030 44.475 ;
+        RECT 180.180 44.305 180.490 44.815 ;
+        RECT 181.015 44.865 184.525 45.635 ;
+        RECT 185.155 44.910 185.445 45.635 ;
+        RECT 186.165 45.085 186.335 45.375 ;
+        RECT 186.505 45.255 186.835 45.635 ;
+        RECT 186.165 44.915 186.830 45.085 ;
+        RECT 181.015 44.345 182.665 44.865 ;
+        RECT 176.705 43.935 176.875 44.055 ;
+        RECT 179.685 44.065 180.490 44.305 ;
+        RECT 182.835 44.175 184.525 44.695 ;
+        RECT 179.685 43.935 179.935 44.065 ;
+        RECT 176.705 43.755 177.835 43.935 ;
+        RECT 175.805 43.595 176.055 43.755 ;
+        RECT 177.585 43.595 177.835 43.755 ;
+        RECT 176.225 43.425 176.475 43.585 ;
+        RECT 175.385 43.255 176.475 43.425 ;
+        RECT 176.745 43.085 176.995 43.585 ;
+        RECT 177.165 43.425 177.415 43.585 ;
+        RECT 178.005 43.425 178.255 43.935 ;
+        RECT 177.165 43.255 178.255 43.425 ;
+        RECT 178.425 43.085 178.675 43.925 ;
+        RECT 178.845 43.765 179.935 43.935 ;
+        RECT 178.845 43.255 179.095 43.765 ;
+        RECT 179.265 43.085 179.515 43.555 ;
+        RECT 179.685 43.255 179.935 43.765 ;
+        RECT 180.105 43.085 180.355 43.895 ;
+        RECT 181.015 43.085 184.525 44.175 ;
+        RECT 185.155 43.085 185.445 44.250 ;
+        RECT 186.080 44.095 186.430 44.745 ;
+        RECT 186.600 43.925 186.830 44.915 ;
+        RECT 186.165 43.755 186.830 43.925 ;
+        RECT 186.165 43.255 186.335 43.755 ;
+        RECT 186.505 43.085 186.835 43.585 ;
+        RECT 187.005 43.255 187.230 45.375 ;
+        RECT 187.445 45.175 187.695 45.635 ;
+        RECT 187.880 45.185 188.210 45.355 ;
+        RECT 188.390 45.185 189.140 45.355 ;
+        RECT 187.430 44.055 187.710 44.655 ;
+        RECT 187.880 43.655 188.050 45.185 ;
+        RECT 188.220 44.685 188.800 45.015 ;
+        RECT 188.220 43.815 188.460 44.685 ;
+        RECT 188.970 44.405 189.140 45.185 ;
+        RECT 189.390 45.135 189.760 45.635 ;
+        RECT 189.940 45.185 190.400 45.355 ;
+        RECT 190.630 45.185 191.300 45.355 ;
+        RECT 189.940 44.955 190.110 45.185 ;
+        RECT 189.310 44.655 190.110 44.955 ;
+        RECT 190.280 44.685 190.830 45.015 ;
+        RECT 189.310 44.625 189.480 44.655 ;
+        RECT 189.600 44.405 189.770 44.475 ;
+        RECT 188.970 44.235 189.770 44.405 ;
+        RECT 189.260 44.145 189.770 44.235 ;
+        RECT 188.650 43.710 189.090 44.065 ;
+        RECT 187.430 43.085 187.695 43.545 ;
+        RECT 187.880 43.280 188.115 43.655 ;
+        RECT 189.260 43.530 189.430 44.145 ;
+        RECT 188.360 43.360 189.430 43.530 ;
+        RECT 189.600 43.085 189.770 43.885 ;
+        RECT 189.940 43.585 190.110 44.655 ;
+        RECT 190.280 43.755 190.470 44.475 ;
+        RECT 190.640 44.145 190.830 44.685 ;
+        RECT 191.130 44.645 191.300 45.185 ;
+        RECT 191.615 45.105 191.785 45.635 ;
+        RECT 192.080 44.985 192.440 45.425 ;
+        RECT 192.615 45.155 192.785 45.635 ;
+        RECT 192.975 44.990 193.310 45.415 ;
+        RECT 193.485 45.160 193.655 45.635 ;
+        RECT 193.830 44.990 194.165 45.415 ;
+        RECT 194.335 45.160 194.505 45.635 ;
+        RECT 192.080 44.815 192.580 44.985 ;
+        RECT 192.975 44.820 194.645 44.990 ;
+        RECT 192.410 44.645 192.580 44.815 ;
+        RECT 191.130 44.475 192.220 44.645 ;
+        RECT 192.410 44.475 194.230 44.645 ;
+        RECT 190.640 43.815 190.960 44.145 ;
+        RECT 189.940 43.255 190.190 43.585 ;
+        RECT 191.130 43.555 191.300 44.475 ;
+        RECT 192.410 44.220 192.580 44.475 ;
+        RECT 194.400 44.255 194.645 44.820 ;
+        RECT 194.815 44.865 198.325 45.635 ;
+        RECT 199.415 44.910 199.705 45.635 ;
+        RECT 199.875 44.865 203.385 45.635 ;
+        RECT 204.035 45.275 204.375 45.635 ;
+        RECT 204.905 45.275 205.235 45.635 ;
+        RECT 205.840 45.275 206.615 45.635 ;
+        RECT 206.805 45.105 206.975 45.465 ;
+        RECT 207.185 45.275 207.515 45.635 ;
+        RECT 204.075 44.935 205.665 45.105 ;
+        RECT 205.965 45.050 206.975 45.105 ;
+        RECT 208.015 45.050 208.295 45.315 ;
+        RECT 205.965 44.935 208.295 45.050 ;
+        RECT 194.815 44.345 196.465 44.865 ;
+        RECT 191.470 44.050 192.580 44.220 ;
+        RECT 192.975 44.085 194.645 44.255 ;
+        RECT 196.635 44.175 198.325 44.695 ;
+        RECT 199.875 44.345 201.525 44.865 ;
+        RECT 191.470 43.890 192.330 44.050 ;
+        RECT 190.415 43.385 191.300 43.555 ;
+        RECT 191.480 43.085 191.695 43.585 ;
+        RECT 192.160 43.265 192.330 43.890 ;
+        RECT 192.615 43.085 192.795 43.865 ;
+        RECT 192.975 43.325 193.310 44.085 ;
+        RECT 193.490 43.085 193.660 43.915 ;
+        RECT 193.830 43.325 194.160 44.085 ;
+        RECT 194.330 43.085 194.500 43.915 ;
+        RECT 194.815 43.085 198.325 44.175 ;
+        RECT 199.415 43.085 199.705 44.250 ;
+        RECT 201.695 44.175 203.385 44.695 ;
+        RECT 199.875 43.085 203.385 44.175 ;
+        RECT 204.075 44.135 204.560 44.935 ;
+        RECT 205.965 44.725 206.135 44.935 ;
+        RECT 206.805 44.880 208.295 44.935 ;
+        RECT 204.730 44.395 206.135 44.725 ;
+        RECT 204.075 43.965 205.665 44.135 ;
+        RECT 204.045 43.085 204.375 43.785 ;
+        RECT 204.555 43.535 204.725 43.965 ;
+        RECT 204.905 43.085 205.235 43.785 ;
+        RECT 205.415 43.535 205.665 43.965 ;
+        RECT 205.845 43.085 206.095 44.205 ;
+        RECT 206.325 44.195 206.635 44.725 ;
+        RECT 206.385 43.425 206.555 44.025 ;
+        RECT 206.805 43.595 206.975 44.880 ;
+        RECT 208.875 44.835 209.155 45.635 ;
+        RECT 209.535 44.865 213.045 45.635 ;
+        RECT 213.675 44.910 213.965 45.635 ;
+        RECT 214.515 45.345 214.850 45.465 ;
+        RECT 214.515 45.155 215.775 45.345 ;
+        RECT 215.955 45.275 216.285 45.635 ;
+        RECT 216.860 45.275 217.190 45.635 ;
+        RECT 214.515 44.915 214.850 45.155 ;
+        RECT 215.585 45.105 215.775 45.155 ;
+        RECT 216.500 45.105 216.690 45.205 ;
+        RECT 217.360 45.105 217.550 45.465 ;
+        RECT 217.720 45.275 218.050 45.635 ;
+        RECT 207.355 44.445 207.750 44.710 ;
+        RECT 207.920 44.445 208.445 44.710 ;
+        RECT 207.215 43.870 207.395 44.275 ;
+        RECT 207.575 44.210 207.750 44.445 ;
+        RECT 208.615 44.430 209.030 44.665 ;
+        RECT 208.615 44.210 208.865 44.430 ;
+        RECT 209.535 44.345 211.185 44.865 ;
+        RECT 207.575 44.040 208.865 44.210 ;
+        RECT 209.035 43.870 209.290 44.260 ;
+        RECT 211.355 44.175 213.045 44.695 ;
+        RECT 214.165 44.380 214.925 44.725 ;
+        RECT 215.115 44.380 215.405 44.975 ;
+        RECT 215.585 44.915 216.330 45.105 ;
+        RECT 215.575 44.395 215.950 44.725 ;
+        RECT 216.120 44.700 216.330 44.915 ;
+        RECT 216.500 44.875 218.105 45.105 ;
+        RECT 207.215 43.700 209.290 43.870 ;
+        RECT 207.215 43.425 207.395 43.700 ;
+        RECT 206.385 43.255 207.395 43.425 ;
+        RECT 207.565 43.085 207.895 43.445 ;
+        RECT 208.065 43.255 208.235 43.700 ;
+        RECT 208.405 43.085 208.735 43.445 ;
+        RECT 208.960 43.325 209.290 43.700 ;
+        RECT 209.535 43.085 213.045 44.175 ;
+        RECT 213.675 43.085 213.965 44.250 ;
+        RECT 214.165 43.385 214.415 44.380 ;
+        RECT 216.120 44.365 217.655 44.700 ;
+        RECT 216.120 44.140 216.330 44.365 ;
+        RECT 217.825 44.185 218.105 44.875 ;
+        RECT 218.275 44.865 221.785 45.635 ;
+        RECT 222.045 45.085 222.215 45.465 ;
+        RECT 222.430 45.255 222.760 45.635 ;
+        RECT 222.045 44.915 222.760 45.085 ;
+        RECT 218.275 44.345 219.925 44.865 ;
+        RECT 214.595 43.970 216.330 44.140 ;
+        RECT 214.595 43.255 214.775 43.970 ;
+        RECT 214.945 43.085 215.395 43.785 ;
+        RECT 215.570 43.255 215.750 43.970 ;
+        RECT 216.500 43.960 218.105 44.185 ;
+        RECT 220.095 44.175 221.785 44.695 ;
+        RECT 221.955 44.365 222.310 44.735 ;
+        RECT 222.590 44.725 222.760 44.915 ;
+        RECT 222.930 44.890 223.185 45.465 ;
+        RECT 222.590 44.395 222.845 44.725 ;
+        RECT 222.590 44.185 222.760 44.395 ;
+        RECT 215.960 43.085 216.290 43.785 ;
+        RECT 216.500 43.255 216.690 43.960 ;
+        RECT 217.360 43.955 218.105 43.960 ;
+        RECT 216.860 43.085 217.190 43.785 ;
+        RECT 217.360 43.255 217.550 43.955 ;
+        RECT 217.720 43.085 218.050 43.785 ;
+        RECT 218.275 43.085 221.785 44.175 ;
+        RECT 222.045 44.015 222.760 44.185 ;
+        RECT 223.015 44.160 223.185 44.890 ;
+        RECT 223.360 44.795 223.620 45.635 ;
+        RECT 223.795 44.865 227.305 45.635 ;
+        RECT 227.935 44.910 228.225 45.635 ;
+        RECT 228.395 44.995 228.735 45.465 ;
+        RECT 228.905 45.165 229.075 45.635 ;
+        RECT 229.245 44.995 229.575 45.465 ;
+        RECT 229.745 45.165 230.445 45.635 ;
+        RECT 223.795 44.345 225.445 44.865 ;
+        RECT 228.395 44.815 230.400 44.995 ;
+        RECT 230.615 44.985 230.945 45.455 ;
+        RECT 231.115 45.165 231.285 45.635 ;
+        RECT 231.455 44.985 231.785 45.455 ;
+        RECT 231.955 45.165 232.125 45.635 ;
+        RECT 230.615 44.815 232.365 44.985 ;
+        RECT 222.045 43.255 222.215 44.015 ;
+        RECT 222.430 43.085 222.760 43.845 ;
+        RECT 222.930 43.255 223.185 44.160 ;
+        RECT 223.360 43.085 223.620 44.235 ;
+        RECT 225.615 44.175 227.305 44.695 ;
+        RECT 230.180 44.645 230.400 44.815 ;
+        RECT 228.395 44.395 228.735 44.645 ;
+        RECT 228.905 44.395 229.365 44.645 ;
+        RECT 229.535 44.395 230.010 44.645 ;
+        RECT 230.180 44.475 231.905 44.645 ;
+        RECT 223.795 43.085 227.305 44.175 ;
+        RECT 227.935 43.085 228.225 44.250 ;
+        RECT 228.395 43.425 228.735 44.225 ;
+        RECT 228.905 43.670 229.140 44.395 ;
+        RECT 230.180 44.225 230.400 44.475 ;
+        RECT 230.755 44.265 230.925 44.275 ;
+        RECT 232.075 44.265 232.365 44.815 ;
+        RECT 232.535 44.865 236.045 45.635 ;
+        RECT 236.305 45.085 236.475 45.465 ;
+        RECT 236.690 45.255 237.020 45.635 ;
+        RECT 236.305 44.915 237.020 45.085 ;
+        RECT 232.535 44.345 234.185 44.865 ;
+        RECT 229.310 44.055 230.400 44.225 ;
+        RECT 230.655 44.095 232.365 44.265 ;
+        RECT 234.355 44.175 236.045 44.695 ;
+        RECT 236.215 44.365 236.570 44.735 ;
+        RECT 236.850 44.725 237.020 44.915 ;
+        RECT 237.190 44.890 237.445 45.465 ;
+        RECT 236.850 44.395 237.105 44.725 ;
+        RECT 236.850 44.185 237.020 44.395 ;
+        RECT 229.310 43.425 229.575 44.055 ;
+        RECT 228.395 43.255 229.575 43.425 ;
+        RECT 229.745 43.085 230.445 43.885 ;
+        RECT 230.655 43.255 230.905 44.095 ;
+        RECT 231.075 43.085 231.325 43.925 ;
+        RECT 231.495 43.255 231.745 44.095 ;
+        RECT 231.915 43.085 232.165 43.925 ;
+        RECT 232.535 43.085 236.045 44.175 ;
+        RECT 236.305 44.015 237.020 44.185 ;
+        RECT 237.275 44.160 237.445 44.890 ;
+        RECT 237.620 44.795 237.880 45.635 ;
+        RECT 238.055 44.865 241.565 45.635 ;
+        RECT 242.195 44.910 242.485 45.635 ;
+        RECT 242.675 45.125 242.915 45.635 ;
+        RECT 238.055 44.345 239.705 44.865 ;
+        RECT 236.305 43.255 236.475 44.015 ;
+        RECT 236.690 43.085 237.020 43.845 ;
+        RECT 237.190 43.255 237.445 44.160 ;
+        RECT 237.620 43.085 237.880 44.235 ;
+        RECT 239.875 44.175 241.565 44.695 ;
+        RECT 242.660 44.395 242.915 44.955 ;
+        RECT 243.085 44.895 243.415 45.430 ;
+        RECT 243.630 44.895 243.800 45.635 ;
+        RECT 244.010 44.985 244.340 45.455 ;
+        RECT 244.510 45.155 244.680 45.635 ;
+        RECT 244.850 44.985 245.180 45.455 ;
+        RECT 245.350 45.155 245.520 45.635 ;
+        RECT 238.055 43.085 241.565 44.175 ;
+        RECT 242.195 43.085 242.485 44.250 ;
+        RECT 243.085 44.225 243.265 44.895 ;
+        RECT 244.010 44.815 245.705 44.985 ;
+        RECT 243.435 44.395 243.810 44.725 ;
+        RECT 243.980 44.475 245.190 44.645 ;
+        RECT 243.980 44.225 244.185 44.475 ;
+        RECT 245.360 44.225 245.705 44.815 ;
+        RECT 245.875 44.865 249.385 45.635 ;
+        RECT 249.575 45.125 249.815 45.635 ;
+        RECT 245.875 44.345 247.525 44.865 ;
+        RECT 242.725 44.055 244.185 44.225 ;
+        RECT 244.850 44.055 245.705 44.225 ;
+        RECT 247.695 44.175 249.385 44.695 ;
+        RECT 249.560 44.395 249.815 44.955 ;
+        RECT 249.985 44.895 250.315 45.430 ;
+        RECT 250.530 44.895 250.700 45.635 ;
+        RECT 250.910 44.985 251.240 45.455 ;
+        RECT 251.410 45.155 251.580 45.635 ;
+        RECT 251.750 44.985 252.080 45.455 ;
+        RECT 252.250 45.155 252.420 45.635 ;
+        RECT 249.985 44.225 250.165 44.895 ;
+        RECT 250.910 44.815 252.605 44.985 ;
+        RECT 250.335 44.395 250.710 44.725 ;
+        RECT 250.880 44.475 252.090 44.645 ;
+        RECT 250.880 44.225 251.085 44.475 ;
+        RECT 252.260 44.225 252.605 44.815 ;
+        RECT 252.775 44.865 256.285 45.635 ;
+        RECT 256.455 44.910 256.745 45.635 ;
+        RECT 256.935 45.125 257.175 45.635 ;
+        RECT 252.775 44.345 254.425 44.865 ;
+        RECT 242.725 43.255 243.085 44.055 ;
+        RECT 244.850 43.885 245.180 44.055 ;
+        RECT 243.630 43.085 243.800 43.885 ;
+        RECT 244.010 43.715 245.180 43.885 ;
+        RECT 244.010 43.255 244.340 43.715 ;
+        RECT 244.510 43.085 244.680 43.545 ;
+        RECT 244.850 43.255 245.180 43.715 ;
+        RECT 245.350 43.085 245.520 43.885 ;
+        RECT 245.875 43.085 249.385 44.175 ;
+        RECT 249.625 44.055 251.085 44.225 ;
+        RECT 251.750 44.055 252.605 44.225 ;
+        RECT 254.595 44.175 256.285 44.695 ;
+        RECT 256.920 44.395 257.175 44.955 ;
+        RECT 257.345 44.895 257.675 45.430 ;
+        RECT 257.890 44.895 258.060 45.635 ;
+        RECT 258.270 44.985 258.600 45.455 ;
+        RECT 258.770 45.155 258.940 45.635 ;
+        RECT 259.110 44.985 259.440 45.455 ;
+        RECT 259.610 45.155 259.780 45.635 ;
+        RECT 249.625 43.255 249.985 44.055 ;
+        RECT 251.750 43.885 252.080 44.055 ;
+        RECT 250.530 43.085 250.700 43.885 ;
+        RECT 250.910 43.715 252.080 43.885 ;
+        RECT 250.910 43.255 251.240 43.715 ;
+        RECT 251.410 43.085 251.580 43.545 ;
+        RECT 251.750 43.255 252.080 43.715 ;
+        RECT 252.250 43.085 252.420 43.885 ;
+        RECT 252.775 43.085 256.285 44.175 ;
+        RECT 256.455 43.085 256.745 44.250 ;
+        RECT 257.345 44.225 257.525 44.895 ;
+        RECT 258.270 44.815 259.965 44.985 ;
+        RECT 257.695 44.395 258.070 44.725 ;
+        RECT 258.240 44.475 259.450 44.645 ;
+        RECT 258.240 44.225 258.445 44.475 ;
+        RECT 259.620 44.225 259.965 44.815 ;
+        RECT 260.135 44.865 263.645 45.635 ;
+        RECT 263.905 45.085 264.075 45.465 ;
+        RECT 264.290 45.255 264.620 45.635 ;
+        RECT 263.905 44.915 264.620 45.085 ;
+        RECT 260.135 44.345 261.785 44.865 ;
+        RECT 256.985 44.055 258.445 44.225 ;
+        RECT 259.110 44.055 259.965 44.225 ;
+        RECT 261.955 44.175 263.645 44.695 ;
+        RECT 263.815 44.365 264.170 44.735 ;
+        RECT 264.450 44.725 264.620 44.915 ;
+        RECT 264.790 44.890 265.045 45.465 ;
+        RECT 264.450 44.395 264.705 44.725 ;
+        RECT 264.450 44.185 264.620 44.395 ;
+        RECT 256.985 43.255 257.345 44.055 ;
+        RECT 259.110 43.885 259.440 44.055 ;
+        RECT 257.890 43.085 258.060 43.885 ;
+        RECT 258.270 43.715 259.440 43.885 ;
+        RECT 258.270 43.255 258.600 43.715 ;
+        RECT 258.770 43.085 258.940 43.545 ;
+        RECT 259.110 43.255 259.440 43.715 ;
+        RECT 259.610 43.085 259.780 43.885 ;
+        RECT 260.135 43.085 263.645 44.175 ;
+        RECT 263.905 44.015 264.620 44.185 ;
+        RECT 264.875 44.160 265.045 44.890 ;
+        RECT 265.220 44.795 265.480 45.635 ;
+        RECT 265.655 44.865 269.165 45.635 ;
+        RECT 269.335 44.885 270.545 45.635 ;
+        RECT 270.715 44.910 271.005 45.635 ;
+        RECT 271.265 45.085 271.435 45.465 ;
+        RECT 271.650 45.255 271.980 45.635 ;
+        RECT 271.265 44.915 271.980 45.085 ;
+        RECT 265.655 44.345 267.305 44.865 ;
+        RECT 263.905 43.255 264.075 44.015 ;
+        RECT 264.290 43.085 264.620 43.845 ;
+        RECT 264.790 43.255 265.045 44.160 ;
+        RECT 265.220 43.085 265.480 44.235 ;
+        RECT 267.475 44.175 269.165 44.695 ;
+        RECT 269.335 44.345 269.855 44.885 ;
+        RECT 270.025 44.175 270.545 44.715 ;
+        RECT 271.175 44.365 271.530 44.735 ;
+        RECT 271.810 44.725 271.980 44.915 ;
+        RECT 272.150 44.890 272.405 45.465 ;
+        RECT 271.810 44.395 272.065 44.725 ;
+        RECT 265.655 43.085 269.165 44.175 ;
+        RECT 269.335 43.085 270.545 44.175 ;
+        RECT 270.715 43.085 271.005 44.250 ;
+        RECT 271.810 44.185 271.980 44.395 ;
+        RECT 271.265 44.015 271.980 44.185 ;
+        RECT 272.235 44.160 272.405 44.890 ;
+        RECT 272.580 44.795 272.840 45.635 ;
+        RECT 273.015 44.865 276.525 45.635 ;
+        RECT 273.015 44.345 274.665 44.865 ;
+        RECT 276.700 44.815 276.975 45.635 ;
+        RECT 277.145 44.995 277.475 45.465 ;
+        RECT 277.645 45.165 277.815 45.635 ;
+        RECT 277.985 44.995 278.315 45.465 ;
+        RECT 278.485 45.165 278.655 45.635 ;
+        RECT 278.825 44.995 279.155 45.465 ;
+        RECT 279.325 45.165 279.495 45.635 ;
+        RECT 279.665 44.995 279.995 45.465 ;
+        RECT 280.165 45.165 280.450 45.635 ;
+        RECT 277.145 44.815 280.665 44.995 ;
+        RECT 271.265 43.255 271.435 44.015 ;
+        RECT 271.650 43.085 271.980 43.845 ;
+        RECT 272.150 43.255 272.405 44.160 ;
+        RECT 272.580 43.085 272.840 44.235 ;
+        RECT 274.835 44.175 276.525 44.695 ;
+        RECT 276.750 44.445 278.410 44.645 ;
+        RECT 278.730 44.445 280.095 44.645 ;
+        RECT 280.265 44.275 280.665 44.815 ;
+        RECT 280.835 44.865 284.345 45.635 ;
+        RECT 284.975 44.910 285.265 45.635 ;
+        RECT 280.835 44.345 282.485 44.865 ;
+        RECT 285.440 44.815 285.715 45.635 ;
+        RECT 285.885 44.995 286.215 45.465 ;
+        RECT 286.385 45.165 286.555 45.635 ;
+        RECT 286.725 44.995 287.055 45.465 ;
+        RECT 287.225 45.165 287.395 45.635 ;
+        RECT 287.565 44.995 287.895 45.465 ;
+        RECT 288.065 45.165 288.235 45.635 ;
+        RECT 288.405 44.995 288.735 45.465 ;
+        RECT 288.905 45.165 289.190 45.635 ;
+        RECT 285.885 44.815 289.405 44.995 ;
+        RECT 273.015 43.085 276.525 44.175 ;
+        RECT 276.700 44.055 278.735 44.265 ;
+        RECT 276.700 43.255 276.975 44.055 ;
+        RECT 277.145 43.085 277.475 43.885 ;
+        RECT 277.645 43.255 277.815 44.055 ;
+        RECT 277.985 43.085 278.235 43.885 ;
+        RECT 278.405 43.425 278.735 44.055 ;
+        RECT 278.905 43.975 280.665 44.275 ;
+        RECT 282.655 44.175 284.345 44.695 ;
+        RECT 285.490 44.445 287.150 44.645 ;
+        RECT 287.470 44.445 288.835 44.645 ;
+        RECT 289.005 44.275 289.405 44.815 ;
+        RECT 289.575 44.865 293.085 45.635 ;
+        RECT 293.345 45.085 293.515 45.465 ;
+        RECT 293.730 45.255 294.060 45.635 ;
+        RECT 293.345 44.915 294.060 45.085 ;
+        RECT 289.575 44.345 291.225 44.865 ;
+        RECT 278.905 43.595 279.075 43.975 ;
+        RECT 279.245 43.425 279.575 43.785 ;
+        RECT 279.745 43.595 279.915 43.975 ;
+        RECT 280.085 43.425 280.500 43.805 ;
+        RECT 278.405 43.255 280.500 43.425 ;
+        RECT 280.835 43.085 284.345 44.175 ;
+        RECT 284.975 43.085 285.265 44.250 ;
+        RECT 285.440 44.055 287.475 44.265 ;
+        RECT 285.440 43.255 285.715 44.055 ;
+        RECT 285.885 43.085 286.215 43.885 ;
+        RECT 286.385 43.255 286.555 44.055 ;
+        RECT 286.725 43.085 286.975 43.885 ;
+        RECT 287.145 43.425 287.475 44.055 ;
+        RECT 287.645 43.975 289.405 44.275 ;
+        RECT 291.395 44.175 293.085 44.695 ;
+        RECT 293.255 44.365 293.610 44.735 ;
+        RECT 293.890 44.725 294.060 44.915 ;
+        RECT 294.230 44.890 294.485 45.465 ;
+        RECT 293.890 44.395 294.145 44.725 ;
+        RECT 293.890 44.185 294.060 44.395 ;
+        RECT 287.645 43.595 287.815 43.975 ;
+        RECT 287.985 43.425 288.315 43.785 ;
+        RECT 288.485 43.595 288.655 43.975 ;
+        RECT 288.825 43.425 289.240 43.805 ;
+        RECT 287.145 43.255 289.240 43.425 ;
+        RECT 289.575 43.085 293.085 44.175 ;
+        RECT 293.345 44.015 294.060 44.185 ;
+        RECT 294.315 44.160 294.485 44.890 ;
+        RECT 294.660 44.795 294.920 45.635 ;
+        RECT 295.095 44.865 298.605 45.635 ;
+        RECT 299.235 44.910 299.525 45.635 ;
+        RECT 295.095 44.345 296.745 44.865 ;
+        RECT 299.700 44.815 299.975 45.635 ;
+        RECT 300.145 44.995 300.475 45.465 ;
+        RECT 300.645 45.165 300.815 45.635 ;
+        RECT 300.985 44.995 301.315 45.465 ;
+        RECT 301.485 45.165 301.655 45.635 ;
+        RECT 301.825 44.995 302.155 45.465 ;
+        RECT 302.325 45.165 302.495 45.635 ;
+        RECT 302.665 44.995 302.995 45.465 ;
+        RECT 303.165 45.165 303.450 45.635 ;
+        RECT 300.145 44.815 303.665 44.995 ;
+        RECT 293.345 43.255 293.515 44.015 ;
+        RECT 293.730 43.085 294.060 43.845 ;
+        RECT 294.230 43.255 294.485 44.160 ;
+        RECT 294.660 43.085 294.920 44.235 ;
+        RECT 296.915 44.175 298.605 44.695 ;
+        RECT 299.750 44.445 301.410 44.645 ;
+        RECT 301.730 44.445 303.095 44.645 ;
+        RECT 303.265 44.275 303.665 44.815 ;
+        RECT 303.835 44.865 307.345 45.635 ;
+        RECT 307.605 45.085 307.775 45.465 ;
+        RECT 307.990 45.255 308.320 45.635 ;
+        RECT 307.605 44.915 308.320 45.085 ;
+        RECT 303.835 44.345 305.485 44.865 ;
+        RECT 295.095 43.085 298.605 44.175 ;
+        RECT 299.235 43.085 299.525 44.250 ;
+        RECT 299.700 44.055 301.735 44.265 ;
+        RECT 299.700 43.255 299.975 44.055 ;
+        RECT 300.145 43.085 300.475 43.885 ;
+        RECT 300.645 43.255 300.815 44.055 ;
+        RECT 300.985 43.085 301.235 43.885 ;
+        RECT 301.405 43.425 301.735 44.055 ;
+        RECT 301.905 43.975 303.665 44.275 ;
+        RECT 305.655 44.175 307.345 44.695 ;
+        RECT 307.515 44.365 307.870 44.735 ;
+        RECT 308.150 44.725 308.320 44.915 ;
+        RECT 308.490 44.890 308.745 45.465 ;
+        RECT 308.150 44.395 308.405 44.725 ;
+        RECT 308.150 44.185 308.320 44.395 ;
+        RECT 301.905 43.595 302.075 43.975 ;
+        RECT 302.245 43.425 302.575 43.785 ;
+        RECT 302.745 43.595 302.915 43.975 ;
+        RECT 303.085 43.425 303.500 43.805 ;
+        RECT 301.405 43.255 303.500 43.425 ;
+        RECT 303.835 43.085 307.345 44.175 ;
+        RECT 307.605 44.015 308.320 44.185 ;
+        RECT 308.575 44.160 308.745 44.890 ;
+        RECT 308.920 44.795 309.180 45.635 ;
+        RECT 309.355 44.865 312.865 45.635 ;
+        RECT 313.495 44.910 313.785 45.635 ;
+        RECT 309.355 44.345 311.005 44.865 ;
+        RECT 313.960 44.815 314.235 45.635 ;
+        RECT 314.405 44.995 314.735 45.465 ;
+        RECT 314.905 45.165 315.075 45.635 ;
+        RECT 315.245 44.995 315.575 45.465 ;
+        RECT 315.745 45.165 315.915 45.635 ;
+        RECT 316.085 44.995 316.415 45.465 ;
+        RECT 316.585 45.165 316.755 45.635 ;
+        RECT 316.925 44.995 317.255 45.465 ;
+        RECT 317.425 45.165 317.710 45.635 ;
+        RECT 314.405 44.815 317.925 44.995 ;
+        RECT 307.605 43.255 307.775 44.015 ;
+        RECT 307.990 43.085 308.320 43.845 ;
+        RECT 308.490 43.255 308.745 44.160 ;
+        RECT 308.920 43.085 309.180 44.235 ;
+        RECT 311.175 44.175 312.865 44.695 ;
+        RECT 314.010 44.445 315.670 44.645 ;
+        RECT 315.990 44.445 317.355 44.645 ;
+        RECT 317.525 44.275 317.925 44.815 ;
+        RECT 318.095 44.865 321.605 45.635 ;
+        RECT 321.865 45.085 322.035 45.465 ;
+        RECT 322.250 45.255 322.580 45.635 ;
+        RECT 321.865 44.915 322.580 45.085 ;
+        RECT 318.095 44.345 319.745 44.865 ;
+        RECT 309.355 43.085 312.865 44.175 ;
+        RECT 313.495 43.085 313.785 44.250 ;
+        RECT 313.960 44.055 315.995 44.265 ;
+        RECT 313.960 43.255 314.235 44.055 ;
+        RECT 314.405 43.085 314.735 43.885 ;
+        RECT 314.905 43.255 315.075 44.055 ;
+        RECT 315.245 43.085 315.495 43.885 ;
+        RECT 315.665 43.425 315.995 44.055 ;
+        RECT 316.165 43.975 317.925 44.275 ;
+        RECT 319.915 44.175 321.605 44.695 ;
+        RECT 321.775 44.365 322.130 44.735 ;
+        RECT 322.410 44.725 322.580 44.915 ;
+        RECT 322.750 44.890 323.005 45.465 ;
+        RECT 322.410 44.395 322.665 44.725 ;
+        RECT 322.410 44.185 322.580 44.395 ;
+        RECT 316.165 43.595 316.335 43.975 ;
+        RECT 316.505 43.425 316.835 43.785 ;
+        RECT 317.005 43.595 317.175 43.975 ;
+        RECT 317.345 43.425 317.760 43.805 ;
+        RECT 315.665 43.255 317.760 43.425 ;
+        RECT 318.095 43.085 321.605 44.175 ;
+        RECT 321.865 44.015 322.580 44.185 ;
+        RECT 322.835 44.160 323.005 44.890 ;
+        RECT 323.180 44.795 323.440 45.635 ;
+        RECT 323.615 44.865 327.125 45.635 ;
+        RECT 327.755 44.910 328.045 45.635 ;
+        RECT 323.615 44.345 325.265 44.865 ;
+        RECT 328.220 44.815 328.495 45.635 ;
+        RECT 328.665 44.995 328.995 45.465 ;
+        RECT 329.165 45.165 329.335 45.635 ;
+        RECT 329.505 44.995 329.835 45.465 ;
+        RECT 330.005 45.165 330.175 45.635 ;
+        RECT 330.345 44.995 330.675 45.465 ;
+        RECT 330.845 45.165 331.015 45.635 ;
+        RECT 331.185 44.995 331.515 45.465 ;
+        RECT 331.685 45.165 331.970 45.635 ;
+        RECT 328.665 44.815 332.185 44.995 ;
+        RECT 321.865 43.255 322.035 44.015 ;
+        RECT 322.250 43.085 322.580 43.845 ;
+        RECT 322.750 43.255 323.005 44.160 ;
+        RECT 323.180 43.085 323.440 44.235 ;
+        RECT 325.435 44.175 327.125 44.695 ;
+        RECT 328.270 44.445 329.930 44.645 ;
+        RECT 330.250 44.445 331.615 44.645 ;
+        RECT 331.785 44.275 332.185 44.815 ;
+        RECT 332.355 44.865 335.865 45.635 ;
+        RECT 336.125 45.085 336.295 45.465 ;
+        RECT 336.510 45.255 336.840 45.635 ;
+        RECT 336.125 44.915 336.840 45.085 ;
+        RECT 332.355 44.345 334.005 44.865 ;
+        RECT 323.615 43.085 327.125 44.175 ;
+        RECT 327.755 43.085 328.045 44.250 ;
+        RECT 328.220 44.055 330.255 44.265 ;
+        RECT 328.220 43.255 328.495 44.055 ;
+        RECT 328.665 43.085 328.995 43.885 ;
+        RECT 329.165 43.255 329.335 44.055 ;
+        RECT 329.505 43.085 329.755 43.885 ;
+        RECT 329.925 43.425 330.255 44.055 ;
+        RECT 330.425 43.975 332.185 44.275 ;
+        RECT 334.175 44.175 335.865 44.695 ;
+        RECT 336.035 44.365 336.390 44.735 ;
+        RECT 336.670 44.725 336.840 44.915 ;
+        RECT 337.010 44.890 337.265 45.465 ;
+        RECT 336.670 44.395 336.925 44.725 ;
+        RECT 336.670 44.185 336.840 44.395 ;
+        RECT 330.425 43.595 330.595 43.975 ;
+        RECT 330.765 43.425 331.095 43.785 ;
+        RECT 331.265 43.595 331.435 43.975 ;
+        RECT 331.605 43.425 332.020 43.805 ;
+        RECT 329.925 43.255 332.020 43.425 ;
+        RECT 332.355 43.085 335.865 44.175 ;
+        RECT 336.125 44.015 336.840 44.185 ;
+        RECT 337.095 44.160 337.265 44.890 ;
+        RECT 337.440 44.795 337.700 45.635 ;
+        RECT 337.875 44.865 341.385 45.635 ;
+        RECT 342.015 44.910 342.305 45.635 ;
+        RECT 342.475 44.865 344.145 45.635 ;
+        RECT 337.875 44.345 339.525 44.865 ;
+        RECT 336.125 43.255 336.295 44.015 ;
+        RECT 336.510 43.085 336.840 43.845 ;
+        RECT 337.010 43.255 337.265 44.160 ;
+        RECT 337.440 43.085 337.700 44.235 ;
+        RECT 339.695 44.175 341.385 44.695 ;
+        RECT 342.475 44.345 343.225 44.865 ;
+        RECT 337.875 43.085 341.385 44.175 ;
+        RECT 342.015 43.085 342.305 44.250 ;
+        RECT 343.395 44.175 344.145 44.695 ;
+        RECT 342.475 43.085 344.145 44.175 ;
+        RECT 344.775 43.255 345.525 45.465 ;
+        RECT 345.870 45.165 346.040 45.635 ;
+        RECT 346.210 44.995 346.540 45.455 ;
+        RECT 346.710 45.165 346.880 45.635 ;
+        RECT 347.050 44.995 347.380 45.465 ;
+        RECT 347.550 45.165 347.720 45.635 ;
+        RECT 347.990 45.245 350.080 45.465 ;
+        RECT 345.695 44.815 347.380 44.995 ;
+        RECT 348.025 44.985 349.580 45.075 ;
+        RECT 347.550 44.815 349.580 44.985 ;
+        RECT 349.750 44.985 350.080 45.245 ;
+        RECT 350.250 45.165 350.420 45.635 ;
+        RECT 350.590 44.995 350.920 45.465 ;
+        RECT 351.090 45.165 351.260 45.635 ;
+        RECT 351.430 44.995 351.760 45.465 ;
+        RECT 350.590 44.985 351.760 44.995 ;
+        RECT 349.750 44.815 351.760 44.985 ;
+        RECT 352.135 44.865 355.645 45.635 ;
+        RECT 356.275 44.910 356.565 45.635 ;
+        RECT 345.695 44.275 345.980 44.815 ;
+        RECT 347.550 44.645 347.840 44.815 ;
+        RECT 346.150 44.445 347.840 44.645 ;
+        RECT 345.695 44.105 347.340 44.275 ;
+        RECT 345.830 43.085 346.080 43.895 ;
+        RECT 346.250 43.255 346.500 44.105 ;
+        RECT 346.670 43.085 346.920 43.925 ;
+        RECT 347.090 43.255 347.340 44.105 ;
+        RECT 347.510 43.935 347.840 44.445 ;
+        RECT 348.030 44.275 348.565 44.645 ;
+        RECT 348.735 44.445 349.290 44.645 ;
+        RECT 349.460 44.275 349.790 44.645 ;
+        RECT 348.030 44.105 349.790 44.275 ;
+        RECT 349.960 44.275 350.290 44.645 ;
+        RECT 350.510 44.445 351.005 44.645 ;
+        RECT 351.175 44.445 351.965 44.645 ;
+        RECT 351.175 44.275 351.345 44.445 ;
+        RECT 352.135 44.345 353.785 44.865 ;
+        RECT 356.740 44.815 357.015 45.635 ;
+        RECT 357.185 44.995 357.515 45.465 ;
+        RECT 357.685 45.165 357.855 45.635 ;
+        RECT 358.025 44.995 358.355 45.465 ;
+        RECT 358.525 45.165 358.695 45.635 ;
+        RECT 358.865 44.995 359.195 45.465 ;
+        RECT 359.365 45.165 359.535 45.635 ;
+        RECT 359.705 44.995 360.035 45.465 ;
+        RECT 360.205 45.165 360.490 45.635 ;
+        RECT 357.185 44.815 360.705 44.995 ;
+        RECT 349.960 44.105 351.345 44.275 ;
+        RECT 347.510 43.765 350.880 43.935 ;
+        RECT 348.870 43.595 349.120 43.765 ;
+        RECT 350.630 43.595 350.880 43.765 ;
+        RECT 347.510 43.085 348.280 43.595 ;
+        RECT 348.450 43.425 348.700 43.595 ;
+        RECT 349.290 43.425 349.540 43.595 ;
+        RECT 348.450 43.255 349.540 43.425 ;
+        RECT 349.710 43.085 350.040 43.595 ;
+        RECT 350.210 43.425 350.460 43.595 ;
+        RECT 351.050 43.425 351.300 43.935 ;
+        RECT 350.210 43.255 351.300 43.425 ;
+        RECT 351.515 43.085 351.720 44.265 ;
+        RECT 353.955 44.175 355.645 44.695 ;
+        RECT 356.790 44.445 358.450 44.645 ;
+        RECT 358.770 44.445 360.135 44.645 ;
+        RECT 360.305 44.275 360.705 44.815 ;
+        RECT 360.875 44.865 364.385 45.635 ;
+        RECT 360.875 44.345 362.525 44.865 ;
+        RECT 364.595 44.815 364.825 45.635 ;
+        RECT 364.995 44.835 365.325 45.465 ;
+        RECT 352.135 43.085 355.645 44.175 ;
+        RECT 356.275 43.085 356.565 44.250 ;
+        RECT 356.740 44.055 358.775 44.265 ;
+        RECT 356.740 43.255 357.015 44.055 ;
+        RECT 357.185 43.085 357.515 43.885 ;
+        RECT 357.685 43.255 357.855 44.055 ;
+        RECT 358.025 43.085 358.275 43.885 ;
+        RECT 358.445 43.425 358.775 44.055 ;
+        RECT 358.945 43.975 360.705 44.275 ;
+        RECT 362.695 44.175 364.385 44.695 ;
+        RECT 364.575 44.395 364.905 44.645 ;
+        RECT 365.075 44.235 365.325 44.835 ;
+        RECT 365.495 44.815 365.705 45.635 ;
+        RECT 365.935 44.865 369.445 45.635 ;
+        RECT 370.535 44.910 370.825 45.635 ;
+        RECT 365.935 44.345 367.585 44.865 ;
+        RECT 371.000 44.815 371.275 45.635 ;
+        RECT 371.445 44.995 371.775 45.465 ;
+        RECT 371.945 45.165 372.115 45.635 ;
+        RECT 372.285 44.995 372.615 45.465 ;
+        RECT 372.785 45.165 372.955 45.635 ;
+        RECT 373.125 44.995 373.455 45.465 ;
+        RECT 373.625 45.165 373.795 45.635 ;
+        RECT 373.965 44.995 374.295 45.465 ;
+        RECT 374.465 45.165 374.750 45.635 ;
+        RECT 371.445 44.815 374.965 44.995 ;
+        RECT 358.945 43.595 359.115 43.975 ;
+        RECT 359.285 43.425 359.615 43.785 ;
+        RECT 359.785 43.595 359.955 43.975 ;
+        RECT 360.125 43.425 360.540 43.805 ;
+        RECT 358.445 43.255 360.540 43.425 ;
+        RECT 360.875 43.085 364.385 44.175 ;
+        RECT 364.595 43.085 364.825 44.225 ;
+        RECT 364.995 43.255 365.325 44.235 ;
+        RECT 365.495 43.085 365.705 44.225 ;
+        RECT 367.755 44.175 369.445 44.695 ;
+        RECT 371.050 44.445 372.710 44.645 ;
+        RECT 373.030 44.445 374.395 44.645 ;
+        RECT 374.565 44.275 374.965 44.815 ;
+        RECT 375.135 44.865 378.645 45.635 ;
+        RECT 375.135 44.345 376.785 44.865 ;
+        RECT 365.935 43.085 369.445 44.175 ;
+        RECT 370.535 43.085 370.825 44.250 ;
+        RECT 371.000 44.055 373.035 44.265 ;
+        RECT 371.000 43.255 371.275 44.055 ;
+        RECT 371.445 43.085 371.775 43.885 ;
+        RECT 371.945 43.255 372.115 44.055 ;
+        RECT 372.285 43.085 372.535 43.885 ;
+        RECT 372.705 43.425 373.035 44.055 ;
+        RECT 373.205 43.975 374.965 44.275 ;
+        RECT 376.955 44.175 378.645 44.695 ;
+        RECT 373.205 43.595 373.375 43.975 ;
+        RECT 373.545 43.425 373.875 43.785 ;
+        RECT 374.045 43.595 374.215 43.975 ;
+        RECT 374.385 43.425 374.800 43.805 ;
+        RECT 372.705 43.255 374.800 43.425 ;
+        RECT 375.135 43.085 378.645 44.175 ;
+        RECT 378.815 43.980 379.335 45.465 ;
+        RECT 379.505 44.975 379.845 45.635 ;
+        RECT 380.195 44.865 383.705 45.635 ;
+        RECT 384.795 44.910 385.085 45.635 ;
+        RECT 379.005 43.085 379.335 43.810 ;
+        RECT 379.505 43.255 380.025 44.805 ;
+        RECT 380.195 44.345 381.845 44.865 ;
+        RECT 382.015 44.175 383.705 44.695 ;
+        RECT 380.195 43.085 383.705 44.175 ;
+        RECT 384.795 43.085 385.085 44.250 ;
+        RECT 385.255 43.980 385.775 45.465 ;
+        RECT 385.945 44.975 386.285 45.635 ;
+        RECT 386.635 44.865 390.145 45.635 ;
+        RECT 385.445 43.085 385.775 43.810 ;
+        RECT 385.945 43.255 386.465 44.805 ;
+        RECT 386.635 44.345 388.285 44.865 ;
+        RECT 388.455 44.175 390.145 44.695 ;
+        RECT 386.635 43.085 390.145 44.175 ;
+        RECT 390.315 43.980 390.835 45.465 ;
+        RECT 391.005 44.975 391.345 45.635 ;
+        RECT 391.695 44.865 397.040 45.635 ;
+        RECT 397.215 44.865 398.885 45.635 ;
+        RECT 399.055 44.910 399.345 45.635 ;
+        RECT 399.515 44.865 404.860 45.635 ;
+        RECT 405.035 44.865 410.380 45.635 ;
+        RECT 410.555 44.865 413.145 45.635 ;
+        RECT 413.315 44.910 413.605 45.635 ;
+        RECT 413.775 44.865 419.120 45.635 ;
+        RECT 419.295 44.865 424.640 45.635 ;
+        RECT 424.815 44.865 427.405 45.635 ;
+        RECT 427.575 44.910 427.865 45.635 ;
+        RECT 428.035 44.865 433.380 45.635 ;
+        RECT 433.555 44.865 438.900 45.635 ;
+        RECT 439.075 44.865 441.665 45.635 ;
+        RECT 441.835 44.910 442.125 45.635 ;
+        RECT 442.295 44.865 447.640 45.635 ;
+        RECT 447.815 44.865 453.160 45.635 ;
+        RECT 453.335 44.865 455.925 45.635 ;
+        RECT 456.095 44.910 456.385 45.635 ;
+        RECT 456.555 44.865 461.900 45.635 ;
+        RECT 462.075 44.865 467.420 45.635 ;
+        RECT 467.595 44.865 470.185 45.635 ;
+        RECT 470.355 44.910 470.645 45.635 ;
+        RECT 470.815 44.865 476.160 45.635 ;
+        RECT 476.335 44.865 481.680 45.635 ;
+        RECT 481.855 44.865 484.445 45.635 ;
+        RECT 484.615 44.910 484.905 45.635 ;
+        RECT 485.075 44.865 490.420 45.635 ;
+        RECT 490.595 44.865 495.940 45.635 ;
+        RECT 496.115 44.865 498.705 45.635 ;
+        RECT 498.875 44.910 499.165 45.635 ;
+        RECT 499.335 44.865 501.005 45.635 ;
+        RECT 390.505 43.085 390.835 43.810 ;
+        RECT 391.005 43.255 391.525 44.805 ;
+        RECT 391.695 44.345 394.275 44.865 ;
+        RECT 394.445 44.175 397.040 44.695 ;
+        RECT 397.215 44.345 397.965 44.865 ;
+        RECT 398.135 44.175 398.885 44.695 ;
+        RECT 399.515 44.345 402.095 44.865 ;
+        RECT 391.695 43.085 397.040 44.175 ;
+        RECT 397.215 43.085 398.885 44.175 ;
+        RECT 399.055 43.085 399.345 44.250 ;
+        RECT 402.265 44.175 404.860 44.695 ;
+        RECT 405.035 44.345 407.615 44.865 ;
+        RECT 407.785 44.175 410.380 44.695 ;
+        RECT 410.555 44.345 411.765 44.865 ;
+        RECT 411.935 44.175 413.145 44.695 ;
+        RECT 413.775 44.345 416.355 44.865 ;
+        RECT 399.515 43.085 404.860 44.175 ;
+        RECT 405.035 43.085 410.380 44.175 ;
+        RECT 410.555 43.085 413.145 44.175 ;
+        RECT 413.315 43.085 413.605 44.250 ;
+        RECT 416.525 44.175 419.120 44.695 ;
+        RECT 419.295 44.345 421.875 44.865 ;
+        RECT 422.045 44.175 424.640 44.695 ;
+        RECT 424.815 44.345 426.025 44.865 ;
+        RECT 426.195 44.175 427.405 44.695 ;
+        RECT 428.035 44.345 430.615 44.865 ;
+        RECT 413.775 43.085 419.120 44.175 ;
+        RECT 419.295 43.085 424.640 44.175 ;
+        RECT 424.815 43.085 427.405 44.175 ;
+        RECT 427.575 43.085 427.865 44.250 ;
+        RECT 430.785 44.175 433.380 44.695 ;
+        RECT 433.555 44.345 436.135 44.865 ;
+        RECT 436.305 44.175 438.900 44.695 ;
+        RECT 439.075 44.345 440.285 44.865 ;
+        RECT 440.455 44.175 441.665 44.695 ;
+        RECT 442.295 44.345 444.875 44.865 ;
+        RECT 428.035 43.085 433.380 44.175 ;
+        RECT 433.555 43.085 438.900 44.175 ;
+        RECT 439.075 43.085 441.665 44.175 ;
+        RECT 441.835 43.085 442.125 44.250 ;
+        RECT 445.045 44.175 447.640 44.695 ;
+        RECT 447.815 44.345 450.395 44.865 ;
+        RECT 450.565 44.175 453.160 44.695 ;
+        RECT 453.335 44.345 454.545 44.865 ;
+        RECT 454.715 44.175 455.925 44.695 ;
+        RECT 456.555 44.345 459.135 44.865 ;
+        RECT 442.295 43.085 447.640 44.175 ;
+        RECT 447.815 43.085 453.160 44.175 ;
+        RECT 453.335 43.085 455.925 44.175 ;
+        RECT 456.095 43.085 456.385 44.250 ;
+        RECT 459.305 44.175 461.900 44.695 ;
+        RECT 462.075 44.345 464.655 44.865 ;
+        RECT 464.825 44.175 467.420 44.695 ;
+        RECT 467.595 44.345 468.805 44.865 ;
+        RECT 468.975 44.175 470.185 44.695 ;
+        RECT 470.815 44.345 473.395 44.865 ;
+        RECT 456.555 43.085 461.900 44.175 ;
+        RECT 462.075 43.085 467.420 44.175 ;
+        RECT 467.595 43.085 470.185 44.175 ;
+        RECT 470.355 43.085 470.645 44.250 ;
+        RECT 473.565 44.175 476.160 44.695 ;
+        RECT 476.335 44.345 478.915 44.865 ;
+        RECT 479.085 44.175 481.680 44.695 ;
+        RECT 481.855 44.345 483.065 44.865 ;
+        RECT 483.235 44.175 484.445 44.695 ;
+        RECT 485.075 44.345 487.655 44.865 ;
+        RECT 470.815 43.085 476.160 44.175 ;
+        RECT 476.335 43.085 481.680 44.175 ;
+        RECT 481.855 43.085 484.445 44.175 ;
+        RECT 484.615 43.085 484.905 44.250 ;
+        RECT 487.825 44.175 490.420 44.695 ;
+        RECT 490.595 44.345 493.175 44.865 ;
+        RECT 493.345 44.175 495.940 44.695 ;
+        RECT 496.115 44.345 497.325 44.865 ;
+        RECT 497.495 44.175 498.705 44.695 ;
+        RECT 499.335 44.345 500.085 44.865 ;
+        RECT 485.075 43.085 490.420 44.175 ;
+        RECT 490.595 43.085 495.940 44.175 ;
+        RECT 496.115 43.085 498.705 44.175 ;
+        RECT 498.875 43.085 499.165 44.250 ;
+        RECT 500.255 44.175 501.005 44.695 ;
+        RECT 499.335 43.085 501.005 44.175 ;
+        RECT 501.635 43.980 502.155 45.465 ;
+        RECT 502.325 44.975 502.665 45.635 ;
+        RECT 503.015 44.865 508.360 45.635 ;
+        RECT 508.535 44.865 512.045 45.635 ;
+        RECT 513.135 44.910 513.425 45.635 ;
+        RECT 513.595 44.865 518.940 45.635 ;
+        RECT 519.115 44.865 524.460 45.635 ;
+        RECT 524.635 44.865 527.225 45.635 ;
+        RECT 527.395 44.910 527.685 45.635 ;
+        RECT 527.855 44.865 533.200 45.635 ;
+        RECT 533.375 44.865 538.720 45.635 ;
+        RECT 538.895 44.865 541.485 45.635 ;
+        RECT 541.655 44.910 541.945 45.635 ;
+        RECT 542.115 44.865 547.460 45.635 ;
+        RECT 547.635 44.865 552.980 45.635 ;
+        RECT 553.155 44.865 555.745 45.635 ;
+        RECT 555.915 44.910 556.205 45.635 ;
+        RECT 556.375 44.865 561.720 45.635 ;
+        RECT 561.895 44.865 567.240 45.635 ;
+        RECT 567.415 44.865 570.005 45.635 ;
+        RECT 570.175 44.910 570.465 45.635 ;
+        RECT 570.635 44.865 575.980 45.635 ;
+        RECT 576.155 44.865 581.500 45.635 ;
+        RECT 581.675 44.865 584.265 45.635 ;
+        RECT 584.435 44.910 584.725 45.635 ;
+        RECT 501.825 43.085 502.155 43.810 ;
+        RECT 502.325 43.255 502.845 44.805 ;
+        RECT 503.015 44.345 505.595 44.865 ;
+        RECT 505.765 44.175 508.360 44.695 ;
+        RECT 508.535 44.345 510.185 44.865 ;
+        RECT 510.355 44.175 512.045 44.695 ;
+        RECT 513.595 44.345 516.175 44.865 ;
+        RECT 503.015 43.085 508.360 44.175 ;
+        RECT 508.535 43.085 512.045 44.175 ;
+        RECT 513.135 43.085 513.425 44.250 ;
+        RECT 516.345 44.175 518.940 44.695 ;
+        RECT 519.115 44.345 521.695 44.865 ;
+        RECT 521.865 44.175 524.460 44.695 ;
+        RECT 524.635 44.345 525.845 44.865 ;
+        RECT 526.015 44.175 527.225 44.695 ;
+        RECT 527.855 44.345 530.435 44.865 ;
+        RECT 513.595 43.085 518.940 44.175 ;
+        RECT 519.115 43.085 524.460 44.175 ;
+        RECT 524.635 43.085 527.225 44.175 ;
+        RECT 527.395 43.085 527.685 44.250 ;
+        RECT 530.605 44.175 533.200 44.695 ;
+        RECT 533.375 44.345 535.955 44.865 ;
+        RECT 536.125 44.175 538.720 44.695 ;
+        RECT 538.895 44.345 540.105 44.865 ;
+        RECT 540.275 44.175 541.485 44.695 ;
+        RECT 542.115 44.345 544.695 44.865 ;
+        RECT 527.855 43.085 533.200 44.175 ;
+        RECT 533.375 43.085 538.720 44.175 ;
+        RECT 538.895 43.085 541.485 44.175 ;
+        RECT 541.655 43.085 541.945 44.250 ;
+        RECT 544.865 44.175 547.460 44.695 ;
+        RECT 547.635 44.345 550.215 44.865 ;
+        RECT 550.385 44.175 552.980 44.695 ;
+        RECT 553.155 44.345 554.365 44.865 ;
+        RECT 554.535 44.175 555.745 44.695 ;
+        RECT 556.375 44.345 558.955 44.865 ;
+        RECT 542.115 43.085 547.460 44.175 ;
+        RECT 547.635 43.085 552.980 44.175 ;
+        RECT 553.155 43.085 555.745 44.175 ;
+        RECT 555.915 43.085 556.205 44.250 ;
+        RECT 559.125 44.175 561.720 44.695 ;
+        RECT 561.895 44.345 564.475 44.865 ;
+        RECT 564.645 44.175 567.240 44.695 ;
+        RECT 567.415 44.345 568.625 44.865 ;
+        RECT 568.795 44.175 570.005 44.695 ;
+        RECT 570.635 44.345 573.215 44.865 ;
+        RECT 556.375 43.085 561.720 44.175 ;
+        RECT 561.895 43.085 567.240 44.175 ;
+        RECT 567.415 43.085 570.005 44.175 ;
+        RECT 570.175 43.085 570.465 44.250 ;
+        RECT 573.385 44.175 575.980 44.695 ;
+        RECT 576.155 44.345 578.735 44.865 ;
+        RECT 578.905 44.175 581.500 44.695 ;
+        RECT 581.675 44.345 582.885 44.865 ;
+        RECT 583.055 44.175 584.265 44.695 ;
+        RECT 570.635 43.085 575.980 44.175 ;
+        RECT 576.155 43.085 581.500 44.175 ;
+        RECT 581.675 43.085 584.265 44.175 ;
+        RECT 584.435 43.085 584.725 44.250 ;
+        RECT 585.815 43.980 586.335 45.465 ;
+        RECT 586.505 44.975 586.845 45.635 ;
+        RECT 587.195 44.865 592.540 45.635 ;
+        RECT 592.715 44.865 598.060 45.635 ;
+        RECT 598.695 44.910 598.985 45.635 ;
+        RECT 599.155 44.865 604.500 45.635 ;
+        RECT 604.675 44.865 610.020 45.635 ;
+        RECT 610.195 44.865 612.785 45.635 ;
+        RECT 612.955 44.910 613.245 45.635 ;
+        RECT 613.415 44.865 618.760 45.635 ;
+        RECT 618.935 44.865 621.525 45.635 ;
+        RECT 586.005 43.085 586.335 43.810 ;
+        RECT 586.505 43.255 587.025 44.805 ;
+        RECT 587.195 44.345 589.775 44.865 ;
+        RECT 589.945 44.175 592.540 44.695 ;
+        RECT 592.715 44.345 595.295 44.865 ;
+        RECT 595.465 44.175 598.060 44.695 ;
+        RECT 599.155 44.345 601.735 44.865 ;
+        RECT 587.195 43.085 592.540 44.175 ;
+        RECT 592.715 43.085 598.060 44.175 ;
+        RECT 598.695 43.085 598.985 44.250 ;
+        RECT 601.905 44.175 604.500 44.695 ;
+        RECT 604.675 44.345 607.255 44.865 ;
+        RECT 607.425 44.175 610.020 44.695 ;
+        RECT 610.195 44.345 611.405 44.865 ;
+        RECT 611.575 44.175 612.785 44.695 ;
+        RECT 613.415 44.345 615.995 44.865 ;
+        RECT 599.155 43.085 604.500 44.175 ;
+        RECT 604.675 43.085 610.020 44.175 ;
+        RECT 610.195 43.085 612.785 44.175 ;
+        RECT 612.955 43.085 613.245 44.250 ;
+        RECT 616.165 44.175 618.760 44.695 ;
+        RECT 618.935 44.345 620.145 44.865 ;
+        RECT 620.315 44.175 621.525 44.695 ;
+        RECT 613.415 43.085 618.760 44.175 ;
+        RECT 618.935 43.085 621.525 44.175 ;
+        RECT 622.155 43.980 622.675 45.465 ;
+        RECT 622.845 44.975 623.185 45.635 ;
+        RECT 623.535 44.865 627.045 45.635 ;
+        RECT 627.215 44.910 627.505 45.635 ;
+        RECT 627.675 44.865 629.345 45.635 ;
+        RECT 629.975 44.885 631.185 45.635 ;
+        RECT 623.535 44.345 625.185 44.865 ;
+        RECT 625.355 44.175 627.045 44.695 ;
+        RECT 627.675 44.345 628.425 44.865 ;
+        RECT 622.345 43.085 622.675 43.810 ;
+        RECT 623.535 43.085 627.045 44.175 ;
+        RECT 627.215 43.085 627.505 44.250 ;
+        RECT 628.595 44.175 629.345 44.695 ;
+        RECT 627.675 43.085 629.345 44.175 ;
+        RECT 629.975 44.175 630.495 44.715 ;
+        RECT 630.665 44.345 631.185 44.885 ;
+        RECT 629.975 43.085 631.185 44.175 ;
+        RECT 42.470 42.915 631.270 43.085 ;
+        RECT 155.775 40.705 155.945 42.235 ;
+        RECT 163.135 39.685 163.305 41.895 ;
+        RECT 175.095 38.665 175.265 41.895 ;
+        RECT 215.115 39.005 215.285 42.575 ;
+        RECT 221.555 39.345 221.725 42.575 ;
+        RECT 223.855 41.725 224.485 41.895 ;
+        RECT 224.775 41.215 224.945 42.235 ;
+        RECT 223.395 41.045 224.945 41.215 ;
+        RECT 258.355 39.685 258.525 40.875 ;
+      LAYER L1M1_PR_C ;
+        RECT 42.615 53.795 42.785 53.965 ;
+        RECT 43.075 53.795 43.245 53.965 ;
+        RECT 43.535 53.795 43.705 53.965 ;
+        RECT 43.995 53.795 44.165 53.965 ;
+        RECT 44.455 53.795 44.625 53.965 ;
+        RECT 44.915 53.795 45.085 53.965 ;
+        RECT 45.375 53.795 45.545 53.965 ;
+        RECT 45.835 53.795 46.005 53.965 ;
+        RECT 46.295 53.795 46.465 53.965 ;
+        RECT 46.755 53.795 46.925 53.965 ;
+        RECT 47.215 53.795 47.385 53.965 ;
+        RECT 47.675 53.795 47.845 53.965 ;
+        RECT 48.135 53.795 48.305 53.965 ;
+        RECT 48.595 53.795 48.765 53.965 ;
+        RECT 49.055 53.795 49.225 53.965 ;
+        RECT 49.515 53.795 49.685 53.965 ;
+        RECT 49.975 53.795 50.145 53.965 ;
+        RECT 50.435 53.795 50.605 53.965 ;
+        RECT 50.895 53.795 51.065 53.965 ;
+        RECT 51.355 53.795 51.525 53.965 ;
+        RECT 51.815 53.795 51.985 53.965 ;
+        RECT 52.275 53.795 52.445 53.965 ;
+        RECT 52.735 53.795 52.905 53.965 ;
+        RECT 53.195 53.795 53.365 53.965 ;
+        RECT 53.655 53.795 53.825 53.965 ;
+        RECT 54.115 53.795 54.285 53.965 ;
+        RECT 54.575 53.795 54.745 53.965 ;
+        RECT 55.035 53.795 55.205 53.965 ;
+        RECT 55.495 53.795 55.665 53.965 ;
+        RECT 55.955 53.795 56.125 53.965 ;
+        RECT 56.415 53.795 56.585 53.965 ;
+        RECT 56.875 53.795 57.045 53.965 ;
+        RECT 57.335 53.795 57.505 53.965 ;
+        RECT 57.795 53.795 57.965 53.965 ;
+        RECT 58.255 53.795 58.425 53.965 ;
+        RECT 58.715 53.795 58.885 53.965 ;
+        RECT 59.175 53.795 59.345 53.965 ;
+        RECT 59.635 53.795 59.805 53.965 ;
+        RECT 60.095 53.795 60.265 53.965 ;
+        RECT 60.555 53.795 60.725 53.965 ;
+        RECT 61.015 53.795 61.185 53.965 ;
+        RECT 61.475 53.795 61.645 53.965 ;
+        RECT 61.935 53.795 62.105 53.965 ;
+        RECT 62.395 53.795 62.565 53.965 ;
+        RECT 62.855 53.795 63.025 53.965 ;
+        RECT 63.315 53.795 63.485 53.965 ;
+        RECT 63.775 53.795 63.945 53.965 ;
+        RECT 64.235 53.795 64.405 53.965 ;
+        RECT 64.695 53.795 64.865 53.965 ;
+        RECT 65.155 53.795 65.325 53.965 ;
+        RECT 65.615 53.795 65.785 53.965 ;
+        RECT 66.075 53.795 66.245 53.965 ;
+        RECT 66.535 53.795 66.705 53.965 ;
+        RECT 66.995 53.795 67.165 53.965 ;
+        RECT 67.455 53.795 67.625 53.965 ;
+        RECT 67.915 53.795 68.085 53.965 ;
+        RECT 68.375 53.795 68.545 53.965 ;
+        RECT 68.835 53.795 69.005 53.965 ;
+        RECT 69.295 53.795 69.465 53.965 ;
+        RECT 69.755 53.795 69.925 53.965 ;
+        RECT 70.215 53.795 70.385 53.965 ;
+        RECT 70.675 53.795 70.845 53.965 ;
+        RECT 71.135 53.795 71.305 53.965 ;
+        RECT 71.595 53.795 71.765 53.965 ;
+        RECT 72.055 53.795 72.225 53.965 ;
+        RECT 72.515 53.795 72.685 53.965 ;
+        RECT 72.975 53.795 73.145 53.965 ;
+        RECT 73.435 53.795 73.605 53.965 ;
+        RECT 73.895 53.795 74.065 53.965 ;
+        RECT 74.355 53.795 74.525 53.965 ;
+        RECT 74.815 53.795 74.985 53.965 ;
+        RECT 75.275 53.795 75.445 53.965 ;
+        RECT 75.735 53.795 75.905 53.965 ;
+        RECT 76.195 53.795 76.365 53.965 ;
+        RECT 76.655 53.795 76.825 53.965 ;
+        RECT 77.115 53.795 77.285 53.965 ;
+        RECT 77.575 53.795 77.745 53.965 ;
+        RECT 78.035 53.795 78.205 53.965 ;
+        RECT 78.495 53.795 78.665 53.965 ;
+        RECT 78.955 53.795 79.125 53.965 ;
+        RECT 79.415 53.795 79.585 53.965 ;
+        RECT 79.875 53.795 80.045 53.965 ;
+        RECT 80.335 53.795 80.505 53.965 ;
+        RECT 80.795 53.795 80.965 53.965 ;
+        RECT 81.255 53.795 81.425 53.965 ;
+        RECT 81.715 53.795 81.885 53.965 ;
+        RECT 82.175 53.795 82.345 53.965 ;
+        RECT 82.635 53.795 82.805 53.965 ;
+        RECT 83.095 53.795 83.265 53.965 ;
+        RECT 83.555 53.795 83.725 53.965 ;
+        RECT 84.015 53.795 84.185 53.965 ;
+        RECT 84.475 53.795 84.645 53.965 ;
+        RECT 84.935 53.795 85.105 53.965 ;
+        RECT 85.395 53.795 85.565 53.965 ;
+        RECT 85.855 53.795 86.025 53.965 ;
+        RECT 86.315 53.795 86.485 53.965 ;
+        RECT 86.775 53.795 86.945 53.965 ;
+        RECT 87.235 53.795 87.405 53.965 ;
+        RECT 87.695 53.795 87.865 53.965 ;
+        RECT 88.155 53.795 88.325 53.965 ;
+        RECT 88.615 53.795 88.785 53.965 ;
+        RECT 89.075 53.795 89.245 53.965 ;
+        RECT 89.535 53.795 89.705 53.965 ;
+        RECT 89.995 53.795 90.165 53.965 ;
+        RECT 90.455 53.795 90.625 53.965 ;
+        RECT 90.915 53.795 91.085 53.965 ;
+        RECT 91.375 53.795 91.545 53.965 ;
+        RECT 91.835 53.795 92.005 53.965 ;
+        RECT 92.295 53.795 92.465 53.965 ;
+        RECT 92.755 53.795 92.925 53.965 ;
+        RECT 93.215 53.795 93.385 53.965 ;
+        RECT 93.675 53.795 93.845 53.965 ;
+        RECT 94.135 53.795 94.305 53.965 ;
+        RECT 94.595 53.795 94.765 53.965 ;
+        RECT 95.055 53.795 95.225 53.965 ;
+        RECT 95.515 53.795 95.685 53.965 ;
+        RECT 95.975 53.795 96.145 53.965 ;
+        RECT 96.435 53.795 96.605 53.965 ;
+        RECT 96.895 53.795 97.065 53.965 ;
+        RECT 97.355 53.795 97.525 53.965 ;
+        RECT 97.815 53.795 97.985 53.965 ;
+        RECT 98.275 53.795 98.445 53.965 ;
+        RECT 98.735 53.795 98.905 53.965 ;
+        RECT 99.195 53.795 99.365 53.965 ;
+        RECT 99.655 53.795 99.825 53.965 ;
+        RECT 100.115 53.795 100.285 53.965 ;
+        RECT 100.575 53.795 100.745 53.965 ;
+        RECT 101.035 53.795 101.205 53.965 ;
+        RECT 101.495 53.795 101.665 53.965 ;
+        RECT 101.955 53.795 102.125 53.965 ;
+        RECT 102.415 53.795 102.585 53.965 ;
+        RECT 102.875 53.795 103.045 53.965 ;
+        RECT 103.335 53.795 103.505 53.965 ;
+        RECT 103.795 53.795 103.965 53.965 ;
+        RECT 104.255 53.795 104.425 53.965 ;
+        RECT 104.715 53.795 104.885 53.965 ;
+        RECT 105.175 53.795 105.345 53.965 ;
+        RECT 105.635 53.795 105.805 53.965 ;
+        RECT 106.095 53.795 106.265 53.965 ;
+        RECT 106.555 53.795 106.725 53.965 ;
+        RECT 107.015 53.795 107.185 53.965 ;
+        RECT 107.475 53.795 107.645 53.965 ;
+        RECT 107.935 53.795 108.105 53.965 ;
+        RECT 108.395 53.795 108.565 53.965 ;
+        RECT 108.855 53.795 109.025 53.965 ;
+        RECT 109.315 53.795 109.485 53.965 ;
+        RECT 109.775 53.795 109.945 53.965 ;
+        RECT 110.235 53.795 110.405 53.965 ;
+        RECT 110.695 53.795 110.865 53.965 ;
+        RECT 111.155 53.795 111.325 53.965 ;
+        RECT 111.615 53.795 111.785 53.965 ;
+        RECT 112.075 53.795 112.245 53.965 ;
+        RECT 112.535 53.795 112.705 53.965 ;
+        RECT 112.995 53.795 113.165 53.965 ;
+        RECT 113.455 53.795 113.625 53.965 ;
+        RECT 113.915 53.795 114.085 53.965 ;
+        RECT 114.375 53.795 114.545 53.965 ;
+        RECT 114.835 53.795 115.005 53.965 ;
+        RECT 115.295 53.795 115.465 53.965 ;
+        RECT 115.755 53.795 115.925 53.965 ;
+        RECT 116.215 53.795 116.385 53.965 ;
+        RECT 116.675 53.795 116.845 53.965 ;
+        RECT 117.135 53.795 117.305 53.965 ;
+        RECT 117.595 53.795 117.765 53.965 ;
+        RECT 118.055 53.795 118.225 53.965 ;
+        RECT 118.515 53.795 118.685 53.965 ;
+        RECT 118.975 53.795 119.145 53.965 ;
+        RECT 119.435 53.795 119.605 53.965 ;
+        RECT 119.895 53.795 120.065 53.965 ;
+        RECT 120.355 53.795 120.525 53.965 ;
+        RECT 120.815 53.795 120.985 53.965 ;
+        RECT 121.275 53.795 121.445 53.965 ;
+        RECT 121.735 53.795 121.905 53.965 ;
+        RECT 122.195 53.795 122.365 53.965 ;
+        RECT 122.655 53.795 122.825 53.965 ;
+        RECT 123.115 53.795 123.285 53.965 ;
+        RECT 123.575 53.795 123.745 53.965 ;
+        RECT 124.035 53.795 124.205 53.965 ;
+        RECT 124.495 53.795 124.665 53.965 ;
+        RECT 124.955 53.795 125.125 53.965 ;
+        RECT 125.415 53.795 125.585 53.965 ;
+        RECT 125.875 53.795 126.045 53.965 ;
+        RECT 126.335 53.795 126.505 53.965 ;
+        RECT 126.795 53.795 126.965 53.965 ;
+        RECT 127.255 53.795 127.425 53.965 ;
+        RECT 127.715 53.795 127.885 53.965 ;
+        RECT 128.175 53.795 128.345 53.965 ;
+        RECT 128.635 53.795 128.805 53.965 ;
+        RECT 129.095 53.795 129.265 53.965 ;
+        RECT 129.555 53.795 129.725 53.965 ;
+        RECT 130.015 53.795 130.185 53.965 ;
+        RECT 130.475 53.795 130.645 53.965 ;
+        RECT 130.935 53.795 131.105 53.965 ;
+        RECT 131.395 53.795 131.565 53.965 ;
+        RECT 131.855 53.795 132.025 53.965 ;
+        RECT 132.315 53.795 132.485 53.965 ;
+        RECT 132.775 53.795 132.945 53.965 ;
+        RECT 133.235 53.795 133.405 53.965 ;
+        RECT 133.695 53.795 133.865 53.965 ;
+        RECT 134.155 53.795 134.325 53.965 ;
+        RECT 134.615 53.795 134.785 53.965 ;
+        RECT 135.075 53.795 135.245 53.965 ;
+        RECT 135.535 53.795 135.705 53.965 ;
+        RECT 135.995 53.795 136.165 53.965 ;
+        RECT 136.455 53.795 136.625 53.965 ;
+        RECT 136.915 53.795 137.085 53.965 ;
+        RECT 137.375 53.795 137.545 53.965 ;
+        RECT 137.835 53.795 138.005 53.965 ;
+        RECT 138.295 53.795 138.465 53.965 ;
+        RECT 138.755 53.795 138.925 53.965 ;
+        RECT 139.215 53.795 139.385 53.965 ;
+        RECT 139.675 53.795 139.845 53.965 ;
+        RECT 140.135 53.795 140.305 53.965 ;
+        RECT 140.595 53.795 140.765 53.965 ;
+        RECT 141.055 53.795 141.225 53.965 ;
+        RECT 141.515 53.795 141.685 53.965 ;
+        RECT 141.975 53.795 142.145 53.965 ;
+        RECT 142.435 53.795 142.605 53.965 ;
+        RECT 142.895 53.795 143.065 53.965 ;
+        RECT 143.355 53.795 143.525 53.965 ;
+        RECT 143.815 53.795 143.985 53.965 ;
+        RECT 144.275 53.795 144.445 53.965 ;
+        RECT 144.735 53.795 144.905 53.965 ;
+        RECT 145.195 53.795 145.365 53.965 ;
+        RECT 145.655 53.795 145.825 53.965 ;
+        RECT 146.115 53.795 146.285 53.965 ;
+        RECT 146.575 53.795 146.745 53.965 ;
+        RECT 147.035 53.795 147.205 53.965 ;
+        RECT 147.495 53.795 147.665 53.965 ;
+        RECT 147.955 53.795 148.125 53.965 ;
+        RECT 148.415 53.795 148.585 53.965 ;
+        RECT 148.875 53.795 149.045 53.965 ;
+        RECT 149.335 53.795 149.505 53.965 ;
+        RECT 149.795 53.795 149.965 53.965 ;
+        RECT 150.255 53.795 150.425 53.965 ;
+        RECT 150.715 53.795 150.885 53.965 ;
+        RECT 151.175 53.795 151.345 53.965 ;
+        RECT 151.635 53.795 151.805 53.965 ;
+        RECT 152.095 53.795 152.265 53.965 ;
+        RECT 152.555 53.795 152.725 53.965 ;
+        RECT 153.015 53.795 153.185 53.965 ;
+        RECT 153.475 53.795 153.645 53.965 ;
+        RECT 153.935 53.795 154.105 53.965 ;
+        RECT 154.395 53.795 154.565 53.965 ;
+        RECT 154.855 53.795 155.025 53.965 ;
+        RECT 155.315 53.795 155.485 53.965 ;
+        RECT 155.775 53.795 155.945 53.965 ;
+        RECT 156.235 53.795 156.405 53.965 ;
+        RECT 156.695 53.795 156.865 53.965 ;
+        RECT 157.155 53.795 157.325 53.965 ;
+        RECT 157.615 53.795 157.785 53.965 ;
+        RECT 158.075 53.795 158.245 53.965 ;
+        RECT 158.535 53.795 158.705 53.965 ;
+        RECT 158.995 53.795 159.165 53.965 ;
+        RECT 159.455 53.795 159.625 53.965 ;
+        RECT 159.915 53.795 160.085 53.965 ;
+        RECT 160.375 53.795 160.545 53.965 ;
+        RECT 160.835 53.795 161.005 53.965 ;
+        RECT 161.295 53.795 161.465 53.965 ;
+        RECT 161.755 53.795 161.925 53.965 ;
+        RECT 162.215 53.795 162.385 53.965 ;
+        RECT 162.675 53.795 162.845 53.965 ;
+        RECT 163.135 53.795 163.305 53.965 ;
+        RECT 163.595 53.795 163.765 53.965 ;
+        RECT 164.055 53.795 164.225 53.965 ;
+        RECT 164.515 53.795 164.685 53.965 ;
+        RECT 164.975 53.795 165.145 53.965 ;
+        RECT 165.435 53.795 165.605 53.965 ;
+        RECT 165.895 53.795 166.065 53.965 ;
+        RECT 166.355 53.795 166.525 53.965 ;
+        RECT 166.815 53.795 166.985 53.965 ;
+        RECT 167.275 53.795 167.445 53.965 ;
+        RECT 167.735 53.795 167.905 53.965 ;
+        RECT 168.195 53.795 168.365 53.965 ;
+        RECT 168.655 53.795 168.825 53.965 ;
+        RECT 169.115 53.795 169.285 53.965 ;
+        RECT 169.575 53.795 169.745 53.965 ;
+        RECT 170.035 53.795 170.205 53.965 ;
+        RECT 170.495 53.795 170.665 53.965 ;
+        RECT 170.955 53.795 171.125 53.965 ;
+        RECT 171.415 53.795 171.585 53.965 ;
+        RECT 171.875 53.795 172.045 53.965 ;
+        RECT 172.335 53.795 172.505 53.965 ;
+        RECT 172.795 53.795 172.965 53.965 ;
+        RECT 173.255 53.795 173.425 53.965 ;
+        RECT 173.715 53.795 173.885 53.965 ;
+        RECT 174.175 53.795 174.345 53.965 ;
+        RECT 174.635 53.795 174.805 53.965 ;
+        RECT 175.095 53.795 175.265 53.965 ;
+        RECT 175.555 53.795 175.725 53.965 ;
+        RECT 176.015 53.795 176.185 53.965 ;
+        RECT 176.475 53.795 176.645 53.965 ;
+        RECT 176.935 53.795 177.105 53.965 ;
+        RECT 177.395 53.795 177.565 53.965 ;
+        RECT 177.855 53.795 178.025 53.965 ;
+        RECT 178.315 53.795 178.485 53.965 ;
+        RECT 178.775 53.795 178.945 53.965 ;
+        RECT 179.235 53.795 179.405 53.965 ;
+        RECT 179.695 53.795 179.865 53.965 ;
+        RECT 180.155 53.795 180.325 53.965 ;
+        RECT 180.615 53.795 180.785 53.965 ;
+        RECT 181.075 53.795 181.245 53.965 ;
+        RECT 181.535 53.795 181.705 53.965 ;
+        RECT 181.995 53.795 182.165 53.965 ;
+        RECT 182.455 53.795 182.625 53.965 ;
+        RECT 182.915 53.795 183.085 53.965 ;
+        RECT 183.375 53.795 183.545 53.965 ;
+        RECT 183.835 53.795 184.005 53.965 ;
+        RECT 184.295 53.795 184.465 53.965 ;
+        RECT 184.755 53.795 184.925 53.965 ;
+        RECT 185.215 53.795 185.385 53.965 ;
+        RECT 185.675 53.795 185.845 53.965 ;
+        RECT 186.135 53.795 186.305 53.965 ;
+        RECT 186.595 53.795 186.765 53.965 ;
+        RECT 187.055 53.795 187.225 53.965 ;
+        RECT 187.515 53.795 187.685 53.965 ;
+        RECT 187.975 53.795 188.145 53.965 ;
+        RECT 188.435 53.795 188.605 53.965 ;
+        RECT 188.895 53.795 189.065 53.965 ;
+        RECT 189.355 53.795 189.525 53.965 ;
+        RECT 189.815 53.795 189.985 53.965 ;
+        RECT 190.275 53.795 190.445 53.965 ;
+        RECT 190.735 53.795 190.905 53.965 ;
+        RECT 191.195 53.795 191.365 53.965 ;
+        RECT 191.655 53.795 191.825 53.965 ;
+        RECT 192.115 53.795 192.285 53.965 ;
+        RECT 192.575 53.795 192.745 53.965 ;
+        RECT 193.035 53.795 193.205 53.965 ;
+        RECT 193.495 53.795 193.665 53.965 ;
+        RECT 193.955 53.795 194.125 53.965 ;
+        RECT 194.415 53.795 194.585 53.965 ;
+        RECT 194.875 53.795 195.045 53.965 ;
+        RECT 195.335 53.795 195.505 53.965 ;
+        RECT 195.795 53.795 195.965 53.965 ;
+        RECT 196.255 53.795 196.425 53.965 ;
+        RECT 196.715 53.795 196.885 53.965 ;
+        RECT 197.175 53.795 197.345 53.965 ;
+        RECT 197.635 53.795 197.805 53.965 ;
+        RECT 198.095 53.795 198.265 53.965 ;
+        RECT 198.555 53.795 198.725 53.965 ;
+        RECT 199.015 53.795 199.185 53.965 ;
+        RECT 199.475 53.795 199.645 53.965 ;
+        RECT 199.935 53.795 200.105 53.965 ;
+        RECT 200.395 53.795 200.565 53.965 ;
+        RECT 200.855 53.795 201.025 53.965 ;
+        RECT 201.315 53.795 201.485 53.965 ;
+        RECT 201.775 53.795 201.945 53.965 ;
+        RECT 202.235 53.795 202.405 53.965 ;
+        RECT 202.695 53.795 202.865 53.965 ;
+        RECT 203.155 53.795 203.325 53.965 ;
+        RECT 203.615 53.795 203.785 53.965 ;
+        RECT 204.075 53.795 204.245 53.965 ;
+        RECT 204.535 53.795 204.705 53.965 ;
+        RECT 204.995 53.795 205.165 53.965 ;
+        RECT 205.455 53.795 205.625 53.965 ;
+        RECT 205.915 53.795 206.085 53.965 ;
+        RECT 206.375 53.795 206.545 53.965 ;
+        RECT 206.835 53.795 207.005 53.965 ;
+        RECT 207.295 53.795 207.465 53.965 ;
+        RECT 207.755 53.795 207.925 53.965 ;
+        RECT 208.215 53.795 208.385 53.965 ;
+        RECT 208.675 53.795 208.845 53.965 ;
+        RECT 209.135 53.795 209.305 53.965 ;
+        RECT 209.595 53.795 209.765 53.965 ;
+        RECT 210.055 53.795 210.225 53.965 ;
+        RECT 210.515 53.795 210.685 53.965 ;
+        RECT 210.975 53.795 211.145 53.965 ;
+        RECT 211.435 53.795 211.605 53.965 ;
+        RECT 211.895 53.795 212.065 53.965 ;
+        RECT 212.355 53.795 212.525 53.965 ;
+        RECT 212.815 53.795 212.985 53.965 ;
+        RECT 213.275 53.795 213.445 53.965 ;
+        RECT 213.735 53.795 213.905 53.965 ;
+        RECT 214.195 53.795 214.365 53.965 ;
+        RECT 214.655 53.795 214.825 53.965 ;
+        RECT 215.115 53.795 215.285 53.965 ;
+        RECT 215.575 53.795 215.745 53.965 ;
+        RECT 216.035 53.795 216.205 53.965 ;
+        RECT 216.495 53.795 216.665 53.965 ;
+        RECT 216.955 53.795 217.125 53.965 ;
+        RECT 217.415 53.795 217.585 53.965 ;
+        RECT 217.875 53.795 218.045 53.965 ;
+        RECT 218.335 53.795 218.505 53.965 ;
+        RECT 218.795 53.795 218.965 53.965 ;
+        RECT 219.255 53.795 219.425 53.965 ;
+        RECT 219.715 53.795 219.885 53.965 ;
+        RECT 220.175 53.795 220.345 53.965 ;
+        RECT 220.635 53.795 220.805 53.965 ;
+        RECT 221.095 53.795 221.265 53.965 ;
+        RECT 221.555 53.795 221.725 53.965 ;
+        RECT 222.015 53.795 222.185 53.965 ;
+        RECT 222.475 53.795 222.645 53.965 ;
+        RECT 222.935 53.795 223.105 53.965 ;
+        RECT 223.395 53.795 223.565 53.965 ;
+        RECT 223.855 53.795 224.025 53.965 ;
+        RECT 224.315 53.795 224.485 53.965 ;
+        RECT 224.775 53.795 224.945 53.965 ;
+        RECT 225.235 53.795 225.405 53.965 ;
+        RECT 225.695 53.795 225.865 53.965 ;
+        RECT 226.155 53.795 226.325 53.965 ;
+        RECT 226.615 53.795 226.785 53.965 ;
+        RECT 227.075 53.795 227.245 53.965 ;
+        RECT 227.535 53.795 227.705 53.965 ;
+        RECT 227.995 53.795 228.165 53.965 ;
+        RECT 228.455 53.795 228.625 53.965 ;
+        RECT 228.915 53.795 229.085 53.965 ;
+        RECT 229.375 53.795 229.545 53.965 ;
+        RECT 229.835 53.795 230.005 53.965 ;
+        RECT 230.295 53.795 230.465 53.965 ;
+        RECT 230.755 53.795 230.925 53.965 ;
+        RECT 231.215 53.795 231.385 53.965 ;
+        RECT 231.675 53.795 231.845 53.965 ;
+        RECT 232.135 53.795 232.305 53.965 ;
+        RECT 232.595 53.795 232.765 53.965 ;
+        RECT 233.055 53.795 233.225 53.965 ;
+        RECT 233.515 53.795 233.685 53.965 ;
+        RECT 233.975 53.795 234.145 53.965 ;
+        RECT 234.435 53.795 234.605 53.965 ;
+        RECT 234.895 53.795 235.065 53.965 ;
+        RECT 235.355 53.795 235.525 53.965 ;
+        RECT 235.815 53.795 235.985 53.965 ;
+        RECT 236.275 53.795 236.445 53.965 ;
+        RECT 236.735 53.795 236.905 53.965 ;
+        RECT 237.195 53.795 237.365 53.965 ;
+        RECT 237.655 53.795 237.825 53.965 ;
+        RECT 238.115 53.795 238.285 53.965 ;
+        RECT 238.575 53.795 238.745 53.965 ;
+        RECT 239.035 53.795 239.205 53.965 ;
+        RECT 239.495 53.795 239.665 53.965 ;
+        RECT 239.955 53.795 240.125 53.965 ;
+        RECT 240.415 53.795 240.585 53.965 ;
+        RECT 240.875 53.795 241.045 53.965 ;
+        RECT 241.335 53.795 241.505 53.965 ;
+        RECT 241.795 53.795 241.965 53.965 ;
+        RECT 242.255 53.795 242.425 53.965 ;
+        RECT 242.715 53.795 242.885 53.965 ;
+        RECT 243.175 53.795 243.345 53.965 ;
+        RECT 243.635 53.795 243.805 53.965 ;
+        RECT 244.095 53.795 244.265 53.965 ;
+        RECT 244.555 53.795 244.725 53.965 ;
+        RECT 245.015 53.795 245.185 53.965 ;
+        RECT 245.475 53.795 245.645 53.965 ;
+        RECT 245.935 53.795 246.105 53.965 ;
+        RECT 246.395 53.795 246.565 53.965 ;
+        RECT 246.855 53.795 247.025 53.965 ;
+        RECT 247.315 53.795 247.485 53.965 ;
+        RECT 247.775 53.795 247.945 53.965 ;
+        RECT 248.235 53.795 248.405 53.965 ;
+        RECT 248.695 53.795 248.865 53.965 ;
+        RECT 249.155 53.795 249.325 53.965 ;
+        RECT 249.615 53.795 249.785 53.965 ;
+        RECT 250.075 53.795 250.245 53.965 ;
+        RECT 250.535 53.795 250.705 53.965 ;
+        RECT 250.995 53.795 251.165 53.965 ;
+        RECT 251.455 53.795 251.625 53.965 ;
+        RECT 251.915 53.795 252.085 53.965 ;
+        RECT 252.375 53.795 252.545 53.965 ;
+        RECT 252.835 53.795 253.005 53.965 ;
+        RECT 253.295 53.795 253.465 53.965 ;
+        RECT 253.755 53.795 253.925 53.965 ;
+        RECT 254.215 53.795 254.385 53.965 ;
+        RECT 254.675 53.795 254.845 53.965 ;
+        RECT 255.135 53.795 255.305 53.965 ;
+        RECT 255.595 53.795 255.765 53.965 ;
+        RECT 256.055 53.795 256.225 53.965 ;
+        RECT 256.515 53.795 256.685 53.965 ;
+        RECT 256.975 53.795 257.145 53.965 ;
+        RECT 257.435 53.795 257.605 53.965 ;
+        RECT 257.895 53.795 258.065 53.965 ;
+        RECT 258.355 53.795 258.525 53.965 ;
+        RECT 258.815 53.795 258.985 53.965 ;
+        RECT 259.275 53.795 259.445 53.965 ;
+        RECT 259.735 53.795 259.905 53.965 ;
+        RECT 260.195 53.795 260.365 53.965 ;
+        RECT 260.655 53.795 260.825 53.965 ;
+        RECT 261.115 53.795 261.285 53.965 ;
+        RECT 261.575 53.795 261.745 53.965 ;
+        RECT 262.035 53.795 262.205 53.965 ;
+        RECT 262.495 53.795 262.665 53.965 ;
+        RECT 262.955 53.795 263.125 53.965 ;
+        RECT 263.415 53.795 263.585 53.965 ;
+        RECT 263.875 53.795 264.045 53.965 ;
+        RECT 264.335 53.795 264.505 53.965 ;
+        RECT 264.795 53.795 264.965 53.965 ;
+        RECT 265.255 53.795 265.425 53.965 ;
+        RECT 265.715 53.795 265.885 53.965 ;
+        RECT 266.175 53.795 266.345 53.965 ;
+        RECT 266.635 53.795 266.805 53.965 ;
+        RECT 267.095 53.795 267.265 53.965 ;
+        RECT 267.555 53.795 267.725 53.965 ;
+        RECT 268.015 53.795 268.185 53.965 ;
+        RECT 268.475 53.795 268.645 53.965 ;
+        RECT 268.935 53.795 269.105 53.965 ;
+        RECT 269.395 53.795 269.565 53.965 ;
+        RECT 269.855 53.795 270.025 53.965 ;
+        RECT 270.315 53.795 270.485 53.965 ;
+        RECT 270.775 53.795 270.945 53.965 ;
+        RECT 271.235 53.795 271.405 53.965 ;
+        RECT 271.695 53.795 271.865 53.965 ;
+        RECT 272.155 53.795 272.325 53.965 ;
+        RECT 272.615 53.795 272.785 53.965 ;
+        RECT 273.075 53.795 273.245 53.965 ;
+        RECT 273.535 53.795 273.705 53.965 ;
+        RECT 273.995 53.795 274.165 53.965 ;
+        RECT 274.455 53.795 274.625 53.965 ;
+        RECT 274.915 53.795 275.085 53.965 ;
+        RECT 275.375 53.795 275.545 53.965 ;
+        RECT 275.835 53.795 276.005 53.965 ;
+        RECT 276.295 53.795 276.465 53.965 ;
+        RECT 276.755 53.795 276.925 53.965 ;
+        RECT 277.215 53.795 277.385 53.965 ;
+        RECT 277.675 53.795 277.845 53.965 ;
+        RECT 278.135 53.795 278.305 53.965 ;
+        RECT 278.595 53.795 278.765 53.965 ;
+        RECT 279.055 53.795 279.225 53.965 ;
+        RECT 279.515 53.795 279.685 53.965 ;
+        RECT 279.975 53.795 280.145 53.965 ;
+        RECT 280.435 53.795 280.605 53.965 ;
+        RECT 280.895 53.795 281.065 53.965 ;
+        RECT 281.355 53.795 281.525 53.965 ;
+        RECT 281.815 53.795 281.985 53.965 ;
+        RECT 282.275 53.795 282.445 53.965 ;
+        RECT 282.735 53.795 282.905 53.965 ;
+        RECT 283.195 53.795 283.365 53.965 ;
+        RECT 283.655 53.795 283.825 53.965 ;
+        RECT 284.115 53.795 284.285 53.965 ;
+        RECT 284.575 53.795 284.745 53.965 ;
+        RECT 285.035 53.795 285.205 53.965 ;
+        RECT 285.495 53.795 285.665 53.965 ;
+        RECT 285.955 53.795 286.125 53.965 ;
+        RECT 286.415 53.795 286.585 53.965 ;
+        RECT 286.875 53.795 287.045 53.965 ;
+        RECT 287.335 53.795 287.505 53.965 ;
+        RECT 287.795 53.795 287.965 53.965 ;
+        RECT 288.255 53.795 288.425 53.965 ;
+        RECT 288.715 53.795 288.885 53.965 ;
+        RECT 289.175 53.795 289.345 53.965 ;
+        RECT 289.635 53.795 289.805 53.965 ;
+        RECT 290.095 53.795 290.265 53.965 ;
+        RECT 290.555 53.795 290.725 53.965 ;
+        RECT 291.015 53.795 291.185 53.965 ;
+        RECT 291.475 53.795 291.645 53.965 ;
+        RECT 291.935 53.795 292.105 53.965 ;
+        RECT 292.395 53.795 292.565 53.965 ;
+        RECT 292.855 53.795 293.025 53.965 ;
+        RECT 293.315 53.795 293.485 53.965 ;
+        RECT 293.775 53.795 293.945 53.965 ;
+        RECT 294.235 53.795 294.405 53.965 ;
+        RECT 294.695 53.795 294.865 53.965 ;
+        RECT 295.155 53.795 295.325 53.965 ;
+        RECT 295.615 53.795 295.785 53.965 ;
+        RECT 296.075 53.795 296.245 53.965 ;
+        RECT 296.535 53.795 296.705 53.965 ;
+        RECT 296.995 53.795 297.165 53.965 ;
+        RECT 297.455 53.795 297.625 53.965 ;
+        RECT 297.915 53.795 298.085 53.965 ;
+        RECT 298.375 53.795 298.545 53.965 ;
+        RECT 298.835 53.795 299.005 53.965 ;
+        RECT 299.295 53.795 299.465 53.965 ;
+        RECT 299.755 53.795 299.925 53.965 ;
+        RECT 300.215 53.795 300.385 53.965 ;
+        RECT 300.675 53.795 300.845 53.965 ;
+        RECT 301.135 53.795 301.305 53.965 ;
+        RECT 301.595 53.795 301.765 53.965 ;
+        RECT 302.055 53.795 302.225 53.965 ;
+        RECT 302.515 53.795 302.685 53.965 ;
+        RECT 302.975 53.795 303.145 53.965 ;
+        RECT 303.435 53.795 303.605 53.965 ;
+        RECT 303.895 53.795 304.065 53.965 ;
+        RECT 304.355 53.795 304.525 53.965 ;
+        RECT 304.815 53.795 304.985 53.965 ;
+        RECT 305.275 53.795 305.445 53.965 ;
+        RECT 305.735 53.795 305.905 53.965 ;
+        RECT 306.195 53.795 306.365 53.965 ;
+        RECT 306.655 53.795 306.825 53.965 ;
+        RECT 307.115 53.795 307.285 53.965 ;
+        RECT 307.575 53.795 307.745 53.965 ;
+        RECT 308.035 53.795 308.205 53.965 ;
+        RECT 308.495 53.795 308.665 53.965 ;
+        RECT 308.955 53.795 309.125 53.965 ;
+        RECT 309.415 53.795 309.585 53.965 ;
+        RECT 309.875 53.795 310.045 53.965 ;
+        RECT 310.335 53.795 310.505 53.965 ;
+        RECT 310.795 53.795 310.965 53.965 ;
+        RECT 311.255 53.795 311.425 53.965 ;
+        RECT 311.715 53.795 311.885 53.965 ;
+        RECT 312.175 53.795 312.345 53.965 ;
+        RECT 312.635 53.795 312.805 53.965 ;
+        RECT 313.095 53.795 313.265 53.965 ;
+        RECT 313.555 53.795 313.725 53.965 ;
+        RECT 314.015 53.795 314.185 53.965 ;
+        RECT 314.475 53.795 314.645 53.965 ;
+        RECT 314.935 53.795 315.105 53.965 ;
+        RECT 315.395 53.795 315.565 53.965 ;
+        RECT 315.855 53.795 316.025 53.965 ;
+        RECT 316.315 53.795 316.485 53.965 ;
+        RECT 316.775 53.795 316.945 53.965 ;
+        RECT 317.235 53.795 317.405 53.965 ;
+        RECT 317.695 53.795 317.865 53.965 ;
+        RECT 318.155 53.795 318.325 53.965 ;
+        RECT 318.615 53.795 318.785 53.965 ;
+        RECT 319.075 53.795 319.245 53.965 ;
+        RECT 319.535 53.795 319.705 53.965 ;
+        RECT 319.995 53.795 320.165 53.965 ;
+        RECT 320.455 53.795 320.625 53.965 ;
+        RECT 320.915 53.795 321.085 53.965 ;
+        RECT 321.375 53.795 321.545 53.965 ;
+        RECT 321.835 53.795 322.005 53.965 ;
+        RECT 322.295 53.795 322.465 53.965 ;
+        RECT 322.755 53.795 322.925 53.965 ;
+        RECT 323.215 53.795 323.385 53.965 ;
+        RECT 323.675 53.795 323.845 53.965 ;
+        RECT 324.135 53.795 324.305 53.965 ;
+        RECT 324.595 53.795 324.765 53.965 ;
+        RECT 325.055 53.795 325.225 53.965 ;
+        RECT 325.515 53.795 325.685 53.965 ;
+        RECT 325.975 53.795 326.145 53.965 ;
+        RECT 326.435 53.795 326.605 53.965 ;
+        RECT 326.895 53.795 327.065 53.965 ;
+        RECT 327.355 53.795 327.525 53.965 ;
+        RECT 327.815 53.795 327.985 53.965 ;
+        RECT 328.275 53.795 328.445 53.965 ;
+        RECT 328.735 53.795 328.905 53.965 ;
+        RECT 329.195 53.795 329.365 53.965 ;
+        RECT 329.655 53.795 329.825 53.965 ;
+        RECT 330.115 53.795 330.285 53.965 ;
+        RECT 330.575 53.795 330.745 53.965 ;
+        RECT 331.035 53.795 331.205 53.965 ;
+        RECT 331.495 53.795 331.665 53.965 ;
+        RECT 331.955 53.795 332.125 53.965 ;
+        RECT 332.415 53.795 332.585 53.965 ;
+        RECT 332.875 53.795 333.045 53.965 ;
+        RECT 333.335 53.795 333.505 53.965 ;
+        RECT 333.795 53.795 333.965 53.965 ;
+        RECT 334.255 53.795 334.425 53.965 ;
+        RECT 334.715 53.795 334.885 53.965 ;
+        RECT 335.175 53.795 335.345 53.965 ;
+        RECT 335.635 53.795 335.805 53.965 ;
+        RECT 336.095 53.795 336.265 53.965 ;
+        RECT 336.555 53.795 336.725 53.965 ;
+        RECT 337.015 53.795 337.185 53.965 ;
+        RECT 337.475 53.795 337.645 53.965 ;
+        RECT 337.935 53.795 338.105 53.965 ;
+        RECT 338.395 53.795 338.565 53.965 ;
+        RECT 338.855 53.795 339.025 53.965 ;
+        RECT 339.315 53.795 339.485 53.965 ;
+        RECT 339.775 53.795 339.945 53.965 ;
+        RECT 340.235 53.795 340.405 53.965 ;
+        RECT 340.695 53.795 340.865 53.965 ;
+        RECT 341.155 53.795 341.325 53.965 ;
+        RECT 341.615 53.795 341.785 53.965 ;
+        RECT 342.075 53.795 342.245 53.965 ;
+        RECT 342.535 53.795 342.705 53.965 ;
+        RECT 342.995 53.795 343.165 53.965 ;
+        RECT 343.455 53.795 343.625 53.965 ;
+        RECT 343.915 53.795 344.085 53.965 ;
+        RECT 344.375 53.795 344.545 53.965 ;
+        RECT 344.835 53.795 345.005 53.965 ;
+        RECT 345.295 53.795 345.465 53.965 ;
+        RECT 345.755 53.795 345.925 53.965 ;
+        RECT 346.215 53.795 346.385 53.965 ;
+        RECT 346.675 53.795 346.845 53.965 ;
+        RECT 347.135 53.795 347.305 53.965 ;
+        RECT 347.595 53.795 347.765 53.965 ;
+        RECT 348.055 53.795 348.225 53.965 ;
+        RECT 348.515 53.795 348.685 53.965 ;
+        RECT 348.975 53.795 349.145 53.965 ;
+        RECT 349.435 53.795 349.605 53.965 ;
+        RECT 349.895 53.795 350.065 53.965 ;
+        RECT 350.355 53.795 350.525 53.965 ;
+        RECT 350.815 53.795 350.985 53.965 ;
+        RECT 351.275 53.795 351.445 53.965 ;
+        RECT 351.735 53.795 351.905 53.965 ;
+        RECT 352.195 53.795 352.365 53.965 ;
+        RECT 352.655 53.795 352.825 53.965 ;
+        RECT 353.115 53.795 353.285 53.965 ;
+        RECT 353.575 53.795 353.745 53.965 ;
+        RECT 354.035 53.795 354.205 53.965 ;
+        RECT 354.495 53.795 354.665 53.965 ;
+        RECT 354.955 53.795 355.125 53.965 ;
+        RECT 355.415 53.795 355.585 53.965 ;
+        RECT 355.875 53.795 356.045 53.965 ;
+        RECT 356.335 53.795 356.505 53.965 ;
+        RECT 356.795 53.795 356.965 53.965 ;
+        RECT 357.255 53.795 357.425 53.965 ;
+        RECT 357.715 53.795 357.885 53.965 ;
+        RECT 358.175 53.795 358.345 53.965 ;
+        RECT 358.635 53.795 358.805 53.965 ;
+        RECT 359.095 53.795 359.265 53.965 ;
+        RECT 359.555 53.795 359.725 53.965 ;
+        RECT 360.015 53.795 360.185 53.965 ;
+        RECT 360.475 53.795 360.645 53.965 ;
+        RECT 360.935 53.795 361.105 53.965 ;
+        RECT 361.395 53.795 361.565 53.965 ;
+        RECT 361.855 53.795 362.025 53.965 ;
+        RECT 362.315 53.795 362.485 53.965 ;
+        RECT 362.775 53.795 362.945 53.965 ;
+        RECT 363.235 53.795 363.405 53.965 ;
+        RECT 363.695 53.795 363.865 53.965 ;
+        RECT 364.155 53.795 364.325 53.965 ;
+        RECT 364.615 53.795 364.785 53.965 ;
+        RECT 365.075 53.795 365.245 53.965 ;
+        RECT 365.535 53.795 365.705 53.965 ;
+        RECT 365.995 53.795 366.165 53.965 ;
+        RECT 366.455 53.795 366.625 53.965 ;
+        RECT 366.915 53.795 367.085 53.965 ;
+        RECT 367.375 53.795 367.545 53.965 ;
+        RECT 367.835 53.795 368.005 53.965 ;
+        RECT 368.295 53.795 368.465 53.965 ;
+        RECT 368.755 53.795 368.925 53.965 ;
+        RECT 369.215 53.795 369.385 53.965 ;
+        RECT 369.675 53.795 369.845 53.965 ;
+        RECT 370.135 53.795 370.305 53.965 ;
+        RECT 370.595 53.795 370.765 53.965 ;
+        RECT 371.055 53.795 371.225 53.965 ;
+        RECT 371.515 53.795 371.685 53.965 ;
+        RECT 371.975 53.795 372.145 53.965 ;
+        RECT 372.435 53.795 372.605 53.965 ;
+        RECT 372.895 53.795 373.065 53.965 ;
+        RECT 373.355 53.795 373.525 53.965 ;
+        RECT 373.815 53.795 373.985 53.965 ;
+        RECT 374.275 53.795 374.445 53.965 ;
+        RECT 374.735 53.795 374.905 53.965 ;
+        RECT 375.195 53.795 375.365 53.965 ;
+        RECT 375.655 53.795 375.825 53.965 ;
+        RECT 376.115 53.795 376.285 53.965 ;
+        RECT 376.575 53.795 376.745 53.965 ;
+        RECT 377.035 53.795 377.205 53.965 ;
+        RECT 377.495 53.795 377.665 53.965 ;
+        RECT 377.955 53.795 378.125 53.965 ;
+        RECT 378.415 53.795 378.585 53.965 ;
+        RECT 378.875 53.795 379.045 53.965 ;
+        RECT 379.335 53.795 379.505 53.965 ;
+        RECT 379.795 53.795 379.965 53.965 ;
+        RECT 380.255 53.795 380.425 53.965 ;
+        RECT 380.715 53.795 380.885 53.965 ;
+        RECT 381.175 53.795 381.345 53.965 ;
+        RECT 381.635 53.795 381.805 53.965 ;
+        RECT 382.095 53.795 382.265 53.965 ;
+        RECT 382.555 53.795 382.725 53.965 ;
+        RECT 383.015 53.795 383.185 53.965 ;
+        RECT 383.475 53.795 383.645 53.965 ;
+        RECT 383.935 53.795 384.105 53.965 ;
+        RECT 384.395 53.795 384.565 53.965 ;
+        RECT 384.855 53.795 385.025 53.965 ;
+        RECT 385.315 53.795 385.485 53.965 ;
+        RECT 385.775 53.795 385.945 53.965 ;
+        RECT 386.235 53.795 386.405 53.965 ;
+        RECT 386.695 53.795 386.865 53.965 ;
+        RECT 387.155 53.795 387.325 53.965 ;
+        RECT 387.615 53.795 387.785 53.965 ;
+        RECT 388.075 53.795 388.245 53.965 ;
+        RECT 388.535 53.795 388.705 53.965 ;
+        RECT 388.995 53.795 389.165 53.965 ;
+        RECT 389.455 53.795 389.625 53.965 ;
+        RECT 389.915 53.795 390.085 53.965 ;
+        RECT 390.375 53.795 390.545 53.965 ;
+        RECT 390.835 53.795 391.005 53.965 ;
+        RECT 391.295 53.795 391.465 53.965 ;
+        RECT 391.755 53.795 391.925 53.965 ;
+        RECT 392.215 53.795 392.385 53.965 ;
+        RECT 392.675 53.795 392.845 53.965 ;
+        RECT 393.135 53.795 393.305 53.965 ;
+        RECT 393.595 53.795 393.765 53.965 ;
+        RECT 394.055 53.795 394.225 53.965 ;
+        RECT 394.515 53.795 394.685 53.965 ;
+        RECT 394.975 53.795 395.145 53.965 ;
+        RECT 395.435 53.795 395.605 53.965 ;
+        RECT 395.895 53.795 396.065 53.965 ;
+        RECT 396.355 53.795 396.525 53.965 ;
+        RECT 396.815 53.795 396.985 53.965 ;
+        RECT 397.275 53.795 397.445 53.965 ;
+        RECT 397.735 53.795 397.905 53.965 ;
+        RECT 398.195 53.795 398.365 53.965 ;
+        RECT 398.655 53.795 398.825 53.965 ;
+        RECT 399.115 53.795 399.285 53.965 ;
+        RECT 399.575 53.795 399.745 53.965 ;
+        RECT 400.035 53.795 400.205 53.965 ;
+        RECT 400.495 53.795 400.665 53.965 ;
+        RECT 400.955 53.795 401.125 53.965 ;
+        RECT 401.415 53.795 401.585 53.965 ;
+        RECT 401.875 53.795 402.045 53.965 ;
+        RECT 402.335 53.795 402.505 53.965 ;
+        RECT 402.795 53.795 402.965 53.965 ;
+        RECT 403.255 53.795 403.425 53.965 ;
+        RECT 403.715 53.795 403.885 53.965 ;
+        RECT 404.175 53.795 404.345 53.965 ;
+        RECT 404.635 53.795 404.805 53.965 ;
+        RECT 405.095 53.795 405.265 53.965 ;
+        RECT 405.555 53.795 405.725 53.965 ;
+        RECT 406.015 53.795 406.185 53.965 ;
+        RECT 406.475 53.795 406.645 53.965 ;
+        RECT 406.935 53.795 407.105 53.965 ;
+        RECT 407.395 53.795 407.565 53.965 ;
+        RECT 407.855 53.795 408.025 53.965 ;
+        RECT 408.315 53.795 408.485 53.965 ;
+        RECT 408.775 53.795 408.945 53.965 ;
+        RECT 409.235 53.795 409.405 53.965 ;
+        RECT 409.695 53.795 409.865 53.965 ;
+        RECT 410.155 53.795 410.325 53.965 ;
+        RECT 410.615 53.795 410.785 53.965 ;
+        RECT 411.075 53.795 411.245 53.965 ;
+        RECT 411.535 53.795 411.705 53.965 ;
+        RECT 411.995 53.795 412.165 53.965 ;
+        RECT 412.455 53.795 412.625 53.965 ;
+        RECT 412.915 53.795 413.085 53.965 ;
+        RECT 413.375 53.795 413.545 53.965 ;
+        RECT 413.835 53.795 414.005 53.965 ;
+        RECT 414.295 53.795 414.465 53.965 ;
+        RECT 414.755 53.795 414.925 53.965 ;
+        RECT 415.215 53.795 415.385 53.965 ;
+        RECT 415.675 53.795 415.845 53.965 ;
+        RECT 416.135 53.795 416.305 53.965 ;
+        RECT 416.595 53.795 416.765 53.965 ;
+        RECT 417.055 53.795 417.225 53.965 ;
+        RECT 417.515 53.795 417.685 53.965 ;
+        RECT 417.975 53.795 418.145 53.965 ;
+        RECT 418.435 53.795 418.605 53.965 ;
+        RECT 418.895 53.795 419.065 53.965 ;
+        RECT 419.355 53.795 419.525 53.965 ;
+        RECT 419.815 53.795 419.985 53.965 ;
+        RECT 420.275 53.795 420.445 53.965 ;
+        RECT 420.735 53.795 420.905 53.965 ;
+        RECT 421.195 53.795 421.365 53.965 ;
+        RECT 421.655 53.795 421.825 53.965 ;
+        RECT 422.115 53.795 422.285 53.965 ;
+        RECT 422.575 53.795 422.745 53.965 ;
+        RECT 423.035 53.795 423.205 53.965 ;
+        RECT 423.495 53.795 423.665 53.965 ;
+        RECT 423.955 53.795 424.125 53.965 ;
+        RECT 424.415 53.795 424.585 53.965 ;
+        RECT 424.875 53.795 425.045 53.965 ;
+        RECT 425.335 53.795 425.505 53.965 ;
+        RECT 425.795 53.795 425.965 53.965 ;
+        RECT 426.255 53.795 426.425 53.965 ;
+        RECT 426.715 53.795 426.885 53.965 ;
+        RECT 427.175 53.795 427.345 53.965 ;
+        RECT 427.635 53.795 427.805 53.965 ;
+        RECT 428.095 53.795 428.265 53.965 ;
+        RECT 428.555 53.795 428.725 53.965 ;
+        RECT 429.015 53.795 429.185 53.965 ;
+        RECT 429.475 53.795 429.645 53.965 ;
+        RECT 429.935 53.795 430.105 53.965 ;
+        RECT 430.395 53.795 430.565 53.965 ;
+        RECT 430.855 53.795 431.025 53.965 ;
+        RECT 431.315 53.795 431.485 53.965 ;
+        RECT 431.775 53.795 431.945 53.965 ;
+        RECT 432.235 53.795 432.405 53.965 ;
+        RECT 432.695 53.795 432.865 53.965 ;
+        RECT 433.155 53.795 433.325 53.965 ;
+        RECT 433.615 53.795 433.785 53.965 ;
+        RECT 434.075 53.795 434.245 53.965 ;
+        RECT 434.535 53.795 434.705 53.965 ;
+        RECT 434.995 53.795 435.165 53.965 ;
+        RECT 435.455 53.795 435.625 53.965 ;
+        RECT 435.915 53.795 436.085 53.965 ;
+        RECT 436.375 53.795 436.545 53.965 ;
+        RECT 436.835 53.795 437.005 53.965 ;
+        RECT 437.295 53.795 437.465 53.965 ;
+        RECT 437.755 53.795 437.925 53.965 ;
+        RECT 438.215 53.795 438.385 53.965 ;
+        RECT 438.675 53.795 438.845 53.965 ;
+        RECT 439.135 53.795 439.305 53.965 ;
+        RECT 439.595 53.795 439.765 53.965 ;
+        RECT 440.055 53.795 440.225 53.965 ;
+        RECT 440.515 53.795 440.685 53.965 ;
+        RECT 440.975 53.795 441.145 53.965 ;
+        RECT 441.435 53.795 441.605 53.965 ;
+        RECT 441.895 53.795 442.065 53.965 ;
+        RECT 442.355 53.795 442.525 53.965 ;
+        RECT 442.815 53.795 442.985 53.965 ;
+        RECT 443.275 53.795 443.445 53.965 ;
+        RECT 443.735 53.795 443.905 53.965 ;
+        RECT 444.195 53.795 444.365 53.965 ;
+        RECT 444.655 53.795 444.825 53.965 ;
+        RECT 445.115 53.795 445.285 53.965 ;
+        RECT 445.575 53.795 445.745 53.965 ;
+        RECT 446.035 53.795 446.205 53.965 ;
+        RECT 446.495 53.795 446.665 53.965 ;
+        RECT 446.955 53.795 447.125 53.965 ;
+        RECT 447.415 53.795 447.585 53.965 ;
+        RECT 447.875 53.795 448.045 53.965 ;
+        RECT 448.335 53.795 448.505 53.965 ;
+        RECT 448.795 53.795 448.965 53.965 ;
+        RECT 449.255 53.795 449.425 53.965 ;
+        RECT 449.715 53.795 449.885 53.965 ;
+        RECT 450.175 53.795 450.345 53.965 ;
+        RECT 450.635 53.795 450.805 53.965 ;
+        RECT 451.095 53.795 451.265 53.965 ;
+        RECT 451.555 53.795 451.725 53.965 ;
+        RECT 452.015 53.795 452.185 53.965 ;
+        RECT 452.475 53.795 452.645 53.965 ;
+        RECT 452.935 53.795 453.105 53.965 ;
+        RECT 453.395 53.795 453.565 53.965 ;
+        RECT 453.855 53.795 454.025 53.965 ;
+        RECT 454.315 53.795 454.485 53.965 ;
+        RECT 454.775 53.795 454.945 53.965 ;
+        RECT 455.235 53.795 455.405 53.965 ;
+        RECT 455.695 53.795 455.865 53.965 ;
+        RECT 456.155 53.795 456.325 53.965 ;
+        RECT 456.615 53.795 456.785 53.965 ;
+        RECT 457.075 53.795 457.245 53.965 ;
+        RECT 457.535 53.795 457.705 53.965 ;
+        RECT 457.995 53.795 458.165 53.965 ;
+        RECT 458.455 53.795 458.625 53.965 ;
+        RECT 458.915 53.795 459.085 53.965 ;
+        RECT 459.375 53.795 459.545 53.965 ;
+        RECT 459.835 53.795 460.005 53.965 ;
+        RECT 460.295 53.795 460.465 53.965 ;
+        RECT 460.755 53.795 460.925 53.965 ;
+        RECT 461.215 53.795 461.385 53.965 ;
+        RECT 461.675 53.795 461.845 53.965 ;
+        RECT 462.135 53.795 462.305 53.965 ;
+        RECT 462.595 53.795 462.765 53.965 ;
+        RECT 463.055 53.795 463.225 53.965 ;
+        RECT 463.515 53.795 463.685 53.965 ;
+        RECT 463.975 53.795 464.145 53.965 ;
+        RECT 464.435 53.795 464.605 53.965 ;
+        RECT 464.895 53.795 465.065 53.965 ;
+        RECT 465.355 53.795 465.525 53.965 ;
+        RECT 465.815 53.795 465.985 53.965 ;
+        RECT 466.275 53.795 466.445 53.965 ;
+        RECT 466.735 53.795 466.905 53.965 ;
+        RECT 467.195 53.795 467.365 53.965 ;
+        RECT 467.655 53.795 467.825 53.965 ;
+        RECT 468.115 53.795 468.285 53.965 ;
+        RECT 468.575 53.795 468.745 53.965 ;
+        RECT 469.035 53.795 469.205 53.965 ;
+        RECT 469.495 53.795 469.665 53.965 ;
+        RECT 469.955 53.795 470.125 53.965 ;
+        RECT 470.415 53.795 470.585 53.965 ;
+        RECT 470.875 53.795 471.045 53.965 ;
+        RECT 471.335 53.795 471.505 53.965 ;
+        RECT 471.795 53.795 471.965 53.965 ;
+        RECT 472.255 53.795 472.425 53.965 ;
+        RECT 472.715 53.795 472.885 53.965 ;
+        RECT 473.175 53.795 473.345 53.965 ;
+        RECT 473.635 53.795 473.805 53.965 ;
+        RECT 474.095 53.795 474.265 53.965 ;
+        RECT 474.555 53.795 474.725 53.965 ;
+        RECT 475.015 53.795 475.185 53.965 ;
+        RECT 475.475 53.795 475.645 53.965 ;
+        RECT 475.935 53.795 476.105 53.965 ;
+        RECT 476.395 53.795 476.565 53.965 ;
+        RECT 476.855 53.795 477.025 53.965 ;
+        RECT 477.315 53.795 477.485 53.965 ;
+        RECT 477.775 53.795 477.945 53.965 ;
+        RECT 478.235 53.795 478.405 53.965 ;
+        RECT 478.695 53.795 478.865 53.965 ;
+        RECT 479.155 53.795 479.325 53.965 ;
+        RECT 479.615 53.795 479.785 53.965 ;
+        RECT 480.075 53.795 480.245 53.965 ;
+        RECT 480.535 53.795 480.705 53.965 ;
+        RECT 480.995 53.795 481.165 53.965 ;
+        RECT 481.455 53.795 481.625 53.965 ;
+        RECT 481.915 53.795 482.085 53.965 ;
+        RECT 482.375 53.795 482.545 53.965 ;
+        RECT 482.835 53.795 483.005 53.965 ;
+        RECT 483.295 53.795 483.465 53.965 ;
+        RECT 483.755 53.795 483.925 53.965 ;
+        RECT 484.215 53.795 484.385 53.965 ;
+        RECT 484.675 53.795 484.845 53.965 ;
+        RECT 485.135 53.795 485.305 53.965 ;
+        RECT 485.595 53.795 485.765 53.965 ;
+        RECT 486.055 53.795 486.225 53.965 ;
+        RECT 486.515 53.795 486.685 53.965 ;
+        RECT 486.975 53.795 487.145 53.965 ;
+        RECT 487.435 53.795 487.605 53.965 ;
+        RECT 487.895 53.795 488.065 53.965 ;
+        RECT 488.355 53.795 488.525 53.965 ;
+        RECT 488.815 53.795 488.985 53.965 ;
+        RECT 489.275 53.795 489.445 53.965 ;
+        RECT 489.735 53.795 489.905 53.965 ;
+        RECT 490.195 53.795 490.365 53.965 ;
+        RECT 490.655 53.795 490.825 53.965 ;
+        RECT 491.115 53.795 491.285 53.965 ;
+        RECT 491.575 53.795 491.745 53.965 ;
+        RECT 492.035 53.795 492.205 53.965 ;
+        RECT 492.495 53.795 492.665 53.965 ;
+        RECT 492.955 53.795 493.125 53.965 ;
+        RECT 493.415 53.795 493.585 53.965 ;
+        RECT 493.875 53.795 494.045 53.965 ;
+        RECT 494.335 53.795 494.505 53.965 ;
+        RECT 494.795 53.795 494.965 53.965 ;
+        RECT 495.255 53.795 495.425 53.965 ;
+        RECT 495.715 53.795 495.885 53.965 ;
+        RECT 496.175 53.795 496.345 53.965 ;
+        RECT 496.635 53.795 496.805 53.965 ;
+        RECT 497.095 53.795 497.265 53.965 ;
+        RECT 497.555 53.795 497.725 53.965 ;
+        RECT 498.015 53.795 498.185 53.965 ;
+        RECT 498.475 53.795 498.645 53.965 ;
+        RECT 498.935 53.795 499.105 53.965 ;
+        RECT 499.395 53.795 499.565 53.965 ;
+        RECT 499.855 53.795 500.025 53.965 ;
+        RECT 500.315 53.795 500.485 53.965 ;
+        RECT 500.775 53.795 500.945 53.965 ;
+        RECT 501.235 53.795 501.405 53.965 ;
+        RECT 501.695 53.795 501.865 53.965 ;
+        RECT 502.155 53.795 502.325 53.965 ;
+        RECT 502.615 53.795 502.785 53.965 ;
+        RECT 503.075 53.795 503.245 53.965 ;
+        RECT 503.535 53.795 503.705 53.965 ;
+        RECT 503.995 53.795 504.165 53.965 ;
+        RECT 504.455 53.795 504.625 53.965 ;
+        RECT 504.915 53.795 505.085 53.965 ;
+        RECT 505.375 53.795 505.545 53.965 ;
+        RECT 505.835 53.795 506.005 53.965 ;
+        RECT 506.295 53.795 506.465 53.965 ;
+        RECT 506.755 53.795 506.925 53.965 ;
+        RECT 507.215 53.795 507.385 53.965 ;
+        RECT 507.675 53.795 507.845 53.965 ;
+        RECT 508.135 53.795 508.305 53.965 ;
+        RECT 508.595 53.795 508.765 53.965 ;
+        RECT 509.055 53.795 509.225 53.965 ;
+        RECT 509.515 53.795 509.685 53.965 ;
+        RECT 509.975 53.795 510.145 53.965 ;
+        RECT 510.435 53.795 510.605 53.965 ;
+        RECT 510.895 53.795 511.065 53.965 ;
+        RECT 511.355 53.795 511.525 53.965 ;
+        RECT 511.815 53.795 511.985 53.965 ;
+        RECT 512.275 53.795 512.445 53.965 ;
+        RECT 512.735 53.795 512.905 53.965 ;
+        RECT 513.195 53.795 513.365 53.965 ;
+        RECT 513.655 53.795 513.825 53.965 ;
+        RECT 514.115 53.795 514.285 53.965 ;
+        RECT 514.575 53.795 514.745 53.965 ;
+        RECT 515.035 53.795 515.205 53.965 ;
+        RECT 515.495 53.795 515.665 53.965 ;
+        RECT 515.955 53.795 516.125 53.965 ;
+        RECT 516.415 53.795 516.585 53.965 ;
+        RECT 516.875 53.795 517.045 53.965 ;
+        RECT 517.335 53.795 517.505 53.965 ;
+        RECT 517.795 53.795 517.965 53.965 ;
+        RECT 518.255 53.795 518.425 53.965 ;
+        RECT 518.715 53.795 518.885 53.965 ;
+        RECT 519.175 53.795 519.345 53.965 ;
+        RECT 519.635 53.795 519.805 53.965 ;
+        RECT 520.095 53.795 520.265 53.965 ;
+        RECT 520.555 53.795 520.725 53.965 ;
+        RECT 521.015 53.795 521.185 53.965 ;
+        RECT 521.475 53.795 521.645 53.965 ;
+        RECT 521.935 53.795 522.105 53.965 ;
+        RECT 522.395 53.795 522.565 53.965 ;
+        RECT 522.855 53.795 523.025 53.965 ;
+        RECT 523.315 53.795 523.485 53.965 ;
+        RECT 523.775 53.795 523.945 53.965 ;
+        RECT 524.235 53.795 524.405 53.965 ;
+        RECT 524.695 53.795 524.865 53.965 ;
+        RECT 525.155 53.795 525.325 53.965 ;
+        RECT 525.615 53.795 525.785 53.965 ;
+        RECT 526.075 53.795 526.245 53.965 ;
+        RECT 526.535 53.795 526.705 53.965 ;
+        RECT 526.995 53.795 527.165 53.965 ;
+        RECT 527.455 53.795 527.625 53.965 ;
+        RECT 527.915 53.795 528.085 53.965 ;
+        RECT 528.375 53.795 528.545 53.965 ;
+        RECT 528.835 53.795 529.005 53.965 ;
+        RECT 529.295 53.795 529.465 53.965 ;
+        RECT 529.755 53.795 529.925 53.965 ;
+        RECT 530.215 53.795 530.385 53.965 ;
+        RECT 530.675 53.795 530.845 53.965 ;
+        RECT 531.135 53.795 531.305 53.965 ;
+        RECT 531.595 53.795 531.765 53.965 ;
+        RECT 532.055 53.795 532.225 53.965 ;
+        RECT 532.515 53.795 532.685 53.965 ;
+        RECT 532.975 53.795 533.145 53.965 ;
+        RECT 533.435 53.795 533.605 53.965 ;
+        RECT 533.895 53.795 534.065 53.965 ;
+        RECT 534.355 53.795 534.525 53.965 ;
+        RECT 534.815 53.795 534.985 53.965 ;
+        RECT 535.275 53.795 535.445 53.965 ;
+        RECT 535.735 53.795 535.905 53.965 ;
+        RECT 536.195 53.795 536.365 53.965 ;
+        RECT 536.655 53.795 536.825 53.965 ;
+        RECT 537.115 53.795 537.285 53.965 ;
+        RECT 537.575 53.795 537.745 53.965 ;
+        RECT 538.035 53.795 538.205 53.965 ;
+        RECT 538.495 53.795 538.665 53.965 ;
+        RECT 538.955 53.795 539.125 53.965 ;
+        RECT 539.415 53.795 539.585 53.965 ;
+        RECT 539.875 53.795 540.045 53.965 ;
+        RECT 540.335 53.795 540.505 53.965 ;
+        RECT 540.795 53.795 540.965 53.965 ;
+        RECT 541.255 53.795 541.425 53.965 ;
+        RECT 541.715 53.795 541.885 53.965 ;
+        RECT 542.175 53.795 542.345 53.965 ;
+        RECT 542.635 53.795 542.805 53.965 ;
+        RECT 543.095 53.795 543.265 53.965 ;
+        RECT 543.555 53.795 543.725 53.965 ;
+        RECT 544.015 53.795 544.185 53.965 ;
+        RECT 544.475 53.795 544.645 53.965 ;
+        RECT 544.935 53.795 545.105 53.965 ;
+        RECT 545.395 53.795 545.565 53.965 ;
+        RECT 545.855 53.795 546.025 53.965 ;
+        RECT 546.315 53.795 546.485 53.965 ;
+        RECT 546.775 53.795 546.945 53.965 ;
+        RECT 547.235 53.795 547.405 53.965 ;
+        RECT 547.695 53.795 547.865 53.965 ;
+        RECT 548.155 53.795 548.325 53.965 ;
+        RECT 548.615 53.795 548.785 53.965 ;
+        RECT 549.075 53.795 549.245 53.965 ;
+        RECT 549.535 53.795 549.705 53.965 ;
+        RECT 549.995 53.795 550.165 53.965 ;
+        RECT 550.455 53.795 550.625 53.965 ;
+        RECT 550.915 53.795 551.085 53.965 ;
+        RECT 551.375 53.795 551.545 53.965 ;
+        RECT 551.835 53.795 552.005 53.965 ;
+        RECT 552.295 53.795 552.465 53.965 ;
+        RECT 552.755 53.795 552.925 53.965 ;
+        RECT 553.215 53.795 553.385 53.965 ;
+        RECT 553.675 53.795 553.845 53.965 ;
+        RECT 554.135 53.795 554.305 53.965 ;
+        RECT 554.595 53.795 554.765 53.965 ;
+        RECT 555.055 53.795 555.225 53.965 ;
+        RECT 555.515 53.795 555.685 53.965 ;
+        RECT 555.975 53.795 556.145 53.965 ;
+        RECT 556.435 53.795 556.605 53.965 ;
+        RECT 556.895 53.795 557.065 53.965 ;
+        RECT 557.355 53.795 557.525 53.965 ;
+        RECT 557.815 53.795 557.985 53.965 ;
+        RECT 558.275 53.795 558.445 53.965 ;
+        RECT 558.735 53.795 558.905 53.965 ;
+        RECT 559.195 53.795 559.365 53.965 ;
+        RECT 559.655 53.795 559.825 53.965 ;
+        RECT 560.115 53.795 560.285 53.965 ;
+        RECT 560.575 53.795 560.745 53.965 ;
+        RECT 561.035 53.795 561.205 53.965 ;
+        RECT 561.495 53.795 561.665 53.965 ;
+        RECT 561.955 53.795 562.125 53.965 ;
+        RECT 562.415 53.795 562.585 53.965 ;
+        RECT 562.875 53.795 563.045 53.965 ;
+        RECT 563.335 53.795 563.505 53.965 ;
+        RECT 563.795 53.795 563.965 53.965 ;
+        RECT 564.255 53.795 564.425 53.965 ;
+        RECT 564.715 53.795 564.885 53.965 ;
+        RECT 565.175 53.795 565.345 53.965 ;
+        RECT 565.635 53.795 565.805 53.965 ;
+        RECT 566.095 53.795 566.265 53.965 ;
+        RECT 566.555 53.795 566.725 53.965 ;
+        RECT 567.015 53.795 567.185 53.965 ;
+        RECT 567.475 53.795 567.645 53.965 ;
+        RECT 567.935 53.795 568.105 53.965 ;
+        RECT 568.395 53.795 568.565 53.965 ;
+        RECT 568.855 53.795 569.025 53.965 ;
+        RECT 569.315 53.795 569.485 53.965 ;
+        RECT 569.775 53.795 569.945 53.965 ;
+        RECT 570.235 53.795 570.405 53.965 ;
+        RECT 570.695 53.795 570.865 53.965 ;
+        RECT 571.155 53.795 571.325 53.965 ;
+        RECT 571.615 53.795 571.785 53.965 ;
+        RECT 572.075 53.795 572.245 53.965 ;
+        RECT 572.535 53.795 572.705 53.965 ;
+        RECT 572.995 53.795 573.165 53.965 ;
+        RECT 573.455 53.795 573.625 53.965 ;
+        RECT 573.915 53.795 574.085 53.965 ;
+        RECT 574.375 53.795 574.545 53.965 ;
+        RECT 574.835 53.795 575.005 53.965 ;
+        RECT 575.295 53.795 575.465 53.965 ;
+        RECT 575.755 53.795 575.925 53.965 ;
+        RECT 576.215 53.795 576.385 53.965 ;
+        RECT 576.675 53.795 576.845 53.965 ;
+        RECT 577.135 53.795 577.305 53.965 ;
+        RECT 577.595 53.795 577.765 53.965 ;
+        RECT 578.055 53.795 578.225 53.965 ;
+        RECT 578.515 53.795 578.685 53.965 ;
+        RECT 578.975 53.795 579.145 53.965 ;
+        RECT 579.435 53.795 579.605 53.965 ;
+        RECT 579.895 53.795 580.065 53.965 ;
+        RECT 580.355 53.795 580.525 53.965 ;
+        RECT 580.815 53.795 580.985 53.965 ;
+        RECT 581.275 53.795 581.445 53.965 ;
+        RECT 581.735 53.795 581.905 53.965 ;
+        RECT 582.195 53.795 582.365 53.965 ;
+        RECT 582.655 53.795 582.825 53.965 ;
+        RECT 583.115 53.795 583.285 53.965 ;
+        RECT 583.575 53.795 583.745 53.965 ;
+        RECT 584.035 53.795 584.205 53.965 ;
+        RECT 584.495 53.795 584.665 53.965 ;
+        RECT 584.955 53.795 585.125 53.965 ;
+        RECT 585.415 53.795 585.585 53.965 ;
+        RECT 585.875 53.795 586.045 53.965 ;
+        RECT 586.335 53.795 586.505 53.965 ;
+        RECT 586.795 53.795 586.965 53.965 ;
+        RECT 587.255 53.795 587.425 53.965 ;
+        RECT 587.715 53.795 587.885 53.965 ;
+        RECT 588.175 53.795 588.345 53.965 ;
+        RECT 588.635 53.795 588.805 53.965 ;
+        RECT 589.095 53.795 589.265 53.965 ;
+        RECT 589.555 53.795 589.725 53.965 ;
+        RECT 590.015 53.795 590.185 53.965 ;
+        RECT 590.475 53.795 590.645 53.965 ;
+        RECT 590.935 53.795 591.105 53.965 ;
+        RECT 591.395 53.795 591.565 53.965 ;
+        RECT 591.855 53.795 592.025 53.965 ;
+        RECT 592.315 53.795 592.485 53.965 ;
+        RECT 592.775 53.795 592.945 53.965 ;
+        RECT 593.235 53.795 593.405 53.965 ;
+        RECT 593.695 53.795 593.865 53.965 ;
+        RECT 594.155 53.795 594.325 53.965 ;
+        RECT 594.615 53.795 594.785 53.965 ;
+        RECT 595.075 53.795 595.245 53.965 ;
+        RECT 595.535 53.795 595.705 53.965 ;
+        RECT 595.995 53.795 596.165 53.965 ;
+        RECT 596.455 53.795 596.625 53.965 ;
+        RECT 596.915 53.795 597.085 53.965 ;
+        RECT 597.375 53.795 597.545 53.965 ;
+        RECT 597.835 53.795 598.005 53.965 ;
+        RECT 598.295 53.795 598.465 53.965 ;
+        RECT 598.755 53.795 598.925 53.965 ;
+        RECT 599.215 53.795 599.385 53.965 ;
+        RECT 599.675 53.795 599.845 53.965 ;
+        RECT 600.135 53.795 600.305 53.965 ;
+        RECT 600.595 53.795 600.765 53.965 ;
+        RECT 601.055 53.795 601.225 53.965 ;
+        RECT 601.515 53.795 601.685 53.965 ;
+        RECT 601.975 53.795 602.145 53.965 ;
+        RECT 602.435 53.795 602.605 53.965 ;
+        RECT 602.895 53.795 603.065 53.965 ;
+        RECT 603.355 53.795 603.525 53.965 ;
+        RECT 603.815 53.795 603.985 53.965 ;
+        RECT 604.275 53.795 604.445 53.965 ;
+        RECT 604.735 53.795 604.905 53.965 ;
+        RECT 605.195 53.795 605.365 53.965 ;
+        RECT 605.655 53.795 605.825 53.965 ;
+        RECT 606.115 53.795 606.285 53.965 ;
+        RECT 606.575 53.795 606.745 53.965 ;
+        RECT 607.035 53.795 607.205 53.965 ;
+        RECT 607.495 53.795 607.665 53.965 ;
+        RECT 607.955 53.795 608.125 53.965 ;
+        RECT 608.415 53.795 608.585 53.965 ;
+        RECT 608.875 53.795 609.045 53.965 ;
+        RECT 609.335 53.795 609.505 53.965 ;
+        RECT 609.795 53.795 609.965 53.965 ;
+        RECT 610.255 53.795 610.425 53.965 ;
+        RECT 610.715 53.795 610.885 53.965 ;
+        RECT 611.175 53.795 611.345 53.965 ;
+        RECT 611.635 53.795 611.805 53.965 ;
+        RECT 612.095 53.795 612.265 53.965 ;
+        RECT 612.555 53.795 612.725 53.965 ;
+        RECT 613.015 53.795 613.185 53.965 ;
+        RECT 613.475 53.795 613.645 53.965 ;
+        RECT 613.935 53.795 614.105 53.965 ;
+        RECT 614.395 53.795 614.565 53.965 ;
+        RECT 614.855 53.795 615.025 53.965 ;
+        RECT 615.315 53.795 615.485 53.965 ;
+        RECT 615.775 53.795 615.945 53.965 ;
+        RECT 616.235 53.795 616.405 53.965 ;
+        RECT 616.695 53.795 616.865 53.965 ;
+        RECT 617.155 53.795 617.325 53.965 ;
+        RECT 617.615 53.795 617.785 53.965 ;
+        RECT 618.075 53.795 618.245 53.965 ;
+        RECT 618.535 53.795 618.705 53.965 ;
+        RECT 618.995 53.795 619.165 53.965 ;
+        RECT 619.455 53.795 619.625 53.965 ;
+        RECT 619.915 53.795 620.085 53.965 ;
+        RECT 620.375 53.795 620.545 53.965 ;
+        RECT 620.835 53.795 621.005 53.965 ;
+        RECT 621.295 53.795 621.465 53.965 ;
+        RECT 621.755 53.795 621.925 53.965 ;
+        RECT 622.215 53.795 622.385 53.965 ;
+        RECT 622.675 53.795 622.845 53.965 ;
+        RECT 623.135 53.795 623.305 53.965 ;
+        RECT 623.595 53.795 623.765 53.965 ;
+        RECT 624.055 53.795 624.225 53.965 ;
+        RECT 624.515 53.795 624.685 53.965 ;
+        RECT 624.975 53.795 625.145 53.965 ;
+        RECT 625.435 53.795 625.605 53.965 ;
+        RECT 625.895 53.795 626.065 53.965 ;
+        RECT 626.355 53.795 626.525 53.965 ;
+        RECT 626.815 53.795 626.985 53.965 ;
+        RECT 627.275 53.795 627.445 53.965 ;
+        RECT 627.735 53.795 627.905 53.965 ;
+        RECT 628.195 53.795 628.365 53.965 ;
+        RECT 628.655 53.795 628.825 53.965 ;
+        RECT 629.115 53.795 629.285 53.965 ;
+        RECT 629.575 53.795 629.745 53.965 ;
+        RECT 630.035 53.795 630.205 53.965 ;
+        RECT 630.495 53.795 630.665 53.965 ;
+        RECT 630.955 53.795 631.125 53.965 ;
+        RECT 83.100 52.945 83.270 53.115 ;
+        RECT 77.575 52.265 77.745 52.435 ;
+        RECT 78.035 51.585 78.205 51.755 ;
+        RECT 82.635 52.605 82.805 52.775 ;
+        RECT 84.015 52.265 84.185 52.435 ;
+        RECT 83.560 51.925 83.730 52.095 ;
+        RECT 85.420 52.945 85.590 53.115 ;
+        RECT 84.960 51.925 85.130 52.095 ;
+        RECT 86.800 52.945 86.970 53.115 ;
+        RECT 86.800 51.925 86.970 52.095 ;
+        RECT 99.660 52.945 99.830 53.115 ;
+        RECT 99.195 52.265 99.365 52.435 ;
+        RECT 89.535 51.585 89.705 51.755 ;
+        RECT 100.575 52.605 100.745 52.775 ;
+        RECT 100.120 51.925 100.290 52.095 ;
+        RECT 101.980 52.945 102.150 53.115 ;
+        RECT 101.520 51.925 101.690 52.095 ;
+        RECT 103.360 52.945 103.530 53.115 ;
+        RECT 103.360 51.925 103.530 52.095 ;
+        RECT 112.080 52.945 112.250 53.115 ;
+        RECT 111.615 52.265 111.785 52.435 ;
+        RECT 106.095 51.585 106.265 51.755 ;
+        RECT 112.995 52.605 113.165 52.775 ;
+        RECT 112.540 51.925 112.710 52.095 ;
+        RECT 114.400 52.945 114.570 53.115 ;
+        RECT 113.940 51.925 114.110 52.095 ;
+        RECT 115.780 52.945 115.950 53.115 ;
+        RECT 115.780 51.925 115.950 52.095 ;
+        RECT 127.720 52.945 127.890 53.115 ;
+        RECT 127.255 52.265 127.425 52.435 ;
+        RECT 118.515 51.585 118.685 51.755 ;
+        RECT 128.635 52.265 128.805 52.435 ;
+        RECT 128.180 51.925 128.350 52.095 ;
+        RECT 130.040 52.945 130.210 53.115 ;
+        RECT 129.580 51.925 129.750 52.095 ;
+        RECT 131.420 52.945 131.590 53.115 ;
+        RECT 131.420 51.925 131.590 52.095 ;
+        RECT 140.140 52.945 140.310 53.115 ;
+        RECT 139.675 52.265 139.845 52.435 ;
+        RECT 141.055 52.265 141.225 52.435 ;
+        RECT 140.600 51.925 140.770 52.095 ;
+        RECT 142.460 52.945 142.630 53.115 ;
+        RECT 142.000 51.925 142.170 52.095 ;
+        RECT 143.840 52.945 144.010 53.115 ;
+        RECT 143.840 51.925 144.010 52.095 ;
+        RECT 155.780 52.945 155.950 53.115 ;
+        RECT 155.315 52.605 155.485 52.775 ;
+        RECT 156.695 52.605 156.865 52.775 ;
+        RECT 156.240 51.925 156.410 52.095 ;
+        RECT 158.100 52.945 158.270 53.115 ;
+        RECT 157.640 51.925 157.810 52.095 ;
+        RECT 159.480 52.945 159.650 53.115 ;
+        RECT 159.480 51.925 159.650 52.095 ;
+        RECT 162.215 53.285 162.385 53.455 ;
+        RECT 170.960 52.945 171.130 53.115 ;
+        RECT 170.495 52.265 170.665 52.435 ;
+        RECT 171.875 52.605 172.045 52.775 ;
+        RECT 171.420 51.925 171.590 52.095 ;
+        RECT 173.280 52.945 173.450 53.115 ;
+        RECT 172.820 51.925 172.990 52.095 ;
+        RECT 174.660 52.945 174.830 53.115 ;
+        RECT 174.660 51.925 174.830 52.095 ;
+        RECT 185.680 52.945 185.850 53.115 ;
+        RECT 185.215 52.265 185.385 52.435 ;
+        RECT 186.595 52.605 186.765 52.775 ;
+        RECT 186.140 51.925 186.310 52.095 ;
+        RECT 188.000 52.945 188.170 53.115 ;
+        RECT 187.540 51.925 187.710 52.095 ;
+        RECT 189.380 52.945 189.550 53.115 ;
+        RECT 189.380 51.925 189.550 52.095 ;
+        RECT 198.560 52.945 198.730 53.115 ;
+        RECT 198.095 52.265 198.265 52.435 ;
+        RECT 192.115 51.585 192.285 51.755 ;
+        RECT 199.475 52.265 199.645 52.435 ;
+        RECT 199.020 51.925 199.190 52.095 ;
+        RECT 200.880 52.945 201.050 53.115 ;
+        RECT 200.420 51.925 200.590 52.095 ;
+        RECT 202.260 52.945 202.430 53.115 ;
+        RECT 202.260 51.925 202.430 52.095 ;
+        RECT 210.515 52.605 210.685 52.775 ;
+        RECT 212.355 52.605 212.525 52.775 ;
+        RECT 213.275 52.265 213.445 52.435 ;
+        RECT 214.660 52.605 214.830 52.775 ;
+        RECT 204.995 51.585 205.165 51.755 ;
+        RECT 215.575 52.265 215.745 52.435 ;
+        RECT 216.035 52.265 216.205 52.435 ;
+        RECT 217.440 52.605 217.610 52.775 ;
+        RECT 226.155 52.605 226.325 52.775 ;
+        RECT 223.395 52.265 223.565 52.435 ;
+        RECT 225.695 52.265 225.865 52.435 ;
+        RECT 218.335 51.585 218.505 51.755 ;
+        RECT 234.895 52.605 235.065 52.775 ;
+        RECT 231.215 52.265 231.385 52.435 ;
+        RECT 232.135 52.265 232.305 52.435 ;
+        RECT 232.595 52.265 232.765 52.435 ;
+        RECT 239.495 52.265 239.665 52.435 ;
+        RECT 240.415 51.585 240.585 51.755 ;
+        RECT 245.015 52.265 245.185 52.435 ;
+        RECT 245.935 52.265 246.105 52.435 ;
+        RECT 247.320 52.605 247.490 52.775 ;
+        RECT 248.695 52.265 248.865 52.435 ;
+        RECT 249.615 52.265 249.785 52.435 ;
+        RECT 250.100 52.605 250.270 52.775 ;
+        RECT 256.515 52.605 256.685 52.775 ;
+        RECT 256.975 52.265 257.145 52.435 ;
+        RECT 258.360 52.605 258.530 52.775 ;
+        RECT 259.275 52.265 259.445 52.435 ;
+        RECT 259.735 52.265 259.905 52.435 ;
+        RECT 261.140 52.605 261.310 52.775 ;
+        RECT 262.035 52.605 262.205 52.775 ;
+        RECT 250.995 51.585 251.165 51.755 ;
+        RECT 273.540 52.605 273.710 52.775 ;
+        RECT 272.155 52.265 272.325 52.435 ;
+        RECT 272.615 52.265 272.785 52.435 ;
+        RECT 274.455 52.265 274.625 52.435 ;
+        RECT 274.915 52.265 275.085 52.435 ;
+        RECT 276.320 52.605 276.490 52.775 ;
+        RECT 277.215 52.605 277.385 52.775 ;
+        RECT 284.580 52.605 284.750 52.775 ;
+        RECT 283.195 52.265 283.365 52.435 ;
+        RECT 283.655 52.265 283.825 52.435 ;
+        RECT 285.495 52.265 285.665 52.435 ;
+        RECT 285.955 52.265 286.125 52.435 ;
+        RECT 287.360 52.605 287.530 52.775 ;
+        RECT 288.255 52.605 288.425 52.775 ;
+        RECT 296.995 52.265 297.165 52.435 ;
+        RECT 298.375 52.265 298.545 52.435 ;
+        RECT 308.500 52.605 308.670 52.775 ;
+        RECT 307.115 52.265 307.285 52.435 ;
+        RECT 307.575 52.265 307.745 52.435 ;
+        RECT 309.875 52.265 310.045 52.435 ;
+        RECT 310.795 52.265 310.965 52.435 ;
+        RECT 311.280 52.605 311.450 52.775 ;
+        RECT 296.075 51.585 296.245 51.755 ;
+        RECT 317.235 52.265 317.405 52.435 ;
+        RECT 312.175 51.585 312.345 51.755 ;
+        RECT 318.155 51.585 318.325 51.755 ;
+        RECT 323.675 52.265 323.845 52.435 ;
+        RECT 324.595 51.585 324.765 51.755 ;
+        RECT 329.195 52.265 329.365 52.435 ;
+        RECT 330.115 52.265 330.285 52.435 ;
+        RECT 331.500 52.605 331.670 52.775 ;
+        RECT 332.415 52.265 332.585 52.435 ;
+        RECT 332.875 52.265 333.045 52.435 ;
+        RECT 334.280 52.605 334.450 52.775 ;
+        RECT 335.175 52.605 335.345 52.775 ;
+        RECT 340.695 52.605 340.865 52.775 ;
+        RECT 341.080 52.265 341.250 52.435 ;
+        RECT 342.540 52.605 342.710 52.775 ;
+        RECT 343.455 52.265 343.625 52.435 ;
+        RECT 343.915 52.265 344.085 52.435 ;
+        RECT 345.320 52.605 345.490 52.775 ;
+        RECT 346.215 52.605 346.385 52.775 ;
+        RECT 352.195 52.605 352.365 52.775 ;
+        RECT 352.655 52.265 352.825 52.435 ;
+        RECT 354.040 52.605 354.210 52.775 ;
+        RECT 354.955 52.265 355.125 52.435 ;
+        RECT 355.415 52.265 355.585 52.435 ;
+        RECT 356.820 52.605 356.990 52.775 ;
+        RECT 357.715 52.605 357.885 52.775 ;
+        RECT 365.535 52.605 365.705 52.775 ;
+        RECT 364.155 52.265 364.325 52.435 ;
+        RECT 365.075 52.265 365.245 52.435 ;
+        RECT 371.975 52.265 372.145 52.435 ;
+        RECT 372.895 52.265 373.065 52.435 ;
+        RECT 371.055 51.585 371.225 51.755 ;
+        RECT 380.715 52.605 380.885 52.775 ;
+        RECT 385.775 52.945 385.945 53.115 ;
+        RECT 390.835 52.265 391.005 52.435 ;
+        RECT 624.975 52.265 625.145 52.435 ;
+        RECT 42.615 51.075 42.785 51.245 ;
+        RECT 43.075 51.075 43.245 51.245 ;
+        RECT 43.535 51.075 43.705 51.245 ;
+        RECT 43.995 51.075 44.165 51.245 ;
+        RECT 44.455 51.075 44.625 51.245 ;
+        RECT 44.915 51.075 45.085 51.245 ;
+        RECT 45.375 51.075 45.545 51.245 ;
+        RECT 45.835 51.075 46.005 51.245 ;
+        RECT 46.295 51.075 46.465 51.245 ;
+        RECT 46.755 51.075 46.925 51.245 ;
+        RECT 47.215 51.075 47.385 51.245 ;
+        RECT 47.675 51.075 47.845 51.245 ;
+        RECT 48.135 51.075 48.305 51.245 ;
+        RECT 48.595 51.075 48.765 51.245 ;
+        RECT 49.055 51.075 49.225 51.245 ;
+        RECT 49.515 51.075 49.685 51.245 ;
+        RECT 49.975 51.075 50.145 51.245 ;
+        RECT 50.435 51.075 50.605 51.245 ;
+        RECT 50.895 51.075 51.065 51.245 ;
+        RECT 51.355 51.075 51.525 51.245 ;
+        RECT 51.815 51.075 51.985 51.245 ;
+        RECT 52.275 51.075 52.445 51.245 ;
+        RECT 52.735 51.075 52.905 51.245 ;
+        RECT 53.195 51.075 53.365 51.245 ;
+        RECT 53.655 51.075 53.825 51.245 ;
+        RECT 54.115 51.075 54.285 51.245 ;
+        RECT 54.575 51.075 54.745 51.245 ;
+        RECT 55.035 51.075 55.205 51.245 ;
+        RECT 55.495 51.075 55.665 51.245 ;
+        RECT 55.955 51.075 56.125 51.245 ;
+        RECT 56.415 51.075 56.585 51.245 ;
+        RECT 56.875 51.075 57.045 51.245 ;
+        RECT 57.335 51.075 57.505 51.245 ;
+        RECT 57.795 51.075 57.965 51.245 ;
+        RECT 58.255 51.075 58.425 51.245 ;
+        RECT 58.715 51.075 58.885 51.245 ;
+        RECT 59.175 51.075 59.345 51.245 ;
+        RECT 59.635 51.075 59.805 51.245 ;
+        RECT 60.095 51.075 60.265 51.245 ;
+        RECT 60.555 51.075 60.725 51.245 ;
+        RECT 61.015 51.075 61.185 51.245 ;
+        RECT 61.475 51.075 61.645 51.245 ;
+        RECT 61.935 51.075 62.105 51.245 ;
+        RECT 62.395 51.075 62.565 51.245 ;
+        RECT 62.855 51.075 63.025 51.245 ;
+        RECT 63.315 51.075 63.485 51.245 ;
+        RECT 63.775 51.075 63.945 51.245 ;
+        RECT 64.235 51.075 64.405 51.245 ;
+        RECT 64.695 51.075 64.865 51.245 ;
+        RECT 65.155 51.075 65.325 51.245 ;
+        RECT 65.615 51.075 65.785 51.245 ;
+        RECT 66.075 51.075 66.245 51.245 ;
+        RECT 66.535 51.075 66.705 51.245 ;
+        RECT 66.995 51.075 67.165 51.245 ;
+        RECT 67.455 51.075 67.625 51.245 ;
+        RECT 67.915 51.075 68.085 51.245 ;
+        RECT 68.375 51.075 68.545 51.245 ;
+        RECT 68.835 51.075 69.005 51.245 ;
+        RECT 69.295 51.075 69.465 51.245 ;
+        RECT 69.755 51.075 69.925 51.245 ;
+        RECT 70.215 51.075 70.385 51.245 ;
+        RECT 70.675 51.075 70.845 51.245 ;
+        RECT 71.135 51.075 71.305 51.245 ;
+        RECT 71.595 51.075 71.765 51.245 ;
+        RECT 72.055 51.075 72.225 51.245 ;
+        RECT 72.515 51.075 72.685 51.245 ;
+        RECT 72.975 51.075 73.145 51.245 ;
+        RECT 73.435 51.075 73.605 51.245 ;
+        RECT 73.895 51.075 74.065 51.245 ;
+        RECT 74.355 51.075 74.525 51.245 ;
+        RECT 74.815 51.075 74.985 51.245 ;
+        RECT 75.275 51.075 75.445 51.245 ;
+        RECT 75.735 51.075 75.905 51.245 ;
+        RECT 76.195 51.075 76.365 51.245 ;
+        RECT 76.655 51.075 76.825 51.245 ;
+        RECT 77.115 51.075 77.285 51.245 ;
+        RECT 77.575 51.075 77.745 51.245 ;
+        RECT 78.035 51.075 78.205 51.245 ;
+        RECT 78.495 51.075 78.665 51.245 ;
+        RECT 78.955 51.075 79.125 51.245 ;
+        RECT 79.415 51.075 79.585 51.245 ;
+        RECT 79.875 51.075 80.045 51.245 ;
+        RECT 80.335 51.075 80.505 51.245 ;
+        RECT 80.795 51.075 80.965 51.245 ;
+        RECT 81.255 51.075 81.425 51.245 ;
+        RECT 81.715 51.075 81.885 51.245 ;
+        RECT 82.175 51.075 82.345 51.245 ;
+        RECT 82.635 51.075 82.805 51.245 ;
+        RECT 83.095 51.075 83.265 51.245 ;
+        RECT 83.555 51.075 83.725 51.245 ;
+        RECT 84.015 51.075 84.185 51.245 ;
+        RECT 84.475 51.075 84.645 51.245 ;
+        RECT 84.935 51.075 85.105 51.245 ;
+        RECT 85.395 51.075 85.565 51.245 ;
+        RECT 85.855 51.075 86.025 51.245 ;
+        RECT 86.315 51.075 86.485 51.245 ;
+        RECT 86.775 51.075 86.945 51.245 ;
+        RECT 87.235 51.075 87.405 51.245 ;
+        RECT 87.695 51.075 87.865 51.245 ;
+        RECT 88.155 51.075 88.325 51.245 ;
+        RECT 88.615 51.075 88.785 51.245 ;
+        RECT 89.075 51.075 89.245 51.245 ;
+        RECT 89.535 51.075 89.705 51.245 ;
+        RECT 89.995 51.075 90.165 51.245 ;
+        RECT 90.455 51.075 90.625 51.245 ;
+        RECT 90.915 51.075 91.085 51.245 ;
+        RECT 91.375 51.075 91.545 51.245 ;
+        RECT 91.835 51.075 92.005 51.245 ;
+        RECT 92.295 51.075 92.465 51.245 ;
+        RECT 92.755 51.075 92.925 51.245 ;
+        RECT 93.215 51.075 93.385 51.245 ;
+        RECT 93.675 51.075 93.845 51.245 ;
+        RECT 94.135 51.075 94.305 51.245 ;
+        RECT 94.595 51.075 94.765 51.245 ;
+        RECT 95.055 51.075 95.225 51.245 ;
+        RECT 95.515 51.075 95.685 51.245 ;
+        RECT 95.975 51.075 96.145 51.245 ;
+        RECT 96.435 51.075 96.605 51.245 ;
+        RECT 96.895 51.075 97.065 51.245 ;
+        RECT 97.355 51.075 97.525 51.245 ;
+        RECT 97.815 51.075 97.985 51.245 ;
+        RECT 98.275 51.075 98.445 51.245 ;
+        RECT 98.735 51.075 98.905 51.245 ;
+        RECT 99.195 51.075 99.365 51.245 ;
+        RECT 99.655 51.075 99.825 51.245 ;
+        RECT 100.115 51.075 100.285 51.245 ;
+        RECT 100.575 51.075 100.745 51.245 ;
+        RECT 101.035 51.075 101.205 51.245 ;
+        RECT 101.495 51.075 101.665 51.245 ;
+        RECT 101.955 51.075 102.125 51.245 ;
+        RECT 102.415 51.075 102.585 51.245 ;
+        RECT 102.875 51.075 103.045 51.245 ;
+        RECT 103.335 51.075 103.505 51.245 ;
+        RECT 103.795 51.075 103.965 51.245 ;
+        RECT 104.255 51.075 104.425 51.245 ;
+        RECT 104.715 51.075 104.885 51.245 ;
+        RECT 105.175 51.075 105.345 51.245 ;
+        RECT 105.635 51.075 105.805 51.245 ;
+        RECT 106.095 51.075 106.265 51.245 ;
+        RECT 106.555 51.075 106.725 51.245 ;
+        RECT 107.015 51.075 107.185 51.245 ;
+        RECT 107.475 51.075 107.645 51.245 ;
+        RECT 107.935 51.075 108.105 51.245 ;
+        RECT 108.395 51.075 108.565 51.245 ;
+        RECT 108.855 51.075 109.025 51.245 ;
+        RECT 109.315 51.075 109.485 51.245 ;
+        RECT 109.775 51.075 109.945 51.245 ;
+        RECT 110.235 51.075 110.405 51.245 ;
+        RECT 110.695 51.075 110.865 51.245 ;
+        RECT 111.155 51.075 111.325 51.245 ;
+        RECT 111.615 51.075 111.785 51.245 ;
+        RECT 112.075 51.075 112.245 51.245 ;
+        RECT 112.535 51.075 112.705 51.245 ;
+        RECT 112.995 51.075 113.165 51.245 ;
+        RECT 113.455 51.075 113.625 51.245 ;
+        RECT 113.915 51.075 114.085 51.245 ;
+        RECT 114.375 51.075 114.545 51.245 ;
+        RECT 114.835 51.075 115.005 51.245 ;
+        RECT 115.295 51.075 115.465 51.245 ;
+        RECT 115.755 51.075 115.925 51.245 ;
+        RECT 116.215 51.075 116.385 51.245 ;
+        RECT 116.675 51.075 116.845 51.245 ;
+        RECT 117.135 51.075 117.305 51.245 ;
+        RECT 117.595 51.075 117.765 51.245 ;
+        RECT 118.055 51.075 118.225 51.245 ;
+        RECT 118.515 51.075 118.685 51.245 ;
+        RECT 118.975 51.075 119.145 51.245 ;
+        RECT 119.435 51.075 119.605 51.245 ;
+        RECT 119.895 51.075 120.065 51.245 ;
+        RECT 120.355 51.075 120.525 51.245 ;
+        RECT 120.815 51.075 120.985 51.245 ;
+        RECT 121.275 51.075 121.445 51.245 ;
+        RECT 121.735 51.075 121.905 51.245 ;
+        RECT 122.195 51.075 122.365 51.245 ;
+        RECT 122.655 51.075 122.825 51.245 ;
+        RECT 123.115 51.075 123.285 51.245 ;
+        RECT 123.575 51.075 123.745 51.245 ;
+        RECT 124.035 51.075 124.205 51.245 ;
+        RECT 124.495 51.075 124.665 51.245 ;
+        RECT 124.955 51.075 125.125 51.245 ;
+        RECT 125.415 51.075 125.585 51.245 ;
+        RECT 125.875 51.075 126.045 51.245 ;
+        RECT 126.335 51.075 126.505 51.245 ;
+        RECT 126.795 51.075 126.965 51.245 ;
+        RECT 127.255 51.075 127.425 51.245 ;
+        RECT 127.715 51.075 127.885 51.245 ;
+        RECT 128.175 51.075 128.345 51.245 ;
+        RECT 128.635 51.075 128.805 51.245 ;
+        RECT 129.095 51.075 129.265 51.245 ;
+        RECT 129.555 51.075 129.725 51.245 ;
+        RECT 130.015 51.075 130.185 51.245 ;
+        RECT 130.475 51.075 130.645 51.245 ;
+        RECT 130.935 51.075 131.105 51.245 ;
+        RECT 131.395 51.075 131.565 51.245 ;
+        RECT 131.855 51.075 132.025 51.245 ;
+        RECT 132.315 51.075 132.485 51.245 ;
+        RECT 132.775 51.075 132.945 51.245 ;
+        RECT 133.235 51.075 133.405 51.245 ;
+        RECT 133.695 51.075 133.865 51.245 ;
+        RECT 134.155 51.075 134.325 51.245 ;
+        RECT 134.615 51.075 134.785 51.245 ;
+        RECT 135.075 51.075 135.245 51.245 ;
+        RECT 135.535 51.075 135.705 51.245 ;
+        RECT 135.995 51.075 136.165 51.245 ;
+        RECT 136.455 51.075 136.625 51.245 ;
+        RECT 136.915 51.075 137.085 51.245 ;
+        RECT 137.375 51.075 137.545 51.245 ;
+        RECT 137.835 51.075 138.005 51.245 ;
+        RECT 138.295 51.075 138.465 51.245 ;
+        RECT 138.755 51.075 138.925 51.245 ;
+        RECT 139.215 51.075 139.385 51.245 ;
+        RECT 139.675 51.075 139.845 51.245 ;
+        RECT 140.135 51.075 140.305 51.245 ;
+        RECT 140.595 51.075 140.765 51.245 ;
+        RECT 141.055 51.075 141.225 51.245 ;
+        RECT 141.515 51.075 141.685 51.245 ;
+        RECT 141.975 51.075 142.145 51.245 ;
+        RECT 142.435 51.075 142.605 51.245 ;
+        RECT 142.895 51.075 143.065 51.245 ;
+        RECT 143.355 51.075 143.525 51.245 ;
+        RECT 143.815 51.075 143.985 51.245 ;
+        RECT 144.275 51.075 144.445 51.245 ;
+        RECT 144.735 51.075 144.905 51.245 ;
+        RECT 145.195 51.075 145.365 51.245 ;
+        RECT 145.655 51.075 145.825 51.245 ;
+        RECT 146.115 51.075 146.285 51.245 ;
+        RECT 146.575 51.075 146.745 51.245 ;
+        RECT 147.035 51.075 147.205 51.245 ;
+        RECT 147.495 51.075 147.665 51.245 ;
+        RECT 147.955 51.075 148.125 51.245 ;
+        RECT 148.415 51.075 148.585 51.245 ;
+        RECT 148.875 51.075 149.045 51.245 ;
+        RECT 149.335 51.075 149.505 51.245 ;
+        RECT 149.795 51.075 149.965 51.245 ;
+        RECT 150.255 51.075 150.425 51.245 ;
+        RECT 150.715 51.075 150.885 51.245 ;
+        RECT 151.175 51.075 151.345 51.245 ;
+        RECT 151.635 51.075 151.805 51.245 ;
+        RECT 152.095 51.075 152.265 51.245 ;
+        RECT 152.555 51.075 152.725 51.245 ;
+        RECT 153.015 51.075 153.185 51.245 ;
+        RECT 153.475 51.075 153.645 51.245 ;
+        RECT 153.935 51.075 154.105 51.245 ;
+        RECT 154.395 51.075 154.565 51.245 ;
+        RECT 154.855 51.075 155.025 51.245 ;
+        RECT 155.315 51.075 155.485 51.245 ;
+        RECT 155.775 51.075 155.945 51.245 ;
+        RECT 156.235 51.075 156.405 51.245 ;
+        RECT 156.695 51.075 156.865 51.245 ;
+        RECT 157.155 51.075 157.325 51.245 ;
+        RECT 157.615 51.075 157.785 51.245 ;
+        RECT 158.075 51.075 158.245 51.245 ;
+        RECT 158.535 51.075 158.705 51.245 ;
+        RECT 158.995 51.075 159.165 51.245 ;
+        RECT 159.455 51.075 159.625 51.245 ;
+        RECT 159.915 51.075 160.085 51.245 ;
+        RECT 160.375 51.075 160.545 51.245 ;
+        RECT 160.835 51.075 161.005 51.245 ;
+        RECT 161.295 51.075 161.465 51.245 ;
+        RECT 161.755 51.075 161.925 51.245 ;
+        RECT 162.215 51.075 162.385 51.245 ;
+        RECT 162.675 51.075 162.845 51.245 ;
+        RECT 163.135 51.075 163.305 51.245 ;
+        RECT 163.595 51.075 163.765 51.245 ;
+        RECT 164.055 51.075 164.225 51.245 ;
+        RECT 164.515 51.075 164.685 51.245 ;
+        RECT 164.975 51.075 165.145 51.245 ;
+        RECT 165.435 51.075 165.605 51.245 ;
+        RECT 165.895 51.075 166.065 51.245 ;
+        RECT 166.355 51.075 166.525 51.245 ;
+        RECT 166.815 51.075 166.985 51.245 ;
+        RECT 167.275 51.075 167.445 51.245 ;
+        RECT 167.735 51.075 167.905 51.245 ;
+        RECT 168.195 51.075 168.365 51.245 ;
+        RECT 168.655 51.075 168.825 51.245 ;
+        RECT 169.115 51.075 169.285 51.245 ;
+        RECT 169.575 51.075 169.745 51.245 ;
+        RECT 170.035 51.075 170.205 51.245 ;
+        RECT 170.495 51.075 170.665 51.245 ;
+        RECT 170.955 51.075 171.125 51.245 ;
+        RECT 171.415 51.075 171.585 51.245 ;
+        RECT 171.875 51.075 172.045 51.245 ;
+        RECT 172.335 51.075 172.505 51.245 ;
+        RECT 172.795 51.075 172.965 51.245 ;
+        RECT 173.255 51.075 173.425 51.245 ;
+        RECT 173.715 51.075 173.885 51.245 ;
+        RECT 174.175 51.075 174.345 51.245 ;
+        RECT 174.635 51.075 174.805 51.245 ;
+        RECT 175.095 51.075 175.265 51.245 ;
+        RECT 175.555 51.075 175.725 51.245 ;
+        RECT 176.015 51.075 176.185 51.245 ;
+        RECT 176.475 51.075 176.645 51.245 ;
+        RECT 176.935 51.075 177.105 51.245 ;
+        RECT 177.395 51.075 177.565 51.245 ;
+        RECT 177.855 51.075 178.025 51.245 ;
+        RECT 178.315 51.075 178.485 51.245 ;
+        RECT 178.775 51.075 178.945 51.245 ;
+        RECT 179.235 51.075 179.405 51.245 ;
+        RECT 179.695 51.075 179.865 51.245 ;
+        RECT 180.155 51.075 180.325 51.245 ;
+        RECT 180.615 51.075 180.785 51.245 ;
+        RECT 181.075 51.075 181.245 51.245 ;
+        RECT 181.535 51.075 181.705 51.245 ;
+        RECT 181.995 51.075 182.165 51.245 ;
+        RECT 182.455 51.075 182.625 51.245 ;
+        RECT 182.915 51.075 183.085 51.245 ;
+        RECT 183.375 51.075 183.545 51.245 ;
+        RECT 183.835 51.075 184.005 51.245 ;
+        RECT 184.295 51.075 184.465 51.245 ;
+        RECT 184.755 51.075 184.925 51.245 ;
+        RECT 185.215 51.075 185.385 51.245 ;
+        RECT 185.675 51.075 185.845 51.245 ;
+        RECT 186.135 51.075 186.305 51.245 ;
+        RECT 186.595 51.075 186.765 51.245 ;
+        RECT 187.055 51.075 187.225 51.245 ;
+        RECT 187.515 51.075 187.685 51.245 ;
+        RECT 187.975 51.075 188.145 51.245 ;
+        RECT 188.435 51.075 188.605 51.245 ;
+        RECT 188.895 51.075 189.065 51.245 ;
+        RECT 189.355 51.075 189.525 51.245 ;
+        RECT 189.815 51.075 189.985 51.245 ;
+        RECT 190.275 51.075 190.445 51.245 ;
+        RECT 190.735 51.075 190.905 51.245 ;
+        RECT 191.195 51.075 191.365 51.245 ;
+        RECT 191.655 51.075 191.825 51.245 ;
+        RECT 192.115 51.075 192.285 51.245 ;
+        RECT 192.575 51.075 192.745 51.245 ;
+        RECT 193.035 51.075 193.205 51.245 ;
+        RECT 193.495 51.075 193.665 51.245 ;
+        RECT 193.955 51.075 194.125 51.245 ;
+        RECT 194.415 51.075 194.585 51.245 ;
+        RECT 194.875 51.075 195.045 51.245 ;
+        RECT 195.335 51.075 195.505 51.245 ;
+        RECT 195.795 51.075 195.965 51.245 ;
+        RECT 196.255 51.075 196.425 51.245 ;
+        RECT 196.715 51.075 196.885 51.245 ;
+        RECT 197.175 51.075 197.345 51.245 ;
+        RECT 197.635 51.075 197.805 51.245 ;
+        RECT 198.095 51.075 198.265 51.245 ;
+        RECT 198.555 51.075 198.725 51.245 ;
+        RECT 199.015 51.075 199.185 51.245 ;
+        RECT 199.475 51.075 199.645 51.245 ;
+        RECT 199.935 51.075 200.105 51.245 ;
+        RECT 200.395 51.075 200.565 51.245 ;
+        RECT 200.855 51.075 201.025 51.245 ;
+        RECT 201.315 51.075 201.485 51.245 ;
+        RECT 201.775 51.075 201.945 51.245 ;
+        RECT 202.235 51.075 202.405 51.245 ;
+        RECT 202.695 51.075 202.865 51.245 ;
+        RECT 203.155 51.075 203.325 51.245 ;
+        RECT 203.615 51.075 203.785 51.245 ;
+        RECT 204.075 51.075 204.245 51.245 ;
+        RECT 204.535 51.075 204.705 51.245 ;
+        RECT 204.995 51.075 205.165 51.245 ;
+        RECT 205.455 51.075 205.625 51.245 ;
+        RECT 205.915 51.075 206.085 51.245 ;
+        RECT 206.375 51.075 206.545 51.245 ;
+        RECT 206.835 51.075 207.005 51.245 ;
+        RECT 207.295 51.075 207.465 51.245 ;
+        RECT 207.755 51.075 207.925 51.245 ;
+        RECT 208.215 51.075 208.385 51.245 ;
+        RECT 208.675 51.075 208.845 51.245 ;
+        RECT 209.135 51.075 209.305 51.245 ;
+        RECT 209.595 51.075 209.765 51.245 ;
+        RECT 210.055 51.075 210.225 51.245 ;
+        RECT 210.515 51.075 210.685 51.245 ;
+        RECT 210.975 51.075 211.145 51.245 ;
+        RECT 211.435 51.075 211.605 51.245 ;
+        RECT 211.895 51.075 212.065 51.245 ;
+        RECT 212.355 51.075 212.525 51.245 ;
+        RECT 212.815 51.075 212.985 51.245 ;
+        RECT 213.275 51.075 213.445 51.245 ;
+        RECT 213.735 51.075 213.905 51.245 ;
+        RECT 214.195 51.075 214.365 51.245 ;
+        RECT 214.655 51.075 214.825 51.245 ;
+        RECT 215.115 51.075 215.285 51.245 ;
+        RECT 215.575 51.075 215.745 51.245 ;
+        RECT 216.035 51.075 216.205 51.245 ;
+        RECT 216.495 51.075 216.665 51.245 ;
+        RECT 216.955 51.075 217.125 51.245 ;
+        RECT 217.415 51.075 217.585 51.245 ;
+        RECT 217.875 51.075 218.045 51.245 ;
+        RECT 218.335 51.075 218.505 51.245 ;
+        RECT 218.795 51.075 218.965 51.245 ;
+        RECT 219.255 51.075 219.425 51.245 ;
+        RECT 219.715 51.075 219.885 51.245 ;
+        RECT 220.175 51.075 220.345 51.245 ;
+        RECT 220.635 51.075 220.805 51.245 ;
+        RECT 221.095 51.075 221.265 51.245 ;
+        RECT 221.555 51.075 221.725 51.245 ;
+        RECT 222.015 51.075 222.185 51.245 ;
+        RECT 222.475 51.075 222.645 51.245 ;
+        RECT 222.935 51.075 223.105 51.245 ;
+        RECT 223.395 51.075 223.565 51.245 ;
+        RECT 223.855 51.075 224.025 51.245 ;
+        RECT 224.315 51.075 224.485 51.245 ;
+        RECT 224.775 51.075 224.945 51.245 ;
+        RECT 225.235 51.075 225.405 51.245 ;
+        RECT 225.695 51.075 225.865 51.245 ;
+        RECT 226.155 51.075 226.325 51.245 ;
+        RECT 226.615 51.075 226.785 51.245 ;
+        RECT 227.075 51.075 227.245 51.245 ;
+        RECT 227.535 51.075 227.705 51.245 ;
+        RECT 227.995 51.075 228.165 51.245 ;
+        RECT 228.455 51.075 228.625 51.245 ;
+        RECT 228.915 51.075 229.085 51.245 ;
+        RECT 229.375 51.075 229.545 51.245 ;
+        RECT 229.835 51.075 230.005 51.245 ;
+        RECT 230.295 51.075 230.465 51.245 ;
+        RECT 230.755 51.075 230.925 51.245 ;
+        RECT 231.215 51.075 231.385 51.245 ;
+        RECT 231.675 51.075 231.845 51.245 ;
+        RECT 232.135 51.075 232.305 51.245 ;
+        RECT 232.595 51.075 232.765 51.245 ;
+        RECT 233.055 51.075 233.225 51.245 ;
+        RECT 233.515 51.075 233.685 51.245 ;
+        RECT 233.975 51.075 234.145 51.245 ;
+        RECT 234.435 51.075 234.605 51.245 ;
+        RECT 234.895 51.075 235.065 51.245 ;
+        RECT 235.355 51.075 235.525 51.245 ;
+        RECT 235.815 51.075 235.985 51.245 ;
+        RECT 236.275 51.075 236.445 51.245 ;
+        RECT 236.735 51.075 236.905 51.245 ;
+        RECT 237.195 51.075 237.365 51.245 ;
+        RECT 237.655 51.075 237.825 51.245 ;
+        RECT 238.115 51.075 238.285 51.245 ;
+        RECT 238.575 51.075 238.745 51.245 ;
+        RECT 239.035 51.075 239.205 51.245 ;
+        RECT 239.495 51.075 239.665 51.245 ;
+        RECT 239.955 51.075 240.125 51.245 ;
+        RECT 240.415 51.075 240.585 51.245 ;
+        RECT 240.875 51.075 241.045 51.245 ;
+        RECT 241.335 51.075 241.505 51.245 ;
+        RECT 241.795 51.075 241.965 51.245 ;
+        RECT 242.255 51.075 242.425 51.245 ;
+        RECT 242.715 51.075 242.885 51.245 ;
+        RECT 243.175 51.075 243.345 51.245 ;
+        RECT 243.635 51.075 243.805 51.245 ;
+        RECT 244.095 51.075 244.265 51.245 ;
+        RECT 244.555 51.075 244.725 51.245 ;
+        RECT 245.015 51.075 245.185 51.245 ;
+        RECT 245.475 51.075 245.645 51.245 ;
+        RECT 245.935 51.075 246.105 51.245 ;
+        RECT 246.395 51.075 246.565 51.245 ;
+        RECT 246.855 51.075 247.025 51.245 ;
+        RECT 247.315 51.075 247.485 51.245 ;
+        RECT 247.775 51.075 247.945 51.245 ;
+        RECT 248.235 51.075 248.405 51.245 ;
+        RECT 248.695 51.075 248.865 51.245 ;
+        RECT 249.155 51.075 249.325 51.245 ;
+        RECT 249.615 51.075 249.785 51.245 ;
+        RECT 250.075 51.075 250.245 51.245 ;
+        RECT 250.535 51.075 250.705 51.245 ;
+        RECT 250.995 51.075 251.165 51.245 ;
+        RECT 251.455 51.075 251.625 51.245 ;
+        RECT 251.915 51.075 252.085 51.245 ;
+        RECT 252.375 51.075 252.545 51.245 ;
+        RECT 252.835 51.075 253.005 51.245 ;
+        RECT 253.295 51.075 253.465 51.245 ;
+        RECT 253.755 51.075 253.925 51.245 ;
+        RECT 254.215 51.075 254.385 51.245 ;
+        RECT 254.675 51.075 254.845 51.245 ;
+        RECT 255.135 51.075 255.305 51.245 ;
+        RECT 255.595 51.075 255.765 51.245 ;
+        RECT 256.055 51.075 256.225 51.245 ;
+        RECT 256.515 51.075 256.685 51.245 ;
+        RECT 256.975 51.075 257.145 51.245 ;
+        RECT 257.435 51.075 257.605 51.245 ;
+        RECT 257.895 51.075 258.065 51.245 ;
+        RECT 258.355 51.075 258.525 51.245 ;
+        RECT 258.815 51.075 258.985 51.245 ;
+        RECT 259.275 51.075 259.445 51.245 ;
+        RECT 259.735 51.075 259.905 51.245 ;
+        RECT 260.195 51.075 260.365 51.245 ;
+        RECT 260.655 51.075 260.825 51.245 ;
+        RECT 261.115 51.075 261.285 51.245 ;
+        RECT 261.575 51.075 261.745 51.245 ;
+        RECT 262.035 51.075 262.205 51.245 ;
+        RECT 262.495 51.075 262.665 51.245 ;
+        RECT 262.955 51.075 263.125 51.245 ;
+        RECT 263.415 51.075 263.585 51.245 ;
+        RECT 263.875 51.075 264.045 51.245 ;
+        RECT 264.335 51.075 264.505 51.245 ;
+        RECT 264.795 51.075 264.965 51.245 ;
+        RECT 265.255 51.075 265.425 51.245 ;
+        RECT 265.715 51.075 265.885 51.245 ;
+        RECT 266.175 51.075 266.345 51.245 ;
+        RECT 266.635 51.075 266.805 51.245 ;
+        RECT 267.095 51.075 267.265 51.245 ;
+        RECT 267.555 51.075 267.725 51.245 ;
+        RECT 268.015 51.075 268.185 51.245 ;
+        RECT 268.475 51.075 268.645 51.245 ;
+        RECT 268.935 51.075 269.105 51.245 ;
+        RECT 269.395 51.075 269.565 51.245 ;
+        RECT 269.855 51.075 270.025 51.245 ;
+        RECT 270.315 51.075 270.485 51.245 ;
+        RECT 270.775 51.075 270.945 51.245 ;
+        RECT 271.235 51.075 271.405 51.245 ;
+        RECT 271.695 51.075 271.865 51.245 ;
+        RECT 272.155 51.075 272.325 51.245 ;
+        RECT 272.615 51.075 272.785 51.245 ;
+        RECT 273.075 51.075 273.245 51.245 ;
+        RECT 273.535 51.075 273.705 51.245 ;
+        RECT 273.995 51.075 274.165 51.245 ;
+        RECT 274.455 51.075 274.625 51.245 ;
+        RECT 274.915 51.075 275.085 51.245 ;
+        RECT 275.375 51.075 275.545 51.245 ;
+        RECT 275.835 51.075 276.005 51.245 ;
+        RECT 276.295 51.075 276.465 51.245 ;
+        RECT 276.755 51.075 276.925 51.245 ;
+        RECT 277.215 51.075 277.385 51.245 ;
+        RECT 277.675 51.075 277.845 51.245 ;
+        RECT 278.135 51.075 278.305 51.245 ;
+        RECT 278.595 51.075 278.765 51.245 ;
+        RECT 279.055 51.075 279.225 51.245 ;
+        RECT 279.515 51.075 279.685 51.245 ;
+        RECT 279.975 51.075 280.145 51.245 ;
+        RECT 280.435 51.075 280.605 51.245 ;
+        RECT 280.895 51.075 281.065 51.245 ;
+        RECT 281.355 51.075 281.525 51.245 ;
+        RECT 281.815 51.075 281.985 51.245 ;
+        RECT 282.275 51.075 282.445 51.245 ;
+        RECT 282.735 51.075 282.905 51.245 ;
+        RECT 283.195 51.075 283.365 51.245 ;
+        RECT 283.655 51.075 283.825 51.245 ;
+        RECT 284.115 51.075 284.285 51.245 ;
+        RECT 284.575 51.075 284.745 51.245 ;
+        RECT 285.035 51.075 285.205 51.245 ;
+        RECT 285.495 51.075 285.665 51.245 ;
+        RECT 285.955 51.075 286.125 51.245 ;
+        RECT 286.415 51.075 286.585 51.245 ;
+        RECT 286.875 51.075 287.045 51.245 ;
+        RECT 287.335 51.075 287.505 51.245 ;
+        RECT 287.795 51.075 287.965 51.245 ;
+        RECT 288.255 51.075 288.425 51.245 ;
+        RECT 288.715 51.075 288.885 51.245 ;
+        RECT 289.175 51.075 289.345 51.245 ;
+        RECT 289.635 51.075 289.805 51.245 ;
+        RECT 290.095 51.075 290.265 51.245 ;
+        RECT 290.555 51.075 290.725 51.245 ;
+        RECT 291.015 51.075 291.185 51.245 ;
+        RECT 291.475 51.075 291.645 51.245 ;
+        RECT 291.935 51.075 292.105 51.245 ;
+        RECT 292.395 51.075 292.565 51.245 ;
+        RECT 292.855 51.075 293.025 51.245 ;
+        RECT 293.315 51.075 293.485 51.245 ;
+        RECT 293.775 51.075 293.945 51.245 ;
+        RECT 294.235 51.075 294.405 51.245 ;
+        RECT 294.695 51.075 294.865 51.245 ;
+        RECT 295.155 51.075 295.325 51.245 ;
+        RECT 295.615 51.075 295.785 51.245 ;
+        RECT 296.075 51.075 296.245 51.245 ;
+        RECT 296.535 51.075 296.705 51.245 ;
+        RECT 296.995 51.075 297.165 51.245 ;
+        RECT 297.455 51.075 297.625 51.245 ;
+        RECT 297.915 51.075 298.085 51.245 ;
+        RECT 298.375 51.075 298.545 51.245 ;
+        RECT 298.835 51.075 299.005 51.245 ;
+        RECT 299.295 51.075 299.465 51.245 ;
+        RECT 299.755 51.075 299.925 51.245 ;
+        RECT 300.215 51.075 300.385 51.245 ;
+        RECT 300.675 51.075 300.845 51.245 ;
+        RECT 301.135 51.075 301.305 51.245 ;
+        RECT 301.595 51.075 301.765 51.245 ;
+        RECT 302.055 51.075 302.225 51.245 ;
+        RECT 302.515 51.075 302.685 51.245 ;
+        RECT 302.975 51.075 303.145 51.245 ;
+        RECT 303.435 51.075 303.605 51.245 ;
+        RECT 303.895 51.075 304.065 51.245 ;
+        RECT 304.355 51.075 304.525 51.245 ;
+        RECT 304.815 51.075 304.985 51.245 ;
+        RECT 305.275 51.075 305.445 51.245 ;
+        RECT 305.735 51.075 305.905 51.245 ;
+        RECT 306.195 51.075 306.365 51.245 ;
+        RECT 306.655 51.075 306.825 51.245 ;
+        RECT 307.115 51.075 307.285 51.245 ;
+        RECT 307.575 51.075 307.745 51.245 ;
+        RECT 308.035 51.075 308.205 51.245 ;
+        RECT 308.495 51.075 308.665 51.245 ;
+        RECT 308.955 51.075 309.125 51.245 ;
+        RECT 309.415 51.075 309.585 51.245 ;
+        RECT 309.875 51.075 310.045 51.245 ;
+        RECT 310.335 51.075 310.505 51.245 ;
+        RECT 310.795 51.075 310.965 51.245 ;
+        RECT 311.255 51.075 311.425 51.245 ;
+        RECT 311.715 51.075 311.885 51.245 ;
+        RECT 312.175 51.075 312.345 51.245 ;
+        RECT 312.635 51.075 312.805 51.245 ;
+        RECT 313.095 51.075 313.265 51.245 ;
+        RECT 313.555 51.075 313.725 51.245 ;
+        RECT 314.015 51.075 314.185 51.245 ;
+        RECT 314.475 51.075 314.645 51.245 ;
+        RECT 314.935 51.075 315.105 51.245 ;
+        RECT 315.395 51.075 315.565 51.245 ;
+        RECT 315.855 51.075 316.025 51.245 ;
+        RECT 316.315 51.075 316.485 51.245 ;
+        RECT 316.775 51.075 316.945 51.245 ;
+        RECT 317.235 51.075 317.405 51.245 ;
+        RECT 317.695 51.075 317.865 51.245 ;
+        RECT 318.155 51.075 318.325 51.245 ;
+        RECT 318.615 51.075 318.785 51.245 ;
+        RECT 319.075 51.075 319.245 51.245 ;
+        RECT 319.535 51.075 319.705 51.245 ;
+        RECT 319.995 51.075 320.165 51.245 ;
+        RECT 320.455 51.075 320.625 51.245 ;
+        RECT 320.915 51.075 321.085 51.245 ;
+        RECT 321.375 51.075 321.545 51.245 ;
+        RECT 321.835 51.075 322.005 51.245 ;
+        RECT 322.295 51.075 322.465 51.245 ;
+        RECT 322.755 51.075 322.925 51.245 ;
+        RECT 323.215 51.075 323.385 51.245 ;
+        RECT 323.675 51.075 323.845 51.245 ;
+        RECT 324.135 51.075 324.305 51.245 ;
+        RECT 324.595 51.075 324.765 51.245 ;
+        RECT 325.055 51.075 325.225 51.245 ;
+        RECT 325.515 51.075 325.685 51.245 ;
+        RECT 325.975 51.075 326.145 51.245 ;
+        RECT 326.435 51.075 326.605 51.245 ;
+        RECT 326.895 51.075 327.065 51.245 ;
+        RECT 327.355 51.075 327.525 51.245 ;
+        RECT 327.815 51.075 327.985 51.245 ;
+        RECT 328.275 51.075 328.445 51.245 ;
+        RECT 328.735 51.075 328.905 51.245 ;
+        RECT 329.195 51.075 329.365 51.245 ;
+        RECT 329.655 51.075 329.825 51.245 ;
+        RECT 330.115 51.075 330.285 51.245 ;
+        RECT 330.575 51.075 330.745 51.245 ;
+        RECT 331.035 51.075 331.205 51.245 ;
+        RECT 331.495 51.075 331.665 51.245 ;
+        RECT 331.955 51.075 332.125 51.245 ;
+        RECT 332.415 51.075 332.585 51.245 ;
+        RECT 332.875 51.075 333.045 51.245 ;
+        RECT 333.335 51.075 333.505 51.245 ;
+        RECT 333.795 51.075 333.965 51.245 ;
+        RECT 334.255 51.075 334.425 51.245 ;
+        RECT 334.715 51.075 334.885 51.245 ;
+        RECT 335.175 51.075 335.345 51.245 ;
+        RECT 335.635 51.075 335.805 51.245 ;
+        RECT 336.095 51.075 336.265 51.245 ;
+        RECT 336.555 51.075 336.725 51.245 ;
+        RECT 337.015 51.075 337.185 51.245 ;
+        RECT 337.475 51.075 337.645 51.245 ;
+        RECT 337.935 51.075 338.105 51.245 ;
+        RECT 338.395 51.075 338.565 51.245 ;
+        RECT 338.855 51.075 339.025 51.245 ;
+        RECT 339.315 51.075 339.485 51.245 ;
+        RECT 339.775 51.075 339.945 51.245 ;
+        RECT 340.235 51.075 340.405 51.245 ;
+        RECT 340.695 51.075 340.865 51.245 ;
+        RECT 341.155 51.075 341.325 51.245 ;
+        RECT 341.615 51.075 341.785 51.245 ;
+        RECT 342.075 51.075 342.245 51.245 ;
+        RECT 342.535 51.075 342.705 51.245 ;
+        RECT 342.995 51.075 343.165 51.245 ;
+        RECT 343.455 51.075 343.625 51.245 ;
+        RECT 343.915 51.075 344.085 51.245 ;
+        RECT 344.375 51.075 344.545 51.245 ;
+        RECT 344.835 51.075 345.005 51.245 ;
+        RECT 345.295 51.075 345.465 51.245 ;
+        RECT 345.755 51.075 345.925 51.245 ;
+        RECT 346.215 51.075 346.385 51.245 ;
+        RECT 346.675 51.075 346.845 51.245 ;
+        RECT 347.135 51.075 347.305 51.245 ;
+        RECT 347.595 51.075 347.765 51.245 ;
+        RECT 348.055 51.075 348.225 51.245 ;
+        RECT 348.515 51.075 348.685 51.245 ;
+        RECT 348.975 51.075 349.145 51.245 ;
+        RECT 349.435 51.075 349.605 51.245 ;
+        RECT 349.895 51.075 350.065 51.245 ;
+        RECT 350.355 51.075 350.525 51.245 ;
+        RECT 350.815 51.075 350.985 51.245 ;
+        RECT 351.275 51.075 351.445 51.245 ;
+        RECT 351.735 51.075 351.905 51.245 ;
+        RECT 352.195 51.075 352.365 51.245 ;
+        RECT 352.655 51.075 352.825 51.245 ;
+        RECT 353.115 51.075 353.285 51.245 ;
+        RECT 353.575 51.075 353.745 51.245 ;
+        RECT 354.035 51.075 354.205 51.245 ;
+        RECT 354.495 51.075 354.665 51.245 ;
+        RECT 354.955 51.075 355.125 51.245 ;
+        RECT 355.415 51.075 355.585 51.245 ;
+        RECT 355.875 51.075 356.045 51.245 ;
+        RECT 356.335 51.075 356.505 51.245 ;
+        RECT 356.795 51.075 356.965 51.245 ;
+        RECT 357.255 51.075 357.425 51.245 ;
+        RECT 357.715 51.075 357.885 51.245 ;
+        RECT 358.175 51.075 358.345 51.245 ;
+        RECT 358.635 51.075 358.805 51.245 ;
+        RECT 359.095 51.075 359.265 51.245 ;
+        RECT 359.555 51.075 359.725 51.245 ;
+        RECT 360.015 51.075 360.185 51.245 ;
+        RECT 360.475 51.075 360.645 51.245 ;
+        RECT 360.935 51.075 361.105 51.245 ;
+        RECT 361.395 51.075 361.565 51.245 ;
+        RECT 361.855 51.075 362.025 51.245 ;
+        RECT 362.315 51.075 362.485 51.245 ;
+        RECT 362.775 51.075 362.945 51.245 ;
+        RECT 363.235 51.075 363.405 51.245 ;
+        RECT 363.695 51.075 363.865 51.245 ;
+        RECT 364.155 51.075 364.325 51.245 ;
+        RECT 364.615 51.075 364.785 51.245 ;
+        RECT 365.075 51.075 365.245 51.245 ;
+        RECT 365.535 51.075 365.705 51.245 ;
+        RECT 365.995 51.075 366.165 51.245 ;
+        RECT 366.455 51.075 366.625 51.245 ;
+        RECT 366.915 51.075 367.085 51.245 ;
+        RECT 367.375 51.075 367.545 51.245 ;
+        RECT 367.835 51.075 368.005 51.245 ;
+        RECT 368.295 51.075 368.465 51.245 ;
+        RECT 368.755 51.075 368.925 51.245 ;
+        RECT 369.215 51.075 369.385 51.245 ;
+        RECT 369.675 51.075 369.845 51.245 ;
+        RECT 370.135 51.075 370.305 51.245 ;
+        RECT 370.595 51.075 370.765 51.245 ;
+        RECT 371.055 51.075 371.225 51.245 ;
+        RECT 371.515 51.075 371.685 51.245 ;
+        RECT 371.975 51.075 372.145 51.245 ;
+        RECT 372.435 51.075 372.605 51.245 ;
+        RECT 372.895 51.075 373.065 51.245 ;
+        RECT 373.355 51.075 373.525 51.245 ;
+        RECT 373.815 51.075 373.985 51.245 ;
+        RECT 374.275 51.075 374.445 51.245 ;
+        RECT 374.735 51.075 374.905 51.245 ;
+        RECT 375.195 51.075 375.365 51.245 ;
+        RECT 375.655 51.075 375.825 51.245 ;
+        RECT 376.115 51.075 376.285 51.245 ;
+        RECT 376.575 51.075 376.745 51.245 ;
+        RECT 377.035 51.075 377.205 51.245 ;
+        RECT 377.495 51.075 377.665 51.245 ;
+        RECT 377.955 51.075 378.125 51.245 ;
+        RECT 378.415 51.075 378.585 51.245 ;
+        RECT 378.875 51.075 379.045 51.245 ;
+        RECT 379.335 51.075 379.505 51.245 ;
+        RECT 379.795 51.075 379.965 51.245 ;
+        RECT 380.255 51.075 380.425 51.245 ;
+        RECT 380.715 51.075 380.885 51.245 ;
+        RECT 381.175 51.075 381.345 51.245 ;
+        RECT 381.635 51.075 381.805 51.245 ;
+        RECT 382.095 51.075 382.265 51.245 ;
+        RECT 382.555 51.075 382.725 51.245 ;
+        RECT 383.015 51.075 383.185 51.245 ;
+        RECT 383.475 51.075 383.645 51.245 ;
+        RECT 383.935 51.075 384.105 51.245 ;
+        RECT 384.395 51.075 384.565 51.245 ;
+        RECT 384.855 51.075 385.025 51.245 ;
+        RECT 385.315 51.075 385.485 51.245 ;
+        RECT 385.775 51.075 385.945 51.245 ;
+        RECT 386.235 51.075 386.405 51.245 ;
+        RECT 386.695 51.075 386.865 51.245 ;
+        RECT 387.155 51.075 387.325 51.245 ;
+        RECT 387.615 51.075 387.785 51.245 ;
+        RECT 388.075 51.075 388.245 51.245 ;
+        RECT 388.535 51.075 388.705 51.245 ;
+        RECT 388.995 51.075 389.165 51.245 ;
+        RECT 389.455 51.075 389.625 51.245 ;
+        RECT 389.915 51.075 390.085 51.245 ;
+        RECT 390.375 51.075 390.545 51.245 ;
+        RECT 390.835 51.075 391.005 51.245 ;
+        RECT 391.295 51.075 391.465 51.245 ;
+        RECT 391.755 51.075 391.925 51.245 ;
+        RECT 392.215 51.075 392.385 51.245 ;
+        RECT 392.675 51.075 392.845 51.245 ;
+        RECT 393.135 51.075 393.305 51.245 ;
+        RECT 393.595 51.075 393.765 51.245 ;
+        RECT 394.055 51.075 394.225 51.245 ;
+        RECT 394.515 51.075 394.685 51.245 ;
+        RECT 394.975 51.075 395.145 51.245 ;
+        RECT 395.435 51.075 395.605 51.245 ;
+        RECT 395.895 51.075 396.065 51.245 ;
+        RECT 396.355 51.075 396.525 51.245 ;
+        RECT 396.815 51.075 396.985 51.245 ;
+        RECT 397.275 51.075 397.445 51.245 ;
+        RECT 397.735 51.075 397.905 51.245 ;
+        RECT 398.195 51.075 398.365 51.245 ;
+        RECT 398.655 51.075 398.825 51.245 ;
+        RECT 399.115 51.075 399.285 51.245 ;
+        RECT 399.575 51.075 399.745 51.245 ;
+        RECT 400.035 51.075 400.205 51.245 ;
+        RECT 400.495 51.075 400.665 51.245 ;
+        RECT 400.955 51.075 401.125 51.245 ;
+        RECT 401.415 51.075 401.585 51.245 ;
+        RECT 401.875 51.075 402.045 51.245 ;
+        RECT 402.335 51.075 402.505 51.245 ;
+        RECT 402.795 51.075 402.965 51.245 ;
+        RECT 403.255 51.075 403.425 51.245 ;
+        RECT 403.715 51.075 403.885 51.245 ;
+        RECT 404.175 51.075 404.345 51.245 ;
+        RECT 404.635 51.075 404.805 51.245 ;
+        RECT 405.095 51.075 405.265 51.245 ;
+        RECT 405.555 51.075 405.725 51.245 ;
+        RECT 406.015 51.075 406.185 51.245 ;
+        RECT 406.475 51.075 406.645 51.245 ;
+        RECT 406.935 51.075 407.105 51.245 ;
+        RECT 407.395 51.075 407.565 51.245 ;
+        RECT 407.855 51.075 408.025 51.245 ;
+        RECT 408.315 51.075 408.485 51.245 ;
+        RECT 408.775 51.075 408.945 51.245 ;
+        RECT 409.235 51.075 409.405 51.245 ;
+        RECT 409.695 51.075 409.865 51.245 ;
+        RECT 410.155 51.075 410.325 51.245 ;
+        RECT 410.615 51.075 410.785 51.245 ;
+        RECT 411.075 51.075 411.245 51.245 ;
+        RECT 411.535 51.075 411.705 51.245 ;
+        RECT 411.995 51.075 412.165 51.245 ;
+        RECT 412.455 51.075 412.625 51.245 ;
+        RECT 412.915 51.075 413.085 51.245 ;
+        RECT 413.375 51.075 413.545 51.245 ;
+        RECT 413.835 51.075 414.005 51.245 ;
+        RECT 414.295 51.075 414.465 51.245 ;
+        RECT 414.755 51.075 414.925 51.245 ;
+        RECT 415.215 51.075 415.385 51.245 ;
+        RECT 415.675 51.075 415.845 51.245 ;
+        RECT 416.135 51.075 416.305 51.245 ;
+        RECT 416.595 51.075 416.765 51.245 ;
+        RECT 417.055 51.075 417.225 51.245 ;
+        RECT 417.515 51.075 417.685 51.245 ;
+        RECT 417.975 51.075 418.145 51.245 ;
+        RECT 418.435 51.075 418.605 51.245 ;
+        RECT 418.895 51.075 419.065 51.245 ;
+        RECT 419.355 51.075 419.525 51.245 ;
+        RECT 419.815 51.075 419.985 51.245 ;
+        RECT 420.275 51.075 420.445 51.245 ;
+        RECT 420.735 51.075 420.905 51.245 ;
+        RECT 421.195 51.075 421.365 51.245 ;
+        RECT 421.655 51.075 421.825 51.245 ;
+        RECT 422.115 51.075 422.285 51.245 ;
+        RECT 422.575 51.075 422.745 51.245 ;
+        RECT 423.035 51.075 423.205 51.245 ;
+        RECT 423.495 51.075 423.665 51.245 ;
+        RECT 423.955 51.075 424.125 51.245 ;
+        RECT 424.415 51.075 424.585 51.245 ;
+        RECT 424.875 51.075 425.045 51.245 ;
+        RECT 425.335 51.075 425.505 51.245 ;
+        RECT 425.795 51.075 425.965 51.245 ;
+        RECT 426.255 51.075 426.425 51.245 ;
+        RECT 426.715 51.075 426.885 51.245 ;
+        RECT 427.175 51.075 427.345 51.245 ;
+        RECT 427.635 51.075 427.805 51.245 ;
+        RECT 428.095 51.075 428.265 51.245 ;
+        RECT 428.555 51.075 428.725 51.245 ;
+        RECT 429.015 51.075 429.185 51.245 ;
+        RECT 429.475 51.075 429.645 51.245 ;
+        RECT 429.935 51.075 430.105 51.245 ;
+        RECT 430.395 51.075 430.565 51.245 ;
+        RECT 430.855 51.075 431.025 51.245 ;
+        RECT 431.315 51.075 431.485 51.245 ;
+        RECT 431.775 51.075 431.945 51.245 ;
+        RECT 432.235 51.075 432.405 51.245 ;
+        RECT 432.695 51.075 432.865 51.245 ;
+        RECT 433.155 51.075 433.325 51.245 ;
+        RECT 433.615 51.075 433.785 51.245 ;
+        RECT 434.075 51.075 434.245 51.245 ;
+        RECT 434.535 51.075 434.705 51.245 ;
+        RECT 434.995 51.075 435.165 51.245 ;
+        RECT 435.455 51.075 435.625 51.245 ;
+        RECT 435.915 51.075 436.085 51.245 ;
+        RECT 436.375 51.075 436.545 51.245 ;
+        RECT 436.835 51.075 437.005 51.245 ;
+        RECT 437.295 51.075 437.465 51.245 ;
+        RECT 437.755 51.075 437.925 51.245 ;
+        RECT 438.215 51.075 438.385 51.245 ;
+        RECT 438.675 51.075 438.845 51.245 ;
+        RECT 439.135 51.075 439.305 51.245 ;
+        RECT 439.595 51.075 439.765 51.245 ;
+        RECT 440.055 51.075 440.225 51.245 ;
+        RECT 440.515 51.075 440.685 51.245 ;
+        RECT 440.975 51.075 441.145 51.245 ;
+        RECT 441.435 51.075 441.605 51.245 ;
+        RECT 441.895 51.075 442.065 51.245 ;
+        RECT 442.355 51.075 442.525 51.245 ;
+        RECT 442.815 51.075 442.985 51.245 ;
+        RECT 443.275 51.075 443.445 51.245 ;
+        RECT 443.735 51.075 443.905 51.245 ;
+        RECT 444.195 51.075 444.365 51.245 ;
+        RECT 444.655 51.075 444.825 51.245 ;
+        RECT 445.115 51.075 445.285 51.245 ;
+        RECT 445.575 51.075 445.745 51.245 ;
+        RECT 446.035 51.075 446.205 51.245 ;
+        RECT 446.495 51.075 446.665 51.245 ;
+        RECT 446.955 51.075 447.125 51.245 ;
+        RECT 447.415 51.075 447.585 51.245 ;
+        RECT 447.875 51.075 448.045 51.245 ;
+        RECT 448.335 51.075 448.505 51.245 ;
+        RECT 448.795 51.075 448.965 51.245 ;
+        RECT 449.255 51.075 449.425 51.245 ;
+        RECT 449.715 51.075 449.885 51.245 ;
+        RECT 450.175 51.075 450.345 51.245 ;
+        RECT 450.635 51.075 450.805 51.245 ;
+        RECT 451.095 51.075 451.265 51.245 ;
+        RECT 451.555 51.075 451.725 51.245 ;
+        RECT 452.015 51.075 452.185 51.245 ;
+        RECT 452.475 51.075 452.645 51.245 ;
+        RECT 452.935 51.075 453.105 51.245 ;
+        RECT 453.395 51.075 453.565 51.245 ;
+        RECT 453.855 51.075 454.025 51.245 ;
+        RECT 454.315 51.075 454.485 51.245 ;
+        RECT 454.775 51.075 454.945 51.245 ;
+        RECT 455.235 51.075 455.405 51.245 ;
+        RECT 455.695 51.075 455.865 51.245 ;
+        RECT 456.155 51.075 456.325 51.245 ;
+        RECT 456.615 51.075 456.785 51.245 ;
+        RECT 457.075 51.075 457.245 51.245 ;
+        RECT 457.535 51.075 457.705 51.245 ;
+        RECT 457.995 51.075 458.165 51.245 ;
+        RECT 458.455 51.075 458.625 51.245 ;
+        RECT 458.915 51.075 459.085 51.245 ;
+        RECT 459.375 51.075 459.545 51.245 ;
+        RECT 459.835 51.075 460.005 51.245 ;
+        RECT 460.295 51.075 460.465 51.245 ;
+        RECT 460.755 51.075 460.925 51.245 ;
+        RECT 461.215 51.075 461.385 51.245 ;
+        RECT 461.675 51.075 461.845 51.245 ;
+        RECT 462.135 51.075 462.305 51.245 ;
+        RECT 462.595 51.075 462.765 51.245 ;
+        RECT 463.055 51.075 463.225 51.245 ;
+        RECT 463.515 51.075 463.685 51.245 ;
+        RECT 463.975 51.075 464.145 51.245 ;
+        RECT 464.435 51.075 464.605 51.245 ;
+        RECT 464.895 51.075 465.065 51.245 ;
+        RECT 465.355 51.075 465.525 51.245 ;
+        RECT 465.815 51.075 465.985 51.245 ;
+        RECT 466.275 51.075 466.445 51.245 ;
+        RECT 466.735 51.075 466.905 51.245 ;
+        RECT 467.195 51.075 467.365 51.245 ;
+        RECT 467.655 51.075 467.825 51.245 ;
+        RECT 468.115 51.075 468.285 51.245 ;
+        RECT 468.575 51.075 468.745 51.245 ;
+        RECT 469.035 51.075 469.205 51.245 ;
+        RECT 469.495 51.075 469.665 51.245 ;
+        RECT 469.955 51.075 470.125 51.245 ;
+        RECT 470.415 51.075 470.585 51.245 ;
+        RECT 470.875 51.075 471.045 51.245 ;
+        RECT 471.335 51.075 471.505 51.245 ;
+        RECT 471.795 51.075 471.965 51.245 ;
+        RECT 472.255 51.075 472.425 51.245 ;
+        RECT 472.715 51.075 472.885 51.245 ;
+        RECT 473.175 51.075 473.345 51.245 ;
+        RECT 473.635 51.075 473.805 51.245 ;
+        RECT 474.095 51.075 474.265 51.245 ;
+        RECT 474.555 51.075 474.725 51.245 ;
+        RECT 475.015 51.075 475.185 51.245 ;
+        RECT 475.475 51.075 475.645 51.245 ;
+        RECT 475.935 51.075 476.105 51.245 ;
+        RECT 476.395 51.075 476.565 51.245 ;
+        RECT 476.855 51.075 477.025 51.245 ;
+        RECT 477.315 51.075 477.485 51.245 ;
+        RECT 477.775 51.075 477.945 51.245 ;
+        RECT 478.235 51.075 478.405 51.245 ;
+        RECT 478.695 51.075 478.865 51.245 ;
+        RECT 479.155 51.075 479.325 51.245 ;
+        RECT 479.615 51.075 479.785 51.245 ;
+        RECT 480.075 51.075 480.245 51.245 ;
+        RECT 480.535 51.075 480.705 51.245 ;
+        RECT 480.995 51.075 481.165 51.245 ;
+        RECT 481.455 51.075 481.625 51.245 ;
+        RECT 481.915 51.075 482.085 51.245 ;
+        RECT 482.375 51.075 482.545 51.245 ;
+        RECT 482.835 51.075 483.005 51.245 ;
+        RECT 483.295 51.075 483.465 51.245 ;
+        RECT 483.755 51.075 483.925 51.245 ;
+        RECT 484.215 51.075 484.385 51.245 ;
+        RECT 484.675 51.075 484.845 51.245 ;
+        RECT 485.135 51.075 485.305 51.245 ;
+        RECT 485.595 51.075 485.765 51.245 ;
+        RECT 486.055 51.075 486.225 51.245 ;
+        RECT 486.515 51.075 486.685 51.245 ;
+        RECT 486.975 51.075 487.145 51.245 ;
+        RECT 487.435 51.075 487.605 51.245 ;
+        RECT 487.895 51.075 488.065 51.245 ;
+        RECT 488.355 51.075 488.525 51.245 ;
+        RECT 488.815 51.075 488.985 51.245 ;
+        RECT 489.275 51.075 489.445 51.245 ;
+        RECT 489.735 51.075 489.905 51.245 ;
+        RECT 490.195 51.075 490.365 51.245 ;
+        RECT 490.655 51.075 490.825 51.245 ;
+        RECT 491.115 51.075 491.285 51.245 ;
+        RECT 491.575 51.075 491.745 51.245 ;
+        RECT 492.035 51.075 492.205 51.245 ;
+        RECT 492.495 51.075 492.665 51.245 ;
+        RECT 492.955 51.075 493.125 51.245 ;
+        RECT 493.415 51.075 493.585 51.245 ;
+        RECT 493.875 51.075 494.045 51.245 ;
+        RECT 494.335 51.075 494.505 51.245 ;
+        RECT 494.795 51.075 494.965 51.245 ;
+        RECT 495.255 51.075 495.425 51.245 ;
+        RECT 495.715 51.075 495.885 51.245 ;
+        RECT 496.175 51.075 496.345 51.245 ;
+        RECT 496.635 51.075 496.805 51.245 ;
+        RECT 497.095 51.075 497.265 51.245 ;
+        RECT 497.555 51.075 497.725 51.245 ;
+        RECT 498.015 51.075 498.185 51.245 ;
+        RECT 498.475 51.075 498.645 51.245 ;
+        RECT 498.935 51.075 499.105 51.245 ;
+        RECT 499.395 51.075 499.565 51.245 ;
+        RECT 499.855 51.075 500.025 51.245 ;
+        RECT 500.315 51.075 500.485 51.245 ;
+        RECT 500.775 51.075 500.945 51.245 ;
+        RECT 501.235 51.075 501.405 51.245 ;
+        RECT 501.695 51.075 501.865 51.245 ;
+        RECT 502.155 51.075 502.325 51.245 ;
+        RECT 502.615 51.075 502.785 51.245 ;
+        RECT 503.075 51.075 503.245 51.245 ;
+        RECT 503.535 51.075 503.705 51.245 ;
+        RECT 503.995 51.075 504.165 51.245 ;
+        RECT 504.455 51.075 504.625 51.245 ;
+        RECT 504.915 51.075 505.085 51.245 ;
+        RECT 505.375 51.075 505.545 51.245 ;
+        RECT 505.835 51.075 506.005 51.245 ;
+        RECT 506.295 51.075 506.465 51.245 ;
+        RECT 506.755 51.075 506.925 51.245 ;
+        RECT 507.215 51.075 507.385 51.245 ;
+        RECT 507.675 51.075 507.845 51.245 ;
+        RECT 508.135 51.075 508.305 51.245 ;
+        RECT 508.595 51.075 508.765 51.245 ;
+        RECT 509.055 51.075 509.225 51.245 ;
+        RECT 509.515 51.075 509.685 51.245 ;
+        RECT 509.975 51.075 510.145 51.245 ;
+        RECT 510.435 51.075 510.605 51.245 ;
+        RECT 510.895 51.075 511.065 51.245 ;
+        RECT 511.355 51.075 511.525 51.245 ;
+        RECT 511.815 51.075 511.985 51.245 ;
+        RECT 512.275 51.075 512.445 51.245 ;
+        RECT 512.735 51.075 512.905 51.245 ;
+        RECT 513.195 51.075 513.365 51.245 ;
+        RECT 513.655 51.075 513.825 51.245 ;
+        RECT 514.115 51.075 514.285 51.245 ;
+        RECT 514.575 51.075 514.745 51.245 ;
+        RECT 515.035 51.075 515.205 51.245 ;
+        RECT 515.495 51.075 515.665 51.245 ;
+        RECT 515.955 51.075 516.125 51.245 ;
+        RECT 516.415 51.075 516.585 51.245 ;
+        RECT 516.875 51.075 517.045 51.245 ;
+        RECT 517.335 51.075 517.505 51.245 ;
+        RECT 517.795 51.075 517.965 51.245 ;
+        RECT 518.255 51.075 518.425 51.245 ;
+        RECT 518.715 51.075 518.885 51.245 ;
+        RECT 519.175 51.075 519.345 51.245 ;
+        RECT 519.635 51.075 519.805 51.245 ;
+        RECT 520.095 51.075 520.265 51.245 ;
+        RECT 520.555 51.075 520.725 51.245 ;
+        RECT 521.015 51.075 521.185 51.245 ;
+        RECT 521.475 51.075 521.645 51.245 ;
+        RECT 521.935 51.075 522.105 51.245 ;
+        RECT 522.395 51.075 522.565 51.245 ;
+        RECT 522.855 51.075 523.025 51.245 ;
+        RECT 523.315 51.075 523.485 51.245 ;
+        RECT 523.775 51.075 523.945 51.245 ;
+        RECT 524.235 51.075 524.405 51.245 ;
+        RECT 524.695 51.075 524.865 51.245 ;
+        RECT 525.155 51.075 525.325 51.245 ;
+        RECT 525.615 51.075 525.785 51.245 ;
+        RECT 526.075 51.075 526.245 51.245 ;
+        RECT 526.535 51.075 526.705 51.245 ;
+        RECT 526.995 51.075 527.165 51.245 ;
+        RECT 527.455 51.075 527.625 51.245 ;
+        RECT 527.915 51.075 528.085 51.245 ;
+        RECT 528.375 51.075 528.545 51.245 ;
+        RECT 528.835 51.075 529.005 51.245 ;
+        RECT 529.295 51.075 529.465 51.245 ;
+        RECT 529.755 51.075 529.925 51.245 ;
+        RECT 530.215 51.075 530.385 51.245 ;
+        RECT 530.675 51.075 530.845 51.245 ;
+        RECT 531.135 51.075 531.305 51.245 ;
+        RECT 531.595 51.075 531.765 51.245 ;
+        RECT 532.055 51.075 532.225 51.245 ;
+        RECT 532.515 51.075 532.685 51.245 ;
+        RECT 532.975 51.075 533.145 51.245 ;
+        RECT 533.435 51.075 533.605 51.245 ;
+        RECT 533.895 51.075 534.065 51.245 ;
+        RECT 534.355 51.075 534.525 51.245 ;
+        RECT 534.815 51.075 534.985 51.245 ;
+        RECT 535.275 51.075 535.445 51.245 ;
+        RECT 535.735 51.075 535.905 51.245 ;
+        RECT 536.195 51.075 536.365 51.245 ;
+        RECT 536.655 51.075 536.825 51.245 ;
+        RECT 537.115 51.075 537.285 51.245 ;
+        RECT 537.575 51.075 537.745 51.245 ;
+        RECT 538.035 51.075 538.205 51.245 ;
+        RECT 538.495 51.075 538.665 51.245 ;
+        RECT 538.955 51.075 539.125 51.245 ;
+        RECT 539.415 51.075 539.585 51.245 ;
+        RECT 539.875 51.075 540.045 51.245 ;
+        RECT 540.335 51.075 540.505 51.245 ;
+        RECT 540.795 51.075 540.965 51.245 ;
+        RECT 541.255 51.075 541.425 51.245 ;
+        RECT 541.715 51.075 541.885 51.245 ;
+        RECT 542.175 51.075 542.345 51.245 ;
+        RECT 542.635 51.075 542.805 51.245 ;
+        RECT 543.095 51.075 543.265 51.245 ;
+        RECT 543.555 51.075 543.725 51.245 ;
+        RECT 544.015 51.075 544.185 51.245 ;
+        RECT 544.475 51.075 544.645 51.245 ;
+        RECT 544.935 51.075 545.105 51.245 ;
+        RECT 545.395 51.075 545.565 51.245 ;
+        RECT 545.855 51.075 546.025 51.245 ;
+        RECT 546.315 51.075 546.485 51.245 ;
+        RECT 546.775 51.075 546.945 51.245 ;
+        RECT 547.235 51.075 547.405 51.245 ;
+        RECT 547.695 51.075 547.865 51.245 ;
+        RECT 548.155 51.075 548.325 51.245 ;
+        RECT 548.615 51.075 548.785 51.245 ;
+        RECT 549.075 51.075 549.245 51.245 ;
+        RECT 549.535 51.075 549.705 51.245 ;
+        RECT 549.995 51.075 550.165 51.245 ;
+        RECT 550.455 51.075 550.625 51.245 ;
+        RECT 550.915 51.075 551.085 51.245 ;
+        RECT 551.375 51.075 551.545 51.245 ;
+        RECT 551.835 51.075 552.005 51.245 ;
+        RECT 552.295 51.075 552.465 51.245 ;
+        RECT 552.755 51.075 552.925 51.245 ;
+        RECT 553.215 51.075 553.385 51.245 ;
+        RECT 553.675 51.075 553.845 51.245 ;
+        RECT 554.135 51.075 554.305 51.245 ;
+        RECT 554.595 51.075 554.765 51.245 ;
+        RECT 555.055 51.075 555.225 51.245 ;
+        RECT 555.515 51.075 555.685 51.245 ;
+        RECT 555.975 51.075 556.145 51.245 ;
+        RECT 556.435 51.075 556.605 51.245 ;
+        RECT 556.895 51.075 557.065 51.245 ;
+        RECT 557.355 51.075 557.525 51.245 ;
+        RECT 557.815 51.075 557.985 51.245 ;
+        RECT 558.275 51.075 558.445 51.245 ;
+        RECT 558.735 51.075 558.905 51.245 ;
+        RECT 559.195 51.075 559.365 51.245 ;
+        RECT 559.655 51.075 559.825 51.245 ;
+        RECT 560.115 51.075 560.285 51.245 ;
+        RECT 560.575 51.075 560.745 51.245 ;
+        RECT 561.035 51.075 561.205 51.245 ;
+        RECT 561.495 51.075 561.665 51.245 ;
+        RECT 561.955 51.075 562.125 51.245 ;
+        RECT 562.415 51.075 562.585 51.245 ;
+        RECT 562.875 51.075 563.045 51.245 ;
+        RECT 563.335 51.075 563.505 51.245 ;
+        RECT 563.795 51.075 563.965 51.245 ;
+        RECT 564.255 51.075 564.425 51.245 ;
+        RECT 564.715 51.075 564.885 51.245 ;
+        RECT 565.175 51.075 565.345 51.245 ;
+        RECT 565.635 51.075 565.805 51.245 ;
+        RECT 566.095 51.075 566.265 51.245 ;
+        RECT 566.555 51.075 566.725 51.245 ;
+        RECT 567.015 51.075 567.185 51.245 ;
+        RECT 567.475 51.075 567.645 51.245 ;
+        RECT 567.935 51.075 568.105 51.245 ;
+        RECT 568.395 51.075 568.565 51.245 ;
+        RECT 568.855 51.075 569.025 51.245 ;
+        RECT 569.315 51.075 569.485 51.245 ;
+        RECT 569.775 51.075 569.945 51.245 ;
+        RECT 570.235 51.075 570.405 51.245 ;
+        RECT 570.695 51.075 570.865 51.245 ;
+        RECT 571.155 51.075 571.325 51.245 ;
+        RECT 571.615 51.075 571.785 51.245 ;
+        RECT 572.075 51.075 572.245 51.245 ;
+        RECT 572.535 51.075 572.705 51.245 ;
+        RECT 572.995 51.075 573.165 51.245 ;
+        RECT 573.455 51.075 573.625 51.245 ;
+        RECT 573.915 51.075 574.085 51.245 ;
+        RECT 574.375 51.075 574.545 51.245 ;
+        RECT 574.835 51.075 575.005 51.245 ;
+        RECT 575.295 51.075 575.465 51.245 ;
+        RECT 575.755 51.075 575.925 51.245 ;
+        RECT 576.215 51.075 576.385 51.245 ;
+        RECT 576.675 51.075 576.845 51.245 ;
+        RECT 577.135 51.075 577.305 51.245 ;
+        RECT 577.595 51.075 577.765 51.245 ;
+        RECT 578.055 51.075 578.225 51.245 ;
+        RECT 578.515 51.075 578.685 51.245 ;
+        RECT 578.975 51.075 579.145 51.245 ;
+        RECT 579.435 51.075 579.605 51.245 ;
+        RECT 579.895 51.075 580.065 51.245 ;
+        RECT 580.355 51.075 580.525 51.245 ;
+        RECT 580.815 51.075 580.985 51.245 ;
+        RECT 581.275 51.075 581.445 51.245 ;
+        RECT 581.735 51.075 581.905 51.245 ;
+        RECT 582.195 51.075 582.365 51.245 ;
+        RECT 582.655 51.075 582.825 51.245 ;
+        RECT 583.115 51.075 583.285 51.245 ;
+        RECT 583.575 51.075 583.745 51.245 ;
+        RECT 584.035 51.075 584.205 51.245 ;
+        RECT 584.495 51.075 584.665 51.245 ;
+        RECT 584.955 51.075 585.125 51.245 ;
+        RECT 585.415 51.075 585.585 51.245 ;
+        RECT 585.875 51.075 586.045 51.245 ;
+        RECT 586.335 51.075 586.505 51.245 ;
+        RECT 586.795 51.075 586.965 51.245 ;
+        RECT 587.255 51.075 587.425 51.245 ;
+        RECT 587.715 51.075 587.885 51.245 ;
+        RECT 588.175 51.075 588.345 51.245 ;
+        RECT 588.635 51.075 588.805 51.245 ;
+        RECT 589.095 51.075 589.265 51.245 ;
+        RECT 589.555 51.075 589.725 51.245 ;
+        RECT 590.015 51.075 590.185 51.245 ;
+        RECT 590.475 51.075 590.645 51.245 ;
+        RECT 590.935 51.075 591.105 51.245 ;
+        RECT 591.395 51.075 591.565 51.245 ;
+        RECT 591.855 51.075 592.025 51.245 ;
+        RECT 592.315 51.075 592.485 51.245 ;
+        RECT 592.775 51.075 592.945 51.245 ;
+        RECT 593.235 51.075 593.405 51.245 ;
+        RECT 593.695 51.075 593.865 51.245 ;
+        RECT 594.155 51.075 594.325 51.245 ;
+        RECT 594.615 51.075 594.785 51.245 ;
+        RECT 595.075 51.075 595.245 51.245 ;
+        RECT 595.535 51.075 595.705 51.245 ;
+        RECT 595.995 51.075 596.165 51.245 ;
+        RECT 596.455 51.075 596.625 51.245 ;
+        RECT 596.915 51.075 597.085 51.245 ;
+        RECT 597.375 51.075 597.545 51.245 ;
+        RECT 597.835 51.075 598.005 51.245 ;
+        RECT 598.295 51.075 598.465 51.245 ;
+        RECT 598.755 51.075 598.925 51.245 ;
+        RECT 599.215 51.075 599.385 51.245 ;
+        RECT 599.675 51.075 599.845 51.245 ;
+        RECT 600.135 51.075 600.305 51.245 ;
+        RECT 600.595 51.075 600.765 51.245 ;
+        RECT 601.055 51.075 601.225 51.245 ;
+        RECT 601.515 51.075 601.685 51.245 ;
+        RECT 601.975 51.075 602.145 51.245 ;
+        RECT 602.435 51.075 602.605 51.245 ;
+        RECT 602.895 51.075 603.065 51.245 ;
+        RECT 603.355 51.075 603.525 51.245 ;
+        RECT 603.815 51.075 603.985 51.245 ;
+        RECT 604.275 51.075 604.445 51.245 ;
+        RECT 604.735 51.075 604.905 51.245 ;
+        RECT 605.195 51.075 605.365 51.245 ;
+        RECT 605.655 51.075 605.825 51.245 ;
+        RECT 606.115 51.075 606.285 51.245 ;
+        RECT 606.575 51.075 606.745 51.245 ;
+        RECT 607.035 51.075 607.205 51.245 ;
+        RECT 607.495 51.075 607.665 51.245 ;
+        RECT 607.955 51.075 608.125 51.245 ;
+        RECT 608.415 51.075 608.585 51.245 ;
+        RECT 608.875 51.075 609.045 51.245 ;
+        RECT 609.335 51.075 609.505 51.245 ;
+        RECT 609.795 51.075 609.965 51.245 ;
+        RECT 610.255 51.075 610.425 51.245 ;
+        RECT 610.715 51.075 610.885 51.245 ;
+        RECT 611.175 51.075 611.345 51.245 ;
+        RECT 611.635 51.075 611.805 51.245 ;
+        RECT 612.095 51.075 612.265 51.245 ;
+        RECT 612.555 51.075 612.725 51.245 ;
+        RECT 613.015 51.075 613.185 51.245 ;
+        RECT 613.475 51.075 613.645 51.245 ;
+        RECT 613.935 51.075 614.105 51.245 ;
+        RECT 614.395 51.075 614.565 51.245 ;
+        RECT 614.855 51.075 615.025 51.245 ;
+        RECT 615.315 51.075 615.485 51.245 ;
+        RECT 615.775 51.075 615.945 51.245 ;
+        RECT 616.235 51.075 616.405 51.245 ;
+        RECT 616.695 51.075 616.865 51.245 ;
+        RECT 617.155 51.075 617.325 51.245 ;
+        RECT 617.615 51.075 617.785 51.245 ;
+        RECT 618.075 51.075 618.245 51.245 ;
+        RECT 618.535 51.075 618.705 51.245 ;
+        RECT 618.995 51.075 619.165 51.245 ;
+        RECT 619.455 51.075 619.625 51.245 ;
+        RECT 619.915 51.075 620.085 51.245 ;
+        RECT 620.375 51.075 620.545 51.245 ;
+        RECT 620.835 51.075 621.005 51.245 ;
+        RECT 621.295 51.075 621.465 51.245 ;
+        RECT 621.755 51.075 621.925 51.245 ;
+        RECT 622.215 51.075 622.385 51.245 ;
+        RECT 622.675 51.075 622.845 51.245 ;
+        RECT 623.135 51.075 623.305 51.245 ;
+        RECT 623.595 51.075 623.765 51.245 ;
+        RECT 624.055 51.075 624.225 51.245 ;
+        RECT 624.515 51.075 624.685 51.245 ;
+        RECT 624.975 51.075 625.145 51.245 ;
+        RECT 625.435 51.075 625.605 51.245 ;
+        RECT 625.895 51.075 626.065 51.245 ;
+        RECT 626.355 51.075 626.525 51.245 ;
+        RECT 626.815 51.075 626.985 51.245 ;
+        RECT 627.275 51.075 627.445 51.245 ;
+        RECT 627.735 51.075 627.905 51.245 ;
+        RECT 628.195 51.075 628.365 51.245 ;
+        RECT 628.655 51.075 628.825 51.245 ;
+        RECT 629.115 51.075 629.285 51.245 ;
+        RECT 629.575 51.075 629.745 51.245 ;
+        RECT 630.035 51.075 630.205 51.245 ;
+        RECT 630.495 51.075 630.665 51.245 ;
+        RECT 630.955 51.075 631.125 51.245 ;
+        RECT 73.435 50.565 73.605 50.735 ;
+        RECT 72.975 49.885 73.145 50.055 ;
+        RECT 78.035 49.885 78.205 50.055 ;
+        RECT 78.955 49.885 79.125 50.055 ;
+        RECT 80.795 49.885 80.965 50.055 ;
+        RECT 85.855 49.885 86.025 50.055 ;
+        RECT 86.775 48.865 86.945 49.035 ;
+        RECT 91.375 49.545 91.545 49.715 ;
+        RECT 91.840 49.205 92.010 49.375 ;
+        RECT 92.300 50.225 92.470 50.395 ;
+        RECT 92.755 49.885 92.925 50.055 ;
+        RECT 93.700 50.225 93.870 50.395 ;
+        RECT 95.540 50.225 95.710 50.395 ;
+        RECT 94.160 49.205 94.330 49.375 ;
+        RECT 95.540 49.205 95.710 49.375 ;
+        RECT 105.175 49.885 105.345 50.055 ;
+        RECT 107.935 49.885 108.105 50.055 ;
+        RECT 108.855 49.885 109.025 50.055 ;
+        RECT 99.195 48.865 99.365 49.035 ;
+        RECT 113.455 49.885 113.625 50.055 ;
+        RECT 113.920 49.205 114.090 49.375 ;
+        RECT 114.380 50.225 114.550 50.395 ;
+        RECT 114.835 49.885 115.005 50.055 ;
+        RECT 115.780 50.225 115.950 50.395 ;
+        RECT 117.620 50.225 117.790 50.395 ;
+        RECT 116.240 49.205 116.410 49.375 ;
+        RECT 117.620 49.205 117.790 49.375 ;
+        RECT 120.355 48.865 120.525 49.035 ;
+        RECT 125.875 49.545 126.045 49.715 ;
+        RECT 126.340 49.205 126.510 49.375 ;
+        RECT 126.800 50.225 126.970 50.395 ;
+        RECT 127.255 49.885 127.425 50.055 ;
+        RECT 128.200 50.225 128.370 50.395 ;
+        RECT 130.040 50.225 130.210 50.395 ;
+        RECT 128.660 49.205 128.830 49.375 ;
+        RECT 130.040 49.205 130.210 49.375 ;
+        RECT 133.695 50.565 133.865 50.735 ;
+        RECT 141.515 49.885 141.685 50.055 ;
+        RECT 141.980 49.205 142.150 49.375 ;
+        RECT 142.440 50.225 142.610 50.395 ;
+        RECT 142.895 49.885 143.065 50.055 ;
+        RECT 143.840 50.225 144.010 50.395 ;
+        RECT 145.680 50.225 145.850 50.395 ;
+        RECT 144.300 49.205 144.470 49.375 ;
+        RECT 145.680 49.205 145.850 49.375 ;
+        RECT 153.935 49.545 154.105 49.715 ;
+        RECT 154.400 49.205 154.570 49.375 ;
+        RECT 154.860 50.225 155.030 50.395 ;
+        RECT 155.315 49.885 155.485 50.055 ;
+        RECT 156.260 50.225 156.430 50.395 ;
+        RECT 158.100 50.225 158.270 50.395 ;
+        RECT 156.720 49.205 156.890 49.375 ;
+        RECT 158.100 49.205 158.270 49.375 ;
+        RECT 169.575 49.885 169.745 50.055 ;
+        RECT 160.835 48.865 161.005 49.035 ;
+        RECT 170.040 49.205 170.210 49.375 ;
+        RECT 170.500 50.225 170.670 50.395 ;
+        RECT 170.955 49.885 171.125 50.055 ;
+        RECT 171.900 50.225 172.070 50.395 ;
+        RECT 173.740 50.225 173.910 50.395 ;
+        RECT 172.360 49.205 172.530 49.375 ;
+        RECT 173.740 49.205 173.910 49.375 ;
+        RECT 176.475 48.865 176.645 49.035 ;
+        RECT 181.995 49.885 182.165 50.055 ;
+        RECT 182.460 49.205 182.630 49.375 ;
+        RECT 182.920 50.225 183.090 50.395 ;
+        RECT 183.375 49.885 183.545 50.055 ;
+        RECT 184.320 50.225 184.490 50.395 ;
+        RECT 186.160 50.225 186.330 50.395 ;
+        RECT 184.780 49.205 184.950 49.375 ;
+        RECT 186.160 49.205 186.330 49.375 ;
+        RECT 188.895 48.865 189.065 49.035 ;
+        RECT 195.335 49.885 195.505 50.055 ;
+        RECT 194.415 49.205 194.585 49.375 ;
+        RECT 197.635 49.545 197.805 49.715 ;
+        RECT 198.100 49.205 198.270 49.375 ;
+        RECT 198.560 50.225 198.730 50.395 ;
+        RECT 199.015 49.885 199.185 50.055 ;
+        RECT 199.960 50.225 200.130 50.395 ;
+        RECT 201.800 50.225 201.970 50.395 ;
+        RECT 200.420 49.205 200.590 49.375 ;
+        RECT 201.800 49.205 201.970 49.375 ;
+        RECT 204.535 48.865 204.705 49.035 ;
+        RECT 210.975 49.885 211.145 50.055 ;
+        RECT 210.515 49.545 210.685 49.715 ;
+        RECT 212.360 49.545 212.530 49.715 ;
+        RECT 213.735 49.885 213.905 50.055 ;
+        RECT 214.655 49.885 214.825 50.055 ;
+        RECT 215.140 49.545 215.310 49.715 ;
+        RECT 230.755 50.225 230.925 50.395 ;
+        RECT 216.035 48.865 216.205 49.035 ;
+        RECT 230.295 49.885 230.465 50.055 ;
+        RECT 231.215 49.885 231.385 50.055 ;
+        RECT 232.135 48.865 232.305 49.035 ;
+        RECT 238.575 49.885 238.745 50.055 ;
+        RECT 238.115 49.545 238.285 49.715 ;
+        RECT 239.960 49.545 240.130 49.715 ;
+        RECT 241.335 49.885 241.505 50.055 ;
+        RECT 242.255 49.885 242.425 50.055 ;
+        RECT 242.740 49.545 242.910 49.715 ;
+        RECT 259.735 50.565 259.905 50.735 ;
+        RECT 253.755 49.885 253.925 50.055 ;
+        RECT 254.675 49.885 254.845 50.055 ;
+        RECT 243.635 48.865 243.805 49.035 ;
+        RECT 256.060 49.545 256.230 49.715 ;
+        RECT 256.975 49.885 257.145 50.055 ;
+        RECT 257.435 49.885 257.605 50.055 ;
+        RECT 258.840 49.545 259.010 49.715 ;
+        RECT 264.795 49.885 264.965 50.055 ;
+        RECT 265.715 49.205 265.885 49.375 ;
+        RECT 271.235 49.885 271.405 50.055 ;
+        RECT 270.315 49.545 270.485 49.715 ;
+        RECT 272.620 49.545 272.790 49.715 ;
+        RECT 273.535 49.885 273.705 50.055 ;
+        RECT 273.995 49.885 274.165 50.055 ;
+        RECT 275.400 49.545 275.570 49.715 ;
+        RECT 283.195 49.885 283.365 50.055 ;
+        RECT 284.115 49.885 284.285 50.055 ;
+        RECT 276.295 48.865 276.465 49.035 ;
+        RECT 284.575 49.545 284.745 49.715 ;
+        RECT 290.555 49.885 290.725 50.055 ;
+        RECT 290.095 49.545 290.265 49.715 ;
+        RECT 291.940 49.545 292.110 49.715 ;
+        RECT 293.315 49.885 293.485 50.055 ;
+        RECT 294.235 49.885 294.405 50.055 ;
+        RECT 294.720 49.545 294.890 49.715 ;
+        RECT 300.675 49.885 300.845 50.055 ;
+        RECT 303.435 49.885 303.605 50.055 ;
+        RECT 302.975 49.545 303.145 49.715 ;
+        RECT 314.935 50.565 315.105 50.735 ;
+        RECT 315.855 49.885 316.025 50.055 ;
+        RECT 317.235 49.885 317.405 50.055 ;
+        RECT 324.135 49.885 324.305 50.055 ;
+        RECT 323.675 49.545 323.845 49.715 ;
+        RECT 325.520 49.545 325.690 49.715 ;
+        RECT 326.895 49.885 327.065 50.055 ;
+        RECT 327.815 49.885 327.985 50.055 ;
+        RECT 328.300 49.545 328.470 49.715 ;
+        RECT 337.935 49.885 338.105 50.055 ;
+        RECT 349.435 50.565 349.605 50.735 ;
+        RECT 344.375 49.885 344.545 50.055 ;
+        RECT 343.455 49.545 343.625 49.715 ;
+        RECT 345.760 49.545 345.930 49.715 ;
+        RECT 346.705 49.885 346.875 50.055 ;
+        RECT 347.135 49.885 347.305 50.055 ;
+        RECT 354.955 50.565 355.125 50.735 ;
+        RECT 348.540 49.545 348.710 49.715 ;
+        RECT 355.875 49.885 356.045 50.055 ;
+        RECT 356.795 49.885 356.965 50.055 ;
+        RECT 367.375 49.885 367.545 50.055 ;
+        RECT 368.985 49.885 369.155 50.055 ;
+        RECT 375.195 50.565 375.365 50.735 ;
+        RECT 368.295 49.545 368.465 49.715 ;
+        RECT 374.735 49.885 374.905 50.055 ;
+        RECT 376.115 49.885 376.285 50.055 ;
+        RECT 382.555 48.865 382.725 49.035 ;
+        RECT 387.615 49.205 387.785 49.375 ;
+        RECT 394.975 48.865 395.145 49.035 ;
+        RECT 400.035 48.865 400.205 49.035 ;
+        RECT 405.095 48.865 405.265 49.035 ;
+        RECT 414.295 48.865 414.465 49.035 ;
+        RECT 429.015 48.865 429.185 49.035 ;
+        RECT 436.375 48.865 436.545 49.035 ;
+        RECT 451.095 48.865 451.265 49.035 ;
+        RECT 458.455 48.865 458.625 49.035 ;
+        RECT 469.495 48.865 469.665 49.035 ;
+        RECT 480.535 48.865 480.705 49.035 ;
+        RECT 487.895 48.865 488.065 49.035 ;
+        RECT 513.655 48.865 513.825 49.035 ;
+        RECT 521.015 48.865 521.185 49.035 ;
+        RECT 535.735 48.865 535.905 49.035 ;
+        RECT 543.095 48.865 543.265 49.035 ;
+        RECT 554.135 48.865 554.305 49.035 ;
+        RECT 564.715 48.865 564.885 49.035 ;
+        RECT 572.075 48.865 572.245 49.035 ;
+        RECT 597.835 48.865 598.005 49.035 ;
+        RECT 619.915 48.865 620.085 49.035 ;
+        RECT 624.975 48.865 625.145 49.035 ;
+        RECT 42.615 48.355 42.785 48.525 ;
+        RECT 43.075 48.355 43.245 48.525 ;
+        RECT 43.535 48.355 43.705 48.525 ;
+        RECT 43.995 48.355 44.165 48.525 ;
+        RECT 44.455 48.355 44.625 48.525 ;
+        RECT 44.915 48.355 45.085 48.525 ;
+        RECT 45.375 48.355 45.545 48.525 ;
+        RECT 45.835 48.355 46.005 48.525 ;
+        RECT 46.295 48.355 46.465 48.525 ;
+        RECT 46.755 48.355 46.925 48.525 ;
+        RECT 47.215 48.355 47.385 48.525 ;
+        RECT 47.675 48.355 47.845 48.525 ;
+        RECT 48.135 48.355 48.305 48.525 ;
+        RECT 48.595 48.355 48.765 48.525 ;
+        RECT 49.055 48.355 49.225 48.525 ;
+        RECT 49.515 48.355 49.685 48.525 ;
+        RECT 49.975 48.355 50.145 48.525 ;
+        RECT 50.435 48.355 50.605 48.525 ;
+        RECT 50.895 48.355 51.065 48.525 ;
+        RECT 51.355 48.355 51.525 48.525 ;
+        RECT 51.815 48.355 51.985 48.525 ;
+        RECT 52.275 48.355 52.445 48.525 ;
+        RECT 52.735 48.355 52.905 48.525 ;
+        RECT 53.195 48.355 53.365 48.525 ;
+        RECT 53.655 48.355 53.825 48.525 ;
+        RECT 54.115 48.355 54.285 48.525 ;
+        RECT 54.575 48.355 54.745 48.525 ;
+        RECT 55.035 48.355 55.205 48.525 ;
+        RECT 55.495 48.355 55.665 48.525 ;
+        RECT 55.955 48.355 56.125 48.525 ;
+        RECT 56.415 48.355 56.585 48.525 ;
+        RECT 56.875 48.355 57.045 48.525 ;
+        RECT 57.335 48.355 57.505 48.525 ;
+        RECT 57.795 48.355 57.965 48.525 ;
+        RECT 58.255 48.355 58.425 48.525 ;
+        RECT 58.715 48.355 58.885 48.525 ;
+        RECT 59.175 48.355 59.345 48.525 ;
+        RECT 59.635 48.355 59.805 48.525 ;
+        RECT 60.095 48.355 60.265 48.525 ;
+        RECT 60.555 48.355 60.725 48.525 ;
+        RECT 61.015 48.355 61.185 48.525 ;
+        RECT 61.475 48.355 61.645 48.525 ;
+        RECT 61.935 48.355 62.105 48.525 ;
+        RECT 62.395 48.355 62.565 48.525 ;
+        RECT 62.855 48.355 63.025 48.525 ;
+        RECT 63.315 48.355 63.485 48.525 ;
+        RECT 63.775 48.355 63.945 48.525 ;
+        RECT 64.235 48.355 64.405 48.525 ;
+        RECT 64.695 48.355 64.865 48.525 ;
+        RECT 65.155 48.355 65.325 48.525 ;
+        RECT 65.615 48.355 65.785 48.525 ;
+        RECT 66.075 48.355 66.245 48.525 ;
+        RECT 66.535 48.355 66.705 48.525 ;
+        RECT 66.995 48.355 67.165 48.525 ;
+        RECT 67.455 48.355 67.625 48.525 ;
+        RECT 67.915 48.355 68.085 48.525 ;
+        RECT 68.375 48.355 68.545 48.525 ;
+        RECT 68.835 48.355 69.005 48.525 ;
+        RECT 69.295 48.355 69.465 48.525 ;
+        RECT 69.755 48.355 69.925 48.525 ;
+        RECT 70.215 48.355 70.385 48.525 ;
+        RECT 70.675 48.355 70.845 48.525 ;
+        RECT 71.135 48.355 71.305 48.525 ;
+        RECT 71.595 48.355 71.765 48.525 ;
+        RECT 72.055 48.355 72.225 48.525 ;
+        RECT 72.515 48.355 72.685 48.525 ;
+        RECT 72.975 48.355 73.145 48.525 ;
+        RECT 73.435 48.355 73.605 48.525 ;
+        RECT 73.895 48.355 74.065 48.525 ;
+        RECT 74.355 48.355 74.525 48.525 ;
+        RECT 74.815 48.355 74.985 48.525 ;
+        RECT 75.275 48.355 75.445 48.525 ;
+        RECT 75.735 48.355 75.905 48.525 ;
+        RECT 76.195 48.355 76.365 48.525 ;
+        RECT 76.655 48.355 76.825 48.525 ;
+        RECT 77.115 48.355 77.285 48.525 ;
+        RECT 77.575 48.355 77.745 48.525 ;
+        RECT 78.035 48.355 78.205 48.525 ;
+        RECT 78.495 48.355 78.665 48.525 ;
+        RECT 78.955 48.355 79.125 48.525 ;
+        RECT 79.415 48.355 79.585 48.525 ;
+        RECT 79.875 48.355 80.045 48.525 ;
+        RECT 80.335 48.355 80.505 48.525 ;
+        RECT 80.795 48.355 80.965 48.525 ;
+        RECT 81.255 48.355 81.425 48.525 ;
+        RECT 81.715 48.355 81.885 48.525 ;
+        RECT 82.175 48.355 82.345 48.525 ;
+        RECT 82.635 48.355 82.805 48.525 ;
+        RECT 83.095 48.355 83.265 48.525 ;
+        RECT 83.555 48.355 83.725 48.525 ;
+        RECT 84.015 48.355 84.185 48.525 ;
+        RECT 84.475 48.355 84.645 48.525 ;
+        RECT 84.935 48.355 85.105 48.525 ;
+        RECT 85.395 48.355 85.565 48.525 ;
+        RECT 85.855 48.355 86.025 48.525 ;
+        RECT 86.315 48.355 86.485 48.525 ;
+        RECT 86.775 48.355 86.945 48.525 ;
+        RECT 87.235 48.355 87.405 48.525 ;
+        RECT 87.695 48.355 87.865 48.525 ;
+        RECT 88.155 48.355 88.325 48.525 ;
+        RECT 88.615 48.355 88.785 48.525 ;
+        RECT 89.075 48.355 89.245 48.525 ;
+        RECT 89.535 48.355 89.705 48.525 ;
+        RECT 89.995 48.355 90.165 48.525 ;
+        RECT 90.455 48.355 90.625 48.525 ;
+        RECT 90.915 48.355 91.085 48.525 ;
+        RECT 91.375 48.355 91.545 48.525 ;
+        RECT 91.835 48.355 92.005 48.525 ;
+        RECT 92.295 48.355 92.465 48.525 ;
+        RECT 92.755 48.355 92.925 48.525 ;
+        RECT 93.215 48.355 93.385 48.525 ;
+        RECT 93.675 48.355 93.845 48.525 ;
+        RECT 94.135 48.355 94.305 48.525 ;
+        RECT 94.595 48.355 94.765 48.525 ;
+        RECT 95.055 48.355 95.225 48.525 ;
+        RECT 95.515 48.355 95.685 48.525 ;
+        RECT 95.975 48.355 96.145 48.525 ;
+        RECT 96.435 48.355 96.605 48.525 ;
+        RECT 96.895 48.355 97.065 48.525 ;
+        RECT 97.355 48.355 97.525 48.525 ;
+        RECT 97.815 48.355 97.985 48.525 ;
+        RECT 98.275 48.355 98.445 48.525 ;
+        RECT 98.735 48.355 98.905 48.525 ;
+        RECT 99.195 48.355 99.365 48.525 ;
+        RECT 99.655 48.355 99.825 48.525 ;
+        RECT 100.115 48.355 100.285 48.525 ;
+        RECT 100.575 48.355 100.745 48.525 ;
+        RECT 101.035 48.355 101.205 48.525 ;
+        RECT 101.495 48.355 101.665 48.525 ;
+        RECT 101.955 48.355 102.125 48.525 ;
+        RECT 102.415 48.355 102.585 48.525 ;
+        RECT 102.875 48.355 103.045 48.525 ;
+        RECT 103.335 48.355 103.505 48.525 ;
+        RECT 103.795 48.355 103.965 48.525 ;
+        RECT 104.255 48.355 104.425 48.525 ;
+        RECT 104.715 48.355 104.885 48.525 ;
+        RECT 105.175 48.355 105.345 48.525 ;
+        RECT 105.635 48.355 105.805 48.525 ;
+        RECT 106.095 48.355 106.265 48.525 ;
+        RECT 106.555 48.355 106.725 48.525 ;
+        RECT 107.015 48.355 107.185 48.525 ;
+        RECT 107.475 48.355 107.645 48.525 ;
+        RECT 107.935 48.355 108.105 48.525 ;
+        RECT 108.395 48.355 108.565 48.525 ;
+        RECT 108.855 48.355 109.025 48.525 ;
+        RECT 109.315 48.355 109.485 48.525 ;
+        RECT 109.775 48.355 109.945 48.525 ;
+        RECT 110.235 48.355 110.405 48.525 ;
+        RECT 110.695 48.355 110.865 48.525 ;
+        RECT 111.155 48.355 111.325 48.525 ;
+        RECT 111.615 48.355 111.785 48.525 ;
+        RECT 112.075 48.355 112.245 48.525 ;
+        RECT 112.535 48.355 112.705 48.525 ;
+        RECT 112.995 48.355 113.165 48.525 ;
+        RECT 113.455 48.355 113.625 48.525 ;
+        RECT 113.915 48.355 114.085 48.525 ;
+        RECT 114.375 48.355 114.545 48.525 ;
+        RECT 114.835 48.355 115.005 48.525 ;
+        RECT 115.295 48.355 115.465 48.525 ;
+        RECT 115.755 48.355 115.925 48.525 ;
+        RECT 116.215 48.355 116.385 48.525 ;
+        RECT 116.675 48.355 116.845 48.525 ;
+        RECT 117.135 48.355 117.305 48.525 ;
+        RECT 117.595 48.355 117.765 48.525 ;
+        RECT 118.055 48.355 118.225 48.525 ;
+        RECT 118.515 48.355 118.685 48.525 ;
+        RECT 118.975 48.355 119.145 48.525 ;
+        RECT 119.435 48.355 119.605 48.525 ;
+        RECT 119.895 48.355 120.065 48.525 ;
+        RECT 120.355 48.355 120.525 48.525 ;
+        RECT 120.815 48.355 120.985 48.525 ;
+        RECT 121.275 48.355 121.445 48.525 ;
+        RECT 121.735 48.355 121.905 48.525 ;
+        RECT 122.195 48.355 122.365 48.525 ;
+        RECT 122.655 48.355 122.825 48.525 ;
+        RECT 123.115 48.355 123.285 48.525 ;
+        RECT 123.575 48.355 123.745 48.525 ;
+        RECT 124.035 48.355 124.205 48.525 ;
+        RECT 124.495 48.355 124.665 48.525 ;
+        RECT 124.955 48.355 125.125 48.525 ;
+        RECT 125.415 48.355 125.585 48.525 ;
+        RECT 125.875 48.355 126.045 48.525 ;
+        RECT 126.335 48.355 126.505 48.525 ;
+        RECT 126.795 48.355 126.965 48.525 ;
+        RECT 127.255 48.355 127.425 48.525 ;
+        RECT 127.715 48.355 127.885 48.525 ;
+        RECT 128.175 48.355 128.345 48.525 ;
+        RECT 128.635 48.355 128.805 48.525 ;
+        RECT 129.095 48.355 129.265 48.525 ;
+        RECT 129.555 48.355 129.725 48.525 ;
+        RECT 130.015 48.355 130.185 48.525 ;
+        RECT 130.475 48.355 130.645 48.525 ;
+        RECT 130.935 48.355 131.105 48.525 ;
+        RECT 131.395 48.355 131.565 48.525 ;
+        RECT 131.855 48.355 132.025 48.525 ;
+        RECT 132.315 48.355 132.485 48.525 ;
+        RECT 132.775 48.355 132.945 48.525 ;
+        RECT 133.235 48.355 133.405 48.525 ;
+        RECT 133.695 48.355 133.865 48.525 ;
+        RECT 134.155 48.355 134.325 48.525 ;
+        RECT 134.615 48.355 134.785 48.525 ;
+        RECT 135.075 48.355 135.245 48.525 ;
+        RECT 135.535 48.355 135.705 48.525 ;
+        RECT 135.995 48.355 136.165 48.525 ;
+        RECT 136.455 48.355 136.625 48.525 ;
+        RECT 136.915 48.355 137.085 48.525 ;
+        RECT 137.375 48.355 137.545 48.525 ;
+        RECT 137.835 48.355 138.005 48.525 ;
+        RECT 138.295 48.355 138.465 48.525 ;
+        RECT 138.755 48.355 138.925 48.525 ;
+        RECT 139.215 48.355 139.385 48.525 ;
+        RECT 139.675 48.355 139.845 48.525 ;
+        RECT 140.135 48.355 140.305 48.525 ;
+        RECT 140.595 48.355 140.765 48.525 ;
+        RECT 141.055 48.355 141.225 48.525 ;
+        RECT 141.515 48.355 141.685 48.525 ;
+        RECT 141.975 48.355 142.145 48.525 ;
+        RECT 142.435 48.355 142.605 48.525 ;
+        RECT 142.895 48.355 143.065 48.525 ;
+        RECT 143.355 48.355 143.525 48.525 ;
+        RECT 143.815 48.355 143.985 48.525 ;
+        RECT 144.275 48.355 144.445 48.525 ;
+        RECT 144.735 48.355 144.905 48.525 ;
+        RECT 145.195 48.355 145.365 48.525 ;
+        RECT 145.655 48.355 145.825 48.525 ;
+        RECT 146.115 48.355 146.285 48.525 ;
+        RECT 146.575 48.355 146.745 48.525 ;
+        RECT 147.035 48.355 147.205 48.525 ;
+        RECT 147.495 48.355 147.665 48.525 ;
+        RECT 147.955 48.355 148.125 48.525 ;
+        RECT 148.415 48.355 148.585 48.525 ;
+        RECT 148.875 48.355 149.045 48.525 ;
+        RECT 149.335 48.355 149.505 48.525 ;
+        RECT 149.795 48.355 149.965 48.525 ;
+        RECT 150.255 48.355 150.425 48.525 ;
+        RECT 150.715 48.355 150.885 48.525 ;
+        RECT 151.175 48.355 151.345 48.525 ;
+        RECT 151.635 48.355 151.805 48.525 ;
+        RECT 152.095 48.355 152.265 48.525 ;
+        RECT 152.555 48.355 152.725 48.525 ;
+        RECT 153.015 48.355 153.185 48.525 ;
+        RECT 153.475 48.355 153.645 48.525 ;
+        RECT 153.935 48.355 154.105 48.525 ;
+        RECT 154.395 48.355 154.565 48.525 ;
+        RECT 154.855 48.355 155.025 48.525 ;
+        RECT 155.315 48.355 155.485 48.525 ;
+        RECT 155.775 48.355 155.945 48.525 ;
+        RECT 156.235 48.355 156.405 48.525 ;
+        RECT 156.695 48.355 156.865 48.525 ;
+        RECT 157.155 48.355 157.325 48.525 ;
+        RECT 157.615 48.355 157.785 48.525 ;
+        RECT 158.075 48.355 158.245 48.525 ;
+        RECT 158.535 48.355 158.705 48.525 ;
+        RECT 158.995 48.355 159.165 48.525 ;
+        RECT 159.455 48.355 159.625 48.525 ;
+        RECT 159.915 48.355 160.085 48.525 ;
+        RECT 160.375 48.355 160.545 48.525 ;
+        RECT 160.835 48.355 161.005 48.525 ;
+        RECT 161.295 48.355 161.465 48.525 ;
+        RECT 161.755 48.355 161.925 48.525 ;
+        RECT 162.215 48.355 162.385 48.525 ;
+        RECT 162.675 48.355 162.845 48.525 ;
+        RECT 163.135 48.355 163.305 48.525 ;
+        RECT 163.595 48.355 163.765 48.525 ;
+        RECT 164.055 48.355 164.225 48.525 ;
+        RECT 164.515 48.355 164.685 48.525 ;
+        RECT 164.975 48.355 165.145 48.525 ;
+        RECT 165.435 48.355 165.605 48.525 ;
+        RECT 165.895 48.355 166.065 48.525 ;
+        RECT 166.355 48.355 166.525 48.525 ;
+        RECT 166.815 48.355 166.985 48.525 ;
+        RECT 167.275 48.355 167.445 48.525 ;
+        RECT 167.735 48.355 167.905 48.525 ;
+        RECT 168.195 48.355 168.365 48.525 ;
+        RECT 168.655 48.355 168.825 48.525 ;
+        RECT 169.115 48.355 169.285 48.525 ;
+        RECT 169.575 48.355 169.745 48.525 ;
+        RECT 170.035 48.355 170.205 48.525 ;
+        RECT 170.495 48.355 170.665 48.525 ;
+        RECT 170.955 48.355 171.125 48.525 ;
+        RECT 171.415 48.355 171.585 48.525 ;
+        RECT 171.875 48.355 172.045 48.525 ;
+        RECT 172.335 48.355 172.505 48.525 ;
+        RECT 172.795 48.355 172.965 48.525 ;
+        RECT 173.255 48.355 173.425 48.525 ;
+        RECT 173.715 48.355 173.885 48.525 ;
+        RECT 174.175 48.355 174.345 48.525 ;
+        RECT 174.635 48.355 174.805 48.525 ;
+        RECT 175.095 48.355 175.265 48.525 ;
+        RECT 175.555 48.355 175.725 48.525 ;
+        RECT 176.015 48.355 176.185 48.525 ;
+        RECT 176.475 48.355 176.645 48.525 ;
+        RECT 176.935 48.355 177.105 48.525 ;
+        RECT 177.395 48.355 177.565 48.525 ;
+        RECT 177.855 48.355 178.025 48.525 ;
+        RECT 178.315 48.355 178.485 48.525 ;
+        RECT 178.775 48.355 178.945 48.525 ;
+        RECT 179.235 48.355 179.405 48.525 ;
+        RECT 179.695 48.355 179.865 48.525 ;
+        RECT 180.155 48.355 180.325 48.525 ;
+        RECT 180.615 48.355 180.785 48.525 ;
+        RECT 181.075 48.355 181.245 48.525 ;
+        RECT 181.535 48.355 181.705 48.525 ;
+        RECT 181.995 48.355 182.165 48.525 ;
+        RECT 182.455 48.355 182.625 48.525 ;
+        RECT 182.915 48.355 183.085 48.525 ;
+        RECT 183.375 48.355 183.545 48.525 ;
+        RECT 183.835 48.355 184.005 48.525 ;
+        RECT 184.295 48.355 184.465 48.525 ;
+        RECT 184.755 48.355 184.925 48.525 ;
+        RECT 185.215 48.355 185.385 48.525 ;
+        RECT 185.675 48.355 185.845 48.525 ;
+        RECT 186.135 48.355 186.305 48.525 ;
+        RECT 186.595 48.355 186.765 48.525 ;
+        RECT 187.055 48.355 187.225 48.525 ;
+        RECT 187.515 48.355 187.685 48.525 ;
+        RECT 187.975 48.355 188.145 48.525 ;
+        RECT 188.435 48.355 188.605 48.525 ;
+        RECT 188.895 48.355 189.065 48.525 ;
+        RECT 189.355 48.355 189.525 48.525 ;
+        RECT 189.815 48.355 189.985 48.525 ;
+        RECT 190.275 48.355 190.445 48.525 ;
+        RECT 190.735 48.355 190.905 48.525 ;
+        RECT 191.195 48.355 191.365 48.525 ;
+        RECT 191.655 48.355 191.825 48.525 ;
+        RECT 192.115 48.355 192.285 48.525 ;
+        RECT 192.575 48.355 192.745 48.525 ;
+        RECT 193.035 48.355 193.205 48.525 ;
+        RECT 193.495 48.355 193.665 48.525 ;
+        RECT 193.955 48.355 194.125 48.525 ;
+        RECT 194.415 48.355 194.585 48.525 ;
+        RECT 194.875 48.355 195.045 48.525 ;
+        RECT 195.335 48.355 195.505 48.525 ;
+        RECT 195.795 48.355 195.965 48.525 ;
+        RECT 196.255 48.355 196.425 48.525 ;
+        RECT 196.715 48.355 196.885 48.525 ;
+        RECT 197.175 48.355 197.345 48.525 ;
+        RECT 197.635 48.355 197.805 48.525 ;
+        RECT 198.095 48.355 198.265 48.525 ;
+        RECT 198.555 48.355 198.725 48.525 ;
+        RECT 199.015 48.355 199.185 48.525 ;
+        RECT 199.475 48.355 199.645 48.525 ;
+        RECT 199.935 48.355 200.105 48.525 ;
+        RECT 200.395 48.355 200.565 48.525 ;
+        RECT 200.855 48.355 201.025 48.525 ;
+        RECT 201.315 48.355 201.485 48.525 ;
+        RECT 201.775 48.355 201.945 48.525 ;
+        RECT 202.235 48.355 202.405 48.525 ;
+        RECT 202.695 48.355 202.865 48.525 ;
+        RECT 203.155 48.355 203.325 48.525 ;
+        RECT 203.615 48.355 203.785 48.525 ;
+        RECT 204.075 48.355 204.245 48.525 ;
+        RECT 204.535 48.355 204.705 48.525 ;
+        RECT 204.995 48.355 205.165 48.525 ;
+        RECT 205.455 48.355 205.625 48.525 ;
+        RECT 205.915 48.355 206.085 48.525 ;
+        RECT 206.375 48.355 206.545 48.525 ;
+        RECT 206.835 48.355 207.005 48.525 ;
+        RECT 207.295 48.355 207.465 48.525 ;
+        RECT 207.755 48.355 207.925 48.525 ;
+        RECT 208.215 48.355 208.385 48.525 ;
+        RECT 208.675 48.355 208.845 48.525 ;
+        RECT 209.135 48.355 209.305 48.525 ;
+        RECT 209.595 48.355 209.765 48.525 ;
+        RECT 210.055 48.355 210.225 48.525 ;
+        RECT 210.515 48.355 210.685 48.525 ;
+        RECT 210.975 48.355 211.145 48.525 ;
+        RECT 211.435 48.355 211.605 48.525 ;
+        RECT 211.895 48.355 212.065 48.525 ;
+        RECT 212.355 48.355 212.525 48.525 ;
+        RECT 212.815 48.355 212.985 48.525 ;
+        RECT 213.275 48.355 213.445 48.525 ;
+        RECT 213.735 48.355 213.905 48.525 ;
+        RECT 214.195 48.355 214.365 48.525 ;
+        RECT 214.655 48.355 214.825 48.525 ;
+        RECT 215.115 48.355 215.285 48.525 ;
+        RECT 215.575 48.355 215.745 48.525 ;
+        RECT 216.035 48.355 216.205 48.525 ;
+        RECT 216.495 48.355 216.665 48.525 ;
+        RECT 216.955 48.355 217.125 48.525 ;
+        RECT 217.415 48.355 217.585 48.525 ;
+        RECT 217.875 48.355 218.045 48.525 ;
+        RECT 218.335 48.355 218.505 48.525 ;
+        RECT 218.795 48.355 218.965 48.525 ;
+        RECT 219.255 48.355 219.425 48.525 ;
+        RECT 219.715 48.355 219.885 48.525 ;
+        RECT 220.175 48.355 220.345 48.525 ;
+        RECT 220.635 48.355 220.805 48.525 ;
+        RECT 221.095 48.355 221.265 48.525 ;
+        RECT 221.555 48.355 221.725 48.525 ;
+        RECT 222.015 48.355 222.185 48.525 ;
+        RECT 222.475 48.355 222.645 48.525 ;
+        RECT 222.935 48.355 223.105 48.525 ;
+        RECT 223.395 48.355 223.565 48.525 ;
+        RECT 223.855 48.355 224.025 48.525 ;
+        RECT 224.315 48.355 224.485 48.525 ;
+        RECT 224.775 48.355 224.945 48.525 ;
+        RECT 225.235 48.355 225.405 48.525 ;
+        RECT 225.695 48.355 225.865 48.525 ;
+        RECT 226.155 48.355 226.325 48.525 ;
+        RECT 226.615 48.355 226.785 48.525 ;
+        RECT 227.075 48.355 227.245 48.525 ;
+        RECT 227.535 48.355 227.705 48.525 ;
+        RECT 227.995 48.355 228.165 48.525 ;
+        RECT 228.455 48.355 228.625 48.525 ;
+        RECT 228.915 48.355 229.085 48.525 ;
+        RECT 229.375 48.355 229.545 48.525 ;
+        RECT 229.835 48.355 230.005 48.525 ;
+        RECT 230.295 48.355 230.465 48.525 ;
+        RECT 230.755 48.355 230.925 48.525 ;
+        RECT 231.215 48.355 231.385 48.525 ;
+        RECT 231.675 48.355 231.845 48.525 ;
+        RECT 232.135 48.355 232.305 48.525 ;
+        RECT 232.595 48.355 232.765 48.525 ;
+        RECT 233.055 48.355 233.225 48.525 ;
+        RECT 233.515 48.355 233.685 48.525 ;
+        RECT 233.975 48.355 234.145 48.525 ;
+        RECT 234.435 48.355 234.605 48.525 ;
+        RECT 234.895 48.355 235.065 48.525 ;
+        RECT 235.355 48.355 235.525 48.525 ;
+        RECT 235.815 48.355 235.985 48.525 ;
+        RECT 236.275 48.355 236.445 48.525 ;
+        RECT 236.735 48.355 236.905 48.525 ;
+        RECT 237.195 48.355 237.365 48.525 ;
+        RECT 237.655 48.355 237.825 48.525 ;
+        RECT 238.115 48.355 238.285 48.525 ;
+        RECT 238.575 48.355 238.745 48.525 ;
+        RECT 239.035 48.355 239.205 48.525 ;
+        RECT 239.495 48.355 239.665 48.525 ;
+        RECT 239.955 48.355 240.125 48.525 ;
+        RECT 240.415 48.355 240.585 48.525 ;
+        RECT 240.875 48.355 241.045 48.525 ;
+        RECT 241.335 48.355 241.505 48.525 ;
+        RECT 241.795 48.355 241.965 48.525 ;
+        RECT 242.255 48.355 242.425 48.525 ;
+        RECT 242.715 48.355 242.885 48.525 ;
+        RECT 243.175 48.355 243.345 48.525 ;
+        RECT 243.635 48.355 243.805 48.525 ;
+        RECT 244.095 48.355 244.265 48.525 ;
+        RECT 244.555 48.355 244.725 48.525 ;
+        RECT 245.015 48.355 245.185 48.525 ;
+        RECT 245.475 48.355 245.645 48.525 ;
+        RECT 245.935 48.355 246.105 48.525 ;
+        RECT 246.395 48.355 246.565 48.525 ;
+        RECT 246.855 48.355 247.025 48.525 ;
+        RECT 247.315 48.355 247.485 48.525 ;
+        RECT 247.775 48.355 247.945 48.525 ;
+        RECT 248.235 48.355 248.405 48.525 ;
+        RECT 248.695 48.355 248.865 48.525 ;
+        RECT 249.155 48.355 249.325 48.525 ;
+        RECT 249.615 48.355 249.785 48.525 ;
+        RECT 250.075 48.355 250.245 48.525 ;
+        RECT 250.535 48.355 250.705 48.525 ;
+        RECT 250.995 48.355 251.165 48.525 ;
+        RECT 251.455 48.355 251.625 48.525 ;
+        RECT 251.915 48.355 252.085 48.525 ;
+        RECT 252.375 48.355 252.545 48.525 ;
+        RECT 252.835 48.355 253.005 48.525 ;
+        RECT 253.295 48.355 253.465 48.525 ;
+        RECT 253.755 48.355 253.925 48.525 ;
+        RECT 254.215 48.355 254.385 48.525 ;
+        RECT 254.675 48.355 254.845 48.525 ;
+        RECT 255.135 48.355 255.305 48.525 ;
+        RECT 255.595 48.355 255.765 48.525 ;
+        RECT 256.055 48.355 256.225 48.525 ;
+        RECT 256.515 48.355 256.685 48.525 ;
+        RECT 256.975 48.355 257.145 48.525 ;
+        RECT 257.435 48.355 257.605 48.525 ;
+        RECT 257.895 48.355 258.065 48.525 ;
+        RECT 258.355 48.355 258.525 48.525 ;
+        RECT 258.815 48.355 258.985 48.525 ;
+        RECT 259.275 48.355 259.445 48.525 ;
+        RECT 259.735 48.355 259.905 48.525 ;
+        RECT 260.195 48.355 260.365 48.525 ;
+        RECT 260.655 48.355 260.825 48.525 ;
+        RECT 261.115 48.355 261.285 48.525 ;
+        RECT 261.575 48.355 261.745 48.525 ;
+        RECT 262.035 48.355 262.205 48.525 ;
+        RECT 262.495 48.355 262.665 48.525 ;
+        RECT 262.955 48.355 263.125 48.525 ;
+        RECT 263.415 48.355 263.585 48.525 ;
+        RECT 263.875 48.355 264.045 48.525 ;
+        RECT 264.335 48.355 264.505 48.525 ;
+        RECT 264.795 48.355 264.965 48.525 ;
+        RECT 265.255 48.355 265.425 48.525 ;
+        RECT 265.715 48.355 265.885 48.525 ;
+        RECT 266.175 48.355 266.345 48.525 ;
+        RECT 266.635 48.355 266.805 48.525 ;
+        RECT 267.095 48.355 267.265 48.525 ;
+        RECT 267.555 48.355 267.725 48.525 ;
+        RECT 268.015 48.355 268.185 48.525 ;
+        RECT 268.475 48.355 268.645 48.525 ;
+        RECT 268.935 48.355 269.105 48.525 ;
+        RECT 269.395 48.355 269.565 48.525 ;
+        RECT 269.855 48.355 270.025 48.525 ;
+        RECT 270.315 48.355 270.485 48.525 ;
+        RECT 270.775 48.355 270.945 48.525 ;
+        RECT 271.235 48.355 271.405 48.525 ;
+        RECT 271.695 48.355 271.865 48.525 ;
+        RECT 272.155 48.355 272.325 48.525 ;
+        RECT 272.615 48.355 272.785 48.525 ;
+        RECT 273.075 48.355 273.245 48.525 ;
+        RECT 273.535 48.355 273.705 48.525 ;
+        RECT 273.995 48.355 274.165 48.525 ;
+        RECT 274.455 48.355 274.625 48.525 ;
+        RECT 274.915 48.355 275.085 48.525 ;
+        RECT 275.375 48.355 275.545 48.525 ;
+        RECT 275.835 48.355 276.005 48.525 ;
+        RECT 276.295 48.355 276.465 48.525 ;
+        RECT 276.755 48.355 276.925 48.525 ;
+        RECT 277.215 48.355 277.385 48.525 ;
+        RECT 277.675 48.355 277.845 48.525 ;
+        RECT 278.135 48.355 278.305 48.525 ;
+        RECT 278.595 48.355 278.765 48.525 ;
+        RECT 279.055 48.355 279.225 48.525 ;
+        RECT 279.515 48.355 279.685 48.525 ;
+        RECT 279.975 48.355 280.145 48.525 ;
+        RECT 280.435 48.355 280.605 48.525 ;
+        RECT 280.895 48.355 281.065 48.525 ;
+        RECT 281.355 48.355 281.525 48.525 ;
+        RECT 281.815 48.355 281.985 48.525 ;
+        RECT 282.275 48.355 282.445 48.525 ;
+        RECT 282.735 48.355 282.905 48.525 ;
+        RECT 283.195 48.355 283.365 48.525 ;
+        RECT 283.655 48.355 283.825 48.525 ;
+        RECT 284.115 48.355 284.285 48.525 ;
+        RECT 284.575 48.355 284.745 48.525 ;
+        RECT 285.035 48.355 285.205 48.525 ;
+        RECT 285.495 48.355 285.665 48.525 ;
+        RECT 285.955 48.355 286.125 48.525 ;
+        RECT 286.415 48.355 286.585 48.525 ;
+        RECT 286.875 48.355 287.045 48.525 ;
+        RECT 287.335 48.355 287.505 48.525 ;
+        RECT 287.795 48.355 287.965 48.525 ;
+        RECT 288.255 48.355 288.425 48.525 ;
+        RECT 288.715 48.355 288.885 48.525 ;
+        RECT 289.175 48.355 289.345 48.525 ;
+        RECT 289.635 48.355 289.805 48.525 ;
+        RECT 290.095 48.355 290.265 48.525 ;
+        RECT 290.555 48.355 290.725 48.525 ;
+        RECT 291.015 48.355 291.185 48.525 ;
+        RECT 291.475 48.355 291.645 48.525 ;
+        RECT 291.935 48.355 292.105 48.525 ;
+        RECT 292.395 48.355 292.565 48.525 ;
+        RECT 292.855 48.355 293.025 48.525 ;
+        RECT 293.315 48.355 293.485 48.525 ;
+        RECT 293.775 48.355 293.945 48.525 ;
+        RECT 294.235 48.355 294.405 48.525 ;
+        RECT 294.695 48.355 294.865 48.525 ;
+        RECT 295.155 48.355 295.325 48.525 ;
+        RECT 295.615 48.355 295.785 48.525 ;
+        RECT 296.075 48.355 296.245 48.525 ;
+        RECT 296.535 48.355 296.705 48.525 ;
+        RECT 296.995 48.355 297.165 48.525 ;
+        RECT 297.455 48.355 297.625 48.525 ;
+        RECT 297.915 48.355 298.085 48.525 ;
+        RECT 298.375 48.355 298.545 48.525 ;
+        RECT 298.835 48.355 299.005 48.525 ;
+        RECT 299.295 48.355 299.465 48.525 ;
+        RECT 299.755 48.355 299.925 48.525 ;
+        RECT 300.215 48.355 300.385 48.525 ;
+        RECT 300.675 48.355 300.845 48.525 ;
+        RECT 301.135 48.355 301.305 48.525 ;
+        RECT 301.595 48.355 301.765 48.525 ;
+        RECT 302.055 48.355 302.225 48.525 ;
+        RECT 302.515 48.355 302.685 48.525 ;
+        RECT 302.975 48.355 303.145 48.525 ;
+        RECT 303.435 48.355 303.605 48.525 ;
+        RECT 303.895 48.355 304.065 48.525 ;
+        RECT 304.355 48.355 304.525 48.525 ;
+        RECT 304.815 48.355 304.985 48.525 ;
+        RECT 305.275 48.355 305.445 48.525 ;
+        RECT 305.735 48.355 305.905 48.525 ;
+        RECT 306.195 48.355 306.365 48.525 ;
+        RECT 306.655 48.355 306.825 48.525 ;
+        RECT 307.115 48.355 307.285 48.525 ;
+        RECT 307.575 48.355 307.745 48.525 ;
+        RECT 308.035 48.355 308.205 48.525 ;
+        RECT 308.495 48.355 308.665 48.525 ;
+        RECT 308.955 48.355 309.125 48.525 ;
+        RECT 309.415 48.355 309.585 48.525 ;
+        RECT 309.875 48.355 310.045 48.525 ;
+        RECT 310.335 48.355 310.505 48.525 ;
+        RECT 310.795 48.355 310.965 48.525 ;
+        RECT 311.255 48.355 311.425 48.525 ;
+        RECT 311.715 48.355 311.885 48.525 ;
+        RECT 312.175 48.355 312.345 48.525 ;
+        RECT 312.635 48.355 312.805 48.525 ;
+        RECT 313.095 48.355 313.265 48.525 ;
+        RECT 313.555 48.355 313.725 48.525 ;
+        RECT 314.015 48.355 314.185 48.525 ;
+        RECT 314.475 48.355 314.645 48.525 ;
+        RECT 314.935 48.355 315.105 48.525 ;
+        RECT 315.395 48.355 315.565 48.525 ;
+        RECT 315.855 48.355 316.025 48.525 ;
+        RECT 316.315 48.355 316.485 48.525 ;
+        RECT 316.775 48.355 316.945 48.525 ;
+        RECT 317.235 48.355 317.405 48.525 ;
+        RECT 317.695 48.355 317.865 48.525 ;
+        RECT 318.155 48.355 318.325 48.525 ;
+        RECT 318.615 48.355 318.785 48.525 ;
+        RECT 319.075 48.355 319.245 48.525 ;
+        RECT 319.535 48.355 319.705 48.525 ;
+        RECT 319.995 48.355 320.165 48.525 ;
+        RECT 320.455 48.355 320.625 48.525 ;
+        RECT 320.915 48.355 321.085 48.525 ;
+        RECT 321.375 48.355 321.545 48.525 ;
+        RECT 321.835 48.355 322.005 48.525 ;
+        RECT 322.295 48.355 322.465 48.525 ;
+        RECT 322.755 48.355 322.925 48.525 ;
+        RECT 323.215 48.355 323.385 48.525 ;
+        RECT 323.675 48.355 323.845 48.525 ;
+        RECT 324.135 48.355 324.305 48.525 ;
+        RECT 324.595 48.355 324.765 48.525 ;
+        RECT 325.055 48.355 325.225 48.525 ;
+        RECT 325.515 48.355 325.685 48.525 ;
+        RECT 325.975 48.355 326.145 48.525 ;
+        RECT 326.435 48.355 326.605 48.525 ;
+        RECT 326.895 48.355 327.065 48.525 ;
+        RECT 327.355 48.355 327.525 48.525 ;
+        RECT 327.815 48.355 327.985 48.525 ;
+        RECT 328.275 48.355 328.445 48.525 ;
+        RECT 328.735 48.355 328.905 48.525 ;
+        RECT 329.195 48.355 329.365 48.525 ;
+        RECT 329.655 48.355 329.825 48.525 ;
+        RECT 330.115 48.355 330.285 48.525 ;
+        RECT 330.575 48.355 330.745 48.525 ;
+        RECT 331.035 48.355 331.205 48.525 ;
+        RECT 331.495 48.355 331.665 48.525 ;
+        RECT 331.955 48.355 332.125 48.525 ;
+        RECT 332.415 48.355 332.585 48.525 ;
+        RECT 332.875 48.355 333.045 48.525 ;
+        RECT 333.335 48.355 333.505 48.525 ;
+        RECT 333.795 48.355 333.965 48.525 ;
+        RECT 334.255 48.355 334.425 48.525 ;
+        RECT 334.715 48.355 334.885 48.525 ;
+        RECT 335.175 48.355 335.345 48.525 ;
+        RECT 335.635 48.355 335.805 48.525 ;
+        RECT 336.095 48.355 336.265 48.525 ;
+        RECT 336.555 48.355 336.725 48.525 ;
+        RECT 337.015 48.355 337.185 48.525 ;
+        RECT 337.475 48.355 337.645 48.525 ;
+        RECT 337.935 48.355 338.105 48.525 ;
+        RECT 338.395 48.355 338.565 48.525 ;
+        RECT 338.855 48.355 339.025 48.525 ;
+        RECT 339.315 48.355 339.485 48.525 ;
+        RECT 339.775 48.355 339.945 48.525 ;
+        RECT 340.235 48.355 340.405 48.525 ;
+        RECT 340.695 48.355 340.865 48.525 ;
+        RECT 341.155 48.355 341.325 48.525 ;
+        RECT 341.615 48.355 341.785 48.525 ;
+        RECT 342.075 48.355 342.245 48.525 ;
+        RECT 342.535 48.355 342.705 48.525 ;
+        RECT 342.995 48.355 343.165 48.525 ;
+        RECT 343.455 48.355 343.625 48.525 ;
+        RECT 343.915 48.355 344.085 48.525 ;
+        RECT 344.375 48.355 344.545 48.525 ;
+        RECT 344.835 48.355 345.005 48.525 ;
+        RECT 345.295 48.355 345.465 48.525 ;
+        RECT 345.755 48.355 345.925 48.525 ;
+        RECT 346.215 48.355 346.385 48.525 ;
+        RECT 346.675 48.355 346.845 48.525 ;
+        RECT 347.135 48.355 347.305 48.525 ;
+        RECT 347.595 48.355 347.765 48.525 ;
+        RECT 348.055 48.355 348.225 48.525 ;
+        RECT 348.515 48.355 348.685 48.525 ;
+        RECT 348.975 48.355 349.145 48.525 ;
+        RECT 349.435 48.355 349.605 48.525 ;
+        RECT 349.895 48.355 350.065 48.525 ;
+        RECT 350.355 48.355 350.525 48.525 ;
+        RECT 350.815 48.355 350.985 48.525 ;
+        RECT 351.275 48.355 351.445 48.525 ;
+        RECT 351.735 48.355 351.905 48.525 ;
+        RECT 352.195 48.355 352.365 48.525 ;
+        RECT 352.655 48.355 352.825 48.525 ;
+        RECT 353.115 48.355 353.285 48.525 ;
+        RECT 353.575 48.355 353.745 48.525 ;
+        RECT 354.035 48.355 354.205 48.525 ;
+        RECT 354.495 48.355 354.665 48.525 ;
+        RECT 354.955 48.355 355.125 48.525 ;
+        RECT 355.415 48.355 355.585 48.525 ;
+        RECT 355.875 48.355 356.045 48.525 ;
+        RECT 356.335 48.355 356.505 48.525 ;
+        RECT 356.795 48.355 356.965 48.525 ;
+        RECT 357.255 48.355 357.425 48.525 ;
+        RECT 357.715 48.355 357.885 48.525 ;
+        RECT 358.175 48.355 358.345 48.525 ;
+        RECT 358.635 48.355 358.805 48.525 ;
+        RECT 359.095 48.355 359.265 48.525 ;
+        RECT 359.555 48.355 359.725 48.525 ;
+        RECT 360.015 48.355 360.185 48.525 ;
+        RECT 360.475 48.355 360.645 48.525 ;
+        RECT 360.935 48.355 361.105 48.525 ;
+        RECT 361.395 48.355 361.565 48.525 ;
+        RECT 361.855 48.355 362.025 48.525 ;
+        RECT 362.315 48.355 362.485 48.525 ;
+        RECT 362.775 48.355 362.945 48.525 ;
+        RECT 363.235 48.355 363.405 48.525 ;
+        RECT 363.695 48.355 363.865 48.525 ;
+        RECT 364.155 48.355 364.325 48.525 ;
+        RECT 364.615 48.355 364.785 48.525 ;
+        RECT 365.075 48.355 365.245 48.525 ;
+        RECT 365.535 48.355 365.705 48.525 ;
+        RECT 365.995 48.355 366.165 48.525 ;
+        RECT 366.455 48.355 366.625 48.525 ;
+        RECT 366.915 48.355 367.085 48.525 ;
+        RECT 367.375 48.355 367.545 48.525 ;
+        RECT 367.835 48.355 368.005 48.525 ;
+        RECT 368.295 48.355 368.465 48.525 ;
+        RECT 368.755 48.355 368.925 48.525 ;
+        RECT 369.215 48.355 369.385 48.525 ;
+        RECT 369.675 48.355 369.845 48.525 ;
+        RECT 370.135 48.355 370.305 48.525 ;
+        RECT 370.595 48.355 370.765 48.525 ;
+        RECT 371.055 48.355 371.225 48.525 ;
+        RECT 371.515 48.355 371.685 48.525 ;
+        RECT 371.975 48.355 372.145 48.525 ;
+        RECT 372.435 48.355 372.605 48.525 ;
+        RECT 372.895 48.355 373.065 48.525 ;
+        RECT 373.355 48.355 373.525 48.525 ;
+        RECT 373.815 48.355 373.985 48.525 ;
+        RECT 374.275 48.355 374.445 48.525 ;
+        RECT 374.735 48.355 374.905 48.525 ;
+        RECT 375.195 48.355 375.365 48.525 ;
+        RECT 375.655 48.355 375.825 48.525 ;
+        RECT 376.115 48.355 376.285 48.525 ;
+        RECT 376.575 48.355 376.745 48.525 ;
+        RECT 377.035 48.355 377.205 48.525 ;
+        RECT 377.495 48.355 377.665 48.525 ;
+        RECT 377.955 48.355 378.125 48.525 ;
+        RECT 378.415 48.355 378.585 48.525 ;
+        RECT 378.875 48.355 379.045 48.525 ;
+        RECT 379.335 48.355 379.505 48.525 ;
+        RECT 379.795 48.355 379.965 48.525 ;
+        RECT 380.255 48.355 380.425 48.525 ;
+        RECT 380.715 48.355 380.885 48.525 ;
+        RECT 381.175 48.355 381.345 48.525 ;
+        RECT 381.635 48.355 381.805 48.525 ;
+        RECT 382.095 48.355 382.265 48.525 ;
+        RECT 382.555 48.355 382.725 48.525 ;
+        RECT 383.015 48.355 383.185 48.525 ;
+        RECT 383.475 48.355 383.645 48.525 ;
+        RECT 383.935 48.355 384.105 48.525 ;
+        RECT 384.395 48.355 384.565 48.525 ;
+        RECT 384.855 48.355 385.025 48.525 ;
+        RECT 385.315 48.355 385.485 48.525 ;
+        RECT 385.775 48.355 385.945 48.525 ;
+        RECT 386.235 48.355 386.405 48.525 ;
+        RECT 386.695 48.355 386.865 48.525 ;
+        RECT 387.155 48.355 387.325 48.525 ;
+        RECT 387.615 48.355 387.785 48.525 ;
+        RECT 388.075 48.355 388.245 48.525 ;
+        RECT 388.535 48.355 388.705 48.525 ;
+        RECT 388.995 48.355 389.165 48.525 ;
+        RECT 389.455 48.355 389.625 48.525 ;
+        RECT 389.915 48.355 390.085 48.525 ;
+        RECT 390.375 48.355 390.545 48.525 ;
+        RECT 390.835 48.355 391.005 48.525 ;
+        RECT 391.295 48.355 391.465 48.525 ;
+        RECT 391.755 48.355 391.925 48.525 ;
+        RECT 392.215 48.355 392.385 48.525 ;
+        RECT 392.675 48.355 392.845 48.525 ;
+        RECT 393.135 48.355 393.305 48.525 ;
+        RECT 393.595 48.355 393.765 48.525 ;
+        RECT 394.055 48.355 394.225 48.525 ;
+        RECT 394.515 48.355 394.685 48.525 ;
+        RECT 394.975 48.355 395.145 48.525 ;
+        RECT 395.435 48.355 395.605 48.525 ;
+        RECT 395.895 48.355 396.065 48.525 ;
+        RECT 396.355 48.355 396.525 48.525 ;
+        RECT 396.815 48.355 396.985 48.525 ;
+        RECT 397.275 48.355 397.445 48.525 ;
+        RECT 397.735 48.355 397.905 48.525 ;
+        RECT 398.195 48.355 398.365 48.525 ;
+        RECT 398.655 48.355 398.825 48.525 ;
+        RECT 399.115 48.355 399.285 48.525 ;
+        RECT 399.575 48.355 399.745 48.525 ;
+        RECT 400.035 48.355 400.205 48.525 ;
+        RECT 400.495 48.355 400.665 48.525 ;
+        RECT 400.955 48.355 401.125 48.525 ;
+        RECT 401.415 48.355 401.585 48.525 ;
+        RECT 401.875 48.355 402.045 48.525 ;
+        RECT 402.335 48.355 402.505 48.525 ;
+        RECT 402.795 48.355 402.965 48.525 ;
+        RECT 403.255 48.355 403.425 48.525 ;
+        RECT 403.715 48.355 403.885 48.525 ;
+        RECT 404.175 48.355 404.345 48.525 ;
+        RECT 404.635 48.355 404.805 48.525 ;
+        RECT 405.095 48.355 405.265 48.525 ;
+        RECT 405.555 48.355 405.725 48.525 ;
+        RECT 406.015 48.355 406.185 48.525 ;
+        RECT 406.475 48.355 406.645 48.525 ;
+        RECT 406.935 48.355 407.105 48.525 ;
+        RECT 407.395 48.355 407.565 48.525 ;
+        RECT 407.855 48.355 408.025 48.525 ;
+        RECT 408.315 48.355 408.485 48.525 ;
+        RECT 408.775 48.355 408.945 48.525 ;
+        RECT 409.235 48.355 409.405 48.525 ;
+        RECT 409.695 48.355 409.865 48.525 ;
+        RECT 410.155 48.355 410.325 48.525 ;
+        RECT 410.615 48.355 410.785 48.525 ;
+        RECT 411.075 48.355 411.245 48.525 ;
+        RECT 411.535 48.355 411.705 48.525 ;
+        RECT 411.995 48.355 412.165 48.525 ;
+        RECT 412.455 48.355 412.625 48.525 ;
+        RECT 412.915 48.355 413.085 48.525 ;
+        RECT 413.375 48.355 413.545 48.525 ;
+        RECT 413.835 48.355 414.005 48.525 ;
+        RECT 414.295 48.355 414.465 48.525 ;
+        RECT 414.755 48.355 414.925 48.525 ;
+        RECT 415.215 48.355 415.385 48.525 ;
+        RECT 415.675 48.355 415.845 48.525 ;
+        RECT 416.135 48.355 416.305 48.525 ;
+        RECT 416.595 48.355 416.765 48.525 ;
+        RECT 417.055 48.355 417.225 48.525 ;
+        RECT 417.515 48.355 417.685 48.525 ;
+        RECT 417.975 48.355 418.145 48.525 ;
+        RECT 418.435 48.355 418.605 48.525 ;
+        RECT 418.895 48.355 419.065 48.525 ;
+        RECT 419.355 48.355 419.525 48.525 ;
+        RECT 419.815 48.355 419.985 48.525 ;
+        RECT 420.275 48.355 420.445 48.525 ;
+        RECT 420.735 48.355 420.905 48.525 ;
+        RECT 421.195 48.355 421.365 48.525 ;
+        RECT 421.655 48.355 421.825 48.525 ;
+        RECT 422.115 48.355 422.285 48.525 ;
+        RECT 422.575 48.355 422.745 48.525 ;
+        RECT 423.035 48.355 423.205 48.525 ;
+        RECT 423.495 48.355 423.665 48.525 ;
+        RECT 423.955 48.355 424.125 48.525 ;
+        RECT 424.415 48.355 424.585 48.525 ;
+        RECT 424.875 48.355 425.045 48.525 ;
+        RECT 425.335 48.355 425.505 48.525 ;
+        RECT 425.795 48.355 425.965 48.525 ;
+        RECT 426.255 48.355 426.425 48.525 ;
+        RECT 426.715 48.355 426.885 48.525 ;
+        RECT 427.175 48.355 427.345 48.525 ;
+        RECT 427.635 48.355 427.805 48.525 ;
+        RECT 428.095 48.355 428.265 48.525 ;
+        RECT 428.555 48.355 428.725 48.525 ;
+        RECT 429.015 48.355 429.185 48.525 ;
+        RECT 429.475 48.355 429.645 48.525 ;
+        RECT 429.935 48.355 430.105 48.525 ;
+        RECT 430.395 48.355 430.565 48.525 ;
+        RECT 430.855 48.355 431.025 48.525 ;
+        RECT 431.315 48.355 431.485 48.525 ;
+        RECT 431.775 48.355 431.945 48.525 ;
+        RECT 432.235 48.355 432.405 48.525 ;
+        RECT 432.695 48.355 432.865 48.525 ;
+        RECT 433.155 48.355 433.325 48.525 ;
+        RECT 433.615 48.355 433.785 48.525 ;
+        RECT 434.075 48.355 434.245 48.525 ;
+        RECT 434.535 48.355 434.705 48.525 ;
+        RECT 434.995 48.355 435.165 48.525 ;
+        RECT 435.455 48.355 435.625 48.525 ;
+        RECT 435.915 48.355 436.085 48.525 ;
+        RECT 436.375 48.355 436.545 48.525 ;
+        RECT 436.835 48.355 437.005 48.525 ;
+        RECT 437.295 48.355 437.465 48.525 ;
+        RECT 437.755 48.355 437.925 48.525 ;
+        RECT 438.215 48.355 438.385 48.525 ;
+        RECT 438.675 48.355 438.845 48.525 ;
+        RECT 439.135 48.355 439.305 48.525 ;
+        RECT 439.595 48.355 439.765 48.525 ;
+        RECT 440.055 48.355 440.225 48.525 ;
+        RECT 440.515 48.355 440.685 48.525 ;
+        RECT 440.975 48.355 441.145 48.525 ;
+        RECT 441.435 48.355 441.605 48.525 ;
+        RECT 441.895 48.355 442.065 48.525 ;
+        RECT 442.355 48.355 442.525 48.525 ;
+        RECT 442.815 48.355 442.985 48.525 ;
+        RECT 443.275 48.355 443.445 48.525 ;
+        RECT 443.735 48.355 443.905 48.525 ;
+        RECT 444.195 48.355 444.365 48.525 ;
+        RECT 444.655 48.355 444.825 48.525 ;
+        RECT 445.115 48.355 445.285 48.525 ;
+        RECT 445.575 48.355 445.745 48.525 ;
+        RECT 446.035 48.355 446.205 48.525 ;
+        RECT 446.495 48.355 446.665 48.525 ;
+        RECT 446.955 48.355 447.125 48.525 ;
+        RECT 447.415 48.355 447.585 48.525 ;
+        RECT 447.875 48.355 448.045 48.525 ;
+        RECT 448.335 48.355 448.505 48.525 ;
+        RECT 448.795 48.355 448.965 48.525 ;
+        RECT 449.255 48.355 449.425 48.525 ;
+        RECT 449.715 48.355 449.885 48.525 ;
+        RECT 450.175 48.355 450.345 48.525 ;
+        RECT 450.635 48.355 450.805 48.525 ;
+        RECT 451.095 48.355 451.265 48.525 ;
+        RECT 451.555 48.355 451.725 48.525 ;
+        RECT 452.015 48.355 452.185 48.525 ;
+        RECT 452.475 48.355 452.645 48.525 ;
+        RECT 452.935 48.355 453.105 48.525 ;
+        RECT 453.395 48.355 453.565 48.525 ;
+        RECT 453.855 48.355 454.025 48.525 ;
+        RECT 454.315 48.355 454.485 48.525 ;
+        RECT 454.775 48.355 454.945 48.525 ;
+        RECT 455.235 48.355 455.405 48.525 ;
+        RECT 455.695 48.355 455.865 48.525 ;
+        RECT 456.155 48.355 456.325 48.525 ;
+        RECT 456.615 48.355 456.785 48.525 ;
+        RECT 457.075 48.355 457.245 48.525 ;
+        RECT 457.535 48.355 457.705 48.525 ;
+        RECT 457.995 48.355 458.165 48.525 ;
+        RECT 458.455 48.355 458.625 48.525 ;
+        RECT 458.915 48.355 459.085 48.525 ;
+        RECT 459.375 48.355 459.545 48.525 ;
+        RECT 459.835 48.355 460.005 48.525 ;
+        RECT 460.295 48.355 460.465 48.525 ;
+        RECT 460.755 48.355 460.925 48.525 ;
+        RECT 461.215 48.355 461.385 48.525 ;
+        RECT 461.675 48.355 461.845 48.525 ;
+        RECT 462.135 48.355 462.305 48.525 ;
+        RECT 462.595 48.355 462.765 48.525 ;
+        RECT 463.055 48.355 463.225 48.525 ;
+        RECT 463.515 48.355 463.685 48.525 ;
+        RECT 463.975 48.355 464.145 48.525 ;
+        RECT 464.435 48.355 464.605 48.525 ;
+        RECT 464.895 48.355 465.065 48.525 ;
+        RECT 465.355 48.355 465.525 48.525 ;
+        RECT 465.815 48.355 465.985 48.525 ;
+        RECT 466.275 48.355 466.445 48.525 ;
+        RECT 466.735 48.355 466.905 48.525 ;
+        RECT 467.195 48.355 467.365 48.525 ;
+        RECT 467.655 48.355 467.825 48.525 ;
+        RECT 468.115 48.355 468.285 48.525 ;
+        RECT 468.575 48.355 468.745 48.525 ;
+        RECT 469.035 48.355 469.205 48.525 ;
+        RECT 469.495 48.355 469.665 48.525 ;
+        RECT 469.955 48.355 470.125 48.525 ;
+        RECT 470.415 48.355 470.585 48.525 ;
+        RECT 470.875 48.355 471.045 48.525 ;
+        RECT 471.335 48.355 471.505 48.525 ;
+        RECT 471.795 48.355 471.965 48.525 ;
+        RECT 472.255 48.355 472.425 48.525 ;
+        RECT 472.715 48.355 472.885 48.525 ;
+        RECT 473.175 48.355 473.345 48.525 ;
+        RECT 473.635 48.355 473.805 48.525 ;
+        RECT 474.095 48.355 474.265 48.525 ;
+        RECT 474.555 48.355 474.725 48.525 ;
+        RECT 475.015 48.355 475.185 48.525 ;
+        RECT 475.475 48.355 475.645 48.525 ;
+        RECT 475.935 48.355 476.105 48.525 ;
+        RECT 476.395 48.355 476.565 48.525 ;
+        RECT 476.855 48.355 477.025 48.525 ;
+        RECT 477.315 48.355 477.485 48.525 ;
+        RECT 477.775 48.355 477.945 48.525 ;
+        RECT 478.235 48.355 478.405 48.525 ;
+        RECT 478.695 48.355 478.865 48.525 ;
+        RECT 479.155 48.355 479.325 48.525 ;
+        RECT 479.615 48.355 479.785 48.525 ;
+        RECT 480.075 48.355 480.245 48.525 ;
+        RECT 480.535 48.355 480.705 48.525 ;
+        RECT 480.995 48.355 481.165 48.525 ;
+        RECT 481.455 48.355 481.625 48.525 ;
+        RECT 481.915 48.355 482.085 48.525 ;
+        RECT 482.375 48.355 482.545 48.525 ;
+        RECT 482.835 48.355 483.005 48.525 ;
+        RECT 483.295 48.355 483.465 48.525 ;
+        RECT 483.755 48.355 483.925 48.525 ;
+        RECT 484.215 48.355 484.385 48.525 ;
+        RECT 484.675 48.355 484.845 48.525 ;
+        RECT 485.135 48.355 485.305 48.525 ;
+        RECT 485.595 48.355 485.765 48.525 ;
+        RECT 486.055 48.355 486.225 48.525 ;
+        RECT 486.515 48.355 486.685 48.525 ;
+        RECT 486.975 48.355 487.145 48.525 ;
+        RECT 487.435 48.355 487.605 48.525 ;
+        RECT 487.895 48.355 488.065 48.525 ;
+        RECT 488.355 48.355 488.525 48.525 ;
+        RECT 488.815 48.355 488.985 48.525 ;
+        RECT 489.275 48.355 489.445 48.525 ;
+        RECT 489.735 48.355 489.905 48.525 ;
+        RECT 490.195 48.355 490.365 48.525 ;
+        RECT 490.655 48.355 490.825 48.525 ;
+        RECT 491.115 48.355 491.285 48.525 ;
+        RECT 491.575 48.355 491.745 48.525 ;
+        RECT 492.035 48.355 492.205 48.525 ;
+        RECT 492.495 48.355 492.665 48.525 ;
+        RECT 492.955 48.355 493.125 48.525 ;
+        RECT 493.415 48.355 493.585 48.525 ;
+        RECT 493.875 48.355 494.045 48.525 ;
+        RECT 494.335 48.355 494.505 48.525 ;
+        RECT 494.795 48.355 494.965 48.525 ;
+        RECT 495.255 48.355 495.425 48.525 ;
+        RECT 495.715 48.355 495.885 48.525 ;
+        RECT 496.175 48.355 496.345 48.525 ;
+        RECT 496.635 48.355 496.805 48.525 ;
+        RECT 497.095 48.355 497.265 48.525 ;
+        RECT 497.555 48.355 497.725 48.525 ;
+        RECT 498.015 48.355 498.185 48.525 ;
+        RECT 498.475 48.355 498.645 48.525 ;
+        RECT 498.935 48.355 499.105 48.525 ;
+        RECT 499.395 48.355 499.565 48.525 ;
+        RECT 499.855 48.355 500.025 48.525 ;
+        RECT 500.315 48.355 500.485 48.525 ;
+        RECT 500.775 48.355 500.945 48.525 ;
+        RECT 501.235 48.355 501.405 48.525 ;
+        RECT 501.695 48.355 501.865 48.525 ;
+        RECT 502.155 48.355 502.325 48.525 ;
+        RECT 502.615 48.355 502.785 48.525 ;
+        RECT 503.075 48.355 503.245 48.525 ;
+        RECT 503.535 48.355 503.705 48.525 ;
+        RECT 503.995 48.355 504.165 48.525 ;
+        RECT 504.455 48.355 504.625 48.525 ;
+        RECT 504.915 48.355 505.085 48.525 ;
+        RECT 505.375 48.355 505.545 48.525 ;
+        RECT 505.835 48.355 506.005 48.525 ;
+        RECT 506.295 48.355 506.465 48.525 ;
+        RECT 506.755 48.355 506.925 48.525 ;
+        RECT 507.215 48.355 507.385 48.525 ;
+        RECT 507.675 48.355 507.845 48.525 ;
+        RECT 508.135 48.355 508.305 48.525 ;
+        RECT 508.595 48.355 508.765 48.525 ;
+        RECT 509.055 48.355 509.225 48.525 ;
+        RECT 509.515 48.355 509.685 48.525 ;
+        RECT 509.975 48.355 510.145 48.525 ;
+        RECT 510.435 48.355 510.605 48.525 ;
+        RECT 510.895 48.355 511.065 48.525 ;
+        RECT 511.355 48.355 511.525 48.525 ;
+        RECT 511.815 48.355 511.985 48.525 ;
+        RECT 512.275 48.355 512.445 48.525 ;
+        RECT 512.735 48.355 512.905 48.525 ;
+        RECT 513.195 48.355 513.365 48.525 ;
+        RECT 513.655 48.355 513.825 48.525 ;
+        RECT 514.115 48.355 514.285 48.525 ;
+        RECT 514.575 48.355 514.745 48.525 ;
+        RECT 515.035 48.355 515.205 48.525 ;
+        RECT 515.495 48.355 515.665 48.525 ;
+        RECT 515.955 48.355 516.125 48.525 ;
+        RECT 516.415 48.355 516.585 48.525 ;
+        RECT 516.875 48.355 517.045 48.525 ;
+        RECT 517.335 48.355 517.505 48.525 ;
+        RECT 517.795 48.355 517.965 48.525 ;
+        RECT 518.255 48.355 518.425 48.525 ;
+        RECT 518.715 48.355 518.885 48.525 ;
+        RECT 519.175 48.355 519.345 48.525 ;
+        RECT 519.635 48.355 519.805 48.525 ;
+        RECT 520.095 48.355 520.265 48.525 ;
+        RECT 520.555 48.355 520.725 48.525 ;
+        RECT 521.015 48.355 521.185 48.525 ;
+        RECT 521.475 48.355 521.645 48.525 ;
+        RECT 521.935 48.355 522.105 48.525 ;
+        RECT 522.395 48.355 522.565 48.525 ;
+        RECT 522.855 48.355 523.025 48.525 ;
+        RECT 523.315 48.355 523.485 48.525 ;
+        RECT 523.775 48.355 523.945 48.525 ;
+        RECT 524.235 48.355 524.405 48.525 ;
+        RECT 524.695 48.355 524.865 48.525 ;
+        RECT 525.155 48.355 525.325 48.525 ;
+        RECT 525.615 48.355 525.785 48.525 ;
+        RECT 526.075 48.355 526.245 48.525 ;
+        RECT 526.535 48.355 526.705 48.525 ;
+        RECT 526.995 48.355 527.165 48.525 ;
+        RECT 527.455 48.355 527.625 48.525 ;
+        RECT 527.915 48.355 528.085 48.525 ;
+        RECT 528.375 48.355 528.545 48.525 ;
+        RECT 528.835 48.355 529.005 48.525 ;
+        RECT 529.295 48.355 529.465 48.525 ;
+        RECT 529.755 48.355 529.925 48.525 ;
+        RECT 530.215 48.355 530.385 48.525 ;
+        RECT 530.675 48.355 530.845 48.525 ;
+        RECT 531.135 48.355 531.305 48.525 ;
+        RECT 531.595 48.355 531.765 48.525 ;
+        RECT 532.055 48.355 532.225 48.525 ;
+        RECT 532.515 48.355 532.685 48.525 ;
+        RECT 532.975 48.355 533.145 48.525 ;
+        RECT 533.435 48.355 533.605 48.525 ;
+        RECT 533.895 48.355 534.065 48.525 ;
+        RECT 534.355 48.355 534.525 48.525 ;
+        RECT 534.815 48.355 534.985 48.525 ;
+        RECT 535.275 48.355 535.445 48.525 ;
+        RECT 535.735 48.355 535.905 48.525 ;
+        RECT 536.195 48.355 536.365 48.525 ;
+        RECT 536.655 48.355 536.825 48.525 ;
+        RECT 537.115 48.355 537.285 48.525 ;
+        RECT 537.575 48.355 537.745 48.525 ;
+        RECT 538.035 48.355 538.205 48.525 ;
+        RECT 538.495 48.355 538.665 48.525 ;
+        RECT 538.955 48.355 539.125 48.525 ;
+        RECT 539.415 48.355 539.585 48.525 ;
+        RECT 539.875 48.355 540.045 48.525 ;
+        RECT 540.335 48.355 540.505 48.525 ;
+        RECT 540.795 48.355 540.965 48.525 ;
+        RECT 541.255 48.355 541.425 48.525 ;
+        RECT 541.715 48.355 541.885 48.525 ;
+        RECT 542.175 48.355 542.345 48.525 ;
+        RECT 542.635 48.355 542.805 48.525 ;
+        RECT 543.095 48.355 543.265 48.525 ;
+        RECT 543.555 48.355 543.725 48.525 ;
+        RECT 544.015 48.355 544.185 48.525 ;
+        RECT 544.475 48.355 544.645 48.525 ;
+        RECT 544.935 48.355 545.105 48.525 ;
+        RECT 545.395 48.355 545.565 48.525 ;
+        RECT 545.855 48.355 546.025 48.525 ;
+        RECT 546.315 48.355 546.485 48.525 ;
+        RECT 546.775 48.355 546.945 48.525 ;
+        RECT 547.235 48.355 547.405 48.525 ;
+        RECT 547.695 48.355 547.865 48.525 ;
+        RECT 548.155 48.355 548.325 48.525 ;
+        RECT 548.615 48.355 548.785 48.525 ;
+        RECT 549.075 48.355 549.245 48.525 ;
+        RECT 549.535 48.355 549.705 48.525 ;
+        RECT 549.995 48.355 550.165 48.525 ;
+        RECT 550.455 48.355 550.625 48.525 ;
+        RECT 550.915 48.355 551.085 48.525 ;
+        RECT 551.375 48.355 551.545 48.525 ;
+        RECT 551.835 48.355 552.005 48.525 ;
+        RECT 552.295 48.355 552.465 48.525 ;
+        RECT 552.755 48.355 552.925 48.525 ;
+        RECT 553.215 48.355 553.385 48.525 ;
+        RECT 553.675 48.355 553.845 48.525 ;
+        RECT 554.135 48.355 554.305 48.525 ;
+        RECT 554.595 48.355 554.765 48.525 ;
+        RECT 555.055 48.355 555.225 48.525 ;
+        RECT 555.515 48.355 555.685 48.525 ;
+        RECT 555.975 48.355 556.145 48.525 ;
+        RECT 556.435 48.355 556.605 48.525 ;
+        RECT 556.895 48.355 557.065 48.525 ;
+        RECT 557.355 48.355 557.525 48.525 ;
+        RECT 557.815 48.355 557.985 48.525 ;
+        RECT 558.275 48.355 558.445 48.525 ;
+        RECT 558.735 48.355 558.905 48.525 ;
+        RECT 559.195 48.355 559.365 48.525 ;
+        RECT 559.655 48.355 559.825 48.525 ;
+        RECT 560.115 48.355 560.285 48.525 ;
+        RECT 560.575 48.355 560.745 48.525 ;
+        RECT 561.035 48.355 561.205 48.525 ;
+        RECT 561.495 48.355 561.665 48.525 ;
+        RECT 561.955 48.355 562.125 48.525 ;
+        RECT 562.415 48.355 562.585 48.525 ;
+        RECT 562.875 48.355 563.045 48.525 ;
+        RECT 563.335 48.355 563.505 48.525 ;
+        RECT 563.795 48.355 563.965 48.525 ;
+        RECT 564.255 48.355 564.425 48.525 ;
+        RECT 564.715 48.355 564.885 48.525 ;
+        RECT 565.175 48.355 565.345 48.525 ;
+        RECT 565.635 48.355 565.805 48.525 ;
+        RECT 566.095 48.355 566.265 48.525 ;
+        RECT 566.555 48.355 566.725 48.525 ;
+        RECT 567.015 48.355 567.185 48.525 ;
+        RECT 567.475 48.355 567.645 48.525 ;
+        RECT 567.935 48.355 568.105 48.525 ;
+        RECT 568.395 48.355 568.565 48.525 ;
+        RECT 568.855 48.355 569.025 48.525 ;
+        RECT 569.315 48.355 569.485 48.525 ;
+        RECT 569.775 48.355 569.945 48.525 ;
+        RECT 570.235 48.355 570.405 48.525 ;
+        RECT 570.695 48.355 570.865 48.525 ;
+        RECT 571.155 48.355 571.325 48.525 ;
+        RECT 571.615 48.355 571.785 48.525 ;
+        RECT 572.075 48.355 572.245 48.525 ;
+        RECT 572.535 48.355 572.705 48.525 ;
+        RECT 572.995 48.355 573.165 48.525 ;
+        RECT 573.455 48.355 573.625 48.525 ;
+        RECT 573.915 48.355 574.085 48.525 ;
+        RECT 574.375 48.355 574.545 48.525 ;
+        RECT 574.835 48.355 575.005 48.525 ;
+        RECT 575.295 48.355 575.465 48.525 ;
+        RECT 575.755 48.355 575.925 48.525 ;
+        RECT 576.215 48.355 576.385 48.525 ;
+        RECT 576.675 48.355 576.845 48.525 ;
+        RECT 577.135 48.355 577.305 48.525 ;
+        RECT 577.595 48.355 577.765 48.525 ;
+        RECT 578.055 48.355 578.225 48.525 ;
+        RECT 578.515 48.355 578.685 48.525 ;
+        RECT 578.975 48.355 579.145 48.525 ;
+        RECT 579.435 48.355 579.605 48.525 ;
+        RECT 579.895 48.355 580.065 48.525 ;
+        RECT 580.355 48.355 580.525 48.525 ;
+        RECT 580.815 48.355 580.985 48.525 ;
+        RECT 581.275 48.355 581.445 48.525 ;
+        RECT 581.735 48.355 581.905 48.525 ;
+        RECT 582.195 48.355 582.365 48.525 ;
+        RECT 582.655 48.355 582.825 48.525 ;
+        RECT 583.115 48.355 583.285 48.525 ;
+        RECT 583.575 48.355 583.745 48.525 ;
+        RECT 584.035 48.355 584.205 48.525 ;
+        RECT 584.495 48.355 584.665 48.525 ;
+        RECT 584.955 48.355 585.125 48.525 ;
+        RECT 585.415 48.355 585.585 48.525 ;
+        RECT 585.875 48.355 586.045 48.525 ;
+        RECT 586.335 48.355 586.505 48.525 ;
+        RECT 586.795 48.355 586.965 48.525 ;
+        RECT 587.255 48.355 587.425 48.525 ;
+        RECT 587.715 48.355 587.885 48.525 ;
+        RECT 588.175 48.355 588.345 48.525 ;
+        RECT 588.635 48.355 588.805 48.525 ;
+        RECT 589.095 48.355 589.265 48.525 ;
+        RECT 589.555 48.355 589.725 48.525 ;
+        RECT 590.015 48.355 590.185 48.525 ;
+        RECT 590.475 48.355 590.645 48.525 ;
+        RECT 590.935 48.355 591.105 48.525 ;
+        RECT 591.395 48.355 591.565 48.525 ;
+        RECT 591.855 48.355 592.025 48.525 ;
+        RECT 592.315 48.355 592.485 48.525 ;
+        RECT 592.775 48.355 592.945 48.525 ;
+        RECT 593.235 48.355 593.405 48.525 ;
+        RECT 593.695 48.355 593.865 48.525 ;
+        RECT 594.155 48.355 594.325 48.525 ;
+        RECT 594.615 48.355 594.785 48.525 ;
+        RECT 595.075 48.355 595.245 48.525 ;
+        RECT 595.535 48.355 595.705 48.525 ;
+        RECT 595.995 48.355 596.165 48.525 ;
+        RECT 596.455 48.355 596.625 48.525 ;
+        RECT 596.915 48.355 597.085 48.525 ;
+        RECT 597.375 48.355 597.545 48.525 ;
+        RECT 597.835 48.355 598.005 48.525 ;
+        RECT 598.295 48.355 598.465 48.525 ;
+        RECT 598.755 48.355 598.925 48.525 ;
+        RECT 599.215 48.355 599.385 48.525 ;
+        RECT 599.675 48.355 599.845 48.525 ;
+        RECT 600.135 48.355 600.305 48.525 ;
+        RECT 600.595 48.355 600.765 48.525 ;
+        RECT 601.055 48.355 601.225 48.525 ;
+        RECT 601.515 48.355 601.685 48.525 ;
+        RECT 601.975 48.355 602.145 48.525 ;
+        RECT 602.435 48.355 602.605 48.525 ;
+        RECT 602.895 48.355 603.065 48.525 ;
+        RECT 603.355 48.355 603.525 48.525 ;
+        RECT 603.815 48.355 603.985 48.525 ;
+        RECT 604.275 48.355 604.445 48.525 ;
+        RECT 604.735 48.355 604.905 48.525 ;
+        RECT 605.195 48.355 605.365 48.525 ;
+        RECT 605.655 48.355 605.825 48.525 ;
+        RECT 606.115 48.355 606.285 48.525 ;
+        RECT 606.575 48.355 606.745 48.525 ;
+        RECT 607.035 48.355 607.205 48.525 ;
+        RECT 607.495 48.355 607.665 48.525 ;
+        RECT 607.955 48.355 608.125 48.525 ;
+        RECT 608.415 48.355 608.585 48.525 ;
+        RECT 608.875 48.355 609.045 48.525 ;
+        RECT 609.335 48.355 609.505 48.525 ;
+        RECT 609.795 48.355 609.965 48.525 ;
+        RECT 610.255 48.355 610.425 48.525 ;
+        RECT 610.715 48.355 610.885 48.525 ;
+        RECT 611.175 48.355 611.345 48.525 ;
+        RECT 611.635 48.355 611.805 48.525 ;
+        RECT 612.095 48.355 612.265 48.525 ;
+        RECT 612.555 48.355 612.725 48.525 ;
+        RECT 613.015 48.355 613.185 48.525 ;
+        RECT 613.475 48.355 613.645 48.525 ;
+        RECT 613.935 48.355 614.105 48.525 ;
+        RECT 614.395 48.355 614.565 48.525 ;
+        RECT 614.855 48.355 615.025 48.525 ;
+        RECT 615.315 48.355 615.485 48.525 ;
+        RECT 615.775 48.355 615.945 48.525 ;
+        RECT 616.235 48.355 616.405 48.525 ;
+        RECT 616.695 48.355 616.865 48.525 ;
+        RECT 617.155 48.355 617.325 48.525 ;
+        RECT 617.615 48.355 617.785 48.525 ;
+        RECT 618.075 48.355 618.245 48.525 ;
+        RECT 618.535 48.355 618.705 48.525 ;
+        RECT 618.995 48.355 619.165 48.525 ;
+        RECT 619.455 48.355 619.625 48.525 ;
+        RECT 619.915 48.355 620.085 48.525 ;
+        RECT 620.375 48.355 620.545 48.525 ;
+        RECT 620.835 48.355 621.005 48.525 ;
+        RECT 621.295 48.355 621.465 48.525 ;
+        RECT 621.755 48.355 621.925 48.525 ;
+        RECT 622.215 48.355 622.385 48.525 ;
+        RECT 622.675 48.355 622.845 48.525 ;
+        RECT 623.135 48.355 623.305 48.525 ;
+        RECT 623.595 48.355 623.765 48.525 ;
+        RECT 624.055 48.355 624.225 48.525 ;
+        RECT 624.515 48.355 624.685 48.525 ;
+        RECT 624.975 48.355 625.145 48.525 ;
+        RECT 625.435 48.355 625.605 48.525 ;
+        RECT 625.895 48.355 626.065 48.525 ;
+        RECT 626.355 48.355 626.525 48.525 ;
+        RECT 626.815 48.355 626.985 48.525 ;
+        RECT 627.275 48.355 627.445 48.525 ;
+        RECT 627.735 48.355 627.905 48.525 ;
+        RECT 628.195 48.355 628.365 48.525 ;
+        RECT 628.655 48.355 628.825 48.525 ;
+        RECT 629.115 48.355 629.285 48.525 ;
+        RECT 629.575 48.355 629.745 48.525 ;
+        RECT 630.035 48.355 630.205 48.525 ;
+        RECT 630.495 48.355 630.665 48.525 ;
+        RECT 630.955 48.355 631.125 48.525 ;
+        RECT 72.515 46.825 72.685 46.995 ;
+        RECT 72.975 46.145 73.145 46.315 ;
+        RECT 77.575 47.165 77.745 47.335 ;
+        RECT 78.035 46.825 78.205 46.995 ;
+        RECT 80.335 46.485 80.505 46.655 ;
+        RECT 85.395 46.825 85.565 46.995 ;
+        RECT 86.315 46.145 86.485 46.315 ;
+        RECT 94.595 47.165 94.765 47.335 ;
+        RECT 90.915 46.825 91.085 46.995 ;
+        RECT 93.215 46.825 93.385 46.995 ;
+        RECT 103.335 46.825 103.505 46.995 ;
+        RECT 106.095 46.825 106.265 46.995 ;
+        RECT 114.835 47.165 115.005 47.335 ;
+        RECT 111.615 46.825 111.785 46.995 ;
+        RECT 113.915 46.825 114.085 46.995 ;
+        RECT 104.715 46.145 104.885 46.315 ;
+        RECT 118.975 46.485 119.145 46.655 ;
+        RECT 120.355 47.505 120.525 47.675 ;
+        RECT 119.710 46.825 119.880 46.995 ;
+        RECT 120.815 47.165 120.985 47.335 ;
+        RECT 122.655 46.825 122.825 46.995 ;
+        RECT 128.180 47.505 128.350 47.675 ;
+        RECT 127.750 47.165 127.920 47.335 ;
+        RECT 129.095 47.165 129.265 47.335 ;
+        RECT 128.640 46.485 128.810 46.655 ;
+        RECT 130.500 47.505 130.670 47.675 ;
+        RECT 130.040 46.485 130.210 46.655 ;
+        RECT 131.880 47.505 132.050 47.675 ;
+        RECT 131.880 46.485 132.050 46.655 ;
+        RECT 134.615 47.505 134.785 47.675 ;
+        RECT 149.795 47.845 149.965 48.015 ;
+        RECT 146.120 47.165 146.290 47.335 ;
+        RECT 144.735 46.825 144.905 46.995 ;
+        RECT 145.195 46.825 145.365 46.995 ;
+        RECT 147.035 46.825 147.205 46.995 ;
+        RECT 147.495 46.825 147.665 46.995 ;
+        RECT 148.900 47.165 149.070 47.335 ;
+        RECT 155.780 47.505 155.950 47.675 ;
+        RECT 155.315 47.165 155.485 47.335 ;
+        RECT 156.695 46.825 156.865 46.995 ;
+        RECT 156.240 46.485 156.410 46.655 ;
+        RECT 158.100 47.505 158.270 47.675 ;
+        RECT 157.640 46.485 157.810 46.655 ;
+        RECT 159.480 47.505 159.650 47.675 ;
+        RECT 159.480 46.485 159.650 46.655 ;
+        RECT 169.580 47.505 169.750 47.675 ;
+        RECT 162.215 46.145 162.385 46.315 ;
+        RECT 169.115 46.825 169.285 46.995 ;
+        RECT 170.465 47.165 170.635 47.335 ;
+        RECT 170.040 46.485 170.210 46.655 ;
+        RECT 171.900 47.505 172.070 47.675 ;
+        RECT 171.440 46.485 171.610 46.655 ;
+        RECT 173.280 47.505 173.450 47.675 ;
+        RECT 173.280 46.485 173.450 46.655 ;
+        RECT 185.220 47.505 185.390 47.675 ;
+        RECT 184.755 46.825 184.925 46.995 ;
+        RECT 186.135 47.165 186.305 47.335 ;
+        RECT 185.680 46.485 185.850 46.655 ;
+        RECT 187.540 47.505 187.710 47.675 ;
+        RECT 187.080 46.485 187.250 46.655 ;
+        RECT 188.920 47.505 189.090 47.675 ;
+        RECT 188.920 46.485 189.090 46.655 ;
+        RECT 191.655 47.505 191.825 47.675 ;
+        RECT 197.640 47.505 197.810 47.675 ;
+        RECT 197.175 46.825 197.345 46.995 ;
+        RECT 198.555 46.825 198.725 46.995 ;
+        RECT 198.100 46.485 198.270 46.655 ;
+        RECT 199.960 47.505 200.130 47.675 ;
+        RECT 199.500 46.485 199.670 46.655 ;
+        RECT 201.340 47.505 201.510 47.675 ;
+        RECT 201.340 46.485 201.510 46.655 ;
+        RECT 205.455 46.485 205.625 46.655 ;
+        RECT 211.435 46.485 211.605 46.655 ;
+        RECT 212.815 47.845 212.985 48.015 ;
+        RECT 214.655 47.505 214.825 47.675 ;
+        RECT 212.170 46.825 212.340 46.995 ;
+        RECT 213.130 46.825 213.300 46.995 ;
+        RECT 219.255 47.505 219.425 47.675 ;
+        RECT 222.935 47.165 223.105 47.335 ;
+        RECT 220.175 46.825 220.345 46.995 ;
+        RECT 220.635 46.825 220.805 46.995 ;
+        RECT 230.755 46.485 230.925 46.655 ;
+        RECT 232.135 47.845 232.305 48.015 ;
+        RECT 231.490 47.165 231.660 47.335 ;
+        RECT 232.595 47.165 232.765 47.335 ;
+        RECT 234.435 47.165 234.605 47.335 ;
+        RECT 239.495 47.165 239.665 47.335 ;
+        RECT 240.415 46.825 240.585 46.995 ;
+        RECT 241.800 47.165 241.970 47.335 ;
+        RECT 242.745 46.825 242.915 46.995 ;
+        RECT 243.175 46.825 243.345 46.995 ;
+        RECT 244.580 47.165 244.750 47.335 ;
+        RECT 245.475 47.165 245.645 47.335 ;
+        RECT 250.535 46.825 250.705 46.995 ;
+        RECT 251.915 47.505 252.085 47.675 ;
+        RECT 252.375 47.165 252.545 47.335 ;
+        RECT 258.355 46.485 258.525 46.655 ;
+        RECT 259.275 46.825 259.445 46.995 ;
+        RECT 261.115 46.825 261.285 46.995 ;
+        RECT 268.475 47.845 268.645 48.015 ;
+        RECT 267.555 46.825 267.725 46.995 ;
+        RECT 274.455 46.825 274.625 46.995 ;
+        RECT 275.835 46.825 276.005 46.995 ;
+        RECT 273.535 46.145 273.705 46.315 ;
+        RECT 284.115 46.825 284.285 46.995 ;
+        RECT 287.335 46.825 287.505 46.995 ;
+        RECT 288.255 46.825 288.425 46.995 ;
+        RECT 290.095 46.825 290.265 46.995 ;
+        RECT 291.015 46.825 291.185 46.995 ;
+        RECT 285.495 46.145 285.665 46.315 ;
+        RECT 297.915 47.165 298.085 47.335 ;
+        RECT 298.375 46.825 298.545 46.995 ;
+        RECT 304.815 46.825 304.985 46.995 ;
+        RECT 306.195 46.825 306.365 46.995 ;
+        RECT 311.255 46.825 311.425 46.995 ;
+        RECT 314.015 46.825 314.185 46.995 ;
+        RECT 303.895 46.145 304.065 46.315 ;
+        RECT 325.055 46.825 325.225 46.995 ;
+        RECT 326.435 46.825 326.605 46.995 ;
+        RECT 312.635 46.145 312.805 46.315 ;
+        RECT 332.875 46.825 333.045 46.995 ;
+        RECT 333.795 46.825 333.965 46.995 ;
+        RECT 324.135 46.145 324.305 46.315 ;
+        RECT 335.175 46.485 335.345 46.655 ;
+        RECT 340.695 46.825 340.865 46.995 ;
+        RECT 342.075 46.825 342.245 46.995 ;
+        RECT 353.115 46.825 353.285 46.995 ;
+        RECT 354.035 46.825 354.205 46.995 ;
+        RECT 339.775 46.145 339.945 46.315 ;
+        RECT 360.935 46.825 361.105 46.995 ;
+        RECT 361.855 46.825 362.025 46.995 ;
+        RECT 352.195 46.145 352.365 46.315 ;
+        RECT 370.595 47.165 370.765 47.335 ;
+        RECT 368.755 46.825 368.925 46.995 ;
+        RECT 369.675 46.825 369.845 46.995 ;
+        RECT 360.015 46.145 360.185 46.315 ;
+        RECT 390.835 47.505 391.005 47.675 ;
+        RECT 385.775 46.825 385.945 46.995 ;
+        RECT 400.955 46.825 401.125 46.995 ;
+        RECT 408.775 46.825 408.945 46.995 ;
+        RECT 413.835 46.825 414.005 46.995 ;
+        RECT 418.895 46.825 419.065 46.995 ;
+        RECT 423.955 46.825 424.125 46.995 ;
+        RECT 429.015 46.825 429.185 46.995 ;
+        RECT 441.895 46.825 442.065 46.995 ;
+        RECT 446.955 46.825 447.125 46.995 ;
+        RECT 452.015 46.825 452.185 46.995 ;
+        RECT 457.075 46.825 457.245 46.995 ;
+        RECT 469.955 46.825 470.125 46.995 ;
+        RECT 475.015 46.825 475.185 46.995 ;
+        RECT 480.075 46.825 480.245 46.995 ;
+        RECT 485.135 46.825 485.305 46.995 ;
+        RECT 492.955 46.825 493.125 46.995 ;
+        RECT 498.015 46.825 498.185 46.995 ;
+        RECT 503.075 46.825 503.245 46.995 ;
+        RECT 508.135 46.825 508.305 46.995 ;
+        RECT 521.015 46.825 521.185 46.995 ;
+        RECT 526.075 46.825 526.245 46.995 ;
+        RECT 531.135 46.825 531.305 46.995 ;
+        RECT 536.195 46.825 536.365 46.995 ;
+        RECT 541.255 46.825 541.425 46.995 ;
+        RECT 549.075 46.825 549.245 46.995 ;
+        RECT 554.135 46.825 554.305 46.995 ;
+        RECT 559.195 46.825 559.365 46.995 ;
+        RECT 564.255 46.825 564.425 46.995 ;
+        RECT 569.315 46.825 569.485 46.995 ;
+        RECT 577.135 46.825 577.305 46.995 ;
+        RECT 582.195 46.825 582.365 46.995 ;
+        RECT 587.255 46.825 587.425 46.995 ;
+        RECT 592.315 46.825 592.485 46.995 ;
+        RECT 597.375 46.825 597.545 46.995 ;
+        RECT 605.195 46.825 605.365 46.995 ;
+        RECT 610.255 46.825 610.425 46.995 ;
+        RECT 615.315 46.825 615.485 46.995 ;
+        RECT 620.375 46.825 620.545 46.995 ;
+        RECT 625.435 46.825 625.605 46.995 ;
+        RECT 42.615 45.635 42.785 45.805 ;
+        RECT 43.075 45.635 43.245 45.805 ;
+        RECT 43.535 45.635 43.705 45.805 ;
+        RECT 43.995 45.635 44.165 45.805 ;
+        RECT 44.455 45.635 44.625 45.805 ;
+        RECT 44.915 45.635 45.085 45.805 ;
+        RECT 45.375 45.635 45.545 45.805 ;
+        RECT 45.835 45.635 46.005 45.805 ;
+        RECT 46.295 45.635 46.465 45.805 ;
+        RECT 46.755 45.635 46.925 45.805 ;
+        RECT 47.215 45.635 47.385 45.805 ;
+        RECT 47.675 45.635 47.845 45.805 ;
+        RECT 48.135 45.635 48.305 45.805 ;
+        RECT 48.595 45.635 48.765 45.805 ;
+        RECT 49.055 45.635 49.225 45.805 ;
+        RECT 49.515 45.635 49.685 45.805 ;
+        RECT 49.975 45.635 50.145 45.805 ;
+        RECT 50.435 45.635 50.605 45.805 ;
+        RECT 50.895 45.635 51.065 45.805 ;
+        RECT 51.355 45.635 51.525 45.805 ;
+        RECT 51.815 45.635 51.985 45.805 ;
+        RECT 52.275 45.635 52.445 45.805 ;
+        RECT 52.735 45.635 52.905 45.805 ;
+        RECT 53.195 45.635 53.365 45.805 ;
+        RECT 53.655 45.635 53.825 45.805 ;
+        RECT 54.115 45.635 54.285 45.805 ;
+        RECT 54.575 45.635 54.745 45.805 ;
+        RECT 55.035 45.635 55.205 45.805 ;
+        RECT 55.495 45.635 55.665 45.805 ;
+        RECT 55.955 45.635 56.125 45.805 ;
+        RECT 56.415 45.635 56.585 45.805 ;
+        RECT 56.875 45.635 57.045 45.805 ;
+        RECT 57.335 45.635 57.505 45.805 ;
+        RECT 57.795 45.635 57.965 45.805 ;
+        RECT 58.255 45.635 58.425 45.805 ;
+        RECT 58.715 45.635 58.885 45.805 ;
+        RECT 59.175 45.635 59.345 45.805 ;
+        RECT 59.635 45.635 59.805 45.805 ;
+        RECT 60.095 45.635 60.265 45.805 ;
+        RECT 60.555 45.635 60.725 45.805 ;
+        RECT 61.015 45.635 61.185 45.805 ;
+        RECT 61.475 45.635 61.645 45.805 ;
+        RECT 61.935 45.635 62.105 45.805 ;
+        RECT 62.395 45.635 62.565 45.805 ;
+        RECT 62.855 45.635 63.025 45.805 ;
+        RECT 63.315 45.635 63.485 45.805 ;
+        RECT 63.775 45.635 63.945 45.805 ;
+        RECT 64.235 45.635 64.405 45.805 ;
+        RECT 64.695 45.635 64.865 45.805 ;
+        RECT 65.155 45.635 65.325 45.805 ;
+        RECT 65.615 45.635 65.785 45.805 ;
+        RECT 66.075 45.635 66.245 45.805 ;
+        RECT 66.535 45.635 66.705 45.805 ;
+        RECT 66.995 45.635 67.165 45.805 ;
+        RECT 67.455 45.635 67.625 45.805 ;
+        RECT 67.915 45.635 68.085 45.805 ;
+        RECT 68.375 45.635 68.545 45.805 ;
+        RECT 68.835 45.635 69.005 45.805 ;
+        RECT 69.295 45.635 69.465 45.805 ;
+        RECT 69.755 45.635 69.925 45.805 ;
+        RECT 70.215 45.635 70.385 45.805 ;
+        RECT 70.675 45.635 70.845 45.805 ;
+        RECT 71.135 45.635 71.305 45.805 ;
+        RECT 71.595 45.635 71.765 45.805 ;
+        RECT 72.055 45.635 72.225 45.805 ;
+        RECT 72.515 45.635 72.685 45.805 ;
+        RECT 72.975 45.635 73.145 45.805 ;
+        RECT 73.435 45.635 73.605 45.805 ;
+        RECT 73.895 45.635 74.065 45.805 ;
+        RECT 74.355 45.635 74.525 45.805 ;
+        RECT 74.815 45.635 74.985 45.805 ;
+        RECT 75.275 45.635 75.445 45.805 ;
+        RECT 75.735 45.635 75.905 45.805 ;
+        RECT 76.195 45.635 76.365 45.805 ;
+        RECT 76.655 45.635 76.825 45.805 ;
+        RECT 77.115 45.635 77.285 45.805 ;
+        RECT 77.575 45.635 77.745 45.805 ;
+        RECT 78.035 45.635 78.205 45.805 ;
+        RECT 78.495 45.635 78.665 45.805 ;
+        RECT 78.955 45.635 79.125 45.805 ;
+        RECT 79.415 45.635 79.585 45.805 ;
+        RECT 79.875 45.635 80.045 45.805 ;
+        RECT 80.335 45.635 80.505 45.805 ;
+        RECT 80.795 45.635 80.965 45.805 ;
+        RECT 81.255 45.635 81.425 45.805 ;
+        RECT 81.715 45.635 81.885 45.805 ;
+        RECT 82.175 45.635 82.345 45.805 ;
+        RECT 82.635 45.635 82.805 45.805 ;
+        RECT 83.095 45.635 83.265 45.805 ;
+        RECT 83.555 45.635 83.725 45.805 ;
+        RECT 84.015 45.635 84.185 45.805 ;
+        RECT 84.475 45.635 84.645 45.805 ;
+        RECT 84.935 45.635 85.105 45.805 ;
+        RECT 85.395 45.635 85.565 45.805 ;
+        RECT 85.855 45.635 86.025 45.805 ;
+        RECT 86.315 45.635 86.485 45.805 ;
+        RECT 86.775 45.635 86.945 45.805 ;
+        RECT 87.235 45.635 87.405 45.805 ;
+        RECT 87.695 45.635 87.865 45.805 ;
+        RECT 88.155 45.635 88.325 45.805 ;
+        RECT 88.615 45.635 88.785 45.805 ;
+        RECT 89.075 45.635 89.245 45.805 ;
+        RECT 89.535 45.635 89.705 45.805 ;
+        RECT 89.995 45.635 90.165 45.805 ;
+        RECT 90.455 45.635 90.625 45.805 ;
+        RECT 90.915 45.635 91.085 45.805 ;
+        RECT 91.375 45.635 91.545 45.805 ;
+        RECT 91.835 45.635 92.005 45.805 ;
+        RECT 92.295 45.635 92.465 45.805 ;
+        RECT 92.755 45.635 92.925 45.805 ;
+        RECT 93.215 45.635 93.385 45.805 ;
+        RECT 93.675 45.635 93.845 45.805 ;
+        RECT 94.135 45.635 94.305 45.805 ;
+        RECT 94.595 45.635 94.765 45.805 ;
+        RECT 95.055 45.635 95.225 45.805 ;
+        RECT 95.515 45.635 95.685 45.805 ;
+        RECT 95.975 45.635 96.145 45.805 ;
+        RECT 96.435 45.635 96.605 45.805 ;
+        RECT 96.895 45.635 97.065 45.805 ;
+        RECT 97.355 45.635 97.525 45.805 ;
+        RECT 97.815 45.635 97.985 45.805 ;
+        RECT 98.275 45.635 98.445 45.805 ;
+        RECT 98.735 45.635 98.905 45.805 ;
+        RECT 99.195 45.635 99.365 45.805 ;
+        RECT 99.655 45.635 99.825 45.805 ;
+        RECT 100.115 45.635 100.285 45.805 ;
+        RECT 100.575 45.635 100.745 45.805 ;
+        RECT 101.035 45.635 101.205 45.805 ;
+        RECT 101.495 45.635 101.665 45.805 ;
+        RECT 101.955 45.635 102.125 45.805 ;
+        RECT 102.415 45.635 102.585 45.805 ;
+        RECT 102.875 45.635 103.045 45.805 ;
+        RECT 103.335 45.635 103.505 45.805 ;
+        RECT 103.795 45.635 103.965 45.805 ;
+        RECT 104.255 45.635 104.425 45.805 ;
+        RECT 104.715 45.635 104.885 45.805 ;
+        RECT 105.175 45.635 105.345 45.805 ;
+        RECT 105.635 45.635 105.805 45.805 ;
+        RECT 106.095 45.635 106.265 45.805 ;
+        RECT 106.555 45.635 106.725 45.805 ;
+        RECT 107.015 45.635 107.185 45.805 ;
+        RECT 107.475 45.635 107.645 45.805 ;
+        RECT 107.935 45.635 108.105 45.805 ;
+        RECT 108.395 45.635 108.565 45.805 ;
+        RECT 108.855 45.635 109.025 45.805 ;
+        RECT 109.315 45.635 109.485 45.805 ;
+        RECT 109.775 45.635 109.945 45.805 ;
+        RECT 110.235 45.635 110.405 45.805 ;
+        RECT 110.695 45.635 110.865 45.805 ;
+        RECT 111.155 45.635 111.325 45.805 ;
+        RECT 111.615 45.635 111.785 45.805 ;
+        RECT 112.075 45.635 112.245 45.805 ;
+        RECT 112.535 45.635 112.705 45.805 ;
+        RECT 112.995 45.635 113.165 45.805 ;
+        RECT 113.455 45.635 113.625 45.805 ;
+        RECT 113.915 45.635 114.085 45.805 ;
+        RECT 114.375 45.635 114.545 45.805 ;
+        RECT 114.835 45.635 115.005 45.805 ;
+        RECT 115.295 45.635 115.465 45.805 ;
+        RECT 115.755 45.635 115.925 45.805 ;
+        RECT 116.215 45.635 116.385 45.805 ;
+        RECT 116.675 45.635 116.845 45.805 ;
+        RECT 117.135 45.635 117.305 45.805 ;
+        RECT 117.595 45.635 117.765 45.805 ;
+        RECT 118.055 45.635 118.225 45.805 ;
+        RECT 118.515 45.635 118.685 45.805 ;
+        RECT 118.975 45.635 119.145 45.805 ;
+        RECT 119.435 45.635 119.605 45.805 ;
+        RECT 119.895 45.635 120.065 45.805 ;
+        RECT 120.355 45.635 120.525 45.805 ;
+        RECT 120.815 45.635 120.985 45.805 ;
+        RECT 121.275 45.635 121.445 45.805 ;
+        RECT 121.735 45.635 121.905 45.805 ;
+        RECT 122.195 45.635 122.365 45.805 ;
+        RECT 122.655 45.635 122.825 45.805 ;
+        RECT 123.115 45.635 123.285 45.805 ;
+        RECT 123.575 45.635 123.745 45.805 ;
+        RECT 124.035 45.635 124.205 45.805 ;
+        RECT 124.495 45.635 124.665 45.805 ;
+        RECT 124.955 45.635 125.125 45.805 ;
+        RECT 125.415 45.635 125.585 45.805 ;
+        RECT 125.875 45.635 126.045 45.805 ;
+        RECT 126.335 45.635 126.505 45.805 ;
+        RECT 126.795 45.635 126.965 45.805 ;
+        RECT 127.255 45.635 127.425 45.805 ;
+        RECT 127.715 45.635 127.885 45.805 ;
+        RECT 128.175 45.635 128.345 45.805 ;
+        RECT 128.635 45.635 128.805 45.805 ;
+        RECT 129.095 45.635 129.265 45.805 ;
+        RECT 129.555 45.635 129.725 45.805 ;
+        RECT 130.015 45.635 130.185 45.805 ;
+        RECT 130.475 45.635 130.645 45.805 ;
+        RECT 130.935 45.635 131.105 45.805 ;
+        RECT 131.395 45.635 131.565 45.805 ;
+        RECT 131.855 45.635 132.025 45.805 ;
+        RECT 132.315 45.635 132.485 45.805 ;
+        RECT 132.775 45.635 132.945 45.805 ;
+        RECT 133.235 45.635 133.405 45.805 ;
+        RECT 133.695 45.635 133.865 45.805 ;
+        RECT 134.155 45.635 134.325 45.805 ;
+        RECT 134.615 45.635 134.785 45.805 ;
+        RECT 135.075 45.635 135.245 45.805 ;
+        RECT 135.535 45.635 135.705 45.805 ;
+        RECT 135.995 45.635 136.165 45.805 ;
+        RECT 136.455 45.635 136.625 45.805 ;
+        RECT 136.915 45.635 137.085 45.805 ;
+        RECT 137.375 45.635 137.545 45.805 ;
+        RECT 137.835 45.635 138.005 45.805 ;
+        RECT 138.295 45.635 138.465 45.805 ;
+        RECT 138.755 45.635 138.925 45.805 ;
+        RECT 139.215 45.635 139.385 45.805 ;
+        RECT 139.675 45.635 139.845 45.805 ;
+        RECT 140.135 45.635 140.305 45.805 ;
+        RECT 140.595 45.635 140.765 45.805 ;
+        RECT 141.055 45.635 141.225 45.805 ;
+        RECT 141.515 45.635 141.685 45.805 ;
+        RECT 141.975 45.635 142.145 45.805 ;
+        RECT 142.435 45.635 142.605 45.805 ;
+        RECT 142.895 45.635 143.065 45.805 ;
+        RECT 143.355 45.635 143.525 45.805 ;
+        RECT 143.815 45.635 143.985 45.805 ;
+        RECT 144.275 45.635 144.445 45.805 ;
+        RECT 144.735 45.635 144.905 45.805 ;
+        RECT 145.195 45.635 145.365 45.805 ;
+        RECT 145.655 45.635 145.825 45.805 ;
+        RECT 146.115 45.635 146.285 45.805 ;
+        RECT 146.575 45.635 146.745 45.805 ;
+        RECT 147.035 45.635 147.205 45.805 ;
+        RECT 147.495 45.635 147.665 45.805 ;
+        RECT 147.955 45.635 148.125 45.805 ;
+        RECT 148.415 45.635 148.585 45.805 ;
+        RECT 148.875 45.635 149.045 45.805 ;
+        RECT 149.335 45.635 149.505 45.805 ;
+        RECT 149.795 45.635 149.965 45.805 ;
+        RECT 150.255 45.635 150.425 45.805 ;
+        RECT 150.715 45.635 150.885 45.805 ;
+        RECT 151.175 45.635 151.345 45.805 ;
+        RECT 151.635 45.635 151.805 45.805 ;
+        RECT 152.095 45.635 152.265 45.805 ;
+        RECT 152.555 45.635 152.725 45.805 ;
+        RECT 153.015 45.635 153.185 45.805 ;
+        RECT 153.475 45.635 153.645 45.805 ;
+        RECT 153.935 45.635 154.105 45.805 ;
+        RECT 154.395 45.635 154.565 45.805 ;
+        RECT 154.855 45.635 155.025 45.805 ;
+        RECT 155.315 45.635 155.485 45.805 ;
+        RECT 155.775 45.635 155.945 45.805 ;
+        RECT 156.235 45.635 156.405 45.805 ;
+        RECT 156.695 45.635 156.865 45.805 ;
+        RECT 157.155 45.635 157.325 45.805 ;
+        RECT 157.615 45.635 157.785 45.805 ;
+        RECT 158.075 45.635 158.245 45.805 ;
+        RECT 158.535 45.635 158.705 45.805 ;
+        RECT 158.995 45.635 159.165 45.805 ;
+        RECT 159.455 45.635 159.625 45.805 ;
+        RECT 159.915 45.635 160.085 45.805 ;
+        RECT 160.375 45.635 160.545 45.805 ;
+        RECT 160.835 45.635 161.005 45.805 ;
+        RECT 161.295 45.635 161.465 45.805 ;
+        RECT 161.755 45.635 161.925 45.805 ;
+        RECT 162.215 45.635 162.385 45.805 ;
+        RECT 162.675 45.635 162.845 45.805 ;
+        RECT 163.135 45.635 163.305 45.805 ;
+        RECT 163.595 45.635 163.765 45.805 ;
+        RECT 164.055 45.635 164.225 45.805 ;
+        RECT 164.515 45.635 164.685 45.805 ;
+        RECT 164.975 45.635 165.145 45.805 ;
+        RECT 165.435 45.635 165.605 45.805 ;
+        RECT 165.895 45.635 166.065 45.805 ;
+        RECT 166.355 45.635 166.525 45.805 ;
+        RECT 166.815 45.635 166.985 45.805 ;
+        RECT 167.275 45.635 167.445 45.805 ;
+        RECT 167.735 45.635 167.905 45.805 ;
+        RECT 168.195 45.635 168.365 45.805 ;
+        RECT 168.655 45.635 168.825 45.805 ;
+        RECT 169.115 45.635 169.285 45.805 ;
+        RECT 169.575 45.635 169.745 45.805 ;
+        RECT 170.035 45.635 170.205 45.805 ;
+        RECT 170.495 45.635 170.665 45.805 ;
+        RECT 170.955 45.635 171.125 45.805 ;
+        RECT 171.415 45.635 171.585 45.805 ;
+        RECT 171.875 45.635 172.045 45.805 ;
+        RECT 172.335 45.635 172.505 45.805 ;
+        RECT 172.795 45.635 172.965 45.805 ;
+        RECT 173.255 45.635 173.425 45.805 ;
+        RECT 173.715 45.635 173.885 45.805 ;
+        RECT 174.175 45.635 174.345 45.805 ;
+        RECT 174.635 45.635 174.805 45.805 ;
+        RECT 175.095 45.635 175.265 45.805 ;
+        RECT 175.555 45.635 175.725 45.805 ;
+        RECT 176.015 45.635 176.185 45.805 ;
+        RECT 176.475 45.635 176.645 45.805 ;
+        RECT 176.935 45.635 177.105 45.805 ;
+        RECT 177.395 45.635 177.565 45.805 ;
+        RECT 177.855 45.635 178.025 45.805 ;
+        RECT 178.315 45.635 178.485 45.805 ;
+        RECT 178.775 45.635 178.945 45.805 ;
+        RECT 179.235 45.635 179.405 45.805 ;
+        RECT 179.695 45.635 179.865 45.805 ;
+        RECT 180.155 45.635 180.325 45.805 ;
+        RECT 180.615 45.635 180.785 45.805 ;
+        RECT 181.075 45.635 181.245 45.805 ;
+        RECT 181.535 45.635 181.705 45.805 ;
+        RECT 181.995 45.635 182.165 45.805 ;
+        RECT 182.455 45.635 182.625 45.805 ;
+        RECT 182.915 45.635 183.085 45.805 ;
+        RECT 183.375 45.635 183.545 45.805 ;
+        RECT 183.835 45.635 184.005 45.805 ;
+        RECT 184.295 45.635 184.465 45.805 ;
+        RECT 184.755 45.635 184.925 45.805 ;
+        RECT 185.215 45.635 185.385 45.805 ;
+        RECT 185.675 45.635 185.845 45.805 ;
+        RECT 186.135 45.635 186.305 45.805 ;
+        RECT 186.595 45.635 186.765 45.805 ;
+        RECT 187.055 45.635 187.225 45.805 ;
+        RECT 187.515 45.635 187.685 45.805 ;
+        RECT 187.975 45.635 188.145 45.805 ;
+        RECT 188.435 45.635 188.605 45.805 ;
+        RECT 188.895 45.635 189.065 45.805 ;
+        RECT 189.355 45.635 189.525 45.805 ;
+        RECT 189.815 45.635 189.985 45.805 ;
+        RECT 190.275 45.635 190.445 45.805 ;
+        RECT 190.735 45.635 190.905 45.805 ;
+        RECT 191.195 45.635 191.365 45.805 ;
+        RECT 191.655 45.635 191.825 45.805 ;
+        RECT 192.115 45.635 192.285 45.805 ;
+        RECT 192.575 45.635 192.745 45.805 ;
+        RECT 193.035 45.635 193.205 45.805 ;
+        RECT 193.495 45.635 193.665 45.805 ;
+        RECT 193.955 45.635 194.125 45.805 ;
+        RECT 194.415 45.635 194.585 45.805 ;
+        RECT 194.875 45.635 195.045 45.805 ;
+        RECT 195.335 45.635 195.505 45.805 ;
+        RECT 195.795 45.635 195.965 45.805 ;
+        RECT 196.255 45.635 196.425 45.805 ;
+        RECT 196.715 45.635 196.885 45.805 ;
+        RECT 197.175 45.635 197.345 45.805 ;
+        RECT 197.635 45.635 197.805 45.805 ;
+        RECT 198.095 45.635 198.265 45.805 ;
+        RECT 198.555 45.635 198.725 45.805 ;
+        RECT 199.015 45.635 199.185 45.805 ;
+        RECT 199.475 45.635 199.645 45.805 ;
+        RECT 199.935 45.635 200.105 45.805 ;
+        RECT 200.395 45.635 200.565 45.805 ;
+        RECT 200.855 45.635 201.025 45.805 ;
+        RECT 201.315 45.635 201.485 45.805 ;
+        RECT 201.775 45.635 201.945 45.805 ;
+        RECT 202.235 45.635 202.405 45.805 ;
+        RECT 202.695 45.635 202.865 45.805 ;
+        RECT 203.155 45.635 203.325 45.805 ;
+        RECT 203.615 45.635 203.785 45.805 ;
+        RECT 204.075 45.635 204.245 45.805 ;
+        RECT 204.535 45.635 204.705 45.805 ;
+        RECT 204.995 45.635 205.165 45.805 ;
+        RECT 205.455 45.635 205.625 45.805 ;
+        RECT 205.915 45.635 206.085 45.805 ;
+        RECT 206.375 45.635 206.545 45.805 ;
+        RECT 206.835 45.635 207.005 45.805 ;
+        RECT 207.295 45.635 207.465 45.805 ;
+        RECT 207.755 45.635 207.925 45.805 ;
+        RECT 208.215 45.635 208.385 45.805 ;
+        RECT 208.675 45.635 208.845 45.805 ;
+        RECT 209.135 45.635 209.305 45.805 ;
+        RECT 209.595 45.635 209.765 45.805 ;
+        RECT 210.055 45.635 210.225 45.805 ;
+        RECT 210.515 45.635 210.685 45.805 ;
+        RECT 210.975 45.635 211.145 45.805 ;
+        RECT 211.435 45.635 211.605 45.805 ;
+        RECT 211.895 45.635 212.065 45.805 ;
+        RECT 212.355 45.635 212.525 45.805 ;
+        RECT 212.815 45.635 212.985 45.805 ;
+        RECT 213.275 45.635 213.445 45.805 ;
+        RECT 213.735 45.635 213.905 45.805 ;
+        RECT 214.195 45.635 214.365 45.805 ;
+        RECT 214.655 45.635 214.825 45.805 ;
+        RECT 215.115 45.635 215.285 45.805 ;
+        RECT 215.575 45.635 215.745 45.805 ;
+        RECT 216.035 45.635 216.205 45.805 ;
+        RECT 216.495 45.635 216.665 45.805 ;
+        RECT 216.955 45.635 217.125 45.805 ;
+        RECT 217.415 45.635 217.585 45.805 ;
+        RECT 217.875 45.635 218.045 45.805 ;
+        RECT 218.335 45.635 218.505 45.805 ;
+        RECT 218.795 45.635 218.965 45.805 ;
+        RECT 219.255 45.635 219.425 45.805 ;
+        RECT 219.715 45.635 219.885 45.805 ;
+        RECT 220.175 45.635 220.345 45.805 ;
+        RECT 220.635 45.635 220.805 45.805 ;
+        RECT 221.095 45.635 221.265 45.805 ;
+        RECT 221.555 45.635 221.725 45.805 ;
+        RECT 222.015 45.635 222.185 45.805 ;
+        RECT 222.475 45.635 222.645 45.805 ;
+        RECT 222.935 45.635 223.105 45.805 ;
+        RECT 223.395 45.635 223.565 45.805 ;
+        RECT 223.855 45.635 224.025 45.805 ;
+        RECT 224.315 45.635 224.485 45.805 ;
+        RECT 224.775 45.635 224.945 45.805 ;
+        RECT 225.235 45.635 225.405 45.805 ;
+        RECT 225.695 45.635 225.865 45.805 ;
+        RECT 226.155 45.635 226.325 45.805 ;
+        RECT 226.615 45.635 226.785 45.805 ;
+        RECT 227.075 45.635 227.245 45.805 ;
+        RECT 227.535 45.635 227.705 45.805 ;
+        RECT 227.995 45.635 228.165 45.805 ;
+        RECT 228.455 45.635 228.625 45.805 ;
+        RECT 228.915 45.635 229.085 45.805 ;
+        RECT 229.375 45.635 229.545 45.805 ;
+        RECT 229.835 45.635 230.005 45.805 ;
+        RECT 230.295 45.635 230.465 45.805 ;
+        RECT 230.755 45.635 230.925 45.805 ;
+        RECT 231.215 45.635 231.385 45.805 ;
+        RECT 231.675 45.635 231.845 45.805 ;
+        RECT 232.135 45.635 232.305 45.805 ;
+        RECT 232.595 45.635 232.765 45.805 ;
+        RECT 233.055 45.635 233.225 45.805 ;
+        RECT 233.515 45.635 233.685 45.805 ;
+        RECT 233.975 45.635 234.145 45.805 ;
+        RECT 234.435 45.635 234.605 45.805 ;
+        RECT 234.895 45.635 235.065 45.805 ;
+        RECT 235.355 45.635 235.525 45.805 ;
+        RECT 235.815 45.635 235.985 45.805 ;
+        RECT 236.275 45.635 236.445 45.805 ;
+        RECT 236.735 45.635 236.905 45.805 ;
+        RECT 237.195 45.635 237.365 45.805 ;
+        RECT 237.655 45.635 237.825 45.805 ;
+        RECT 238.115 45.635 238.285 45.805 ;
+        RECT 238.575 45.635 238.745 45.805 ;
+        RECT 239.035 45.635 239.205 45.805 ;
+        RECT 239.495 45.635 239.665 45.805 ;
+        RECT 239.955 45.635 240.125 45.805 ;
+        RECT 240.415 45.635 240.585 45.805 ;
+        RECT 240.875 45.635 241.045 45.805 ;
+        RECT 241.335 45.635 241.505 45.805 ;
+        RECT 241.795 45.635 241.965 45.805 ;
+        RECT 242.255 45.635 242.425 45.805 ;
+        RECT 242.715 45.635 242.885 45.805 ;
+        RECT 243.175 45.635 243.345 45.805 ;
+        RECT 243.635 45.635 243.805 45.805 ;
+        RECT 244.095 45.635 244.265 45.805 ;
+        RECT 244.555 45.635 244.725 45.805 ;
+        RECT 245.015 45.635 245.185 45.805 ;
+        RECT 245.475 45.635 245.645 45.805 ;
+        RECT 245.935 45.635 246.105 45.805 ;
+        RECT 246.395 45.635 246.565 45.805 ;
+        RECT 246.855 45.635 247.025 45.805 ;
+        RECT 247.315 45.635 247.485 45.805 ;
+        RECT 247.775 45.635 247.945 45.805 ;
+        RECT 248.235 45.635 248.405 45.805 ;
+        RECT 248.695 45.635 248.865 45.805 ;
+        RECT 249.155 45.635 249.325 45.805 ;
+        RECT 249.615 45.635 249.785 45.805 ;
+        RECT 250.075 45.635 250.245 45.805 ;
+        RECT 250.535 45.635 250.705 45.805 ;
+        RECT 250.995 45.635 251.165 45.805 ;
+        RECT 251.455 45.635 251.625 45.805 ;
+        RECT 251.915 45.635 252.085 45.805 ;
+        RECT 252.375 45.635 252.545 45.805 ;
+        RECT 252.835 45.635 253.005 45.805 ;
+        RECT 253.295 45.635 253.465 45.805 ;
+        RECT 253.755 45.635 253.925 45.805 ;
+        RECT 254.215 45.635 254.385 45.805 ;
+        RECT 254.675 45.635 254.845 45.805 ;
+        RECT 255.135 45.635 255.305 45.805 ;
+        RECT 255.595 45.635 255.765 45.805 ;
+        RECT 256.055 45.635 256.225 45.805 ;
+        RECT 256.515 45.635 256.685 45.805 ;
+        RECT 256.975 45.635 257.145 45.805 ;
+        RECT 257.435 45.635 257.605 45.805 ;
+        RECT 257.895 45.635 258.065 45.805 ;
+        RECT 258.355 45.635 258.525 45.805 ;
+        RECT 258.815 45.635 258.985 45.805 ;
+        RECT 259.275 45.635 259.445 45.805 ;
+        RECT 259.735 45.635 259.905 45.805 ;
+        RECT 260.195 45.635 260.365 45.805 ;
+        RECT 260.655 45.635 260.825 45.805 ;
+        RECT 261.115 45.635 261.285 45.805 ;
+        RECT 261.575 45.635 261.745 45.805 ;
+        RECT 262.035 45.635 262.205 45.805 ;
+        RECT 262.495 45.635 262.665 45.805 ;
+        RECT 262.955 45.635 263.125 45.805 ;
+        RECT 263.415 45.635 263.585 45.805 ;
+        RECT 263.875 45.635 264.045 45.805 ;
+        RECT 264.335 45.635 264.505 45.805 ;
+        RECT 264.795 45.635 264.965 45.805 ;
+        RECT 265.255 45.635 265.425 45.805 ;
+        RECT 265.715 45.635 265.885 45.805 ;
+        RECT 266.175 45.635 266.345 45.805 ;
+        RECT 266.635 45.635 266.805 45.805 ;
+        RECT 267.095 45.635 267.265 45.805 ;
+        RECT 267.555 45.635 267.725 45.805 ;
+        RECT 268.015 45.635 268.185 45.805 ;
+        RECT 268.475 45.635 268.645 45.805 ;
+        RECT 268.935 45.635 269.105 45.805 ;
+        RECT 269.395 45.635 269.565 45.805 ;
+        RECT 269.855 45.635 270.025 45.805 ;
+        RECT 270.315 45.635 270.485 45.805 ;
+        RECT 270.775 45.635 270.945 45.805 ;
+        RECT 271.235 45.635 271.405 45.805 ;
+        RECT 271.695 45.635 271.865 45.805 ;
+        RECT 272.155 45.635 272.325 45.805 ;
+        RECT 272.615 45.635 272.785 45.805 ;
+        RECT 273.075 45.635 273.245 45.805 ;
+        RECT 273.535 45.635 273.705 45.805 ;
+        RECT 273.995 45.635 274.165 45.805 ;
+        RECT 274.455 45.635 274.625 45.805 ;
+        RECT 274.915 45.635 275.085 45.805 ;
+        RECT 275.375 45.635 275.545 45.805 ;
+        RECT 275.835 45.635 276.005 45.805 ;
+        RECT 276.295 45.635 276.465 45.805 ;
+        RECT 276.755 45.635 276.925 45.805 ;
+        RECT 277.215 45.635 277.385 45.805 ;
+        RECT 277.675 45.635 277.845 45.805 ;
+        RECT 278.135 45.635 278.305 45.805 ;
+        RECT 278.595 45.635 278.765 45.805 ;
+        RECT 279.055 45.635 279.225 45.805 ;
+        RECT 279.515 45.635 279.685 45.805 ;
+        RECT 279.975 45.635 280.145 45.805 ;
+        RECT 280.435 45.635 280.605 45.805 ;
+        RECT 280.895 45.635 281.065 45.805 ;
+        RECT 281.355 45.635 281.525 45.805 ;
+        RECT 281.815 45.635 281.985 45.805 ;
+        RECT 282.275 45.635 282.445 45.805 ;
+        RECT 282.735 45.635 282.905 45.805 ;
+        RECT 283.195 45.635 283.365 45.805 ;
+        RECT 283.655 45.635 283.825 45.805 ;
+        RECT 284.115 45.635 284.285 45.805 ;
+        RECT 284.575 45.635 284.745 45.805 ;
+        RECT 285.035 45.635 285.205 45.805 ;
+        RECT 285.495 45.635 285.665 45.805 ;
+        RECT 285.955 45.635 286.125 45.805 ;
+        RECT 286.415 45.635 286.585 45.805 ;
+        RECT 286.875 45.635 287.045 45.805 ;
+        RECT 287.335 45.635 287.505 45.805 ;
+        RECT 287.795 45.635 287.965 45.805 ;
+        RECT 288.255 45.635 288.425 45.805 ;
+        RECT 288.715 45.635 288.885 45.805 ;
+        RECT 289.175 45.635 289.345 45.805 ;
+        RECT 289.635 45.635 289.805 45.805 ;
+        RECT 290.095 45.635 290.265 45.805 ;
+        RECT 290.555 45.635 290.725 45.805 ;
+        RECT 291.015 45.635 291.185 45.805 ;
+        RECT 291.475 45.635 291.645 45.805 ;
+        RECT 291.935 45.635 292.105 45.805 ;
+        RECT 292.395 45.635 292.565 45.805 ;
+        RECT 292.855 45.635 293.025 45.805 ;
+        RECT 293.315 45.635 293.485 45.805 ;
+        RECT 293.775 45.635 293.945 45.805 ;
+        RECT 294.235 45.635 294.405 45.805 ;
+        RECT 294.695 45.635 294.865 45.805 ;
+        RECT 295.155 45.635 295.325 45.805 ;
+        RECT 295.615 45.635 295.785 45.805 ;
+        RECT 296.075 45.635 296.245 45.805 ;
+        RECT 296.535 45.635 296.705 45.805 ;
+        RECT 296.995 45.635 297.165 45.805 ;
+        RECT 297.455 45.635 297.625 45.805 ;
+        RECT 297.915 45.635 298.085 45.805 ;
+        RECT 298.375 45.635 298.545 45.805 ;
+        RECT 298.835 45.635 299.005 45.805 ;
+        RECT 299.295 45.635 299.465 45.805 ;
+        RECT 299.755 45.635 299.925 45.805 ;
+        RECT 300.215 45.635 300.385 45.805 ;
+        RECT 300.675 45.635 300.845 45.805 ;
+        RECT 301.135 45.635 301.305 45.805 ;
+        RECT 301.595 45.635 301.765 45.805 ;
+        RECT 302.055 45.635 302.225 45.805 ;
+        RECT 302.515 45.635 302.685 45.805 ;
+        RECT 302.975 45.635 303.145 45.805 ;
+        RECT 303.435 45.635 303.605 45.805 ;
+        RECT 303.895 45.635 304.065 45.805 ;
+        RECT 304.355 45.635 304.525 45.805 ;
+        RECT 304.815 45.635 304.985 45.805 ;
+        RECT 305.275 45.635 305.445 45.805 ;
+        RECT 305.735 45.635 305.905 45.805 ;
+        RECT 306.195 45.635 306.365 45.805 ;
+        RECT 306.655 45.635 306.825 45.805 ;
+        RECT 307.115 45.635 307.285 45.805 ;
+        RECT 307.575 45.635 307.745 45.805 ;
+        RECT 308.035 45.635 308.205 45.805 ;
+        RECT 308.495 45.635 308.665 45.805 ;
+        RECT 308.955 45.635 309.125 45.805 ;
+        RECT 309.415 45.635 309.585 45.805 ;
+        RECT 309.875 45.635 310.045 45.805 ;
+        RECT 310.335 45.635 310.505 45.805 ;
+        RECT 310.795 45.635 310.965 45.805 ;
+        RECT 311.255 45.635 311.425 45.805 ;
+        RECT 311.715 45.635 311.885 45.805 ;
+        RECT 312.175 45.635 312.345 45.805 ;
+        RECT 312.635 45.635 312.805 45.805 ;
+        RECT 313.095 45.635 313.265 45.805 ;
+        RECT 313.555 45.635 313.725 45.805 ;
+        RECT 314.015 45.635 314.185 45.805 ;
+        RECT 314.475 45.635 314.645 45.805 ;
+        RECT 314.935 45.635 315.105 45.805 ;
+        RECT 315.395 45.635 315.565 45.805 ;
+        RECT 315.855 45.635 316.025 45.805 ;
+        RECT 316.315 45.635 316.485 45.805 ;
+        RECT 316.775 45.635 316.945 45.805 ;
+        RECT 317.235 45.635 317.405 45.805 ;
+        RECT 317.695 45.635 317.865 45.805 ;
+        RECT 318.155 45.635 318.325 45.805 ;
+        RECT 318.615 45.635 318.785 45.805 ;
+        RECT 319.075 45.635 319.245 45.805 ;
+        RECT 319.535 45.635 319.705 45.805 ;
+        RECT 319.995 45.635 320.165 45.805 ;
+        RECT 320.455 45.635 320.625 45.805 ;
+        RECT 320.915 45.635 321.085 45.805 ;
+        RECT 321.375 45.635 321.545 45.805 ;
+        RECT 321.835 45.635 322.005 45.805 ;
+        RECT 322.295 45.635 322.465 45.805 ;
+        RECT 322.755 45.635 322.925 45.805 ;
+        RECT 323.215 45.635 323.385 45.805 ;
+        RECT 323.675 45.635 323.845 45.805 ;
+        RECT 324.135 45.635 324.305 45.805 ;
+        RECT 324.595 45.635 324.765 45.805 ;
+        RECT 325.055 45.635 325.225 45.805 ;
+        RECT 325.515 45.635 325.685 45.805 ;
+        RECT 325.975 45.635 326.145 45.805 ;
+        RECT 326.435 45.635 326.605 45.805 ;
+        RECT 326.895 45.635 327.065 45.805 ;
+        RECT 327.355 45.635 327.525 45.805 ;
+        RECT 327.815 45.635 327.985 45.805 ;
+        RECT 328.275 45.635 328.445 45.805 ;
+        RECT 328.735 45.635 328.905 45.805 ;
+        RECT 329.195 45.635 329.365 45.805 ;
+        RECT 329.655 45.635 329.825 45.805 ;
+        RECT 330.115 45.635 330.285 45.805 ;
+        RECT 330.575 45.635 330.745 45.805 ;
+        RECT 331.035 45.635 331.205 45.805 ;
+        RECT 331.495 45.635 331.665 45.805 ;
+        RECT 331.955 45.635 332.125 45.805 ;
+        RECT 332.415 45.635 332.585 45.805 ;
+        RECT 332.875 45.635 333.045 45.805 ;
+        RECT 333.335 45.635 333.505 45.805 ;
+        RECT 333.795 45.635 333.965 45.805 ;
+        RECT 334.255 45.635 334.425 45.805 ;
+        RECT 334.715 45.635 334.885 45.805 ;
+        RECT 335.175 45.635 335.345 45.805 ;
+        RECT 335.635 45.635 335.805 45.805 ;
+        RECT 336.095 45.635 336.265 45.805 ;
+        RECT 336.555 45.635 336.725 45.805 ;
+        RECT 337.015 45.635 337.185 45.805 ;
+        RECT 337.475 45.635 337.645 45.805 ;
+        RECT 337.935 45.635 338.105 45.805 ;
+        RECT 338.395 45.635 338.565 45.805 ;
+        RECT 338.855 45.635 339.025 45.805 ;
+        RECT 339.315 45.635 339.485 45.805 ;
+        RECT 339.775 45.635 339.945 45.805 ;
+        RECT 340.235 45.635 340.405 45.805 ;
+        RECT 340.695 45.635 340.865 45.805 ;
+        RECT 341.155 45.635 341.325 45.805 ;
+        RECT 341.615 45.635 341.785 45.805 ;
+        RECT 342.075 45.635 342.245 45.805 ;
+        RECT 342.535 45.635 342.705 45.805 ;
+        RECT 342.995 45.635 343.165 45.805 ;
+        RECT 343.455 45.635 343.625 45.805 ;
+        RECT 343.915 45.635 344.085 45.805 ;
+        RECT 344.375 45.635 344.545 45.805 ;
+        RECT 344.835 45.635 345.005 45.805 ;
+        RECT 345.295 45.635 345.465 45.805 ;
+        RECT 345.755 45.635 345.925 45.805 ;
+        RECT 346.215 45.635 346.385 45.805 ;
+        RECT 346.675 45.635 346.845 45.805 ;
+        RECT 347.135 45.635 347.305 45.805 ;
+        RECT 347.595 45.635 347.765 45.805 ;
+        RECT 348.055 45.635 348.225 45.805 ;
+        RECT 348.515 45.635 348.685 45.805 ;
+        RECT 348.975 45.635 349.145 45.805 ;
+        RECT 349.435 45.635 349.605 45.805 ;
+        RECT 349.895 45.635 350.065 45.805 ;
+        RECT 350.355 45.635 350.525 45.805 ;
+        RECT 350.815 45.635 350.985 45.805 ;
+        RECT 351.275 45.635 351.445 45.805 ;
+        RECT 351.735 45.635 351.905 45.805 ;
+        RECT 352.195 45.635 352.365 45.805 ;
+        RECT 352.655 45.635 352.825 45.805 ;
+        RECT 353.115 45.635 353.285 45.805 ;
+        RECT 353.575 45.635 353.745 45.805 ;
+        RECT 354.035 45.635 354.205 45.805 ;
+        RECT 354.495 45.635 354.665 45.805 ;
+        RECT 354.955 45.635 355.125 45.805 ;
+        RECT 355.415 45.635 355.585 45.805 ;
+        RECT 355.875 45.635 356.045 45.805 ;
+        RECT 356.335 45.635 356.505 45.805 ;
+        RECT 356.795 45.635 356.965 45.805 ;
+        RECT 357.255 45.635 357.425 45.805 ;
+        RECT 357.715 45.635 357.885 45.805 ;
+        RECT 358.175 45.635 358.345 45.805 ;
+        RECT 358.635 45.635 358.805 45.805 ;
+        RECT 359.095 45.635 359.265 45.805 ;
+        RECT 359.555 45.635 359.725 45.805 ;
+        RECT 360.015 45.635 360.185 45.805 ;
+        RECT 360.475 45.635 360.645 45.805 ;
+        RECT 360.935 45.635 361.105 45.805 ;
+        RECT 361.395 45.635 361.565 45.805 ;
+        RECT 361.855 45.635 362.025 45.805 ;
+        RECT 362.315 45.635 362.485 45.805 ;
+        RECT 362.775 45.635 362.945 45.805 ;
+        RECT 363.235 45.635 363.405 45.805 ;
+        RECT 363.695 45.635 363.865 45.805 ;
+        RECT 364.155 45.635 364.325 45.805 ;
+        RECT 364.615 45.635 364.785 45.805 ;
+        RECT 365.075 45.635 365.245 45.805 ;
+        RECT 365.535 45.635 365.705 45.805 ;
+        RECT 365.995 45.635 366.165 45.805 ;
+        RECT 366.455 45.635 366.625 45.805 ;
+        RECT 366.915 45.635 367.085 45.805 ;
+        RECT 367.375 45.635 367.545 45.805 ;
+        RECT 367.835 45.635 368.005 45.805 ;
+        RECT 368.295 45.635 368.465 45.805 ;
+        RECT 368.755 45.635 368.925 45.805 ;
+        RECT 369.215 45.635 369.385 45.805 ;
+        RECT 369.675 45.635 369.845 45.805 ;
+        RECT 370.135 45.635 370.305 45.805 ;
+        RECT 370.595 45.635 370.765 45.805 ;
+        RECT 371.055 45.635 371.225 45.805 ;
+        RECT 371.515 45.635 371.685 45.805 ;
+        RECT 371.975 45.635 372.145 45.805 ;
+        RECT 372.435 45.635 372.605 45.805 ;
+        RECT 372.895 45.635 373.065 45.805 ;
+        RECT 373.355 45.635 373.525 45.805 ;
+        RECT 373.815 45.635 373.985 45.805 ;
+        RECT 374.275 45.635 374.445 45.805 ;
+        RECT 374.735 45.635 374.905 45.805 ;
+        RECT 375.195 45.635 375.365 45.805 ;
+        RECT 375.655 45.635 375.825 45.805 ;
+        RECT 376.115 45.635 376.285 45.805 ;
+        RECT 376.575 45.635 376.745 45.805 ;
+        RECT 377.035 45.635 377.205 45.805 ;
+        RECT 377.495 45.635 377.665 45.805 ;
+        RECT 377.955 45.635 378.125 45.805 ;
+        RECT 378.415 45.635 378.585 45.805 ;
+        RECT 378.875 45.635 379.045 45.805 ;
+        RECT 379.335 45.635 379.505 45.805 ;
+        RECT 379.795 45.635 379.965 45.805 ;
+        RECT 380.255 45.635 380.425 45.805 ;
+        RECT 380.715 45.635 380.885 45.805 ;
+        RECT 381.175 45.635 381.345 45.805 ;
+        RECT 381.635 45.635 381.805 45.805 ;
+        RECT 382.095 45.635 382.265 45.805 ;
+        RECT 382.555 45.635 382.725 45.805 ;
+        RECT 383.015 45.635 383.185 45.805 ;
+        RECT 383.475 45.635 383.645 45.805 ;
+        RECT 383.935 45.635 384.105 45.805 ;
+        RECT 384.395 45.635 384.565 45.805 ;
+        RECT 384.855 45.635 385.025 45.805 ;
+        RECT 385.315 45.635 385.485 45.805 ;
+        RECT 385.775 45.635 385.945 45.805 ;
+        RECT 386.235 45.635 386.405 45.805 ;
+        RECT 386.695 45.635 386.865 45.805 ;
+        RECT 387.155 45.635 387.325 45.805 ;
+        RECT 387.615 45.635 387.785 45.805 ;
+        RECT 388.075 45.635 388.245 45.805 ;
+        RECT 388.535 45.635 388.705 45.805 ;
+        RECT 388.995 45.635 389.165 45.805 ;
+        RECT 389.455 45.635 389.625 45.805 ;
+        RECT 389.915 45.635 390.085 45.805 ;
+        RECT 390.375 45.635 390.545 45.805 ;
+        RECT 390.835 45.635 391.005 45.805 ;
+        RECT 391.295 45.635 391.465 45.805 ;
+        RECT 391.755 45.635 391.925 45.805 ;
+        RECT 392.215 45.635 392.385 45.805 ;
+        RECT 392.675 45.635 392.845 45.805 ;
+        RECT 393.135 45.635 393.305 45.805 ;
+        RECT 393.595 45.635 393.765 45.805 ;
+        RECT 394.055 45.635 394.225 45.805 ;
+        RECT 394.515 45.635 394.685 45.805 ;
+        RECT 394.975 45.635 395.145 45.805 ;
+        RECT 395.435 45.635 395.605 45.805 ;
+        RECT 395.895 45.635 396.065 45.805 ;
+        RECT 396.355 45.635 396.525 45.805 ;
+        RECT 396.815 45.635 396.985 45.805 ;
+        RECT 397.275 45.635 397.445 45.805 ;
+        RECT 397.735 45.635 397.905 45.805 ;
+        RECT 398.195 45.635 398.365 45.805 ;
+        RECT 398.655 45.635 398.825 45.805 ;
+        RECT 399.115 45.635 399.285 45.805 ;
+        RECT 399.575 45.635 399.745 45.805 ;
+        RECT 400.035 45.635 400.205 45.805 ;
+        RECT 400.495 45.635 400.665 45.805 ;
+        RECT 400.955 45.635 401.125 45.805 ;
+        RECT 401.415 45.635 401.585 45.805 ;
+        RECT 401.875 45.635 402.045 45.805 ;
+        RECT 402.335 45.635 402.505 45.805 ;
+        RECT 402.795 45.635 402.965 45.805 ;
+        RECT 403.255 45.635 403.425 45.805 ;
+        RECT 403.715 45.635 403.885 45.805 ;
+        RECT 404.175 45.635 404.345 45.805 ;
+        RECT 404.635 45.635 404.805 45.805 ;
+        RECT 405.095 45.635 405.265 45.805 ;
+        RECT 405.555 45.635 405.725 45.805 ;
+        RECT 406.015 45.635 406.185 45.805 ;
+        RECT 406.475 45.635 406.645 45.805 ;
+        RECT 406.935 45.635 407.105 45.805 ;
+        RECT 407.395 45.635 407.565 45.805 ;
+        RECT 407.855 45.635 408.025 45.805 ;
+        RECT 408.315 45.635 408.485 45.805 ;
+        RECT 408.775 45.635 408.945 45.805 ;
+        RECT 409.235 45.635 409.405 45.805 ;
+        RECT 409.695 45.635 409.865 45.805 ;
+        RECT 410.155 45.635 410.325 45.805 ;
+        RECT 410.615 45.635 410.785 45.805 ;
+        RECT 411.075 45.635 411.245 45.805 ;
+        RECT 411.535 45.635 411.705 45.805 ;
+        RECT 411.995 45.635 412.165 45.805 ;
+        RECT 412.455 45.635 412.625 45.805 ;
+        RECT 412.915 45.635 413.085 45.805 ;
+        RECT 413.375 45.635 413.545 45.805 ;
+        RECT 413.835 45.635 414.005 45.805 ;
+        RECT 414.295 45.635 414.465 45.805 ;
+        RECT 414.755 45.635 414.925 45.805 ;
+        RECT 415.215 45.635 415.385 45.805 ;
+        RECT 415.675 45.635 415.845 45.805 ;
+        RECT 416.135 45.635 416.305 45.805 ;
+        RECT 416.595 45.635 416.765 45.805 ;
+        RECT 417.055 45.635 417.225 45.805 ;
+        RECT 417.515 45.635 417.685 45.805 ;
+        RECT 417.975 45.635 418.145 45.805 ;
+        RECT 418.435 45.635 418.605 45.805 ;
+        RECT 418.895 45.635 419.065 45.805 ;
+        RECT 419.355 45.635 419.525 45.805 ;
+        RECT 419.815 45.635 419.985 45.805 ;
+        RECT 420.275 45.635 420.445 45.805 ;
+        RECT 420.735 45.635 420.905 45.805 ;
+        RECT 421.195 45.635 421.365 45.805 ;
+        RECT 421.655 45.635 421.825 45.805 ;
+        RECT 422.115 45.635 422.285 45.805 ;
+        RECT 422.575 45.635 422.745 45.805 ;
+        RECT 423.035 45.635 423.205 45.805 ;
+        RECT 423.495 45.635 423.665 45.805 ;
+        RECT 423.955 45.635 424.125 45.805 ;
+        RECT 424.415 45.635 424.585 45.805 ;
+        RECT 424.875 45.635 425.045 45.805 ;
+        RECT 425.335 45.635 425.505 45.805 ;
+        RECT 425.795 45.635 425.965 45.805 ;
+        RECT 426.255 45.635 426.425 45.805 ;
+        RECT 426.715 45.635 426.885 45.805 ;
+        RECT 427.175 45.635 427.345 45.805 ;
+        RECT 427.635 45.635 427.805 45.805 ;
+        RECT 428.095 45.635 428.265 45.805 ;
+        RECT 428.555 45.635 428.725 45.805 ;
+        RECT 429.015 45.635 429.185 45.805 ;
+        RECT 429.475 45.635 429.645 45.805 ;
+        RECT 429.935 45.635 430.105 45.805 ;
+        RECT 430.395 45.635 430.565 45.805 ;
+        RECT 430.855 45.635 431.025 45.805 ;
+        RECT 431.315 45.635 431.485 45.805 ;
+        RECT 431.775 45.635 431.945 45.805 ;
+        RECT 432.235 45.635 432.405 45.805 ;
+        RECT 432.695 45.635 432.865 45.805 ;
+        RECT 433.155 45.635 433.325 45.805 ;
+        RECT 433.615 45.635 433.785 45.805 ;
+        RECT 434.075 45.635 434.245 45.805 ;
+        RECT 434.535 45.635 434.705 45.805 ;
+        RECT 434.995 45.635 435.165 45.805 ;
+        RECT 435.455 45.635 435.625 45.805 ;
+        RECT 435.915 45.635 436.085 45.805 ;
+        RECT 436.375 45.635 436.545 45.805 ;
+        RECT 436.835 45.635 437.005 45.805 ;
+        RECT 437.295 45.635 437.465 45.805 ;
+        RECT 437.755 45.635 437.925 45.805 ;
+        RECT 438.215 45.635 438.385 45.805 ;
+        RECT 438.675 45.635 438.845 45.805 ;
+        RECT 439.135 45.635 439.305 45.805 ;
+        RECT 439.595 45.635 439.765 45.805 ;
+        RECT 440.055 45.635 440.225 45.805 ;
+        RECT 440.515 45.635 440.685 45.805 ;
+        RECT 440.975 45.635 441.145 45.805 ;
+        RECT 441.435 45.635 441.605 45.805 ;
+        RECT 441.895 45.635 442.065 45.805 ;
+        RECT 442.355 45.635 442.525 45.805 ;
+        RECT 442.815 45.635 442.985 45.805 ;
+        RECT 443.275 45.635 443.445 45.805 ;
+        RECT 443.735 45.635 443.905 45.805 ;
+        RECT 444.195 45.635 444.365 45.805 ;
+        RECT 444.655 45.635 444.825 45.805 ;
+        RECT 445.115 45.635 445.285 45.805 ;
+        RECT 445.575 45.635 445.745 45.805 ;
+        RECT 446.035 45.635 446.205 45.805 ;
+        RECT 446.495 45.635 446.665 45.805 ;
+        RECT 446.955 45.635 447.125 45.805 ;
+        RECT 447.415 45.635 447.585 45.805 ;
+        RECT 447.875 45.635 448.045 45.805 ;
+        RECT 448.335 45.635 448.505 45.805 ;
+        RECT 448.795 45.635 448.965 45.805 ;
+        RECT 449.255 45.635 449.425 45.805 ;
+        RECT 449.715 45.635 449.885 45.805 ;
+        RECT 450.175 45.635 450.345 45.805 ;
+        RECT 450.635 45.635 450.805 45.805 ;
+        RECT 451.095 45.635 451.265 45.805 ;
+        RECT 451.555 45.635 451.725 45.805 ;
+        RECT 452.015 45.635 452.185 45.805 ;
+        RECT 452.475 45.635 452.645 45.805 ;
+        RECT 452.935 45.635 453.105 45.805 ;
+        RECT 453.395 45.635 453.565 45.805 ;
+        RECT 453.855 45.635 454.025 45.805 ;
+        RECT 454.315 45.635 454.485 45.805 ;
+        RECT 454.775 45.635 454.945 45.805 ;
+        RECT 455.235 45.635 455.405 45.805 ;
+        RECT 455.695 45.635 455.865 45.805 ;
+        RECT 456.155 45.635 456.325 45.805 ;
+        RECT 456.615 45.635 456.785 45.805 ;
+        RECT 457.075 45.635 457.245 45.805 ;
+        RECT 457.535 45.635 457.705 45.805 ;
+        RECT 457.995 45.635 458.165 45.805 ;
+        RECT 458.455 45.635 458.625 45.805 ;
+        RECT 458.915 45.635 459.085 45.805 ;
+        RECT 459.375 45.635 459.545 45.805 ;
+        RECT 459.835 45.635 460.005 45.805 ;
+        RECT 460.295 45.635 460.465 45.805 ;
+        RECT 460.755 45.635 460.925 45.805 ;
+        RECT 461.215 45.635 461.385 45.805 ;
+        RECT 461.675 45.635 461.845 45.805 ;
+        RECT 462.135 45.635 462.305 45.805 ;
+        RECT 462.595 45.635 462.765 45.805 ;
+        RECT 463.055 45.635 463.225 45.805 ;
+        RECT 463.515 45.635 463.685 45.805 ;
+        RECT 463.975 45.635 464.145 45.805 ;
+        RECT 464.435 45.635 464.605 45.805 ;
+        RECT 464.895 45.635 465.065 45.805 ;
+        RECT 465.355 45.635 465.525 45.805 ;
+        RECT 465.815 45.635 465.985 45.805 ;
+        RECT 466.275 45.635 466.445 45.805 ;
+        RECT 466.735 45.635 466.905 45.805 ;
+        RECT 467.195 45.635 467.365 45.805 ;
+        RECT 467.655 45.635 467.825 45.805 ;
+        RECT 468.115 45.635 468.285 45.805 ;
+        RECT 468.575 45.635 468.745 45.805 ;
+        RECT 469.035 45.635 469.205 45.805 ;
+        RECT 469.495 45.635 469.665 45.805 ;
+        RECT 469.955 45.635 470.125 45.805 ;
+        RECT 470.415 45.635 470.585 45.805 ;
+        RECT 470.875 45.635 471.045 45.805 ;
+        RECT 471.335 45.635 471.505 45.805 ;
+        RECT 471.795 45.635 471.965 45.805 ;
+        RECT 472.255 45.635 472.425 45.805 ;
+        RECT 472.715 45.635 472.885 45.805 ;
+        RECT 473.175 45.635 473.345 45.805 ;
+        RECT 473.635 45.635 473.805 45.805 ;
+        RECT 474.095 45.635 474.265 45.805 ;
+        RECT 474.555 45.635 474.725 45.805 ;
+        RECT 475.015 45.635 475.185 45.805 ;
+        RECT 475.475 45.635 475.645 45.805 ;
+        RECT 475.935 45.635 476.105 45.805 ;
+        RECT 476.395 45.635 476.565 45.805 ;
+        RECT 476.855 45.635 477.025 45.805 ;
+        RECT 477.315 45.635 477.485 45.805 ;
+        RECT 477.775 45.635 477.945 45.805 ;
+        RECT 478.235 45.635 478.405 45.805 ;
+        RECT 478.695 45.635 478.865 45.805 ;
+        RECT 479.155 45.635 479.325 45.805 ;
+        RECT 479.615 45.635 479.785 45.805 ;
+        RECT 480.075 45.635 480.245 45.805 ;
+        RECT 480.535 45.635 480.705 45.805 ;
+        RECT 480.995 45.635 481.165 45.805 ;
+        RECT 481.455 45.635 481.625 45.805 ;
+        RECT 481.915 45.635 482.085 45.805 ;
+        RECT 482.375 45.635 482.545 45.805 ;
+        RECT 482.835 45.635 483.005 45.805 ;
+        RECT 483.295 45.635 483.465 45.805 ;
+        RECT 483.755 45.635 483.925 45.805 ;
+        RECT 484.215 45.635 484.385 45.805 ;
+        RECT 484.675 45.635 484.845 45.805 ;
+        RECT 485.135 45.635 485.305 45.805 ;
+        RECT 485.595 45.635 485.765 45.805 ;
+        RECT 486.055 45.635 486.225 45.805 ;
+        RECT 486.515 45.635 486.685 45.805 ;
+        RECT 486.975 45.635 487.145 45.805 ;
+        RECT 487.435 45.635 487.605 45.805 ;
+        RECT 487.895 45.635 488.065 45.805 ;
+        RECT 488.355 45.635 488.525 45.805 ;
+        RECT 488.815 45.635 488.985 45.805 ;
+        RECT 489.275 45.635 489.445 45.805 ;
+        RECT 489.735 45.635 489.905 45.805 ;
+        RECT 490.195 45.635 490.365 45.805 ;
+        RECT 490.655 45.635 490.825 45.805 ;
+        RECT 491.115 45.635 491.285 45.805 ;
+        RECT 491.575 45.635 491.745 45.805 ;
+        RECT 492.035 45.635 492.205 45.805 ;
+        RECT 492.495 45.635 492.665 45.805 ;
+        RECT 492.955 45.635 493.125 45.805 ;
+        RECT 493.415 45.635 493.585 45.805 ;
+        RECT 493.875 45.635 494.045 45.805 ;
+        RECT 494.335 45.635 494.505 45.805 ;
+        RECT 494.795 45.635 494.965 45.805 ;
+        RECT 495.255 45.635 495.425 45.805 ;
+        RECT 495.715 45.635 495.885 45.805 ;
+        RECT 496.175 45.635 496.345 45.805 ;
+        RECT 496.635 45.635 496.805 45.805 ;
+        RECT 497.095 45.635 497.265 45.805 ;
+        RECT 497.555 45.635 497.725 45.805 ;
+        RECT 498.015 45.635 498.185 45.805 ;
+        RECT 498.475 45.635 498.645 45.805 ;
+        RECT 498.935 45.635 499.105 45.805 ;
+        RECT 499.395 45.635 499.565 45.805 ;
+        RECT 499.855 45.635 500.025 45.805 ;
+        RECT 500.315 45.635 500.485 45.805 ;
+        RECT 500.775 45.635 500.945 45.805 ;
+        RECT 501.235 45.635 501.405 45.805 ;
+        RECT 501.695 45.635 501.865 45.805 ;
+        RECT 502.155 45.635 502.325 45.805 ;
+        RECT 502.615 45.635 502.785 45.805 ;
+        RECT 503.075 45.635 503.245 45.805 ;
+        RECT 503.535 45.635 503.705 45.805 ;
+        RECT 503.995 45.635 504.165 45.805 ;
+        RECT 504.455 45.635 504.625 45.805 ;
+        RECT 504.915 45.635 505.085 45.805 ;
+        RECT 505.375 45.635 505.545 45.805 ;
+        RECT 505.835 45.635 506.005 45.805 ;
+        RECT 506.295 45.635 506.465 45.805 ;
+        RECT 506.755 45.635 506.925 45.805 ;
+        RECT 507.215 45.635 507.385 45.805 ;
+        RECT 507.675 45.635 507.845 45.805 ;
+        RECT 508.135 45.635 508.305 45.805 ;
+        RECT 508.595 45.635 508.765 45.805 ;
+        RECT 509.055 45.635 509.225 45.805 ;
+        RECT 509.515 45.635 509.685 45.805 ;
+        RECT 509.975 45.635 510.145 45.805 ;
+        RECT 510.435 45.635 510.605 45.805 ;
+        RECT 510.895 45.635 511.065 45.805 ;
+        RECT 511.355 45.635 511.525 45.805 ;
+        RECT 511.815 45.635 511.985 45.805 ;
+        RECT 512.275 45.635 512.445 45.805 ;
+        RECT 512.735 45.635 512.905 45.805 ;
+        RECT 513.195 45.635 513.365 45.805 ;
+        RECT 513.655 45.635 513.825 45.805 ;
+        RECT 514.115 45.635 514.285 45.805 ;
+        RECT 514.575 45.635 514.745 45.805 ;
+        RECT 515.035 45.635 515.205 45.805 ;
+        RECT 515.495 45.635 515.665 45.805 ;
+        RECT 515.955 45.635 516.125 45.805 ;
+        RECT 516.415 45.635 516.585 45.805 ;
+        RECT 516.875 45.635 517.045 45.805 ;
+        RECT 517.335 45.635 517.505 45.805 ;
+        RECT 517.795 45.635 517.965 45.805 ;
+        RECT 518.255 45.635 518.425 45.805 ;
+        RECT 518.715 45.635 518.885 45.805 ;
+        RECT 519.175 45.635 519.345 45.805 ;
+        RECT 519.635 45.635 519.805 45.805 ;
+        RECT 520.095 45.635 520.265 45.805 ;
+        RECT 520.555 45.635 520.725 45.805 ;
+        RECT 521.015 45.635 521.185 45.805 ;
+        RECT 521.475 45.635 521.645 45.805 ;
+        RECT 521.935 45.635 522.105 45.805 ;
+        RECT 522.395 45.635 522.565 45.805 ;
+        RECT 522.855 45.635 523.025 45.805 ;
+        RECT 523.315 45.635 523.485 45.805 ;
+        RECT 523.775 45.635 523.945 45.805 ;
+        RECT 524.235 45.635 524.405 45.805 ;
+        RECT 524.695 45.635 524.865 45.805 ;
+        RECT 525.155 45.635 525.325 45.805 ;
+        RECT 525.615 45.635 525.785 45.805 ;
+        RECT 526.075 45.635 526.245 45.805 ;
+        RECT 526.535 45.635 526.705 45.805 ;
+        RECT 526.995 45.635 527.165 45.805 ;
+        RECT 527.455 45.635 527.625 45.805 ;
+        RECT 527.915 45.635 528.085 45.805 ;
+        RECT 528.375 45.635 528.545 45.805 ;
+        RECT 528.835 45.635 529.005 45.805 ;
+        RECT 529.295 45.635 529.465 45.805 ;
+        RECT 529.755 45.635 529.925 45.805 ;
+        RECT 530.215 45.635 530.385 45.805 ;
+        RECT 530.675 45.635 530.845 45.805 ;
+        RECT 531.135 45.635 531.305 45.805 ;
+        RECT 531.595 45.635 531.765 45.805 ;
+        RECT 532.055 45.635 532.225 45.805 ;
+        RECT 532.515 45.635 532.685 45.805 ;
+        RECT 532.975 45.635 533.145 45.805 ;
+        RECT 533.435 45.635 533.605 45.805 ;
+        RECT 533.895 45.635 534.065 45.805 ;
+        RECT 534.355 45.635 534.525 45.805 ;
+        RECT 534.815 45.635 534.985 45.805 ;
+        RECT 535.275 45.635 535.445 45.805 ;
+        RECT 535.735 45.635 535.905 45.805 ;
+        RECT 536.195 45.635 536.365 45.805 ;
+        RECT 536.655 45.635 536.825 45.805 ;
+        RECT 537.115 45.635 537.285 45.805 ;
+        RECT 537.575 45.635 537.745 45.805 ;
+        RECT 538.035 45.635 538.205 45.805 ;
+        RECT 538.495 45.635 538.665 45.805 ;
+        RECT 538.955 45.635 539.125 45.805 ;
+        RECT 539.415 45.635 539.585 45.805 ;
+        RECT 539.875 45.635 540.045 45.805 ;
+        RECT 540.335 45.635 540.505 45.805 ;
+        RECT 540.795 45.635 540.965 45.805 ;
+        RECT 541.255 45.635 541.425 45.805 ;
+        RECT 541.715 45.635 541.885 45.805 ;
+        RECT 542.175 45.635 542.345 45.805 ;
+        RECT 542.635 45.635 542.805 45.805 ;
+        RECT 543.095 45.635 543.265 45.805 ;
+        RECT 543.555 45.635 543.725 45.805 ;
+        RECT 544.015 45.635 544.185 45.805 ;
+        RECT 544.475 45.635 544.645 45.805 ;
+        RECT 544.935 45.635 545.105 45.805 ;
+        RECT 545.395 45.635 545.565 45.805 ;
+        RECT 545.855 45.635 546.025 45.805 ;
+        RECT 546.315 45.635 546.485 45.805 ;
+        RECT 546.775 45.635 546.945 45.805 ;
+        RECT 547.235 45.635 547.405 45.805 ;
+        RECT 547.695 45.635 547.865 45.805 ;
+        RECT 548.155 45.635 548.325 45.805 ;
+        RECT 548.615 45.635 548.785 45.805 ;
+        RECT 549.075 45.635 549.245 45.805 ;
+        RECT 549.535 45.635 549.705 45.805 ;
+        RECT 549.995 45.635 550.165 45.805 ;
+        RECT 550.455 45.635 550.625 45.805 ;
+        RECT 550.915 45.635 551.085 45.805 ;
+        RECT 551.375 45.635 551.545 45.805 ;
+        RECT 551.835 45.635 552.005 45.805 ;
+        RECT 552.295 45.635 552.465 45.805 ;
+        RECT 552.755 45.635 552.925 45.805 ;
+        RECT 553.215 45.635 553.385 45.805 ;
+        RECT 553.675 45.635 553.845 45.805 ;
+        RECT 554.135 45.635 554.305 45.805 ;
+        RECT 554.595 45.635 554.765 45.805 ;
+        RECT 555.055 45.635 555.225 45.805 ;
+        RECT 555.515 45.635 555.685 45.805 ;
+        RECT 555.975 45.635 556.145 45.805 ;
+        RECT 556.435 45.635 556.605 45.805 ;
+        RECT 556.895 45.635 557.065 45.805 ;
+        RECT 557.355 45.635 557.525 45.805 ;
+        RECT 557.815 45.635 557.985 45.805 ;
+        RECT 558.275 45.635 558.445 45.805 ;
+        RECT 558.735 45.635 558.905 45.805 ;
+        RECT 559.195 45.635 559.365 45.805 ;
+        RECT 559.655 45.635 559.825 45.805 ;
+        RECT 560.115 45.635 560.285 45.805 ;
+        RECT 560.575 45.635 560.745 45.805 ;
+        RECT 561.035 45.635 561.205 45.805 ;
+        RECT 561.495 45.635 561.665 45.805 ;
+        RECT 561.955 45.635 562.125 45.805 ;
+        RECT 562.415 45.635 562.585 45.805 ;
+        RECT 562.875 45.635 563.045 45.805 ;
+        RECT 563.335 45.635 563.505 45.805 ;
+        RECT 563.795 45.635 563.965 45.805 ;
+        RECT 564.255 45.635 564.425 45.805 ;
+        RECT 564.715 45.635 564.885 45.805 ;
+        RECT 565.175 45.635 565.345 45.805 ;
+        RECT 565.635 45.635 565.805 45.805 ;
+        RECT 566.095 45.635 566.265 45.805 ;
+        RECT 566.555 45.635 566.725 45.805 ;
+        RECT 567.015 45.635 567.185 45.805 ;
+        RECT 567.475 45.635 567.645 45.805 ;
+        RECT 567.935 45.635 568.105 45.805 ;
+        RECT 568.395 45.635 568.565 45.805 ;
+        RECT 568.855 45.635 569.025 45.805 ;
+        RECT 569.315 45.635 569.485 45.805 ;
+        RECT 569.775 45.635 569.945 45.805 ;
+        RECT 570.235 45.635 570.405 45.805 ;
+        RECT 570.695 45.635 570.865 45.805 ;
+        RECT 571.155 45.635 571.325 45.805 ;
+        RECT 571.615 45.635 571.785 45.805 ;
+        RECT 572.075 45.635 572.245 45.805 ;
+        RECT 572.535 45.635 572.705 45.805 ;
+        RECT 572.995 45.635 573.165 45.805 ;
+        RECT 573.455 45.635 573.625 45.805 ;
+        RECT 573.915 45.635 574.085 45.805 ;
+        RECT 574.375 45.635 574.545 45.805 ;
+        RECT 574.835 45.635 575.005 45.805 ;
+        RECT 575.295 45.635 575.465 45.805 ;
+        RECT 575.755 45.635 575.925 45.805 ;
+        RECT 576.215 45.635 576.385 45.805 ;
+        RECT 576.675 45.635 576.845 45.805 ;
+        RECT 577.135 45.635 577.305 45.805 ;
+        RECT 577.595 45.635 577.765 45.805 ;
+        RECT 578.055 45.635 578.225 45.805 ;
+        RECT 578.515 45.635 578.685 45.805 ;
+        RECT 578.975 45.635 579.145 45.805 ;
+        RECT 579.435 45.635 579.605 45.805 ;
+        RECT 579.895 45.635 580.065 45.805 ;
+        RECT 580.355 45.635 580.525 45.805 ;
+        RECT 580.815 45.635 580.985 45.805 ;
+        RECT 581.275 45.635 581.445 45.805 ;
+        RECT 581.735 45.635 581.905 45.805 ;
+        RECT 582.195 45.635 582.365 45.805 ;
+        RECT 582.655 45.635 582.825 45.805 ;
+        RECT 583.115 45.635 583.285 45.805 ;
+        RECT 583.575 45.635 583.745 45.805 ;
+        RECT 584.035 45.635 584.205 45.805 ;
+        RECT 584.495 45.635 584.665 45.805 ;
+        RECT 584.955 45.635 585.125 45.805 ;
+        RECT 585.415 45.635 585.585 45.805 ;
+        RECT 585.875 45.635 586.045 45.805 ;
+        RECT 586.335 45.635 586.505 45.805 ;
+        RECT 586.795 45.635 586.965 45.805 ;
+        RECT 587.255 45.635 587.425 45.805 ;
+        RECT 587.715 45.635 587.885 45.805 ;
+        RECT 588.175 45.635 588.345 45.805 ;
+        RECT 588.635 45.635 588.805 45.805 ;
+        RECT 589.095 45.635 589.265 45.805 ;
+        RECT 589.555 45.635 589.725 45.805 ;
+        RECT 590.015 45.635 590.185 45.805 ;
+        RECT 590.475 45.635 590.645 45.805 ;
+        RECT 590.935 45.635 591.105 45.805 ;
+        RECT 591.395 45.635 591.565 45.805 ;
+        RECT 591.855 45.635 592.025 45.805 ;
+        RECT 592.315 45.635 592.485 45.805 ;
+        RECT 592.775 45.635 592.945 45.805 ;
+        RECT 593.235 45.635 593.405 45.805 ;
+        RECT 593.695 45.635 593.865 45.805 ;
+        RECT 594.155 45.635 594.325 45.805 ;
+        RECT 594.615 45.635 594.785 45.805 ;
+        RECT 595.075 45.635 595.245 45.805 ;
+        RECT 595.535 45.635 595.705 45.805 ;
+        RECT 595.995 45.635 596.165 45.805 ;
+        RECT 596.455 45.635 596.625 45.805 ;
+        RECT 596.915 45.635 597.085 45.805 ;
+        RECT 597.375 45.635 597.545 45.805 ;
+        RECT 597.835 45.635 598.005 45.805 ;
+        RECT 598.295 45.635 598.465 45.805 ;
+        RECT 598.755 45.635 598.925 45.805 ;
+        RECT 599.215 45.635 599.385 45.805 ;
+        RECT 599.675 45.635 599.845 45.805 ;
+        RECT 600.135 45.635 600.305 45.805 ;
+        RECT 600.595 45.635 600.765 45.805 ;
+        RECT 601.055 45.635 601.225 45.805 ;
+        RECT 601.515 45.635 601.685 45.805 ;
+        RECT 601.975 45.635 602.145 45.805 ;
+        RECT 602.435 45.635 602.605 45.805 ;
+        RECT 602.895 45.635 603.065 45.805 ;
+        RECT 603.355 45.635 603.525 45.805 ;
+        RECT 603.815 45.635 603.985 45.805 ;
+        RECT 604.275 45.635 604.445 45.805 ;
+        RECT 604.735 45.635 604.905 45.805 ;
+        RECT 605.195 45.635 605.365 45.805 ;
+        RECT 605.655 45.635 605.825 45.805 ;
+        RECT 606.115 45.635 606.285 45.805 ;
+        RECT 606.575 45.635 606.745 45.805 ;
+        RECT 607.035 45.635 607.205 45.805 ;
+        RECT 607.495 45.635 607.665 45.805 ;
+        RECT 607.955 45.635 608.125 45.805 ;
+        RECT 608.415 45.635 608.585 45.805 ;
+        RECT 608.875 45.635 609.045 45.805 ;
+        RECT 609.335 45.635 609.505 45.805 ;
+        RECT 609.795 45.635 609.965 45.805 ;
+        RECT 610.255 45.635 610.425 45.805 ;
+        RECT 610.715 45.635 610.885 45.805 ;
+        RECT 611.175 45.635 611.345 45.805 ;
+        RECT 611.635 45.635 611.805 45.805 ;
+        RECT 612.095 45.635 612.265 45.805 ;
+        RECT 612.555 45.635 612.725 45.805 ;
+        RECT 613.015 45.635 613.185 45.805 ;
+        RECT 613.475 45.635 613.645 45.805 ;
+        RECT 613.935 45.635 614.105 45.805 ;
+        RECT 614.395 45.635 614.565 45.805 ;
+        RECT 614.855 45.635 615.025 45.805 ;
+        RECT 615.315 45.635 615.485 45.805 ;
+        RECT 615.775 45.635 615.945 45.805 ;
+        RECT 616.235 45.635 616.405 45.805 ;
+        RECT 616.695 45.635 616.865 45.805 ;
+        RECT 617.155 45.635 617.325 45.805 ;
+        RECT 617.615 45.635 617.785 45.805 ;
+        RECT 618.075 45.635 618.245 45.805 ;
+        RECT 618.535 45.635 618.705 45.805 ;
+        RECT 618.995 45.635 619.165 45.805 ;
+        RECT 619.455 45.635 619.625 45.805 ;
+        RECT 619.915 45.635 620.085 45.805 ;
+        RECT 620.375 45.635 620.545 45.805 ;
+        RECT 620.835 45.635 621.005 45.805 ;
+        RECT 621.295 45.635 621.465 45.805 ;
+        RECT 621.755 45.635 621.925 45.805 ;
+        RECT 622.215 45.635 622.385 45.805 ;
+        RECT 622.675 45.635 622.845 45.805 ;
+        RECT 623.135 45.635 623.305 45.805 ;
+        RECT 623.595 45.635 623.765 45.805 ;
+        RECT 624.055 45.635 624.225 45.805 ;
+        RECT 624.515 45.635 624.685 45.805 ;
+        RECT 624.975 45.635 625.145 45.805 ;
+        RECT 625.435 45.635 625.605 45.805 ;
+        RECT 625.895 45.635 626.065 45.805 ;
+        RECT 626.355 45.635 626.525 45.805 ;
+        RECT 626.815 45.635 626.985 45.805 ;
+        RECT 627.275 45.635 627.445 45.805 ;
+        RECT 627.735 45.635 627.905 45.805 ;
+        RECT 628.195 45.635 628.365 45.805 ;
+        RECT 628.655 45.635 628.825 45.805 ;
+        RECT 629.115 45.635 629.285 45.805 ;
+        RECT 629.575 45.635 629.745 45.805 ;
+        RECT 630.035 45.635 630.205 45.805 ;
+        RECT 630.495 45.635 630.665 45.805 ;
+        RECT 630.955 45.635 631.125 45.805 ;
+        RECT 78.495 44.785 78.665 44.955 ;
+        RECT 81.255 44.785 81.425 44.955 ;
+        RECT 79.415 44.445 79.585 44.615 ;
+        RECT 86.735 44.445 86.905 44.615 ;
+        RECT 87.235 44.445 87.405 44.615 ;
+        RECT 95.515 44.785 95.685 44.955 ;
+        RECT 94.135 44.445 94.305 44.615 ;
+        RECT 101.495 45.125 101.665 45.295 ;
+        RECT 100.575 44.445 100.745 44.615 ;
+        RECT 106.095 44.785 106.265 44.955 ;
+        RECT 109.775 44.785 109.945 44.955 ;
+        RECT 107.935 44.105 108.105 44.275 ;
+        RECT 115.295 44.445 115.465 44.615 ;
+        RECT 107.475 43.425 107.645 43.595 ;
+        RECT 118.515 45.125 118.685 45.295 ;
+        RECT 117.135 44.105 117.305 44.275 ;
+        RECT 123.115 44.445 123.285 44.615 ;
+        RECT 128.635 44.785 128.805 44.955 ;
+        RECT 116.675 43.425 116.845 43.595 ;
+        RECT 123.575 43.425 123.745 43.595 ;
+        RECT 129.370 44.105 129.540 44.275 ;
+        RECT 130.475 44.105 130.645 44.275 ;
+        RECT 136.915 44.445 137.085 44.615 ;
+        RECT 130.015 43.765 130.185 43.935 ;
+        RECT 131.855 43.425 132.025 43.595 ;
+        RECT 137.835 43.765 138.005 43.935 ;
+        RECT 143.355 44.445 143.525 44.615 ;
+        RECT 150.255 45.125 150.425 45.295 ;
+        RECT 144.275 43.425 144.445 43.595 ;
+        RECT 148.875 44.445 149.045 44.615 ;
+        RECT 151.175 44.445 151.345 44.615 ;
+        RECT 157.155 44.445 157.325 44.615 ;
+        RECT 157.620 43.765 157.790 43.935 ;
+        RECT 158.080 44.785 158.250 44.955 ;
+        RECT 158.535 44.105 158.705 44.275 ;
+        RECT 159.480 44.785 159.650 44.955 ;
+        RECT 161.320 44.785 161.490 44.955 ;
+        RECT 159.940 43.765 160.110 43.935 ;
+        RECT 161.320 43.765 161.490 43.935 ;
+        RECT 164.055 43.425 164.225 43.595 ;
+        RECT 174.635 44.445 174.805 44.615 ;
+        RECT 174.175 44.105 174.345 44.275 ;
+        RECT 176.020 44.105 176.190 44.275 ;
+        RECT 177.395 44.445 177.565 44.615 ;
+        RECT 178.315 44.445 178.485 44.615 ;
+        RECT 178.800 44.105 178.970 44.275 ;
+        RECT 186.135 44.445 186.305 44.615 ;
+        RECT 179.235 43.765 179.405 43.935 ;
+        RECT 186.600 43.765 186.770 43.935 ;
+        RECT 187.060 44.785 187.230 44.955 ;
+        RECT 187.515 44.445 187.685 44.615 ;
+        RECT 188.460 44.785 188.630 44.955 ;
+        RECT 190.300 44.785 190.470 44.955 ;
+        RECT 188.920 43.765 189.090 43.935 ;
+        RECT 190.300 43.765 190.470 43.935 ;
+        RECT 193.035 45.125 193.205 45.295 ;
+        RECT 206.375 44.445 206.545 44.615 ;
+        RECT 204.075 44.105 204.245 44.275 ;
+        RECT 208.215 44.445 208.385 44.615 ;
+        RECT 208.675 44.105 208.845 44.275 ;
+        RECT 214.655 44.445 214.825 44.615 ;
+        RECT 215.115 44.445 215.285 44.615 ;
+        RECT 215.780 44.445 215.950 44.615 ;
+        RECT 217.875 44.445 218.045 44.615 ;
+        RECT 222.935 45.125 223.105 45.295 ;
+        RECT 222.045 44.445 222.215 44.615 ;
+        RECT 228.455 44.445 228.625 44.615 ;
+        RECT 229.835 44.445 230.005 44.615 ;
+        RECT 228.915 43.765 229.085 43.935 ;
+        RECT 230.755 44.105 230.925 44.275 ;
+        RECT 237.195 45.125 237.365 45.295 ;
+        RECT 236.275 44.445 236.445 44.615 ;
+        RECT 242.715 44.445 242.885 44.615 ;
+        RECT 243.635 44.445 243.805 44.615 ;
+        RECT 249.615 44.785 249.785 44.955 ;
+        RECT 245.475 44.105 245.645 44.275 ;
+        RECT 250.535 44.445 250.705 44.615 ;
+        RECT 256.975 44.785 257.145 44.955 ;
+        RECT 258.355 45.125 258.525 45.295 ;
+        RECT 250.995 43.425 251.165 43.595 ;
+        RECT 257.895 44.445 258.065 44.615 ;
+        RECT 263.875 44.445 264.045 44.615 ;
+        RECT 264.795 43.425 264.965 43.595 ;
+        RECT 271.235 44.445 271.405 44.615 ;
+        RECT 277.215 45.125 277.385 45.295 ;
+        RECT 272.155 43.765 272.325 43.935 ;
+        RECT 278.135 44.445 278.305 44.615 ;
+        RECT 279.055 44.445 279.225 44.615 ;
+        RECT 286.875 44.445 287.045 44.615 ;
+        RECT 288.485 44.445 288.655 44.615 ;
+        RECT 294.235 45.125 294.405 45.295 ;
+        RECT 287.795 44.105 287.965 44.275 ;
+        RECT 293.315 44.445 293.485 44.615 ;
+        RECT 301.135 44.445 301.305 44.615 ;
+        RECT 302.515 44.445 302.685 44.615 ;
+        RECT 302.055 44.105 302.225 44.275 ;
+        RECT 307.575 44.445 307.745 44.615 ;
+        RECT 308.495 43.425 308.665 43.595 ;
+        RECT 315.395 44.445 315.565 44.615 ;
+        RECT 316.775 44.445 316.945 44.615 ;
+        RECT 316.315 44.105 316.485 44.275 ;
+        RECT 321.835 44.445 322.005 44.615 ;
+        RECT 328.735 45.125 328.905 45.295 ;
+        RECT 322.755 43.425 322.925 43.595 ;
+        RECT 329.655 44.445 329.825 44.615 ;
+        RECT 330.575 44.445 330.745 44.615 ;
+        RECT 336.095 44.445 336.265 44.615 ;
+        RECT 337.015 43.425 337.185 43.595 ;
+        RECT 345.295 44.445 345.465 44.615 ;
+        RECT 345.755 44.105 345.925 44.275 ;
+        RECT 348.055 44.445 348.225 44.615 ;
+        RECT 348.975 44.445 349.145 44.615 ;
+        RECT 350.815 44.445 350.985 44.615 ;
+        RECT 351.735 44.445 351.905 44.615 ;
+        RECT 357.255 45.125 357.425 45.295 ;
+        RECT 358.175 44.445 358.345 44.615 ;
+        RECT 359.095 44.445 359.265 44.615 ;
+        RECT 364.615 44.445 364.785 44.615 ;
+        RECT 371.515 45.125 371.685 45.295 ;
+        RECT 365.075 43.765 365.245 43.935 ;
+        RECT 372.435 44.445 372.605 44.615 ;
+        RECT 373.355 44.445 373.525 44.615 ;
+        RECT 379.795 43.425 379.965 43.595 ;
+        RECT 386.235 44.445 386.405 44.615 ;
+        RECT 391.295 43.765 391.465 43.935 ;
+        RECT 502.615 43.425 502.785 43.595 ;
+        RECT 586.795 43.425 586.965 43.595 ;
+        RECT 42.615 42.915 42.785 43.085 ;
+        RECT 43.075 42.915 43.245 43.085 ;
+        RECT 43.535 42.915 43.705 43.085 ;
+        RECT 43.995 42.915 44.165 43.085 ;
+        RECT 44.455 42.915 44.625 43.085 ;
+        RECT 44.915 42.915 45.085 43.085 ;
+        RECT 45.375 42.915 45.545 43.085 ;
+        RECT 45.835 42.915 46.005 43.085 ;
+        RECT 46.295 42.915 46.465 43.085 ;
+        RECT 46.755 42.915 46.925 43.085 ;
+        RECT 47.215 42.915 47.385 43.085 ;
+        RECT 47.675 42.915 47.845 43.085 ;
+        RECT 48.135 42.915 48.305 43.085 ;
+        RECT 48.595 42.915 48.765 43.085 ;
+        RECT 49.055 42.915 49.225 43.085 ;
+        RECT 49.515 42.915 49.685 43.085 ;
+        RECT 49.975 42.915 50.145 43.085 ;
+        RECT 50.435 42.915 50.605 43.085 ;
+        RECT 50.895 42.915 51.065 43.085 ;
+        RECT 51.355 42.915 51.525 43.085 ;
+        RECT 51.815 42.915 51.985 43.085 ;
+        RECT 52.275 42.915 52.445 43.085 ;
+        RECT 52.735 42.915 52.905 43.085 ;
+        RECT 53.195 42.915 53.365 43.085 ;
+        RECT 53.655 42.915 53.825 43.085 ;
+        RECT 54.115 42.915 54.285 43.085 ;
+        RECT 54.575 42.915 54.745 43.085 ;
+        RECT 55.035 42.915 55.205 43.085 ;
+        RECT 55.495 42.915 55.665 43.085 ;
+        RECT 55.955 42.915 56.125 43.085 ;
+        RECT 56.415 42.915 56.585 43.085 ;
+        RECT 56.875 42.915 57.045 43.085 ;
+        RECT 57.335 42.915 57.505 43.085 ;
+        RECT 57.795 42.915 57.965 43.085 ;
+        RECT 58.255 42.915 58.425 43.085 ;
+        RECT 58.715 42.915 58.885 43.085 ;
+        RECT 59.175 42.915 59.345 43.085 ;
+        RECT 59.635 42.915 59.805 43.085 ;
+        RECT 60.095 42.915 60.265 43.085 ;
+        RECT 60.555 42.915 60.725 43.085 ;
+        RECT 61.015 42.915 61.185 43.085 ;
+        RECT 61.475 42.915 61.645 43.085 ;
+        RECT 61.935 42.915 62.105 43.085 ;
+        RECT 62.395 42.915 62.565 43.085 ;
+        RECT 62.855 42.915 63.025 43.085 ;
+        RECT 63.315 42.915 63.485 43.085 ;
+        RECT 63.775 42.915 63.945 43.085 ;
+        RECT 64.235 42.915 64.405 43.085 ;
+        RECT 64.695 42.915 64.865 43.085 ;
+        RECT 65.155 42.915 65.325 43.085 ;
+        RECT 65.615 42.915 65.785 43.085 ;
+        RECT 66.075 42.915 66.245 43.085 ;
+        RECT 66.535 42.915 66.705 43.085 ;
+        RECT 66.995 42.915 67.165 43.085 ;
+        RECT 67.455 42.915 67.625 43.085 ;
+        RECT 67.915 42.915 68.085 43.085 ;
+        RECT 68.375 42.915 68.545 43.085 ;
+        RECT 68.835 42.915 69.005 43.085 ;
+        RECT 69.295 42.915 69.465 43.085 ;
+        RECT 69.755 42.915 69.925 43.085 ;
+        RECT 70.215 42.915 70.385 43.085 ;
+        RECT 70.675 42.915 70.845 43.085 ;
+        RECT 71.135 42.915 71.305 43.085 ;
+        RECT 71.595 42.915 71.765 43.085 ;
+        RECT 72.055 42.915 72.225 43.085 ;
+        RECT 72.515 42.915 72.685 43.085 ;
+        RECT 72.975 42.915 73.145 43.085 ;
+        RECT 73.435 42.915 73.605 43.085 ;
+        RECT 73.895 42.915 74.065 43.085 ;
+        RECT 74.355 42.915 74.525 43.085 ;
+        RECT 74.815 42.915 74.985 43.085 ;
+        RECT 75.275 42.915 75.445 43.085 ;
+        RECT 75.735 42.915 75.905 43.085 ;
+        RECT 76.195 42.915 76.365 43.085 ;
+        RECT 76.655 42.915 76.825 43.085 ;
+        RECT 77.115 42.915 77.285 43.085 ;
+        RECT 77.575 42.915 77.745 43.085 ;
+        RECT 78.035 42.915 78.205 43.085 ;
+        RECT 78.495 42.915 78.665 43.085 ;
+        RECT 78.955 42.915 79.125 43.085 ;
+        RECT 79.415 42.915 79.585 43.085 ;
+        RECT 79.875 42.915 80.045 43.085 ;
+        RECT 80.335 42.915 80.505 43.085 ;
+        RECT 80.795 42.915 80.965 43.085 ;
+        RECT 81.255 42.915 81.425 43.085 ;
+        RECT 81.715 42.915 81.885 43.085 ;
+        RECT 82.175 42.915 82.345 43.085 ;
+        RECT 82.635 42.915 82.805 43.085 ;
+        RECT 83.095 42.915 83.265 43.085 ;
+        RECT 83.555 42.915 83.725 43.085 ;
+        RECT 84.015 42.915 84.185 43.085 ;
+        RECT 84.475 42.915 84.645 43.085 ;
+        RECT 84.935 42.915 85.105 43.085 ;
+        RECT 85.395 42.915 85.565 43.085 ;
+        RECT 85.855 42.915 86.025 43.085 ;
+        RECT 86.315 42.915 86.485 43.085 ;
+        RECT 86.775 42.915 86.945 43.085 ;
+        RECT 87.235 42.915 87.405 43.085 ;
+        RECT 87.695 42.915 87.865 43.085 ;
+        RECT 88.155 42.915 88.325 43.085 ;
+        RECT 88.615 42.915 88.785 43.085 ;
+        RECT 89.075 42.915 89.245 43.085 ;
+        RECT 89.535 42.915 89.705 43.085 ;
+        RECT 89.995 42.915 90.165 43.085 ;
+        RECT 90.455 42.915 90.625 43.085 ;
+        RECT 90.915 42.915 91.085 43.085 ;
+        RECT 91.375 42.915 91.545 43.085 ;
+        RECT 91.835 42.915 92.005 43.085 ;
+        RECT 92.295 42.915 92.465 43.085 ;
+        RECT 92.755 42.915 92.925 43.085 ;
+        RECT 93.215 42.915 93.385 43.085 ;
+        RECT 93.675 42.915 93.845 43.085 ;
+        RECT 94.135 42.915 94.305 43.085 ;
+        RECT 94.595 42.915 94.765 43.085 ;
+        RECT 95.055 42.915 95.225 43.085 ;
+        RECT 95.515 42.915 95.685 43.085 ;
+        RECT 95.975 42.915 96.145 43.085 ;
+        RECT 96.435 42.915 96.605 43.085 ;
+        RECT 96.895 42.915 97.065 43.085 ;
+        RECT 97.355 42.915 97.525 43.085 ;
+        RECT 97.815 42.915 97.985 43.085 ;
+        RECT 98.275 42.915 98.445 43.085 ;
+        RECT 98.735 42.915 98.905 43.085 ;
+        RECT 99.195 42.915 99.365 43.085 ;
+        RECT 99.655 42.915 99.825 43.085 ;
+        RECT 100.115 42.915 100.285 43.085 ;
+        RECT 100.575 42.915 100.745 43.085 ;
+        RECT 101.035 42.915 101.205 43.085 ;
+        RECT 101.495 42.915 101.665 43.085 ;
+        RECT 101.955 42.915 102.125 43.085 ;
+        RECT 102.415 42.915 102.585 43.085 ;
+        RECT 102.875 42.915 103.045 43.085 ;
+        RECT 103.335 42.915 103.505 43.085 ;
+        RECT 103.795 42.915 103.965 43.085 ;
+        RECT 104.255 42.915 104.425 43.085 ;
+        RECT 104.715 42.915 104.885 43.085 ;
+        RECT 105.175 42.915 105.345 43.085 ;
+        RECT 105.635 42.915 105.805 43.085 ;
+        RECT 106.095 42.915 106.265 43.085 ;
+        RECT 106.555 42.915 106.725 43.085 ;
+        RECT 107.015 42.915 107.185 43.085 ;
+        RECT 107.475 42.915 107.645 43.085 ;
+        RECT 107.935 42.915 108.105 43.085 ;
+        RECT 108.395 42.915 108.565 43.085 ;
+        RECT 108.855 42.915 109.025 43.085 ;
+        RECT 109.315 42.915 109.485 43.085 ;
+        RECT 109.775 42.915 109.945 43.085 ;
+        RECT 110.235 42.915 110.405 43.085 ;
+        RECT 110.695 42.915 110.865 43.085 ;
+        RECT 111.155 42.915 111.325 43.085 ;
+        RECT 111.615 42.915 111.785 43.085 ;
+        RECT 112.075 42.915 112.245 43.085 ;
+        RECT 112.535 42.915 112.705 43.085 ;
+        RECT 112.995 42.915 113.165 43.085 ;
+        RECT 113.455 42.915 113.625 43.085 ;
+        RECT 113.915 42.915 114.085 43.085 ;
+        RECT 114.375 42.915 114.545 43.085 ;
+        RECT 114.835 42.915 115.005 43.085 ;
+        RECT 115.295 42.915 115.465 43.085 ;
+        RECT 115.755 42.915 115.925 43.085 ;
+        RECT 116.215 42.915 116.385 43.085 ;
+        RECT 116.675 42.915 116.845 43.085 ;
+        RECT 117.135 42.915 117.305 43.085 ;
+        RECT 117.595 42.915 117.765 43.085 ;
+        RECT 118.055 42.915 118.225 43.085 ;
+        RECT 118.515 42.915 118.685 43.085 ;
+        RECT 118.975 42.915 119.145 43.085 ;
+        RECT 119.435 42.915 119.605 43.085 ;
+        RECT 119.895 42.915 120.065 43.085 ;
+        RECT 120.355 42.915 120.525 43.085 ;
+        RECT 120.815 42.915 120.985 43.085 ;
+        RECT 121.275 42.915 121.445 43.085 ;
+        RECT 121.735 42.915 121.905 43.085 ;
+        RECT 122.195 42.915 122.365 43.085 ;
+        RECT 122.655 42.915 122.825 43.085 ;
+        RECT 123.115 42.915 123.285 43.085 ;
+        RECT 123.575 42.915 123.745 43.085 ;
+        RECT 124.035 42.915 124.205 43.085 ;
+        RECT 124.495 42.915 124.665 43.085 ;
+        RECT 124.955 42.915 125.125 43.085 ;
+        RECT 125.415 42.915 125.585 43.085 ;
+        RECT 125.875 42.915 126.045 43.085 ;
+        RECT 126.335 42.915 126.505 43.085 ;
+        RECT 126.795 42.915 126.965 43.085 ;
+        RECT 127.255 42.915 127.425 43.085 ;
+        RECT 127.715 42.915 127.885 43.085 ;
+        RECT 128.175 42.915 128.345 43.085 ;
+        RECT 128.635 42.915 128.805 43.085 ;
+        RECT 129.095 42.915 129.265 43.085 ;
+        RECT 129.555 42.915 129.725 43.085 ;
+        RECT 130.015 42.915 130.185 43.085 ;
+        RECT 130.475 42.915 130.645 43.085 ;
+        RECT 130.935 42.915 131.105 43.085 ;
+        RECT 131.395 42.915 131.565 43.085 ;
+        RECT 131.855 42.915 132.025 43.085 ;
+        RECT 132.315 42.915 132.485 43.085 ;
+        RECT 132.775 42.915 132.945 43.085 ;
+        RECT 133.235 42.915 133.405 43.085 ;
+        RECT 133.695 42.915 133.865 43.085 ;
+        RECT 134.155 42.915 134.325 43.085 ;
+        RECT 134.615 42.915 134.785 43.085 ;
+        RECT 135.075 42.915 135.245 43.085 ;
+        RECT 135.535 42.915 135.705 43.085 ;
+        RECT 135.995 42.915 136.165 43.085 ;
+        RECT 136.455 42.915 136.625 43.085 ;
+        RECT 136.915 42.915 137.085 43.085 ;
+        RECT 137.375 42.915 137.545 43.085 ;
+        RECT 137.835 42.915 138.005 43.085 ;
+        RECT 138.295 42.915 138.465 43.085 ;
+        RECT 138.755 42.915 138.925 43.085 ;
+        RECT 139.215 42.915 139.385 43.085 ;
+        RECT 139.675 42.915 139.845 43.085 ;
+        RECT 140.135 42.915 140.305 43.085 ;
+        RECT 140.595 42.915 140.765 43.085 ;
+        RECT 141.055 42.915 141.225 43.085 ;
+        RECT 141.515 42.915 141.685 43.085 ;
+        RECT 141.975 42.915 142.145 43.085 ;
+        RECT 142.435 42.915 142.605 43.085 ;
+        RECT 142.895 42.915 143.065 43.085 ;
+        RECT 143.355 42.915 143.525 43.085 ;
+        RECT 143.815 42.915 143.985 43.085 ;
+        RECT 144.275 42.915 144.445 43.085 ;
+        RECT 144.735 42.915 144.905 43.085 ;
+        RECT 145.195 42.915 145.365 43.085 ;
+        RECT 145.655 42.915 145.825 43.085 ;
+        RECT 146.115 42.915 146.285 43.085 ;
+        RECT 146.575 42.915 146.745 43.085 ;
+        RECT 147.035 42.915 147.205 43.085 ;
+        RECT 147.495 42.915 147.665 43.085 ;
+        RECT 147.955 42.915 148.125 43.085 ;
+        RECT 148.415 42.915 148.585 43.085 ;
+        RECT 148.875 42.915 149.045 43.085 ;
+        RECT 149.335 42.915 149.505 43.085 ;
+        RECT 149.795 42.915 149.965 43.085 ;
+        RECT 150.255 42.915 150.425 43.085 ;
+        RECT 150.715 42.915 150.885 43.085 ;
+        RECT 151.175 42.915 151.345 43.085 ;
+        RECT 151.635 42.915 151.805 43.085 ;
+        RECT 152.095 42.915 152.265 43.085 ;
+        RECT 152.555 42.915 152.725 43.085 ;
+        RECT 153.015 42.915 153.185 43.085 ;
+        RECT 153.475 42.915 153.645 43.085 ;
+        RECT 153.935 42.915 154.105 43.085 ;
+        RECT 154.395 42.915 154.565 43.085 ;
+        RECT 154.855 42.915 155.025 43.085 ;
+        RECT 155.315 42.915 155.485 43.085 ;
+        RECT 155.775 42.915 155.945 43.085 ;
+        RECT 156.235 42.915 156.405 43.085 ;
+        RECT 156.695 42.915 156.865 43.085 ;
+        RECT 157.155 42.915 157.325 43.085 ;
+        RECT 157.615 42.915 157.785 43.085 ;
+        RECT 158.075 42.915 158.245 43.085 ;
+        RECT 158.535 42.915 158.705 43.085 ;
+        RECT 158.995 42.915 159.165 43.085 ;
+        RECT 159.455 42.915 159.625 43.085 ;
+        RECT 159.915 42.915 160.085 43.085 ;
+        RECT 160.375 42.915 160.545 43.085 ;
+        RECT 160.835 42.915 161.005 43.085 ;
+        RECT 161.295 42.915 161.465 43.085 ;
+        RECT 161.755 42.915 161.925 43.085 ;
+        RECT 162.215 42.915 162.385 43.085 ;
+        RECT 162.675 42.915 162.845 43.085 ;
+        RECT 163.135 42.915 163.305 43.085 ;
+        RECT 163.595 42.915 163.765 43.085 ;
+        RECT 164.055 42.915 164.225 43.085 ;
+        RECT 164.515 42.915 164.685 43.085 ;
+        RECT 164.975 42.915 165.145 43.085 ;
+        RECT 165.435 42.915 165.605 43.085 ;
+        RECT 165.895 42.915 166.065 43.085 ;
+        RECT 166.355 42.915 166.525 43.085 ;
+        RECT 166.815 42.915 166.985 43.085 ;
+        RECT 167.275 42.915 167.445 43.085 ;
+        RECT 167.735 42.915 167.905 43.085 ;
+        RECT 168.195 42.915 168.365 43.085 ;
+        RECT 168.655 42.915 168.825 43.085 ;
+        RECT 169.115 42.915 169.285 43.085 ;
+        RECT 169.575 42.915 169.745 43.085 ;
+        RECT 170.035 42.915 170.205 43.085 ;
+        RECT 170.495 42.915 170.665 43.085 ;
+        RECT 170.955 42.915 171.125 43.085 ;
+        RECT 171.415 42.915 171.585 43.085 ;
+        RECT 171.875 42.915 172.045 43.085 ;
+        RECT 172.335 42.915 172.505 43.085 ;
+        RECT 172.795 42.915 172.965 43.085 ;
+        RECT 173.255 42.915 173.425 43.085 ;
+        RECT 173.715 42.915 173.885 43.085 ;
+        RECT 174.175 42.915 174.345 43.085 ;
+        RECT 174.635 42.915 174.805 43.085 ;
+        RECT 175.095 42.915 175.265 43.085 ;
+        RECT 175.555 42.915 175.725 43.085 ;
+        RECT 176.015 42.915 176.185 43.085 ;
+        RECT 176.475 42.915 176.645 43.085 ;
+        RECT 176.935 42.915 177.105 43.085 ;
+        RECT 177.395 42.915 177.565 43.085 ;
+        RECT 177.855 42.915 178.025 43.085 ;
+        RECT 178.315 42.915 178.485 43.085 ;
+        RECT 178.775 42.915 178.945 43.085 ;
+        RECT 179.235 42.915 179.405 43.085 ;
+        RECT 179.695 42.915 179.865 43.085 ;
+        RECT 180.155 42.915 180.325 43.085 ;
+        RECT 180.615 42.915 180.785 43.085 ;
+        RECT 181.075 42.915 181.245 43.085 ;
+        RECT 181.535 42.915 181.705 43.085 ;
+        RECT 181.995 42.915 182.165 43.085 ;
+        RECT 182.455 42.915 182.625 43.085 ;
+        RECT 182.915 42.915 183.085 43.085 ;
+        RECT 183.375 42.915 183.545 43.085 ;
+        RECT 183.835 42.915 184.005 43.085 ;
+        RECT 184.295 42.915 184.465 43.085 ;
+        RECT 184.755 42.915 184.925 43.085 ;
+        RECT 185.215 42.915 185.385 43.085 ;
+        RECT 185.675 42.915 185.845 43.085 ;
+        RECT 186.135 42.915 186.305 43.085 ;
+        RECT 186.595 42.915 186.765 43.085 ;
+        RECT 187.055 42.915 187.225 43.085 ;
+        RECT 187.515 42.915 187.685 43.085 ;
+        RECT 187.975 42.915 188.145 43.085 ;
+        RECT 188.435 42.915 188.605 43.085 ;
+        RECT 188.895 42.915 189.065 43.085 ;
+        RECT 189.355 42.915 189.525 43.085 ;
+        RECT 189.815 42.915 189.985 43.085 ;
+        RECT 190.275 42.915 190.445 43.085 ;
+        RECT 190.735 42.915 190.905 43.085 ;
+        RECT 191.195 42.915 191.365 43.085 ;
+        RECT 191.655 42.915 191.825 43.085 ;
+        RECT 192.115 42.915 192.285 43.085 ;
+        RECT 192.575 42.915 192.745 43.085 ;
+        RECT 193.035 42.915 193.205 43.085 ;
+        RECT 193.495 42.915 193.665 43.085 ;
+        RECT 193.955 42.915 194.125 43.085 ;
+        RECT 194.415 42.915 194.585 43.085 ;
+        RECT 194.875 42.915 195.045 43.085 ;
+        RECT 195.335 42.915 195.505 43.085 ;
+        RECT 195.795 42.915 195.965 43.085 ;
+        RECT 196.255 42.915 196.425 43.085 ;
+        RECT 196.715 42.915 196.885 43.085 ;
+        RECT 197.175 42.915 197.345 43.085 ;
+        RECT 197.635 42.915 197.805 43.085 ;
+        RECT 198.095 42.915 198.265 43.085 ;
+        RECT 198.555 42.915 198.725 43.085 ;
+        RECT 199.015 42.915 199.185 43.085 ;
+        RECT 199.475 42.915 199.645 43.085 ;
+        RECT 199.935 42.915 200.105 43.085 ;
+        RECT 200.395 42.915 200.565 43.085 ;
+        RECT 200.855 42.915 201.025 43.085 ;
+        RECT 201.315 42.915 201.485 43.085 ;
+        RECT 201.775 42.915 201.945 43.085 ;
+        RECT 202.235 42.915 202.405 43.085 ;
+        RECT 202.695 42.915 202.865 43.085 ;
+        RECT 203.155 42.915 203.325 43.085 ;
+        RECT 203.615 42.915 203.785 43.085 ;
+        RECT 204.075 42.915 204.245 43.085 ;
+        RECT 204.535 42.915 204.705 43.085 ;
+        RECT 204.995 42.915 205.165 43.085 ;
+        RECT 205.455 42.915 205.625 43.085 ;
+        RECT 205.915 42.915 206.085 43.085 ;
+        RECT 206.375 42.915 206.545 43.085 ;
+        RECT 206.835 42.915 207.005 43.085 ;
+        RECT 207.295 42.915 207.465 43.085 ;
+        RECT 207.755 42.915 207.925 43.085 ;
+        RECT 208.215 42.915 208.385 43.085 ;
+        RECT 208.675 42.915 208.845 43.085 ;
+        RECT 209.135 42.915 209.305 43.085 ;
+        RECT 209.595 42.915 209.765 43.085 ;
+        RECT 210.055 42.915 210.225 43.085 ;
+        RECT 210.515 42.915 210.685 43.085 ;
+        RECT 210.975 42.915 211.145 43.085 ;
+        RECT 211.435 42.915 211.605 43.085 ;
+        RECT 211.895 42.915 212.065 43.085 ;
+        RECT 212.355 42.915 212.525 43.085 ;
+        RECT 212.815 42.915 212.985 43.085 ;
+        RECT 213.275 42.915 213.445 43.085 ;
+        RECT 213.735 42.915 213.905 43.085 ;
+        RECT 214.195 42.915 214.365 43.085 ;
+        RECT 214.655 42.915 214.825 43.085 ;
+        RECT 215.115 42.915 215.285 43.085 ;
+        RECT 215.575 42.915 215.745 43.085 ;
+        RECT 216.035 42.915 216.205 43.085 ;
+        RECT 216.495 42.915 216.665 43.085 ;
+        RECT 216.955 42.915 217.125 43.085 ;
+        RECT 217.415 42.915 217.585 43.085 ;
+        RECT 217.875 42.915 218.045 43.085 ;
+        RECT 218.335 42.915 218.505 43.085 ;
+        RECT 218.795 42.915 218.965 43.085 ;
+        RECT 219.255 42.915 219.425 43.085 ;
+        RECT 219.715 42.915 219.885 43.085 ;
+        RECT 220.175 42.915 220.345 43.085 ;
+        RECT 220.635 42.915 220.805 43.085 ;
+        RECT 221.095 42.915 221.265 43.085 ;
+        RECT 221.555 42.915 221.725 43.085 ;
+        RECT 222.015 42.915 222.185 43.085 ;
+        RECT 222.475 42.915 222.645 43.085 ;
+        RECT 222.935 42.915 223.105 43.085 ;
+        RECT 223.395 42.915 223.565 43.085 ;
+        RECT 223.855 42.915 224.025 43.085 ;
+        RECT 224.315 42.915 224.485 43.085 ;
+        RECT 224.775 42.915 224.945 43.085 ;
+        RECT 225.235 42.915 225.405 43.085 ;
+        RECT 225.695 42.915 225.865 43.085 ;
+        RECT 226.155 42.915 226.325 43.085 ;
+        RECT 226.615 42.915 226.785 43.085 ;
+        RECT 227.075 42.915 227.245 43.085 ;
+        RECT 227.535 42.915 227.705 43.085 ;
+        RECT 227.995 42.915 228.165 43.085 ;
+        RECT 228.455 42.915 228.625 43.085 ;
+        RECT 228.915 42.915 229.085 43.085 ;
+        RECT 229.375 42.915 229.545 43.085 ;
+        RECT 229.835 42.915 230.005 43.085 ;
+        RECT 230.295 42.915 230.465 43.085 ;
+        RECT 230.755 42.915 230.925 43.085 ;
+        RECT 231.215 42.915 231.385 43.085 ;
+        RECT 231.675 42.915 231.845 43.085 ;
+        RECT 232.135 42.915 232.305 43.085 ;
+        RECT 232.595 42.915 232.765 43.085 ;
+        RECT 233.055 42.915 233.225 43.085 ;
+        RECT 233.515 42.915 233.685 43.085 ;
+        RECT 233.975 42.915 234.145 43.085 ;
+        RECT 234.435 42.915 234.605 43.085 ;
+        RECT 234.895 42.915 235.065 43.085 ;
+        RECT 235.355 42.915 235.525 43.085 ;
+        RECT 235.815 42.915 235.985 43.085 ;
+        RECT 236.275 42.915 236.445 43.085 ;
+        RECT 236.735 42.915 236.905 43.085 ;
+        RECT 237.195 42.915 237.365 43.085 ;
+        RECT 237.655 42.915 237.825 43.085 ;
+        RECT 238.115 42.915 238.285 43.085 ;
+        RECT 238.575 42.915 238.745 43.085 ;
+        RECT 239.035 42.915 239.205 43.085 ;
+        RECT 239.495 42.915 239.665 43.085 ;
+        RECT 239.955 42.915 240.125 43.085 ;
+        RECT 240.415 42.915 240.585 43.085 ;
+        RECT 240.875 42.915 241.045 43.085 ;
+        RECT 241.335 42.915 241.505 43.085 ;
+        RECT 241.795 42.915 241.965 43.085 ;
+        RECT 242.255 42.915 242.425 43.085 ;
+        RECT 242.715 42.915 242.885 43.085 ;
+        RECT 243.175 42.915 243.345 43.085 ;
+        RECT 243.635 42.915 243.805 43.085 ;
+        RECT 244.095 42.915 244.265 43.085 ;
+        RECT 244.555 42.915 244.725 43.085 ;
+        RECT 245.015 42.915 245.185 43.085 ;
+        RECT 245.475 42.915 245.645 43.085 ;
+        RECT 245.935 42.915 246.105 43.085 ;
+        RECT 246.395 42.915 246.565 43.085 ;
+        RECT 246.855 42.915 247.025 43.085 ;
+        RECT 247.315 42.915 247.485 43.085 ;
+        RECT 247.775 42.915 247.945 43.085 ;
+        RECT 248.235 42.915 248.405 43.085 ;
+        RECT 248.695 42.915 248.865 43.085 ;
+        RECT 249.155 42.915 249.325 43.085 ;
+        RECT 249.615 42.915 249.785 43.085 ;
+        RECT 250.075 42.915 250.245 43.085 ;
+        RECT 250.535 42.915 250.705 43.085 ;
+        RECT 250.995 42.915 251.165 43.085 ;
+        RECT 251.455 42.915 251.625 43.085 ;
+        RECT 251.915 42.915 252.085 43.085 ;
+        RECT 252.375 42.915 252.545 43.085 ;
+        RECT 252.835 42.915 253.005 43.085 ;
+        RECT 253.295 42.915 253.465 43.085 ;
+        RECT 253.755 42.915 253.925 43.085 ;
+        RECT 254.215 42.915 254.385 43.085 ;
+        RECT 254.675 42.915 254.845 43.085 ;
+        RECT 255.135 42.915 255.305 43.085 ;
+        RECT 255.595 42.915 255.765 43.085 ;
+        RECT 256.055 42.915 256.225 43.085 ;
+        RECT 256.515 42.915 256.685 43.085 ;
+        RECT 256.975 42.915 257.145 43.085 ;
+        RECT 257.435 42.915 257.605 43.085 ;
+        RECT 257.895 42.915 258.065 43.085 ;
+        RECT 258.355 42.915 258.525 43.085 ;
+        RECT 258.815 42.915 258.985 43.085 ;
+        RECT 259.275 42.915 259.445 43.085 ;
+        RECT 259.735 42.915 259.905 43.085 ;
+        RECT 260.195 42.915 260.365 43.085 ;
+        RECT 260.655 42.915 260.825 43.085 ;
+        RECT 261.115 42.915 261.285 43.085 ;
+        RECT 261.575 42.915 261.745 43.085 ;
+        RECT 262.035 42.915 262.205 43.085 ;
+        RECT 262.495 42.915 262.665 43.085 ;
+        RECT 262.955 42.915 263.125 43.085 ;
+        RECT 263.415 42.915 263.585 43.085 ;
+        RECT 263.875 42.915 264.045 43.085 ;
+        RECT 264.335 42.915 264.505 43.085 ;
+        RECT 264.795 42.915 264.965 43.085 ;
+        RECT 265.255 42.915 265.425 43.085 ;
+        RECT 265.715 42.915 265.885 43.085 ;
+        RECT 266.175 42.915 266.345 43.085 ;
+        RECT 266.635 42.915 266.805 43.085 ;
+        RECT 267.095 42.915 267.265 43.085 ;
+        RECT 267.555 42.915 267.725 43.085 ;
+        RECT 268.015 42.915 268.185 43.085 ;
+        RECT 268.475 42.915 268.645 43.085 ;
+        RECT 268.935 42.915 269.105 43.085 ;
+        RECT 269.395 42.915 269.565 43.085 ;
+        RECT 269.855 42.915 270.025 43.085 ;
+        RECT 270.315 42.915 270.485 43.085 ;
+        RECT 270.775 42.915 270.945 43.085 ;
+        RECT 271.235 42.915 271.405 43.085 ;
+        RECT 271.695 42.915 271.865 43.085 ;
+        RECT 272.155 42.915 272.325 43.085 ;
+        RECT 272.615 42.915 272.785 43.085 ;
+        RECT 273.075 42.915 273.245 43.085 ;
+        RECT 273.535 42.915 273.705 43.085 ;
+        RECT 273.995 42.915 274.165 43.085 ;
+        RECT 274.455 42.915 274.625 43.085 ;
+        RECT 274.915 42.915 275.085 43.085 ;
+        RECT 275.375 42.915 275.545 43.085 ;
+        RECT 275.835 42.915 276.005 43.085 ;
+        RECT 276.295 42.915 276.465 43.085 ;
+        RECT 276.755 42.915 276.925 43.085 ;
+        RECT 277.215 42.915 277.385 43.085 ;
+        RECT 277.675 42.915 277.845 43.085 ;
+        RECT 278.135 42.915 278.305 43.085 ;
+        RECT 278.595 42.915 278.765 43.085 ;
+        RECT 279.055 42.915 279.225 43.085 ;
+        RECT 279.515 42.915 279.685 43.085 ;
+        RECT 279.975 42.915 280.145 43.085 ;
+        RECT 280.435 42.915 280.605 43.085 ;
+        RECT 280.895 42.915 281.065 43.085 ;
+        RECT 281.355 42.915 281.525 43.085 ;
+        RECT 281.815 42.915 281.985 43.085 ;
+        RECT 282.275 42.915 282.445 43.085 ;
+        RECT 282.735 42.915 282.905 43.085 ;
+        RECT 283.195 42.915 283.365 43.085 ;
+        RECT 283.655 42.915 283.825 43.085 ;
+        RECT 284.115 42.915 284.285 43.085 ;
+        RECT 284.575 42.915 284.745 43.085 ;
+        RECT 285.035 42.915 285.205 43.085 ;
+        RECT 285.495 42.915 285.665 43.085 ;
+        RECT 285.955 42.915 286.125 43.085 ;
+        RECT 286.415 42.915 286.585 43.085 ;
+        RECT 286.875 42.915 287.045 43.085 ;
+        RECT 287.335 42.915 287.505 43.085 ;
+        RECT 287.795 42.915 287.965 43.085 ;
+        RECT 288.255 42.915 288.425 43.085 ;
+        RECT 288.715 42.915 288.885 43.085 ;
+        RECT 289.175 42.915 289.345 43.085 ;
+        RECT 289.635 42.915 289.805 43.085 ;
+        RECT 290.095 42.915 290.265 43.085 ;
+        RECT 290.555 42.915 290.725 43.085 ;
+        RECT 291.015 42.915 291.185 43.085 ;
+        RECT 291.475 42.915 291.645 43.085 ;
+        RECT 291.935 42.915 292.105 43.085 ;
+        RECT 292.395 42.915 292.565 43.085 ;
+        RECT 292.855 42.915 293.025 43.085 ;
+        RECT 293.315 42.915 293.485 43.085 ;
+        RECT 293.775 42.915 293.945 43.085 ;
+        RECT 294.235 42.915 294.405 43.085 ;
+        RECT 294.695 42.915 294.865 43.085 ;
+        RECT 295.155 42.915 295.325 43.085 ;
+        RECT 295.615 42.915 295.785 43.085 ;
+        RECT 296.075 42.915 296.245 43.085 ;
+        RECT 296.535 42.915 296.705 43.085 ;
+        RECT 296.995 42.915 297.165 43.085 ;
+        RECT 297.455 42.915 297.625 43.085 ;
+        RECT 297.915 42.915 298.085 43.085 ;
+        RECT 298.375 42.915 298.545 43.085 ;
+        RECT 298.835 42.915 299.005 43.085 ;
+        RECT 299.295 42.915 299.465 43.085 ;
+        RECT 299.755 42.915 299.925 43.085 ;
+        RECT 300.215 42.915 300.385 43.085 ;
+        RECT 300.675 42.915 300.845 43.085 ;
+        RECT 301.135 42.915 301.305 43.085 ;
+        RECT 301.595 42.915 301.765 43.085 ;
+        RECT 302.055 42.915 302.225 43.085 ;
+        RECT 302.515 42.915 302.685 43.085 ;
+        RECT 302.975 42.915 303.145 43.085 ;
+        RECT 303.435 42.915 303.605 43.085 ;
+        RECT 303.895 42.915 304.065 43.085 ;
+        RECT 304.355 42.915 304.525 43.085 ;
+        RECT 304.815 42.915 304.985 43.085 ;
+        RECT 305.275 42.915 305.445 43.085 ;
+        RECT 305.735 42.915 305.905 43.085 ;
+        RECT 306.195 42.915 306.365 43.085 ;
+        RECT 306.655 42.915 306.825 43.085 ;
+        RECT 307.115 42.915 307.285 43.085 ;
+        RECT 307.575 42.915 307.745 43.085 ;
+        RECT 308.035 42.915 308.205 43.085 ;
+        RECT 308.495 42.915 308.665 43.085 ;
+        RECT 308.955 42.915 309.125 43.085 ;
+        RECT 309.415 42.915 309.585 43.085 ;
+        RECT 309.875 42.915 310.045 43.085 ;
+        RECT 310.335 42.915 310.505 43.085 ;
+        RECT 310.795 42.915 310.965 43.085 ;
+        RECT 311.255 42.915 311.425 43.085 ;
+        RECT 311.715 42.915 311.885 43.085 ;
+        RECT 312.175 42.915 312.345 43.085 ;
+        RECT 312.635 42.915 312.805 43.085 ;
+        RECT 313.095 42.915 313.265 43.085 ;
+        RECT 313.555 42.915 313.725 43.085 ;
+        RECT 314.015 42.915 314.185 43.085 ;
+        RECT 314.475 42.915 314.645 43.085 ;
+        RECT 314.935 42.915 315.105 43.085 ;
+        RECT 315.395 42.915 315.565 43.085 ;
+        RECT 315.855 42.915 316.025 43.085 ;
+        RECT 316.315 42.915 316.485 43.085 ;
+        RECT 316.775 42.915 316.945 43.085 ;
+        RECT 317.235 42.915 317.405 43.085 ;
+        RECT 317.695 42.915 317.865 43.085 ;
+        RECT 318.155 42.915 318.325 43.085 ;
+        RECT 318.615 42.915 318.785 43.085 ;
+        RECT 319.075 42.915 319.245 43.085 ;
+        RECT 319.535 42.915 319.705 43.085 ;
+        RECT 319.995 42.915 320.165 43.085 ;
+        RECT 320.455 42.915 320.625 43.085 ;
+        RECT 320.915 42.915 321.085 43.085 ;
+        RECT 321.375 42.915 321.545 43.085 ;
+        RECT 321.835 42.915 322.005 43.085 ;
+        RECT 322.295 42.915 322.465 43.085 ;
+        RECT 322.755 42.915 322.925 43.085 ;
+        RECT 323.215 42.915 323.385 43.085 ;
+        RECT 323.675 42.915 323.845 43.085 ;
+        RECT 324.135 42.915 324.305 43.085 ;
+        RECT 324.595 42.915 324.765 43.085 ;
+        RECT 325.055 42.915 325.225 43.085 ;
+        RECT 325.515 42.915 325.685 43.085 ;
+        RECT 325.975 42.915 326.145 43.085 ;
+        RECT 326.435 42.915 326.605 43.085 ;
+        RECT 326.895 42.915 327.065 43.085 ;
+        RECT 327.355 42.915 327.525 43.085 ;
+        RECT 327.815 42.915 327.985 43.085 ;
+        RECT 328.275 42.915 328.445 43.085 ;
+        RECT 328.735 42.915 328.905 43.085 ;
+        RECT 329.195 42.915 329.365 43.085 ;
+        RECT 329.655 42.915 329.825 43.085 ;
+        RECT 330.115 42.915 330.285 43.085 ;
+        RECT 330.575 42.915 330.745 43.085 ;
+        RECT 331.035 42.915 331.205 43.085 ;
+        RECT 331.495 42.915 331.665 43.085 ;
+        RECT 331.955 42.915 332.125 43.085 ;
+        RECT 332.415 42.915 332.585 43.085 ;
+        RECT 332.875 42.915 333.045 43.085 ;
+        RECT 333.335 42.915 333.505 43.085 ;
+        RECT 333.795 42.915 333.965 43.085 ;
+        RECT 334.255 42.915 334.425 43.085 ;
+        RECT 334.715 42.915 334.885 43.085 ;
+        RECT 335.175 42.915 335.345 43.085 ;
+        RECT 335.635 42.915 335.805 43.085 ;
+        RECT 336.095 42.915 336.265 43.085 ;
+        RECT 336.555 42.915 336.725 43.085 ;
+        RECT 337.015 42.915 337.185 43.085 ;
+        RECT 337.475 42.915 337.645 43.085 ;
+        RECT 337.935 42.915 338.105 43.085 ;
+        RECT 338.395 42.915 338.565 43.085 ;
+        RECT 338.855 42.915 339.025 43.085 ;
+        RECT 339.315 42.915 339.485 43.085 ;
+        RECT 339.775 42.915 339.945 43.085 ;
+        RECT 340.235 42.915 340.405 43.085 ;
+        RECT 340.695 42.915 340.865 43.085 ;
+        RECT 341.155 42.915 341.325 43.085 ;
+        RECT 341.615 42.915 341.785 43.085 ;
+        RECT 342.075 42.915 342.245 43.085 ;
+        RECT 342.535 42.915 342.705 43.085 ;
+        RECT 342.995 42.915 343.165 43.085 ;
+        RECT 343.455 42.915 343.625 43.085 ;
+        RECT 343.915 42.915 344.085 43.085 ;
+        RECT 344.375 42.915 344.545 43.085 ;
+        RECT 344.835 42.915 345.005 43.085 ;
+        RECT 345.295 42.915 345.465 43.085 ;
+        RECT 345.755 42.915 345.925 43.085 ;
+        RECT 346.215 42.915 346.385 43.085 ;
+        RECT 346.675 42.915 346.845 43.085 ;
+        RECT 347.135 42.915 347.305 43.085 ;
+        RECT 347.595 42.915 347.765 43.085 ;
+        RECT 348.055 42.915 348.225 43.085 ;
+        RECT 348.515 42.915 348.685 43.085 ;
+        RECT 348.975 42.915 349.145 43.085 ;
+        RECT 349.435 42.915 349.605 43.085 ;
+        RECT 349.895 42.915 350.065 43.085 ;
+        RECT 350.355 42.915 350.525 43.085 ;
+        RECT 350.815 42.915 350.985 43.085 ;
+        RECT 351.275 42.915 351.445 43.085 ;
+        RECT 351.735 42.915 351.905 43.085 ;
+        RECT 352.195 42.915 352.365 43.085 ;
+        RECT 352.655 42.915 352.825 43.085 ;
+        RECT 353.115 42.915 353.285 43.085 ;
+        RECT 353.575 42.915 353.745 43.085 ;
+        RECT 354.035 42.915 354.205 43.085 ;
+        RECT 354.495 42.915 354.665 43.085 ;
+        RECT 354.955 42.915 355.125 43.085 ;
+        RECT 355.415 42.915 355.585 43.085 ;
+        RECT 355.875 42.915 356.045 43.085 ;
+        RECT 356.335 42.915 356.505 43.085 ;
+        RECT 356.795 42.915 356.965 43.085 ;
+        RECT 357.255 42.915 357.425 43.085 ;
+        RECT 357.715 42.915 357.885 43.085 ;
+        RECT 358.175 42.915 358.345 43.085 ;
+        RECT 358.635 42.915 358.805 43.085 ;
+        RECT 359.095 42.915 359.265 43.085 ;
+        RECT 359.555 42.915 359.725 43.085 ;
+        RECT 360.015 42.915 360.185 43.085 ;
+        RECT 360.475 42.915 360.645 43.085 ;
+        RECT 360.935 42.915 361.105 43.085 ;
+        RECT 361.395 42.915 361.565 43.085 ;
+        RECT 361.855 42.915 362.025 43.085 ;
+        RECT 362.315 42.915 362.485 43.085 ;
+        RECT 362.775 42.915 362.945 43.085 ;
+        RECT 363.235 42.915 363.405 43.085 ;
+        RECT 363.695 42.915 363.865 43.085 ;
+        RECT 364.155 42.915 364.325 43.085 ;
+        RECT 364.615 42.915 364.785 43.085 ;
+        RECT 365.075 42.915 365.245 43.085 ;
+        RECT 365.535 42.915 365.705 43.085 ;
+        RECT 365.995 42.915 366.165 43.085 ;
+        RECT 366.455 42.915 366.625 43.085 ;
+        RECT 366.915 42.915 367.085 43.085 ;
+        RECT 367.375 42.915 367.545 43.085 ;
+        RECT 367.835 42.915 368.005 43.085 ;
+        RECT 368.295 42.915 368.465 43.085 ;
+        RECT 368.755 42.915 368.925 43.085 ;
+        RECT 369.215 42.915 369.385 43.085 ;
+        RECT 369.675 42.915 369.845 43.085 ;
+        RECT 370.135 42.915 370.305 43.085 ;
+        RECT 370.595 42.915 370.765 43.085 ;
+        RECT 371.055 42.915 371.225 43.085 ;
+        RECT 371.515 42.915 371.685 43.085 ;
+        RECT 371.975 42.915 372.145 43.085 ;
+        RECT 372.435 42.915 372.605 43.085 ;
+        RECT 372.895 42.915 373.065 43.085 ;
+        RECT 373.355 42.915 373.525 43.085 ;
+        RECT 373.815 42.915 373.985 43.085 ;
+        RECT 374.275 42.915 374.445 43.085 ;
+        RECT 374.735 42.915 374.905 43.085 ;
+        RECT 375.195 42.915 375.365 43.085 ;
+        RECT 375.655 42.915 375.825 43.085 ;
+        RECT 376.115 42.915 376.285 43.085 ;
+        RECT 376.575 42.915 376.745 43.085 ;
+        RECT 377.035 42.915 377.205 43.085 ;
+        RECT 377.495 42.915 377.665 43.085 ;
+        RECT 377.955 42.915 378.125 43.085 ;
+        RECT 378.415 42.915 378.585 43.085 ;
+        RECT 378.875 42.915 379.045 43.085 ;
+        RECT 379.335 42.915 379.505 43.085 ;
+        RECT 379.795 42.915 379.965 43.085 ;
+        RECT 380.255 42.915 380.425 43.085 ;
+        RECT 380.715 42.915 380.885 43.085 ;
+        RECT 381.175 42.915 381.345 43.085 ;
+        RECT 381.635 42.915 381.805 43.085 ;
+        RECT 382.095 42.915 382.265 43.085 ;
+        RECT 382.555 42.915 382.725 43.085 ;
+        RECT 383.015 42.915 383.185 43.085 ;
+        RECT 383.475 42.915 383.645 43.085 ;
+        RECT 383.935 42.915 384.105 43.085 ;
+        RECT 384.395 42.915 384.565 43.085 ;
+        RECT 384.855 42.915 385.025 43.085 ;
+        RECT 385.315 42.915 385.485 43.085 ;
+        RECT 385.775 42.915 385.945 43.085 ;
+        RECT 386.235 42.915 386.405 43.085 ;
+        RECT 386.695 42.915 386.865 43.085 ;
+        RECT 387.155 42.915 387.325 43.085 ;
+        RECT 387.615 42.915 387.785 43.085 ;
+        RECT 388.075 42.915 388.245 43.085 ;
+        RECT 388.535 42.915 388.705 43.085 ;
+        RECT 388.995 42.915 389.165 43.085 ;
+        RECT 389.455 42.915 389.625 43.085 ;
+        RECT 389.915 42.915 390.085 43.085 ;
+        RECT 390.375 42.915 390.545 43.085 ;
+        RECT 390.835 42.915 391.005 43.085 ;
+        RECT 391.295 42.915 391.465 43.085 ;
+        RECT 391.755 42.915 391.925 43.085 ;
+        RECT 392.215 42.915 392.385 43.085 ;
+        RECT 392.675 42.915 392.845 43.085 ;
+        RECT 393.135 42.915 393.305 43.085 ;
+        RECT 393.595 42.915 393.765 43.085 ;
+        RECT 394.055 42.915 394.225 43.085 ;
+        RECT 394.515 42.915 394.685 43.085 ;
+        RECT 394.975 42.915 395.145 43.085 ;
+        RECT 395.435 42.915 395.605 43.085 ;
+        RECT 395.895 42.915 396.065 43.085 ;
+        RECT 396.355 42.915 396.525 43.085 ;
+        RECT 396.815 42.915 396.985 43.085 ;
+        RECT 397.275 42.915 397.445 43.085 ;
+        RECT 397.735 42.915 397.905 43.085 ;
+        RECT 398.195 42.915 398.365 43.085 ;
+        RECT 398.655 42.915 398.825 43.085 ;
+        RECT 399.115 42.915 399.285 43.085 ;
+        RECT 399.575 42.915 399.745 43.085 ;
+        RECT 400.035 42.915 400.205 43.085 ;
+        RECT 400.495 42.915 400.665 43.085 ;
+        RECT 400.955 42.915 401.125 43.085 ;
+        RECT 401.415 42.915 401.585 43.085 ;
+        RECT 401.875 42.915 402.045 43.085 ;
+        RECT 402.335 42.915 402.505 43.085 ;
+        RECT 402.795 42.915 402.965 43.085 ;
+        RECT 403.255 42.915 403.425 43.085 ;
+        RECT 403.715 42.915 403.885 43.085 ;
+        RECT 404.175 42.915 404.345 43.085 ;
+        RECT 404.635 42.915 404.805 43.085 ;
+        RECT 405.095 42.915 405.265 43.085 ;
+        RECT 405.555 42.915 405.725 43.085 ;
+        RECT 406.015 42.915 406.185 43.085 ;
+        RECT 406.475 42.915 406.645 43.085 ;
+        RECT 406.935 42.915 407.105 43.085 ;
+        RECT 407.395 42.915 407.565 43.085 ;
+        RECT 407.855 42.915 408.025 43.085 ;
+        RECT 408.315 42.915 408.485 43.085 ;
+        RECT 408.775 42.915 408.945 43.085 ;
+        RECT 409.235 42.915 409.405 43.085 ;
+        RECT 409.695 42.915 409.865 43.085 ;
+        RECT 410.155 42.915 410.325 43.085 ;
+        RECT 410.615 42.915 410.785 43.085 ;
+        RECT 411.075 42.915 411.245 43.085 ;
+        RECT 411.535 42.915 411.705 43.085 ;
+        RECT 411.995 42.915 412.165 43.085 ;
+        RECT 412.455 42.915 412.625 43.085 ;
+        RECT 412.915 42.915 413.085 43.085 ;
+        RECT 413.375 42.915 413.545 43.085 ;
+        RECT 413.835 42.915 414.005 43.085 ;
+        RECT 414.295 42.915 414.465 43.085 ;
+        RECT 414.755 42.915 414.925 43.085 ;
+        RECT 415.215 42.915 415.385 43.085 ;
+        RECT 415.675 42.915 415.845 43.085 ;
+        RECT 416.135 42.915 416.305 43.085 ;
+        RECT 416.595 42.915 416.765 43.085 ;
+        RECT 417.055 42.915 417.225 43.085 ;
+        RECT 417.515 42.915 417.685 43.085 ;
+        RECT 417.975 42.915 418.145 43.085 ;
+        RECT 418.435 42.915 418.605 43.085 ;
+        RECT 418.895 42.915 419.065 43.085 ;
+        RECT 419.355 42.915 419.525 43.085 ;
+        RECT 419.815 42.915 419.985 43.085 ;
+        RECT 420.275 42.915 420.445 43.085 ;
+        RECT 420.735 42.915 420.905 43.085 ;
+        RECT 421.195 42.915 421.365 43.085 ;
+        RECT 421.655 42.915 421.825 43.085 ;
+        RECT 422.115 42.915 422.285 43.085 ;
+        RECT 422.575 42.915 422.745 43.085 ;
+        RECT 423.035 42.915 423.205 43.085 ;
+        RECT 423.495 42.915 423.665 43.085 ;
+        RECT 423.955 42.915 424.125 43.085 ;
+        RECT 424.415 42.915 424.585 43.085 ;
+        RECT 424.875 42.915 425.045 43.085 ;
+        RECT 425.335 42.915 425.505 43.085 ;
+        RECT 425.795 42.915 425.965 43.085 ;
+        RECT 426.255 42.915 426.425 43.085 ;
+        RECT 426.715 42.915 426.885 43.085 ;
+        RECT 427.175 42.915 427.345 43.085 ;
+        RECT 427.635 42.915 427.805 43.085 ;
+        RECT 428.095 42.915 428.265 43.085 ;
+        RECT 428.555 42.915 428.725 43.085 ;
+        RECT 429.015 42.915 429.185 43.085 ;
+        RECT 429.475 42.915 429.645 43.085 ;
+        RECT 429.935 42.915 430.105 43.085 ;
+        RECT 430.395 42.915 430.565 43.085 ;
+        RECT 430.855 42.915 431.025 43.085 ;
+        RECT 431.315 42.915 431.485 43.085 ;
+        RECT 431.775 42.915 431.945 43.085 ;
+        RECT 432.235 42.915 432.405 43.085 ;
+        RECT 432.695 42.915 432.865 43.085 ;
+        RECT 433.155 42.915 433.325 43.085 ;
+        RECT 433.615 42.915 433.785 43.085 ;
+        RECT 434.075 42.915 434.245 43.085 ;
+        RECT 434.535 42.915 434.705 43.085 ;
+        RECT 434.995 42.915 435.165 43.085 ;
+        RECT 435.455 42.915 435.625 43.085 ;
+        RECT 435.915 42.915 436.085 43.085 ;
+        RECT 436.375 42.915 436.545 43.085 ;
+        RECT 436.835 42.915 437.005 43.085 ;
+        RECT 437.295 42.915 437.465 43.085 ;
+        RECT 437.755 42.915 437.925 43.085 ;
+        RECT 438.215 42.915 438.385 43.085 ;
+        RECT 438.675 42.915 438.845 43.085 ;
+        RECT 439.135 42.915 439.305 43.085 ;
+        RECT 439.595 42.915 439.765 43.085 ;
+        RECT 440.055 42.915 440.225 43.085 ;
+        RECT 440.515 42.915 440.685 43.085 ;
+        RECT 440.975 42.915 441.145 43.085 ;
+        RECT 441.435 42.915 441.605 43.085 ;
+        RECT 441.895 42.915 442.065 43.085 ;
+        RECT 442.355 42.915 442.525 43.085 ;
+        RECT 442.815 42.915 442.985 43.085 ;
+        RECT 443.275 42.915 443.445 43.085 ;
+        RECT 443.735 42.915 443.905 43.085 ;
+        RECT 444.195 42.915 444.365 43.085 ;
+        RECT 444.655 42.915 444.825 43.085 ;
+        RECT 445.115 42.915 445.285 43.085 ;
+        RECT 445.575 42.915 445.745 43.085 ;
+        RECT 446.035 42.915 446.205 43.085 ;
+        RECT 446.495 42.915 446.665 43.085 ;
+        RECT 446.955 42.915 447.125 43.085 ;
+        RECT 447.415 42.915 447.585 43.085 ;
+        RECT 447.875 42.915 448.045 43.085 ;
+        RECT 448.335 42.915 448.505 43.085 ;
+        RECT 448.795 42.915 448.965 43.085 ;
+        RECT 449.255 42.915 449.425 43.085 ;
+        RECT 449.715 42.915 449.885 43.085 ;
+        RECT 450.175 42.915 450.345 43.085 ;
+        RECT 450.635 42.915 450.805 43.085 ;
+        RECT 451.095 42.915 451.265 43.085 ;
+        RECT 451.555 42.915 451.725 43.085 ;
+        RECT 452.015 42.915 452.185 43.085 ;
+        RECT 452.475 42.915 452.645 43.085 ;
+        RECT 452.935 42.915 453.105 43.085 ;
+        RECT 453.395 42.915 453.565 43.085 ;
+        RECT 453.855 42.915 454.025 43.085 ;
+        RECT 454.315 42.915 454.485 43.085 ;
+        RECT 454.775 42.915 454.945 43.085 ;
+        RECT 455.235 42.915 455.405 43.085 ;
+        RECT 455.695 42.915 455.865 43.085 ;
+        RECT 456.155 42.915 456.325 43.085 ;
+        RECT 456.615 42.915 456.785 43.085 ;
+        RECT 457.075 42.915 457.245 43.085 ;
+        RECT 457.535 42.915 457.705 43.085 ;
+        RECT 457.995 42.915 458.165 43.085 ;
+        RECT 458.455 42.915 458.625 43.085 ;
+        RECT 458.915 42.915 459.085 43.085 ;
+        RECT 459.375 42.915 459.545 43.085 ;
+        RECT 459.835 42.915 460.005 43.085 ;
+        RECT 460.295 42.915 460.465 43.085 ;
+        RECT 460.755 42.915 460.925 43.085 ;
+        RECT 461.215 42.915 461.385 43.085 ;
+        RECT 461.675 42.915 461.845 43.085 ;
+        RECT 462.135 42.915 462.305 43.085 ;
+        RECT 462.595 42.915 462.765 43.085 ;
+        RECT 463.055 42.915 463.225 43.085 ;
+        RECT 463.515 42.915 463.685 43.085 ;
+        RECT 463.975 42.915 464.145 43.085 ;
+        RECT 464.435 42.915 464.605 43.085 ;
+        RECT 464.895 42.915 465.065 43.085 ;
+        RECT 465.355 42.915 465.525 43.085 ;
+        RECT 465.815 42.915 465.985 43.085 ;
+        RECT 466.275 42.915 466.445 43.085 ;
+        RECT 466.735 42.915 466.905 43.085 ;
+        RECT 467.195 42.915 467.365 43.085 ;
+        RECT 467.655 42.915 467.825 43.085 ;
+        RECT 468.115 42.915 468.285 43.085 ;
+        RECT 468.575 42.915 468.745 43.085 ;
+        RECT 469.035 42.915 469.205 43.085 ;
+        RECT 469.495 42.915 469.665 43.085 ;
+        RECT 469.955 42.915 470.125 43.085 ;
+        RECT 470.415 42.915 470.585 43.085 ;
+        RECT 470.875 42.915 471.045 43.085 ;
+        RECT 471.335 42.915 471.505 43.085 ;
+        RECT 471.795 42.915 471.965 43.085 ;
+        RECT 472.255 42.915 472.425 43.085 ;
+        RECT 472.715 42.915 472.885 43.085 ;
+        RECT 473.175 42.915 473.345 43.085 ;
+        RECT 473.635 42.915 473.805 43.085 ;
+        RECT 474.095 42.915 474.265 43.085 ;
+        RECT 474.555 42.915 474.725 43.085 ;
+        RECT 475.015 42.915 475.185 43.085 ;
+        RECT 475.475 42.915 475.645 43.085 ;
+        RECT 475.935 42.915 476.105 43.085 ;
+        RECT 476.395 42.915 476.565 43.085 ;
+        RECT 476.855 42.915 477.025 43.085 ;
+        RECT 477.315 42.915 477.485 43.085 ;
+        RECT 477.775 42.915 477.945 43.085 ;
+        RECT 478.235 42.915 478.405 43.085 ;
+        RECT 478.695 42.915 478.865 43.085 ;
+        RECT 479.155 42.915 479.325 43.085 ;
+        RECT 479.615 42.915 479.785 43.085 ;
+        RECT 480.075 42.915 480.245 43.085 ;
+        RECT 480.535 42.915 480.705 43.085 ;
+        RECT 480.995 42.915 481.165 43.085 ;
+        RECT 481.455 42.915 481.625 43.085 ;
+        RECT 481.915 42.915 482.085 43.085 ;
+        RECT 482.375 42.915 482.545 43.085 ;
+        RECT 482.835 42.915 483.005 43.085 ;
+        RECT 483.295 42.915 483.465 43.085 ;
+        RECT 483.755 42.915 483.925 43.085 ;
+        RECT 484.215 42.915 484.385 43.085 ;
+        RECT 484.675 42.915 484.845 43.085 ;
+        RECT 485.135 42.915 485.305 43.085 ;
+        RECT 485.595 42.915 485.765 43.085 ;
+        RECT 486.055 42.915 486.225 43.085 ;
+        RECT 486.515 42.915 486.685 43.085 ;
+        RECT 486.975 42.915 487.145 43.085 ;
+        RECT 487.435 42.915 487.605 43.085 ;
+        RECT 487.895 42.915 488.065 43.085 ;
+        RECT 488.355 42.915 488.525 43.085 ;
+        RECT 488.815 42.915 488.985 43.085 ;
+        RECT 489.275 42.915 489.445 43.085 ;
+        RECT 489.735 42.915 489.905 43.085 ;
+        RECT 490.195 42.915 490.365 43.085 ;
+        RECT 490.655 42.915 490.825 43.085 ;
+        RECT 491.115 42.915 491.285 43.085 ;
+        RECT 491.575 42.915 491.745 43.085 ;
+        RECT 492.035 42.915 492.205 43.085 ;
+        RECT 492.495 42.915 492.665 43.085 ;
+        RECT 492.955 42.915 493.125 43.085 ;
+        RECT 493.415 42.915 493.585 43.085 ;
+        RECT 493.875 42.915 494.045 43.085 ;
+        RECT 494.335 42.915 494.505 43.085 ;
+        RECT 494.795 42.915 494.965 43.085 ;
+        RECT 495.255 42.915 495.425 43.085 ;
+        RECT 495.715 42.915 495.885 43.085 ;
+        RECT 496.175 42.915 496.345 43.085 ;
+        RECT 496.635 42.915 496.805 43.085 ;
+        RECT 497.095 42.915 497.265 43.085 ;
+        RECT 497.555 42.915 497.725 43.085 ;
+        RECT 498.015 42.915 498.185 43.085 ;
+        RECT 498.475 42.915 498.645 43.085 ;
+        RECT 498.935 42.915 499.105 43.085 ;
+        RECT 499.395 42.915 499.565 43.085 ;
+        RECT 499.855 42.915 500.025 43.085 ;
+        RECT 500.315 42.915 500.485 43.085 ;
+        RECT 500.775 42.915 500.945 43.085 ;
+        RECT 501.235 42.915 501.405 43.085 ;
+        RECT 501.695 42.915 501.865 43.085 ;
+        RECT 502.155 42.915 502.325 43.085 ;
+        RECT 502.615 42.915 502.785 43.085 ;
+        RECT 503.075 42.915 503.245 43.085 ;
+        RECT 503.535 42.915 503.705 43.085 ;
+        RECT 503.995 42.915 504.165 43.085 ;
+        RECT 504.455 42.915 504.625 43.085 ;
+        RECT 504.915 42.915 505.085 43.085 ;
+        RECT 505.375 42.915 505.545 43.085 ;
+        RECT 505.835 42.915 506.005 43.085 ;
+        RECT 506.295 42.915 506.465 43.085 ;
+        RECT 506.755 42.915 506.925 43.085 ;
+        RECT 507.215 42.915 507.385 43.085 ;
+        RECT 507.675 42.915 507.845 43.085 ;
+        RECT 508.135 42.915 508.305 43.085 ;
+        RECT 508.595 42.915 508.765 43.085 ;
+        RECT 509.055 42.915 509.225 43.085 ;
+        RECT 509.515 42.915 509.685 43.085 ;
+        RECT 509.975 42.915 510.145 43.085 ;
+        RECT 510.435 42.915 510.605 43.085 ;
+        RECT 510.895 42.915 511.065 43.085 ;
+        RECT 511.355 42.915 511.525 43.085 ;
+        RECT 511.815 42.915 511.985 43.085 ;
+        RECT 512.275 42.915 512.445 43.085 ;
+        RECT 512.735 42.915 512.905 43.085 ;
+        RECT 513.195 42.915 513.365 43.085 ;
+        RECT 513.655 42.915 513.825 43.085 ;
+        RECT 514.115 42.915 514.285 43.085 ;
+        RECT 514.575 42.915 514.745 43.085 ;
+        RECT 515.035 42.915 515.205 43.085 ;
+        RECT 515.495 42.915 515.665 43.085 ;
+        RECT 515.955 42.915 516.125 43.085 ;
+        RECT 516.415 42.915 516.585 43.085 ;
+        RECT 516.875 42.915 517.045 43.085 ;
+        RECT 517.335 42.915 517.505 43.085 ;
+        RECT 517.795 42.915 517.965 43.085 ;
+        RECT 518.255 42.915 518.425 43.085 ;
+        RECT 518.715 42.915 518.885 43.085 ;
+        RECT 519.175 42.915 519.345 43.085 ;
+        RECT 519.635 42.915 519.805 43.085 ;
+        RECT 520.095 42.915 520.265 43.085 ;
+        RECT 520.555 42.915 520.725 43.085 ;
+        RECT 521.015 42.915 521.185 43.085 ;
+        RECT 521.475 42.915 521.645 43.085 ;
+        RECT 521.935 42.915 522.105 43.085 ;
+        RECT 522.395 42.915 522.565 43.085 ;
+        RECT 522.855 42.915 523.025 43.085 ;
+        RECT 523.315 42.915 523.485 43.085 ;
+        RECT 523.775 42.915 523.945 43.085 ;
+        RECT 524.235 42.915 524.405 43.085 ;
+        RECT 524.695 42.915 524.865 43.085 ;
+        RECT 525.155 42.915 525.325 43.085 ;
+        RECT 525.615 42.915 525.785 43.085 ;
+        RECT 526.075 42.915 526.245 43.085 ;
+        RECT 526.535 42.915 526.705 43.085 ;
+        RECT 526.995 42.915 527.165 43.085 ;
+        RECT 527.455 42.915 527.625 43.085 ;
+        RECT 527.915 42.915 528.085 43.085 ;
+        RECT 528.375 42.915 528.545 43.085 ;
+        RECT 528.835 42.915 529.005 43.085 ;
+        RECT 529.295 42.915 529.465 43.085 ;
+        RECT 529.755 42.915 529.925 43.085 ;
+        RECT 530.215 42.915 530.385 43.085 ;
+        RECT 530.675 42.915 530.845 43.085 ;
+        RECT 531.135 42.915 531.305 43.085 ;
+        RECT 531.595 42.915 531.765 43.085 ;
+        RECT 532.055 42.915 532.225 43.085 ;
+        RECT 532.515 42.915 532.685 43.085 ;
+        RECT 532.975 42.915 533.145 43.085 ;
+        RECT 533.435 42.915 533.605 43.085 ;
+        RECT 533.895 42.915 534.065 43.085 ;
+        RECT 534.355 42.915 534.525 43.085 ;
+        RECT 534.815 42.915 534.985 43.085 ;
+        RECT 535.275 42.915 535.445 43.085 ;
+        RECT 535.735 42.915 535.905 43.085 ;
+        RECT 536.195 42.915 536.365 43.085 ;
+        RECT 536.655 42.915 536.825 43.085 ;
+        RECT 537.115 42.915 537.285 43.085 ;
+        RECT 537.575 42.915 537.745 43.085 ;
+        RECT 538.035 42.915 538.205 43.085 ;
+        RECT 538.495 42.915 538.665 43.085 ;
+        RECT 538.955 42.915 539.125 43.085 ;
+        RECT 539.415 42.915 539.585 43.085 ;
+        RECT 539.875 42.915 540.045 43.085 ;
+        RECT 540.335 42.915 540.505 43.085 ;
+        RECT 540.795 42.915 540.965 43.085 ;
+        RECT 541.255 42.915 541.425 43.085 ;
+        RECT 541.715 42.915 541.885 43.085 ;
+        RECT 542.175 42.915 542.345 43.085 ;
+        RECT 542.635 42.915 542.805 43.085 ;
+        RECT 543.095 42.915 543.265 43.085 ;
+        RECT 543.555 42.915 543.725 43.085 ;
+        RECT 544.015 42.915 544.185 43.085 ;
+        RECT 544.475 42.915 544.645 43.085 ;
+        RECT 544.935 42.915 545.105 43.085 ;
+        RECT 545.395 42.915 545.565 43.085 ;
+        RECT 545.855 42.915 546.025 43.085 ;
+        RECT 546.315 42.915 546.485 43.085 ;
+        RECT 546.775 42.915 546.945 43.085 ;
+        RECT 547.235 42.915 547.405 43.085 ;
+        RECT 547.695 42.915 547.865 43.085 ;
+        RECT 548.155 42.915 548.325 43.085 ;
+        RECT 548.615 42.915 548.785 43.085 ;
+        RECT 549.075 42.915 549.245 43.085 ;
+        RECT 549.535 42.915 549.705 43.085 ;
+        RECT 549.995 42.915 550.165 43.085 ;
+        RECT 550.455 42.915 550.625 43.085 ;
+        RECT 550.915 42.915 551.085 43.085 ;
+        RECT 551.375 42.915 551.545 43.085 ;
+        RECT 551.835 42.915 552.005 43.085 ;
+        RECT 552.295 42.915 552.465 43.085 ;
+        RECT 552.755 42.915 552.925 43.085 ;
+        RECT 553.215 42.915 553.385 43.085 ;
+        RECT 553.675 42.915 553.845 43.085 ;
+        RECT 554.135 42.915 554.305 43.085 ;
+        RECT 554.595 42.915 554.765 43.085 ;
+        RECT 555.055 42.915 555.225 43.085 ;
+        RECT 555.515 42.915 555.685 43.085 ;
+        RECT 555.975 42.915 556.145 43.085 ;
+        RECT 556.435 42.915 556.605 43.085 ;
+        RECT 556.895 42.915 557.065 43.085 ;
+        RECT 557.355 42.915 557.525 43.085 ;
+        RECT 557.815 42.915 557.985 43.085 ;
+        RECT 558.275 42.915 558.445 43.085 ;
+        RECT 558.735 42.915 558.905 43.085 ;
+        RECT 559.195 42.915 559.365 43.085 ;
+        RECT 559.655 42.915 559.825 43.085 ;
+        RECT 560.115 42.915 560.285 43.085 ;
+        RECT 560.575 42.915 560.745 43.085 ;
+        RECT 561.035 42.915 561.205 43.085 ;
+        RECT 561.495 42.915 561.665 43.085 ;
+        RECT 561.955 42.915 562.125 43.085 ;
+        RECT 562.415 42.915 562.585 43.085 ;
+        RECT 562.875 42.915 563.045 43.085 ;
+        RECT 563.335 42.915 563.505 43.085 ;
+        RECT 563.795 42.915 563.965 43.085 ;
+        RECT 564.255 42.915 564.425 43.085 ;
+        RECT 564.715 42.915 564.885 43.085 ;
+        RECT 565.175 42.915 565.345 43.085 ;
+        RECT 565.635 42.915 565.805 43.085 ;
+        RECT 566.095 42.915 566.265 43.085 ;
+        RECT 566.555 42.915 566.725 43.085 ;
+        RECT 567.015 42.915 567.185 43.085 ;
+        RECT 567.475 42.915 567.645 43.085 ;
+        RECT 567.935 42.915 568.105 43.085 ;
+        RECT 568.395 42.915 568.565 43.085 ;
+        RECT 568.855 42.915 569.025 43.085 ;
+        RECT 569.315 42.915 569.485 43.085 ;
+        RECT 569.775 42.915 569.945 43.085 ;
+        RECT 570.235 42.915 570.405 43.085 ;
+        RECT 570.695 42.915 570.865 43.085 ;
+        RECT 571.155 42.915 571.325 43.085 ;
+        RECT 571.615 42.915 571.785 43.085 ;
+        RECT 572.075 42.915 572.245 43.085 ;
+        RECT 572.535 42.915 572.705 43.085 ;
+        RECT 572.995 42.915 573.165 43.085 ;
+        RECT 573.455 42.915 573.625 43.085 ;
+        RECT 573.915 42.915 574.085 43.085 ;
+        RECT 574.375 42.915 574.545 43.085 ;
+        RECT 574.835 42.915 575.005 43.085 ;
+        RECT 575.295 42.915 575.465 43.085 ;
+        RECT 575.755 42.915 575.925 43.085 ;
+        RECT 576.215 42.915 576.385 43.085 ;
+        RECT 576.675 42.915 576.845 43.085 ;
+        RECT 577.135 42.915 577.305 43.085 ;
+        RECT 577.595 42.915 577.765 43.085 ;
+        RECT 578.055 42.915 578.225 43.085 ;
+        RECT 578.515 42.915 578.685 43.085 ;
+        RECT 578.975 42.915 579.145 43.085 ;
+        RECT 579.435 42.915 579.605 43.085 ;
+        RECT 579.895 42.915 580.065 43.085 ;
+        RECT 580.355 42.915 580.525 43.085 ;
+        RECT 580.815 42.915 580.985 43.085 ;
+        RECT 581.275 42.915 581.445 43.085 ;
+        RECT 581.735 42.915 581.905 43.085 ;
+        RECT 582.195 42.915 582.365 43.085 ;
+        RECT 582.655 42.915 582.825 43.085 ;
+        RECT 583.115 42.915 583.285 43.085 ;
+        RECT 583.575 42.915 583.745 43.085 ;
+        RECT 584.035 42.915 584.205 43.085 ;
+        RECT 584.495 42.915 584.665 43.085 ;
+        RECT 584.955 42.915 585.125 43.085 ;
+        RECT 585.415 42.915 585.585 43.085 ;
+        RECT 585.875 42.915 586.045 43.085 ;
+        RECT 586.335 42.915 586.505 43.085 ;
+        RECT 586.795 42.915 586.965 43.085 ;
+        RECT 587.255 42.915 587.425 43.085 ;
+        RECT 587.715 42.915 587.885 43.085 ;
+        RECT 588.175 42.915 588.345 43.085 ;
+        RECT 588.635 42.915 588.805 43.085 ;
+        RECT 589.095 42.915 589.265 43.085 ;
+        RECT 589.555 42.915 589.725 43.085 ;
+        RECT 590.015 42.915 590.185 43.085 ;
+        RECT 590.475 42.915 590.645 43.085 ;
+        RECT 590.935 42.915 591.105 43.085 ;
+        RECT 591.395 42.915 591.565 43.085 ;
+        RECT 591.855 42.915 592.025 43.085 ;
+        RECT 592.315 42.915 592.485 43.085 ;
+        RECT 592.775 42.915 592.945 43.085 ;
+        RECT 593.235 42.915 593.405 43.085 ;
+        RECT 593.695 42.915 593.865 43.085 ;
+        RECT 594.155 42.915 594.325 43.085 ;
+        RECT 594.615 42.915 594.785 43.085 ;
+        RECT 595.075 42.915 595.245 43.085 ;
+        RECT 595.535 42.915 595.705 43.085 ;
+        RECT 595.995 42.915 596.165 43.085 ;
+        RECT 596.455 42.915 596.625 43.085 ;
+        RECT 596.915 42.915 597.085 43.085 ;
+        RECT 597.375 42.915 597.545 43.085 ;
+        RECT 597.835 42.915 598.005 43.085 ;
+        RECT 598.295 42.915 598.465 43.085 ;
+        RECT 598.755 42.915 598.925 43.085 ;
+        RECT 599.215 42.915 599.385 43.085 ;
+        RECT 599.675 42.915 599.845 43.085 ;
+        RECT 600.135 42.915 600.305 43.085 ;
+        RECT 600.595 42.915 600.765 43.085 ;
+        RECT 601.055 42.915 601.225 43.085 ;
+        RECT 601.515 42.915 601.685 43.085 ;
+        RECT 601.975 42.915 602.145 43.085 ;
+        RECT 602.435 42.915 602.605 43.085 ;
+        RECT 602.895 42.915 603.065 43.085 ;
+        RECT 603.355 42.915 603.525 43.085 ;
+        RECT 603.815 42.915 603.985 43.085 ;
+        RECT 604.275 42.915 604.445 43.085 ;
+        RECT 604.735 42.915 604.905 43.085 ;
+        RECT 605.195 42.915 605.365 43.085 ;
+        RECT 605.655 42.915 605.825 43.085 ;
+        RECT 606.115 42.915 606.285 43.085 ;
+        RECT 606.575 42.915 606.745 43.085 ;
+        RECT 607.035 42.915 607.205 43.085 ;
+        RECT 607.495 42.915 607.665 43.085 ;
+        RECT 607.955 42.915 608.125 43.085 ;
+        RECT 608.415 42.915 608.585 43.085 ;
+        RECT 608.875 42.915 609.045 43.085 ;
+        RECT 609.335 42.915 609.505 43.085 ;
+        RECT 609.795 42.915 609.965 43.085 ;
+        RECT 610.255 42.915 610.425 43.085 ;
+        RECT 610.715 42.915 610.885 43.085 ;
+        RECT 611.175 42.915 611.345 43.085 ;
+        RECT 611.635 42.915 611.805 43.085 ;
+        RECT 612.095 42.915 612.265 43.085 ;
+        RECT 612.555 42.915 612.725 43.085 ;
+        RECT 613.015 42.915 613.185 43.085 ;
+        RECT 613.475 42.915 613.645 43.085 ;
+        RECT 613.935 42.915 614.105 43.085 ;
+        RECT 614.395 42.915 614.565 43.085 ;
+        RECT 614.855 42.915 615.025 43.085 ;
+        RECT 615.315 42.915 615.485 43.085 ;
+        RECT 615.775 42.915 615.945 43.085 ;
+        RECT 616.235 42.915 616.405 43.085 ;
+        RECT 616.695 42.915 616.865 43.085 ;
+        RECT 617.155 42.915 617.325 43.085 ;
+        RECT 617.615 42.915 617.785 43.085 ;
+        RECT 618.075 42.915 618.245 43.085 ;
+        RECT 618.535 42.915 618.705 43.085 ;
+        RECT 618.995 42.915 619.165 43.085 ;
+        RECT 619.455 42.915 619.625 43.085 ;
+        RECT 619.915 42.915 620.085 43.085 ;
+        RECT 620.375 42.915 620.545 43.085 ;
+        RECT 620.835 42.915 621.005 43.085 ;
+        RECT 621.295 42.915 621.465 43.085 ;
+        RECT 621.755 42.915 621.925 43.085 ;
+        RECT 622.215 42.915 622.385 43.085 ;
+        RECT 622.675 42.915 622.845 43.085 ;
+        RECT 623.135 42.915 623.305 43.085 ;
+        RECT 623.595 42.915 623.765 43.085 ;
+        RECT 624.055 42.915 624.225 43.085 ;
+        RECT 624.515 42.915 624.685 43.085 ;
+        RECT 624.975 42.915 625.145 43.085 ;
+        RECT 625.435 42.915 625.605 43.085 ;
+        RECT 625.895 42.915 626.065 43.085 ;
+        RECT 626.355 42.915 626.525 43.085 ;
+        RECT 626.815 42.915 626.985 43.085 ;
+        RECT 627.275 42.915 627.445 43.085 ;
+        RECT 627.735 42.915 627.905 43.085 ;
+        RECT 628.195 42.915 628.365 43.085 ;
+        RECT 628.655 42.915 628.825 43.085 ;
+        RECT 629.115 42.915 629.285 43.085 ;
+        RECT 629.575 42.915 629.745 43.085 ;
+        RECT 630.035 42.915 630.205 43.085 ;
+        RECT 630.495 42.915 630.665 43.085 ;
+        RECT 630.955 42.915 631.125 43.085 ;
+        RECT 215.115 42.405 215.285 42.575 ;
+        RECT 155.775 42.065 155.945 42.235 ;
+        RECT 163.135 41.725 163.305 41.895 ;
+        RECT 175.095 41.725 175.265 41.895 ;
+        RECT 221.555 42.405 221.725 42.575 ;
+        RECT 224.775 42.065 224.945 42.235 ;
+        RECT 224.315 41.725 224.485 41.895 ;
+        RECT 258.355 40.705 258.525 40.875 ;
+        RECT 42.615 619.555 42.785 619.725 ;
+        RECT 43.075 619.555 43.245 619.725 ;
+        RECT 43.535 619.555 43.705 619.725 ;
+        RECT 43.995 619.555 44.165 619.725 ;
+        RECT 44.455 619.555 44.625 619.725 ;
+        RECT 44.915 619.555 45.085 619.725 ;
+        RECT 45.375 619.555 45.545 619.725 ;
+        RECT 45.835 619.555 46.005 619.725 ;
+        RECT 46.295 619.555 46.465 619.725 ;
+        RECT 46.755 619.555 46.925 619.725 ;
+        RECT 47.215 619.555 47.385 619.725 ;
+        RECT 47.675 619.555 47.845 619.725 ;
+        RECT 48.135 619.555 48.305 619.725 ;
+        RECT 48.595 619.555 48.765 619.725 ;
+        RECT 49.055 619.555 49.225 619.725 ;
+        RECT 49.515 619.555 49.685 619.725 ;
+        RECT 49.975 619.555 50.145 619.725 ;
+        RECT 50.435 619.555 50.605 619.725 ;
+        RECT 50.895 619.555 51.065 619.725 ;
+        RECT 51.355 619.555 51.525 619.725 ;
+        RECT 51.815 619.555 51.985 619.725 ;
+        RECT 52.275 619.555 52.445 619.725 ;
+        RECT 52.735 619.555 52.905 619.725 ;
+        RECT 53.195 619.555 53.365 619.725 ;
+        RECT 53.655 619.555 53.825 619.725 ;
+        RECT 42.615 616.835 42.785 617.005 ;
+        RECT 43.075 616.835 43.245 617.005 ;
+        RECT 43.535 616.835 43.705 617.005 ;
+        RECT 43.995 616.835 44.165 617.005 ;
+        RECT 44.455 616.835 44.625 617.005 ;
+        RECT 44.915 616.835 45.085 617.005 ;
+        RECT 45.375 616.835 45.545 617.005 ;
+        RECT 45.835 616.835 46.005 617.005 ;
+        RECT 46.295 616.835 46.465 617.005 ;
+        RECT 46.755 616.835 46.925 617.005 ;
+        RECT 47.215 616.835 47.385 617.005 ;
+        RECT 47.675 616.835 47.845 617.005 ;
+        RECT 48.135 616.835 48.305 617.005 ;
+        RECT 48.595 616.835 48.765 617.005 ;
+        RECT 49.055 616.835 49.225 617.005 ;
+        RECT 49.515 616.835 49.685 617.005 ;
+        RECT 49.975 616.835 50.145 617.005 ;
+        RECT 50.435 616.835 50.605 617.005 ;
+        RECT 50.895 616.835 51.065 617.005 ;
+        RECT 51.355 616.835 51.525 617.005 ;
+        RECT 51.815 616.835 51.985 617.005 ;
+        RECT 52.275 616.835 52.445 617.005 ;
+        RECT 52.735 616.835 52.905 617.005 ;
+        RECT 53.195 616.835 53.365 617.005 ;
+        RECT 53.655 616.835 53.825 617.005 ;
+        RECT 42.615 614.115 42.785 614.285 ;
+        RECT 43.075 614.115 43.245 614.285 ;
+        RECT 43.535 614.115 43.705 614.285 ;
+        RECT 43.995 614.115 44.165 614.285 ;
+        RECT 44.455 614.115 44.625 614.285 ;
+        RECT 44.915 614.115 45.085 614.285 ;
+        RECT 45.375 614.115 45.545 614.285 ;
+        RECT 45.835 614.115 46.005 614.285 ;
+        RECT 46.295 614.115 46.465 614.285 ;
+        RECT 46.755 614.115 46.925 614.285 ;
+        RECT 47.215 614.115 47.385 614.285 ;
+        RECT 47.675 614.115 47.845 614.285 ;
+        RECT 48.135 614.115 48.305 614.285 ;
+        RECT 48.595 614.115 48.765 614.285 ;
+        RECT 49.055 614.115 49.225 614.285 ;
+        RECT 49.515 614.115 49.685 614.285 ;
+        RECT 49.975 614.115 50.145 614.285 ;
+        RECT 50.435 614.115 50.605 614.285 ;
+        RECT 50.895 614.115 51.065 614.285 ;
+        RECT 51.355 614.115 51.525 614.285 ;
+        RECT 51.815 614.115 51.985 614.285 ;
+        RECT 52.275 614.115 52.445 614.285 ;
+        RECT 52.735 614.115 52.905 614.285 ;
+        RECT 53.195 614.115 53.365 614.285 ;
+        RECT 53.655 614.115 53.825 614.285 ;
+        RECT 42.615 611.395 42.785 611.565 ;
+        RECT 43.075 611.395 43.245 611.565 ;
+        RECT 43.535 611.395 43.705 611.565 ;
+        RECT 43.995 611.395 44.165 611.565 ;
+        RECT 44.455 611.395 44.625 611.565 ;
+        RECT 44.915 611.395 45.085 611.565 ;
+        RECT 45.375 611.395 45.545 611.565 ;
+        RECT 45.835 611.395 46.005 611.565 ;
+        RECT 46.295 611.395 46.465 611.565 ;
+        RECT 46.755 611.395 46.925 611.565 ;
+        RECT 47.215 611.395 47.385 611.565 ;
+        RECT 47.675 611.395 47.845 611.565 ;
+        RECT 48.135 611.395 48.305 611.565 ;
+        RECT 48.595 611.395 48.765 611.565 ;
+        RECT 49.055 611.395 49.225 611.565 ;
+        RECT 49.515 611.395 49.685 611.565 ;
+        RECT 49.975 611.395 50.145 611.565 ;
+        RECT 50.435 611.395 50.605 611.565 ;
+        RECT 50.895 611.395 51.065 611.565 ;
+        RECT 51.355 611.395 51.525 611.565 ;
+        RECT 51.815 611.395 51.985 611.565 ;
+        RECT 52.275 611.395 52.445 611.565 ;
+        RECT 52.735 611.395 52.905 611.565 ;
+        RECT 53.195 611.395 53.365 611.565 ;
+        RECT 53.655 611.395 53.825 611.565 ;
+        RECT 42.615 608.675 42.785 608.845 ;
+        RECT 43.075 608.675 43.245 608.845 ;
+        RECT 43.535 608.675 43.705 608.845 ;
+        RECT 43.995 608.675 44.165 608.845 ;
+        RECT 44.455 608.675 44.625 608.845 ;
+        RECT 44.915 608.675 45.085 608.845 ;
+        RECT 45.375 608.675 45.545 608.845 ;
+        RECT 45.835 608.675 46.005 608.845 ;
+        RECT 46.295 608.675 46.465 608.845 ;
+        RECT 46.755 608.675 46.925 608.845 ;
+        RECT 47.215 608.675 47.385 608.845 ;
+        RECT 47.675 608.675 47.845 608.845 ;
+        RECT 48.135 608.675 48.305 608.845 ;
+        RECT 48.595 608.675 48.765 608.845 ;
+        RECT 49.055 608.675 49.225 608.845 ;
+        RECT 49.515 608.675 49.685 608.845 ;
+        RECT 49.975 608.675 50.145 608.845 ;
+        RECT 50.435 608.675 50.605 608.845 ;
+        RECT 50.895 608.675 51.065 608.845 ;
+        RECT 51.355 608.675 51.525 608.845 ;
+        RECT 51.815 608.675 51.985 608.845 ;
+        RECT 52.275 608.675 52.445 608.845 ;
+        RECT 52.735 608.675 52.905 608.845 ;
+        RECT 53.195 608.675 53.365 608.845 ;
+        RECT 53.655 608.675 53.825 608.845 ;
+        RECT 42.615 605.955 42.785 606.125 ;
+        RECT 43.075 605.955 43.245 606.125 ;
+        RECT 43.535 605.955 43.705 606.125 ;
+        RECT 43.995 605.955 44.165 606.125 ;
+        RECT 44.455 605.955 44.625 606.125 ;
+        RECT 44.915 605.955 45.085 606.125 ;
+        RECT 45.375 605.955 45.545 606.125 ;
+        RECT 45.835 605.955 46.005 606.125 ;
+        RECT 46.295 605.955 46.465 606.125 ;
+        RECT 46.755 605.955 46.925 606.125 ;
+        RECT 47.215 605.955 47.385 606.125 ;
+        RECT 47.675 605.955 47.845 606.125 ;
+        RECT 48.135 605.955 48.305 606.125 ;
+        RECT 48.595 605.955 48.765 606.125 ;
+        RECT 49.055 605.955 49.225 606.125 ;
+        RECT 49.515 605.955 49.685 606.125 ;
+        RECT 49.975 605.955 50.145 606.125 ;
+        RECT 50.435 605.955 50.605 606.125 ;
+        RECT 50.895 605.955 51.065 606.125 ;
+        RECT 51.355 605.955 51.525 606.125 ;
+        RECT 51.815 605.955 51.985 606.125 ;
+        RECT 52.275 605.955 52.445 606.125 ;
+        RECT 52.735 605.955 52.905 606.125 ;
+        RECT 53.195 605.955 53.365 606.125 ;
+        RECT 53.655 605.955 53.825 606.125 ;
+        RECT 42.615 603.235 42.785 603.405 ;
+        RECT 43.075 603.235 43.245 603.405 ;
+        RECT 43.535 603.235 43.705 603.405 ;
+        RECT 43.995 603.235 44.165 603.405 ;
+        RECT 44.455 603.235 44.625 603.405 ;
+        RECT 44.915 603.235 45.085 603.405 ;
+        RECT 45.375 603.235 45.545 603.405 ;
+        RECT 45.835 603.235 46.005 603.405 ;
+        RECT 46.295 603.235 46.465 603.405 ;
+        RECT 46.755 603.235 46.925 603.405 ;
+        RECT 47.215 603.235 47.385 603.405 ;
+        RECT 47.675 603.235 47.845 603.405 ;
+        RECT 48.135 603.235 48.305 603.405 ;
+        RECT 48.595 603.235 48.765 603.405 ;
+        RECT 49.055 603.235 49.225 603.405 ;
+        RECT 49.515 603.235 49.685 603.405 ;
+        RECT 49.975 603.235 50.145 603.405 ;
+        RECT 50.435 603.235 50.605 603.405 ;
+        RECT 50.895 603.235 51.065 603.405 ;
+        RECT 51.355 603.235 51.525 603.405 ;
+        RECT 51.815 603.235 51.985 603.405 ;
+        RECT 52.275 603.235 52.445 603.405 ;
+        RECT 52.735 603.235 52.905 603.405 ;
+        RECT 53.195 603.235 53.365 603.405 ;
+        RECT 53.655 603.235 53.825 603.405 ;
+        RECT 42.615 600.515 42.785 600.685 ;
+        RECT 43.075 600.515 43.245 600.685 ;
+        RECT 43.535 600.515 43.705 600.685 ;
+        RECT 43.995 600.515 44.165 600.685 ;
+        RECT 44.455 600.515 44.625 600.685 ;
+        RECT 44.915 600.515 45.085 600.685 ;
+        RECT 45.375 600.515 45.545 600.685 ;
+        RECT 45.835 600.515 46.005 600.685 ;
+        RECT 46.295 600.515 46.465 600.685 ;
+        RECT 46.755 600.515 46.925 600.685 ;
+        RECT 47.215 600.515 47.385 600.685 ;
+        RECT 47.675 600.515 47.845 600.685 ;
+        RECT 48.135 600.515 48.305 600.685 ;
+        RECT 48.595 600.515 48.765 600.685 ;
+        RECT 49.055 600.515 49.225 600.685 ;
+        RECT 49.515 600.515 49.685 600.685 ;
+        RECT 49.975 600.515 50.145 600.685 ;
+        RECT 50.435 600.515 50.605 600.685 ;
+        RECT 50.895 600.515 51.065 600.685 ;
+        RECT 51.355 600.515 51.525 600.685 ;
+        RECT 51.815 600.515 51.985 600.685 ;
+        RECT 52.275 600.515 52.445 600.685 ;
+        RECT 52.735 600.515 52.905 600.685 ;
+        RECT 53.195 600.515 53.365 600.685 ;
+        RECT 53.655 600.515 53.825 600.685 ;
+        RECT 42.615 597.795 42.785 597.965 ;
+        RECT 43.075 597.795 43.245 597.965 ;
+        RECT 43.535 597.795 43.705 597.965 ;
+        RECT 43.995 597.795 44.165 597.965 ;
+        RECT 44.455 597.795 44.625 597.965 ;
+        RECT 44.915 597.795 45.085 597.965 ;
+        RECT 45.375 597.795 45.545 597.965 ;
+        RECT 45.835 597.795 46.005 597.965 ;
+        RECT 46.295 597.795 46.465 597.965 ;
+        RECT 46.755 597.795 46.925 597.965 ;
+        RECT 47.215 597.795 47.385 597.965 ;
+        RECT 47.675 597.795 47.845 597.965 ;
+        RECT 48.135 597.795 48.305 597.965 ;
+        RECT 48.595 597.795 48.765 597.965 ;
+        RECT 49.055 597.795 49.225 597.965 ;
+        RECT 49.515 597.795 49.685 597.965 ;
+        RECT 49.975 597.795 50.145 597.965 ;
+        RECT 50.435 597.795 50.605 597.965 ;
+        RECT 50.895 597.795 51.065 597.965 ;
+        RECT 51.355 597.795 51.525 597.965 ;
+        RECT 51.815 597.795 51.985 597.965 ;
+        RECT 52.275 597.795 52.445 597.965 ;
+        RECT 52.735 597.795 52.905 597.965 ;
+        RECT 53.195 597.795 53.365 597.965 ;
+        RECT 53.655 597.795 53.825 597.965 ;
+        RECT 42.615 595.075 42.785 595.245 ;
+        RECT 43.075 595.075 43.245 595.245 ;
+        RECT 43.535 595.075 43.705 595.245 ;
+        RECT 43.995 595.075 44.165 595.245 ;
+        RECT 44.455 595.075 44.625 595.245 ;
+        RECT 44.915 595.075 45.085 595.245 ;
+        RECT 45.375 595.075 45.545 595.245 ;
+        RECT 45.835 595.075 46.005 595.245 ;
+        RECT 46.295 595.075 46.465 595.245 ;
+        RECT 46.755 595.075 46.925 595.245 ;
+        RECT 47.215 595.075 47.385 595.245 ;
+        RECT 47.675 595.075 47.845 595.245 ;
+        RECT 48.135 595.075 48.305 595.245 ;
+        RECT 48.595 595.075 48.765 595.245 ;
+        RECT 49.055 595.075 49.225 595.245 ;
+        RECT 49.515 595.075 49.685 595.245 ;
+        RECT 49.975 595.075 50.145 595.245 ;
+        RECT 50.435 595.075 50.605 595.245 ;
+        RECT 50.895 595.075 51.065 595.245 ;
+        RECT 51.355 595.075 51.525 595.245 ;
+        RECT 51.815 595.075 51.985 595.245 ;
+        RECT 52.275 595.075 52.445 595.245 ;
+        RECT 52.735 595.075 52.905 595.245 ;
+        RECT 53.195 595.075 53.365 595.245 ;
+        RECT 53.655 595.075 53.825 595.245 ;
+        RECT 42.615 592.355 42.785 592.525 ;
+        RECT 43.075 592.355 43.245 592.525 ;
+        RECT 43.535 592.355 43.705 592.525 ;
+        RECT 43.995 592.355 44.165 592.525 ;
+        RECT 44.455 592.355 44.625 592.525 ;
+        RECT 44.915 592.355 45.085 592.525 ;
+        RECT 45.375 592.355 45.545 592.525 ;
+        RECT 45.835 592.355 46.005 592.525 ;
+        RECT 46.295 592.355 46.465 592.525 ;
+        RECT 46.755 592.355 46.925 592.525 ;
+        RECT 47.215 592.355 47.385 592.525 ;
+        RECT 47.675 592.355 47.845 592.525 ;
+        RECT 48.135 592.355 48.305 592.525 ;
+        RECT 48.595 592.355 48.765 592.525 ;
+        RECT 49.055 592.355 49.225 592.525 ;
+        RECT 49.515 592.355 49.685 592.525 ;
+        RECT 49.975 592.355 50.145 592.525 ;
+        RECT 50.435 592.355 50.605 592.525 ;
+        RECT 50.895 592.355 51.065 592.525 ;
+        RECT 51.355 592.355 51.525 592.525 ;
+        RECT 51.815 592.355 51.985 592.525 ;
+        RECT 52.275 592.355 52.445 592.525 ;
+        RECT 52.735 592.355 52.905 592.525 ;
+        RECT 53.195 592.355 53.365 592.525 ;
+        RECT 53.655 592.355 53.825 592.525 ;
+        RECT 42.615 589.635 42.785 589.805 ;
+        RECT 43.075 589.635 43.245 589.805 ;
+        RECT 43.535 589.635 43.705 589.805 ;
+        RECT 43.995 589.635 44.165 589.805 ;
+        RECT 44.455 589.635 44.625 589.805 ;
+        RECT 44.915 589.635 45.085 589.805 ;
+        RECT 45.375 589.635 45.545 589.805 ;
+        RECT 45.835 589.635 46.005 589.805 ;
+        RECT 46.295 589.635 46.465 589.805 ;
+        RECT 46.755 589.635 46.925 589.805 ;
+        RECT 47.215 589.635 47.385 589.805 ;
+        RECT 47.675 589.635 47.845 589.805 ;
+        RECT 48.135 589.635 48.305 589.805 ;
+        RECT 48.595 589.635 48.765 589.805 ;
+        RECT 49.055 589.635 49.225 589.805 ;
+        RECT 49.515 589.635 49.685 589.805 ;
+        RECT 49.975 589.635 50.145 589.805 ;
+        RECT 50.435 589.635 50.605 589.805 ;
+        RECT 50.895 589.635 51.065 589.805 ;
+        RECT 51.355 589.635 51.525 589.805 ;
+        RECT 51.815 589.635 51.985 589.805 ;
+        RECT 52.275 589.635 52.445 589.805 ;
+        RECT 52.735 589.635 52.905 589.805 ;
+        RECT 53.195 589.635 53.365 589.805 ;
+        RECT 53.655 589.635 53.825 589.805 ;
+        RECT 42.615 586.915 42.785 587.085 ;
+        RECT 43.075 586.915 43.245 587.085 ;
+        RECT 43.535 586.915 43.705 587.085 ;
+        RECT 43.995 586.915 44.165 587.085 ;
+        RECT 44.455 586.915 44.625 587.085 ;
+        RECT 44.915 586.915 45.085 587.085 ;
+        RECT 45.375 586.915 45.545 587.085 ;
+        RECT 45.835 586.915 46.005 587.085 ;
+        RECT 46.295 586.915 46.465 587.085 ;
+        RECT 46.755 586.915 46.925 587.085 ;
+        RECT 47.215 586.915 47.385 587.085 ;
+        RECT 47.675 586.915 47.845 587.085 ;
+        RECT 48.135 586.915 48.305 587.085 ;
+        RECT 48.595 586.915 48.765 587.085 ;
+        RECT 49.055 586.915 49.225 587.085 ;
+        RECT 49.515 586.915 49.685 587.085 ;
+        RECT 49.975 586.915 50.145 587.085 ;
+        RECT 50.435 586.915 50.605 587.085 ;
+        RECT 50.895 586.915 51.065 587.085 ;
+        RECT 51.355 586.915 51.525 587.085 ;
+        RECT 51.815 586.915 51.985 587.085 ;
+        RECT 52.275 586.915 52.445 587.085 ;
+        RECT 52.735 586.915 52.905 587.085 ;
+        RECT 53.195 586.915 53.365 587.085 ;
+        RECT 53.655 586.915 53.825 587.085 ;
+        RECT 42.615 584.195 42.785 584.365 ;
+        RECT 43.075 584.195 43.245 584.365 ;
+        RECT 43.535 584.195 43.705 584.365 ;
+        RECT 43.995 584.195 44.165 584.365 ;
+        RECT 44.455 584.195 44.625 584.365 ;
+        RECT 44.915 584.195 45.085 584.365 ;
+        RECT 45.375 584.195 45.545 584.365 ;
+        RECT 45.835 584.195 46.005 584.365 ;
+        RECT 46.295 584.195 46.465 584.365 ;
+        RECT 46.755 584.195 46.925 584.365 ;
+        RECT 47.215 584.195 47.385 584.365 ;
+        RECT 47.675 584.195 47.845 584.365 ;
+        RECT 48.135 584.195 48.305 584.365 ;
+        RECT 48.595 584.195 48.765 584.365 ;
+        RECT 49.055 584.195 49.225 584.365 ;
+        RECT 49.515 584.195 49.685 584.365 ;
+        RECT 49.975 584.195 50.145 584.365 ;
+        RECT 50.435 584.195 50.605 584.365 ;
+        RECT 50.895 584.195 51.065 584.365 ;
+        RECT 51.355 584.195 51.525 584.365 ;
+        RECT 51.815 584.195 51.985 584.365 ;
+        RECT 52.275 584.195 52.445 584.365 ;
+        RECT 52.735 584.195 52.905 584.365 ;
+        RECT 53.195 584.195 53.365 584.365 ;
+        RECT 53.655 584.195 53.825 584.365 ;
+        RECT 42.615 581.475 42.785 581.645 ;
+        RECT 43.075 581.475 43.245 581.645 ;
+        RECT 43.535 581.475 43.705 581.645 ;
+        RECT 43.995 581.475 44.165 581.645 ;
+        RECT 44.455 581.475 44.625 581.645 ;
+        RECT 44.915 581.475 45.085 581.645 ;
+        RECT 45.375 581.475 45.545 581.645 ;
+        RECT 45.835 581.475 46.005 581.645 ;
+        RECT 46.295 581.475 46.465 581.645 ;
+        RECT 46.755 581.475 46.925 581.645 ;
+        RECT 47.215 581.475 47.385 581.645 ;
+        RECT 47.675 581.475 47.845 581.645 ;
+        RECT 48.135 581.475 48.305 581.645 ;
+        RECT 48.595 581.475 48.765 581.645 ;
+        RECT 49.055 581.475 49.225 581.645 ;
+        RECT 49.515 581.475 49.685 581.645 ;
+        RECT 49.975 581.475 50.145 581.645 ;
+        RECT 50.435 581.475 50.605 581.645 ;
+        RECT 50.895 581.475 51.065 581.645 ;
+        RECT 51.355 581.475 51.525 581.645 ;
+        RECT 51.815 581.475 51.985 581.645 ;
+        RECT 52.275 581.475 52.445 581.645 ;
+        RECT 52.735 581.475 52.905 581.645 ;
+        RECT 53.195 581.475 53.365 581.645 ;
+        RECT 53.655 581.475 53.825 581.645 ;
+        RECT 42.615 578.755 42.785 578.925 ;
+        RECT 43.075 578.755 43.245 578.925 ;
+        RECT 43.535 578.755 43.705 578.925 ;
+        RECT 43.995 578.755 44.165 578.925 ;
+        RECT 44.455 578.755 44.625 578.925 ;
+        RECT 44.915 578.755 45.085 578.925 ;
+        RECT 45.375 578.755 45.545 578.925 ;
+        RECT 45.835 578.755 46.005 578.925 ;
+        RECT 46.295 578.755 46.465 578.925 ;
+        RECT 46.755 578.755 46.925 578.925 ;
+        RECT 47.215 578.755 47.385 578.925 ;
+        RECT 47.675 578.755 47.845 578.925 ;
+        RECT 48.135 578.755 48.305 578.925 ;
+        RECT 48.595 578.755 48.765 578.925 ;
+        RECT 49.055 578.755 49.225 578.925 ;
+        RECT 49.515 578.755 49.685 578.925 ;
+        RECT 49.975 578.755 50.145 578.925 ;
+        RECT 50.435 578.755 50.605 578.925 ;
+        RECT 50.895 578.755 51.065 578.925 ;
+        RECT 51.355 578.755 51.525 578.925 ;
+        RECT 51.815 578.755 51.985 578.925 ;
+        RECT 52.275 578.755 52.445 578.925 ;
+        RECT 52.735 578.755 52.905 578.925 ;
+        RECT 53.195 578.755 53.365 578.925 ;
+        RECT 53.655 578.755 53.825 578.925 ;
+        RECT 42.615 576.035 42.785 576.205 ;
+        RECT 43.075 576.035 43.245 576.205 ;
+        RECT 43.535 576.035 43.705 576.205 ;
+        RECT 43.995 576.035 44.165 576.205 ;
+        RECT 44.455 576.035 44.625 576.205 ;
+        RECT 44.915 576.035 45.085 576.205 ;
+        RECT 45.375 576.035 45.545 576.205 ;
+        RECT 45.835 576.035 46.005 576.205 ;
+        RECT 46.295 576.035 46.465 576.205 ;
+        RECT 46.755 576.035 46.925 576.205 ;
+        RECT 47.215 576.035 47.385 576.205 ;
+        RECT 47.675 576.035 47.845 576.205 ;
+        RECT 48.135 576.035 48.305 576.205 ;
+        RECT 48.595 576.035 48.765 576.205 ;
+        RECT 49.055 576.035 49.225 576.205 ;
+        RECT 49.515 576.035 49.685 576.205 ;
+        RECT 49.975 576.035 50.145 576.205 ;
+        RECT 50.435 576.035 50.605 576.205 ;
+        RECT 50.895 576.035 51.065 576.205 ;
+        RECT 51.355 576.035 51.525 576.205 ;
+        RECT 51.815 576.035 51.985 576.205 ;
+        RECT 52.275 576.035 52.445 576.205 ;
+        RECT 52.735 576.035 52.905 576.205 ;
+        RECT 53.195 576.035 53.365 576.205 ;
+        RECT 53.655 576.035 53.825 576.205 ;
+        RECT 42.615 573.315 42.785 573.485 ;
+        RECT 43.075 573.315 43.245 573.485 ;
+        RECT 43.535 573.315 43.705 573.485 ;
+        RECT 43.995 573.315 44.165 573.485 ;
+        RECT 44.455 573.315 44.625 573.485 ;
+        RECT 44.915 573.315 45.085 573.485 ;
+        RECT 45.375 573.315 45.545 573.485 ;
+        RECT 45.835 573.315 46.005 573.485 ;
+        RECT 46.295 573.315 46.465 573.485 ;
+        RECT 46.755 573.315 46.925 573.485 ;
+        RECT 47.215 573.315 47.385 573.485 ;
+        RECT 47.675 573.315 47.845 573.485 ;
+        RECT 48.135 573.315 48.305 573.485 ;
+        RECT 48.595 573.315 48.765 573.485 ;
+        RECT 49.055 573.315 49.225 573.485 ;
+        RECT 49.515 573.315 49.685 573.485 ;
+        RECT 49.975 573.315 50.145 573.485 ;
+        RECT 50.435 573.315 50.605 573.485 ;
+        RECT 50.895 573.315 51.065 573.485 ;
+        RECT 51.355 573.315 51.525 573.485 ;
+        RECT 51.815 573.315 51.985 573.485 ;
+        RECT 52.275 573.315 52.445 573.485 ;
+        RECT 52.735 573.315 52.905 573.485 ;
+        RECT 53.195 573.315 53.365 573.485 ;
+        RECT 53.655 573.315 53.825 573.485 ;
+        RECT 42.615 570.595 42.785 570.765 ;
+        RECT 43.075 570.595 43.245 570.765 ;
+        RECT 43.535 570.595 43.705 570.765 ;
+        RECT 43.995 570.595 44.165 570.765 ;
+        RECT 44.455 570.595 44.625 570.765 ;
+        RECT 44.915 570.595 45.085 570.765 ;
+        RECT 45.375 570.595 45.545 570.765 ;
+        RECT 45.835 570.595 46.005 570.765 ;
+        RECT 46.295 570.595 46.465 570.765 ;
+        RECT 46.755 570.595 46.925 570.765 ;
+        RECT 47.215 570.595 47.385 570.765 ;
+        RECT 47.675 570.595 47.845 570.765 ;
+        RECT 48.135 570.595 48.305 570.765 ;
+        RECT 48.595 570.595 48.765 570.765 ;
+        RECT 49.055 570.595 49.225 570.765 ;
+        RECT 49.515 570.595 49.685 570.765 ;
+        RECT 49.975 570.595 50.145 570.765 ;
+        RECT 50.435 570.595 50.605 570.765 ;
+        RECT 50.895 570.595 51.065 570.765 ;
+        RECT 51.355 570.595 51.525 570.765 ;
+        RECT 51.815 570.595 51.985 570.765 ;
+        RECT 52.275 570.595 52.445 570.765 ;
+        RECT 52.735 570.595 52.905 570.765 ;
+        RECT 53.195 570.595 53.365 570.765 ;
+        RECT 53.655 570.595 53.825 570.765 ;
+        RECT 42.615 567.875 42.785 568.045 ;
+        RECT 43.075 567.875 43.245 568.045 ;
+        RECT 43.535 567.875 43.705 568.045 ;
+        RECT 43.995 567.875 44.165 568.045 ;
+        RECT 44.455 567.875 44.625 568.045 ;
+        RECT 44.915 567.875 45.085 568.045 ;
+        RECT 45.375 567.875 45.545 568.045 ;
+        RECT 45.835 567.875 46.005 568.045 ;
+        RECT 46.295 567.875 46.465 568.045 ;
+        RECT 46.755 567.875 46.925 568.045 ;
+        RECT 47.215 567.875 47.385 568.045 ;
+        RECT 47.675 567.875 47.845 568.045 ;
+        RECT 48.135 567.875 48.305 568.045 ;
+        RECT 48.595 567.875 48.765 568.045 ;
+        RECT 49.055 567.875 49.225 568.045 ;
+        RECT 49.515 567.875 49.685 568.045 ;
+        RECT 49.975 567.875 50.145 568.045 ;
+        RECT 50.435 567.875 50.605 568.045 ;
+        RECT 50.895 567.875 51.065 568.045 ;
+        RECT 51.355 567.875 51.525 568.045 ;
+        RECT 51.815 567.875 51.985 568.045 ;
+        RECT 52.275 567.875 52.445 568.045 ;
+        RECT 52.735 567.875 52.905 568.045 ;
+        RECT 53.195 567.875 53.365 568.045 ;
+        RECT 53.655 567.875 53.825 568.045 ;
+        RECT 42.615 565.155 42.785 565.325 ;
+        RECT 43.075 565.155 43.245 565.325 ;
+        RECT 43.535 565.155 43.705 565.325 ;
+        RECT 43.995 565.155 44.165 565.325 ;
+        RECT 44.455 565.155 44.625 565.325 ;
+        RECT 44.915 565.155 45.085 565.325 ;
+        RECT 45.375 565.155 45.545 565.325 ;
+        RECT 45.835 565.155 46.005 565.325 ;
+        RECT 46.295 565.155 46.465 565.325 ;
+        RECT 46.755 565.155 46.925 565.325 ;
+        RECT 47.215 565.155 47.385 565.325 ;
+        RECT 47.675 565.155 47.845 565.325 ;
+        RECT 48.135 565.155 48.305 565.325 ;
+        RECT 48.595 565.155 48.765 565.325 ;
+        RECT 49.055 565.155 49.225 565.325 ;
+        RECT 49.515 565.155 49.685 565.325 ;
+        RECT 49.975 565.155 50.145 565.325 ;
+        RECT 50.435 565.155 50.605 565.325 ;
+        RECT 50.895 565.155 51.065 565.325 ;
+        RECT 51.355 565.155 51.525 565.325 ;
+        RECT 51.815 565.155 51.985 565.325 ;
+        RECT 52.275 565.155 52.445 565.325 ;
+        RECT 52.735 565.155 52.905 565.325 ;
+        RECT 53.195 565.155 53.365 565.325 ;
+        RECT 53.655 565.155 53.825 565.325 ;
+        RECT 42.615 562.435 42.785 562.605 ;
+        RECT 43.075 562.435 43.245 562.605 ;
+        RECT 43.535 562.435 43.705 562.605 ;
+        RECT 43.995 562.435 44.165 562.605 ;
+        RECT 44.455 562.435 44.625 562.605 ;
+        RECT 44.915 562.435 45.085 562.605 ;
+        RECT 45.375 562.435 45.545 562.605 ;
+        RECT 45.835 562.435 46.005 562.605 ;
+        RECT 46.295 562.435 46.465 562.605 ;
+        RECT 46.755 562.435 46.925 562.605 ;
+        RECT 47.215 562.435 47.385 562.605 ;
+        RECT 47.675 562.435 47.845 562.605 ;
+        RECT 48.135 562.435 48.305 562.605 ;
+        RECT 48.595 562.435 48.765 562.605 ;
+        RECT 49.055 562.435 49.225 562.605 ;
+        RECT 49.515 562.435 49.685 562.605 ;
+        RECT 49.975 562.435 50.145 562.605 ;
+        RECT 50.435 562.435 50.605 562.605 ;
+        RECT 50.895 562.435 51.065 562.605 ;
+        RECT 51.355 562.435 51.525 562.605 ;
+        RECT 51.815 562.435 51.985 562.605 ;
+        RECT 52.275 562.435 52.445 562.605 ;
+        RECT 52.735 562.435 52.905 562.605 ;
+        RECT 53.195 562.435 53.365 562.605 ;
+        RECT 53.655 562.435 53.825 562.605 ;
+        RECT 42.615 559.715 42.785 559.885 ;
+        RECT 43.075 559.715 43.245 559.885 ;
+        RECT 43.535 559.715 43.705 559.885 ;
+        RECT 43.995 559.715 44.165 559.885 ;
+        RECT 44.455 559.715 44.625 559.885 ;
+        RECT 44.915 559.715 45.085 559.885 ;
+        RECT 45.375 559.715 45.545 559.885 ;
+        RECT 45.835 559.715 46.005 559.885 ;
+        RECT 46.295 559.715 46.465 559.885 ;
+        RECT 46.755 559.715 46.925 559.885 ;
+        RECT 47.215 559.715 47.385 559.885 ;
+        RECT 47.675 559.715 47.845 559.885 ;
+        RECT 48.135 559.715 48.305 559.885 ;
+        RECT 48.595 559.715 48.765 559.885 ;
+        RECT 49.055 559.715 49.225 559.885 ;
+        RECT 49.515 559.715 49.685 559.885 ;
+        RECT 49.975 559.715 50.145 559.885 ;
+        RECT 50.435 559.715 50.605 559.885 ;
+        RECT 50.895 559.715 51.065 559.885 ;
+        RECT 51.355 559.715 51.525 559.885 ;
+        RECT 51.815 559.715 51.985 559.885 ;
+        RECT 52.275 559.715 52.445 559.885 ;
+        RECT 52.735 559.715 52.905 559.885 ;
+        RECT 53.195 559.715 53.365 559.885 ;
+        RECT 53.655 559.715 53.825 559.885 ;
+        RECT 42.615 556.995 42.785 557.165 ;
+        RECT 43.075 556.995 43.245 557.165 ;
+        RECT 43.535 556.995 43.705 557.165 ;
+        RECT 43.995 556.995 44.165 557.165 ;
+        RECT 44.455 556.995 44.625 557.165 ;
+        RECT 44.915 556.995 45.085 557.165 ;
+        RECT 45.375 556.995 45.545 557.165 ;
+        RECT 45.835 556.995 46.005 557.165 ;
+        RECT 46.295 556.995 46.465 557.165 ;
+        RECT 46.755 556.995 46.925 557.165 ;
+        RECT 47.215 556.995 47.385 557.165 ;
+        RECT 47.675 556.995 47.845 557.165 ;
+        RECT 48.135 556.995 48.305 557.165 ;
+        RECT 48.595 556.995 48.765 557.165 ;
+        RECT 49.055 556.995 49.225 557.165 ;
+        RECT 49.515 556.995 49.685 557.165 ;
+        RECT 49.975 556.995 50.145 557.165 ;
+        RECT 50.435 556.995 50.605 557.165 ;
+        RECT 50.895 556.995 51.065 557.165 ;
+        RECT 51.355 556.995 51.525 557.165 ;
+        RECT 51.815 556.995 51.985 557.165 ;
+        RECT 52.275 556.995 52.445 557.165 ;
+        RECT 52.735 556.995 52.905 557.165 ;
+        RECT 53.195 556.995 53.365 557.165 ;
+        RECT 53.655 556.995 53.825 557.165 ;
+        RECT 42.615 554.275 42.785 554.445 ;
+        RECT 43.075 554.275 43.245 554.445 ;
+        RECT 43.535 554.275 43.705 554.445 ;
+        RECT 43.995 554.275 44.165 554.445 ;
+        RECT 44.455 554.275 44.625 554.445 ;
+        RECT 44.915 554.275 45.085 554.445 ;
+        RECT 45.375 554.275 45.545 554.445 ;
+        RECT 45.835 554.275 46.005 554.445 ;
+        RECT 46.295 554.275 46.465 554.445 ;
+        RECT 46.755 554.275 46.925 554.445 ;
+        RECT 47.215 554.275 47.385 554.445 ;
+        RECT 47.675 554.275 47.845 554.445 ;
+        RECT 48.135 554.275 48.305 554.445 ;
+        RECT 48.595 554.275 48.765 554.445 ;
+        RECT 49.055 554.275 49.225 554.445 ;
+        RECT 49.515 554.275 49.685 554.445 ;
+        RECT 49.975 554.275 50.145 554.445 ;
+        RECT 50.435 554.275 50.605 554.445 ;
+        RECT 50.895 554.275 51.065 554.445 ;
+        RECT 51.355 554.275 51.525 554.445 ;
+        RECT 51.815 554.275 51.985 554.445 ;
+        RECT 52.275 554.275 52.445 554.445 ;
+        RECT 52.735 554.275 52.905 554.445 ;
+        RECT 53.195 554.275 53.365 554.445 ;
+        RECT 53.655 554.275 53.825 554.445 ;
+        RECT 42.615 551.555 42.785 551.725 ;
+        RECT 43.075 551.555 43.245 551.725 ;
+        RECT 43.535 551.555 43.705 551.725 ;
+        RECT 43.995 551.555 44.165 551.725 ;
+        RECT 44.455 551.555 44.625 551.725 ;
+        RECT 44.915 551.555 45.085 551.725 ;
+        RECT 45.375 551.555 45.545 551.725 ;
+        RECT 45.835 551.555 46.005 551.725 ;
+        RECT 46.295 551.555 46.465 551.725 ;
+        RECT 46.755 551.555 46.925 551.725 ;
+        RECT 47.215 551.555 47.385 551.725 ;
+        RECT 47.675 551.555 47.845 551.725 ;
+        RECT 48.135 551.555 48.305 551.725 ;
+        RECT 48.595 551.555 48.765 551.725 ;
+        RECT 49.055 551.555 49.225 551.725 ;
+        RECT 49.515 551.555 49.685 551.725 ;
+        RECT 49.975 551.555 50.145 551.725 ;
+        RECT 50.435 551.555 50.605 551.725 ;
+        RECT 50.895 551.555 51.065 551.725 ;
+        RECT 51.355 551.555 51.525 551.725 ;
+        RECT 51.815 551.555 51.985 551.725 ;
+        RECT 52.275 551.555 52.445 551.725 ;
+        RECT 52.735 551.555 52.905 551.725 ;
+        RECT 53.195 551.555 53.365 551.725 ;
+        RECT 53.655 551.555 53.825 551.725 ;
+        RECT 42.615 548.835 42.785 549.005 ;
+        RECT 43.075 548.835 43.245 549.005 ;
+        RECT 43.535 548.835 43.705 549.005 ;
+        RECT 43.995 548.835 44.165 549.005 ;
+        RECT 44.455 548.835 44.625 549.005 ;
+        RECT 44.915 548.835 45.085 549.005 ;
+        RECT 45.375 548.835 45.545 549.005 ;
+        RECT 45.835 548.835 46.005 549.005 ;
+        RECT 46.295 548.835 46.465 549.005 ;
+        RECT 46.755 548.835 46.925 549.005 ;
+        RECT 47.215 548.835 47.385 549.005 ;
+        RECT 47.675 548.835 47.845 549.005 ;
+        RECT 48.135 548.835 48.305 549.005 ;
+        RECT 48.595 548.835 48.765 549.005 ;
+        RECT 49.055 548.835 49.225 549.005 ;
+        RECT 49.515 548.835 49.685 549.005 ;
+        RECT 49.975 548.835 50.145 549.005 ;
+        RECT 50.435 548.835 50.605 549.005 ;
+        RECT 50.895 548.835 51.065 549.005 ;
+        RECT 51.355 548.835 51.525 549.005 ;
+        RECT 51.815 548.835 51.985 549.005 ;
+        RECT 52.275 548.835 52.445 549.005 ;
+        RECT 52.735 548.835 52.905 549.005 ;
+        RECT 53.195 548.835 53.365 549.005 ;
+        RECT 53.655 548.835 53.825 549.005 ;
+        RECT 42.615 546.115 42.785 546.285 ;
+        RECT 43.075 546.115 43.245 546.285 ;
+        RECT 43.535 546.115 43.705 546.285 ;
+        RECT 43.995 546.115 44.165 546.285 ;
+        RECT 44.455 546.115 44.625 546.285 ;
+        RECT 44.915 546.115 45.085 546.285 ;
+        RECT 45.375 546.115 45.545 546.285 ;
+        RECT 45.835 546.115 46.005 546.285 ;
+        RECT 46.295 546.115 46.465 546.285 ;
+        RECT 46.755 546.115 46.925 546.285 ;
+        RECT 47.215 546.115 47.385 546.285 ;
+        RECT 47.675 546.115 47.845 546.285 ;
+        RECT 48.135 546.115 48.305 546.285 ;
+        RECT 48.595 546.115 48.765 546.285 ;
+        RECT 49.055 546.115 49.225 546.285 ;
+        RECT 49.515 546.115 49.685 546.285 ;
+        RECT 49.975 546.115 50.145 546.285 ;
+        RECT 50.435 546.115 50.605 546.285 ;
+        RECT 50.895 546.115 51.065 546.285 ;
+        RECT 51.355 546.115 51.525 546.285 ;
+        RECT 51.815 546.115 51.985 546.285 ;
+        RECT 52.275 546.115 52.445 546.285 ;
+        RECT 52.735 546.115 52.905 546.285 ;
+        RECT 53.195 546.115 53.365 546.285 ;
+        RECT 53.655 546.115 53.825 546.285 ;
+        RECT 42.615 543.395 42.785 543.565 ;
+        RECT 43.075 543.395 43.245 543.565 ;
+        RECT 43.535 543.395 43.705 543.565 ;
+        RECT 43.995 543.395 44.165 543.565 ;
+        RECT 44.455 543.395 44.625 543.565 ;
+        RECT 44.915 543.395 45.085 543.565 ;
+        RECT 45.375 543.395 45.545 543.565 ;
+        RECT 45.835 543.395 46.005 543.565 ;
+        RECT 46.295 543.395 46.465 543.565 ;
+        RECT 46.755 543.395 46.925 543.565 ;
+        RECT 47.215 543.395 47.385 543.565 ;
+        RECT 47.675 543.395 47.845 543.565 ;
+        RECT 48.135 543.395 48.305 543.565 ;
+        RECT 48.595 543.395 48.765 543.565 ;
+        RECT 49.055 543.395 49.225 543.565 ;
+        RECT 49.515 543.395 49.685 543.565 ;
+        RECT 49.975 543.395 50.145 543.565 ;
+        RECT 50.435 543.395 50.605 543.565 ;
+        RECT 50.895 543.395 51.065 543.565 ;
+        RECT 51.355 543.395 51.525 543.565 ;
+        RECT 51.815 543.395 51.985 543.565 ;
+        RECT 52.275 543.395 52.445 543.565 ;
+        RECT 52.735 543.395 52.905 543.565 ;
+        RECT 53.195 543.395 53.365 543.565 ;
+        RECT 53.655 543.395 53.825 543.565 ;
+        RECT 42.615 540.675 42.785 540.845 ;
+        RECT 43.075 540.675 43.245 540.845 ;
+        RECT 43.535 540.675 43.705 540.845 ;
+        RECT 43.995 540.675 44.165 540.845 ;
+        RECT 44.455 540.675 44.625 540.845 ;
+        RECT 44.915 540.675 45.085 540.845 ;
+        RECT 45.375 540.675 45.545 540.845 ;
+        RECT 45.835 540.675 46.005 540.845 ;
+        RECT 46.295 540.675 46.465 540.845 ;
+        RECT 46.755 540.675 46.925 540.845 ;
+        RECT 47.215 540.675 47.385 540.845 ;
+        RECT 47.675 540.675 47.845 540.845 ;
+        RECT 48.135 540.675 48.305 540.845 ;
+        RECT 48.595 540.675 48.765 540.845 ;
+        RECT 49.055 540.675 49.225 540.845 ;
+        RECT 49.515 540.675 49.685 540.845 ;
+        RECT 49.975 540.675 50.145 540.845 ;
+        RECT 50.435 540.675 50.605 540.845 ;
+        RECT 50.895 540.675 51.065 540.845 ;
+        RECT 51.355 540.675 51.525 540.845 ;
+        RECT 51.815 540.675 51.985 540.845 ;
+        RECT 52.275 540.675 52.445 540.845 ;
+        RECT 52.735 540.675 52.905 540.845 ;
+        RECT 53.195 540.675 53.365 540.845 ;
+        RECT 53.655 540.675 53.825 540.845 ;
+        RECT 42.615 537.955 42.785 538.125 ;
+        RECT 43.075 537.955 43.245 538.125 ;
+        RECT 43.535 537.955 43.705 538.125 ;
+        RECT 43.995 537.955 44.165 538.125 ;
+        RECT 44.455 537.955 44.625 538.125 ;
+        RECT 44.915 537.955 45.085 538.125 ;
+        RECT 45.375 537.955 45.545 538.125 ;
+        RECT 45.835 537.955 46.005 538.125 ;
+        RECT 46.295 537.955 46.465 538.125 ;
+        RECT 46.755 537.955 46.925 538.125 ;
+        RECT 47.215 537.955 47.385 538.125 ;
+        RECT 47.675 537.955 47.845 538.125 ;
+        RECT 48.135 537.955 48.305 538.125 ;
+        RECT 48.595 537.955 48.765 538.125 ;
+        RECT 49.055 537.955 49.225 538.125 ;
+        RECT 49.515 537.955 49.685 538.125 ;
+        RECT 49.975 537.955 50.145 538.125 ;
+        RECT 50.435 537.955 50.605 538.125 ;
+        RECT 50.895 537.955 51.065 538.125 ;
+        RECT 51.355 537.955 51.525 538.125 ;
+        RECT 51.815 537.955 51.985 538.125 ;
+        RECT 52.275 537.955 52.445 538.125 ;
+        RECT 52.735 537.955 52.905 538.125 ;
+        RECT 53.195 537.955 53.365 538.125 ;
+        RECT 53.655 537.955 53.825 538.125 ;
+        RECT 42.615 535.235 42.785 535.405 ;
+        RECT 43.075 535.235 43.245 535.405 ;
+        RECT 43.535 535.235 43.705 535.405 ;
+        RECT 43.995 535.235 44.165 535.405 ;
+        RECT 44.455 535.235 44.625 535.405 ;
+        RECT 44.915 535.235 45.085 535.405 ;
+        RECT 45.375 535.235 45.545 535.405 ;
+        RECT 45.835 535.235 46.005 535.405 ;
+        RECT 46.295 535.235 46.465 535.405 ;
+        RECT 46.755 535.235 46.925 535.405 ;
+        RECT 47.215 535.235 47.385 535.405 ;
+        RECT 47.675 535.235 47.845 535.405 ;
+        RECT 48.135 535.235 48.305 535.405 ;
+        RECT 48.595 535.235 48.765 535.405 ;
+        RECT 49.055 535.235 49.225 535.405 ;
+        RECT 49.515 535.235 49.685 535.405 ;
+        RECT 49.975 535.235 50.145 535.405 ;
+        RECT 50.435 535.235 50.605 535.405 ;
+        RECT 50.895 535.235 51.065 535.405 ;
+        RECT 51.355 535.235 51.525 535.405 ;
+        RECT 51.815 535.235 51.985 535.405 ;
+        RECT 52.275 535.235 52.445 535.405 ;
+        RECT 52.735 535.235 52.905 535.405 ;
+        RECT 53.195 535.235 53.365 535.405 ;
+        RECT 53.655 535.235 53.825 535.405 ;
+        RECT 42.615 532.515 42.785 532.685 ;
+        RECT 43.075 532.515 43.245 532.685 ;
+        RECT 43.535 532.515 43.705 532.685 ;
+        RECT 43.995 532.515 44.165 532.685 ;
+        RECT 44.455 532.515 44.625 532.685 ;
+        RECT 44.915 532.515 45.085 532.685 ;
+        RECT 45.375 532.515 45.545 532.685 ;
+        RECT 45.835 532.515 46.005 532.685 ;
+        RECT 46.295 532.515 46.465 532.685 ;
+        RECT 46.755 532.515 46.925 532.685 ;
+        RECT 47.215 532.515 47.385 532.685 ;
+        RECT 47.675 532.515 47.845 532.685 ;
+        RECT 48.135 532.515 48.305 532.685 ;
+        RECT 48.595 532.515 48.765 532.685 ;
+        RECT 49.055 532.515 49.225 532.685 ;
+        RECT 49.515 532.515 49.685 532.685 ;
+        RECT 49.975 532.515 50.145 532.685 ;
+        RECT 50.435 532.515 50.605 532.685 ;
+        RECT 50.895 532.515 51.065 532.685 ;
+        RECT 51.355 532.515 51.525 532.685 ;
+        RECT 51.815 532.515 51.985 532.685 ;
+        RECT 52.275 532.515 52.445 532.685 ;
+        RECT 52.735 532.515 52.905 532.685 ;
+        RECT 53.195 532.515 53.365 532.685 ;
+        RECT 53.655 532.515 53.825 532.685 ;
+        RECT 42.615 529.795 42.785 529.965 ;
+        RECT 43.075 529.795 43.245 529.965 ;
+        RECT 43.535 529.795 43.705 529.965 ;
+        RECT 43.995 529.795 44.165 529.965 ;
+        RECT 44.455 529.795 44.625 529.965 ;
+        RECT 44.915 529.795 45.085 529.965 ;
+        RECT 45.375 529.795 45.545 529.965 ;
+        RECT 45.835 529.795 46.005 529.965 ;
+        RECT 46.295 529.795 46.465 529.965 ;
+        RECT 46.755 529.795 46.925 529.965 ;
+        RECT 47.215 529.795 47.385 529.965 ;
+        RECT 47.675 529.795 47.845 529.965 ;
+        RECT 48.135 529.795 48.305 529.965 ;
+        RECT 48.595 529.795 48.765 529.965 ;
+        RECT 49.055 529.795 49.225 529.965 ;
+        RECT 49.515 529.795 49.685 529.965 ;
+        RECT 49.975 529.795 50.145 529.965 ;
+        RECT 50.435 529.795 50.605 529.965 ;
+        RECT 50.895 529.795 51.065 529.965 ;
+        RECT 51.355 529.795 51.525 529.965 ;
+        RECT 51.815 529.795 51.985 529.965 ;
+        RECT 52.275 529.795 52.445 529.965 ;
+        RECT 52.735 529.795 52.905 529.965 ;
+        RECT 53.195 529.795 53.365 529.965 ;
+        RECT 53.655 529.795 53.825 529.965 ;
+        RECT 42.615 527.075 42.785 527.245 ;
+        RECT 43.075 527.075 43.245 527.245 ;
+        RECT 43.535 527.075 43.705 527.245 ;
+        RECT 43.995 527.075 44.165 527.245 ;
+        RECT 44.455 527.075 44.625 527.245 ;
+        RECT 44.915 527.075 45.085 527.245 ;
+        RECT 45.375 527.075 45.545 527.245 ;
+        RECT 45.835 527.075 46.005 527.245 ;
+        RECT 46.295 527.075 46.465 527.245 ;
+        RECT 46.755 527.075 46.925 527.245 ;
+        RECT 47.215 527.075 47.385 527.245 ;
+        RECT 47.675 527.075 47.845 527.245 ;
+        RECT 48.135 527.075 48.305 527.245 ;
+        RECT 48.595 527.075 48.765 527.245 ;
+        RECT 49.055 527.075 49.225 527.245 ;
+        RECT 49.515 527.075 49.685 527.245 ;
+        RECT 49.975 527.075 50.145 527.245 ;
+        RECT 50.435 527.075 50.605 527.245 ;
+        RECT 50.895 527.075 51.065 527.245 ;
+        RECT 51.355 527.075 51.525 527.245 ;
+        RECT 51.815 527.075 51.985 527.245 ;
+        RECT 52.275 527.075 52.445 527.245 ;
+        RECT 52.735 527.075 52.905 527.245 ;
+        RECT 53.195 527.075 53.365 527.245 ;
+        RECT 53.655 527.075 53.825 527.245 ;
+        RECT 42.615 524.355 42.785 524.525 ;
+        RECT 43.075 524.355 43.245 524.525 ;
+        RECT 43.535 524.355 43.705 524.525 ;
+        RECT 43.995 524.355 44.165 524.525 ;
+        RECT 44.455 524.355 44.625 524.525 ;
+        RECT 44.915 524.355 45.085 524.525 ;
+        RECT 45.375 524.355 45.545 524.525 ;
+        RECT 45.835 524.355 46.005 524.525 ;
+        RECT 46.295 524.355 46.465 524.525 ;
+        RECT 46.755 524.355 46.925 524.525 ;
+        RECT 47.215 524.355 47.385 524.525 ;
+        RECT 47.675 524.355 47.845 524.525 ;
+        RECT 48.135 524.355 48.305 524.525 ;
+        RECT 48.595 524.355 48.765 524.525 ;
+        RECT 49.055 524.355 49.225 524.525 ;
+        RECT 49.515 524.355 49.685 524.525 ;
+        RECT 49.975 524.355 50.145 524.525 ;
+        RECT 50.435 524.355 50.605 524.525 ;
+        RECT 50.895 524.355 51.065 524.525 ;
+        RECT 51.355 524.355 51.525 524.525 ;
+        RECT 51.815 524.355 51.985 524.525 ;
+        RECT 52.275 524.355 52.445 524.525 ;
+        RECT 52.735 524.355 52.905 524.525 ;
+        RECT 53.195 524.355 53.365 524.525 ;
+        RECT 53.655 524.355 53.825 524.525 ;
+        RECT 42.615 521.635 42.785 521.805 ;
+        RECT 43.075 521.635 43.245 521.805 ;
+        RECT 43.535 521.635 43.705 521.805 ;
+        RECT 43.995 521.635 44.165 521.805 ;
+        RECT 44.455 521.635 44.625 521.805 ;
+        RECT 44.915 521.635 45.085 521.805 ;
+        RECT 45.375 521.635 45.545 521.805 ;
+        RECT 45.835 521.635 46.005 521.805 ;
+        RECT 46.295 521.635 46.465 521.805 ;
+        RECT 46.755 521.635 46.925 521.805 ;
+        RECT 47.215 521.635 47.385 521.805 ;
+        RECT 47.675 521.635 47.845 521.805 ;
+        RECT 48.135 521.635 48.305 521.805 ;
+        RECT 48.595 521.635 48.765 521.805 ;
+        RECT 49.055 521.635 49.225 521.805 ;
+        RECT 49.515 521.635 49.685 521.805 ;
+        RECT 49.975 521.635 50.145 521.805 ;
+        RECT 50.435 521.635 50.605 521.805 ;
+        RECT 50.895 521.635 51.065 521.805 ;
+        RECT 51.355 521.635 51.525 521.805 ;
+        RECT 51.815 521.635 51.985 521.805 ;
+        RECT 52.275 521.635 52.445 521.805 ;
+        RECT 52.735 521.635 52.905 521.805 ;
+        RECT 53.195 521.635 53.365 521.805 ;
+        RECT 53.655 521.635 53.825 521.805 ;
+        RECT 42.615 518.915 42.785 519.085 ;
+        RECT 43.075 518.915 43.245 519.085 ;
+        RECT 43.535 518.915 43.705 519.085 ;
+        RECT 43.995 518.915 44.165 519.085 ;
+        RECT 44.455 518.915 44.625 519.085 ;
+        RECT 44.915 518.915 45.085 519.085 ;
+        RECT 45.375 518.915 45.545 519.085 ;
+        RECT 45.835 518.915 46.005 519.085 ;
+        RECT 46.295 518.915 46.465 519.085 ;
+        RECT 46.755 518.915 46.925 519.085 ;
+        RECT 47.215 518.915 47.385 519.085 ;
+        RECT 47.675 518.915 47.845 519.085 ;
+        RECT 48.135 518.915 48.305 519.085 ;
+        RECT 48.595 518.915 48.765 519.085 ;
+        RECT 49.055 518.915 49.225 519.085 ;
+        RECT 49.515 518.915 49.685 519.085 ;
+        RECT 49.975 518.915 50.145 519.085 ;
+        RECT 50.435 518.915 50.605 519.085 ;
+        RECT 50.895 518.915 51.065 519.085 ;
+        RECT 51.355 518.915 51.525 519.085 ;
+        RECT 51.815 518.915 51.985 519.085 ;
+        RECT 52.275 518.915 52.445 519.085 ;
+        RECT 52.735 518.915 52.905 519.085 ;
+        RECT 53.195 518.915 53.365 519.085 ;
+        RECT 53.655 518.915 53.825 519.085 ;
+        RECT 42.615 516.195 42.785 516.365 ;
+        RECT 43.075 516.195 43.245 516.365 ;
+        RECT 43.535 516.195 43.705 516.365 ;
+        RECT 43.995 516.195 44.165 516.365 ;
+        RECT 44.455 516.195 44.625 516.365 ;
+        RECT 44.915 516.195 45.085 516.365 ;
+        RECT 45.375 516.195 45.545 516.365 ;
+        RECT 45.835 516.195 46.005 516.365 ;
+        RECT 46.295 516.195 46.465 516.365 ;
+        RECT 46.755 516.195 46.925 516.365 ;
+        RECT 47.215 516.195 47.385 516.365 ;
+        RECT 47.675 516.195 47.845 516.365 ;
+        RECT 48.135 516.195 48.305 516.365 ;
+        RECT 48.595 516.195 48.765 516.365 ;
+        RECT 49.055 516.195 49.225 516.365 ;
+        RECT 49.515 516.195 49.685 516.365 ;
+        RECT 49.975 516.195 50.145 516.365 ;
+        RECT 50.435 516.195 50.605 516.365 ;
+        RECT 50.895 516.195 51.065 516.365 ;
+        RECT 51.355 516.195 51.525 516.365 ;
+        RECT 51.815 516.195 51.985 516.365 ;
+        RECT 52.275 516.195 52.445 516.365 ;
+        RECT 52.735 516.195 52.905 516.365 ;
+        RECT 53.195 516.195 53.365 516.365 ;
+        RECT 53.655 516.195 53.825 516.365 ;
+        RECT 42.615 513.475 42.785 513.645 ;
+        RECT 43.075 513.475 43.245 513.645 ;
+        RECT 43.535 513.475 43.705 513.645 ;
+        RECT 43.995 513.475 44.165 513.645 ;
+        RECT 44.455 513.475 44.625 513.645 ;
+        RECT 44.915 513.475 45.085 513.645 ;
+        RECT 45.375 513.475 45.545 513.645 ;
+        RECT 45.835 513.475 46.005 513.645 ;
+        RECT 46.295 513.475 46.465 513.645 ;
+        RECT 46.755 513.475 46.925 513.645 ;
+        RECT 47.215 513.475 47.385 513.645 ;
+        RECT 47.675 513.475 47.845 513.645 ;
+        RECT 48.135 513.475 48.305 513.645 ;
+        RECT 48.595 513.475 48.765 513.645 ;
+        RECT 49.055 513.475 49.225 513.645 ;
+        RECT 49.515 513.475 49.685 513.645 ;
+        RECT 49.975 513.475 50.145 513.645 ;
+        RECT 50.435 513.475 50.605 513.645 ;
+        RECT 50.895 513.475 51.065 513.645 ;
+        RECT 51.355 513.475 51.525 513.645 ;
+        RECT 51.815 513.475 51.985 513.645 ;
+        RECT 52.275 513.475 52.445 513.645 ;
+        RECT 52.735 513.475 52.905 513.645 ;
+        RECT 53.195 513.475 53.365 513.645 ;
+        RECT 53.655 513.475 53.825 513.645 ;
+        RECT 42.615 510.755 42.785 510.925 ;
+        RECT 43.075 510.755 43.245 510.925 ;
+        RECT 43.535 510.755 43.705 510.925 ;
+        RECT 43.995 510.755 44.165 510.925 ;
+        RECT 44.455 510.755 44.625 510.925 ;
+        RECT 44.915 510.755 45.085 510.925 ;
+        RECT 45.375 510.755 45.545 510.925 ;
+        RECT 45.835 510.755 46.005 510.925 ;
+        RECT 46.295 510.755 46.465 510.925 ;
+        RECT 46.755 510.755 46.925 510.925 ;
+        RECT 47.215 510.755 47.385 510.925 ;
+        RECT 47.675 510.755 47.845 510.925 ;
+        RECT 48.135 510.755 48.305 510.925 ;
+        RECT 48.595 510.755 48.765 510.925 ;
+        RECT 49.055 510.755 49.225 510.925 ;
+        RECT 49.515 510.755 49.685 510.925 ;
+        RECT 49.975 510.755 50.145 510.925 ;
+        RECT 50.435 510.755 50.605 510.925 ;
+        RECT 50.895 510.755 51.065 510.925 ;
+        RECT 51.355 510.755 51.525 510.925 ;
+        RECT 51.815 510.755 51.985 510.925 ;
+        RECT 52.275 510.755 52.445 510.925 ;
+        RECT 52.735 510.755 52.905 510.925 ;
+        RECT 53.195 510.755 53.365 510.925 ;
+        RECT 53.655 510.755 53.825 510.925 ;
+        RECT 42.615 508.035 42.785 508.205 ;
+        RECT 43.075 508.035 43.245 508.205 ;
+        RECT 43.535 508.035 43.705 508.205 ;
+        RECT 43.995 508.035 44.165 508.205 ;
+        RECT 44.455 508.035 44.625 508.205 ;
+        RECT 44.915 508.035 45.085 508.205 ;
+        RECT 45.375 508.035 45.545 508.205 ;
+        RECT 45.835 508.035 46.005 508.205 ;
+        RECT 46.295 508.035 46.465 508.205 ;
+        RECT 46.755 508.035 46.925 508.205 ;
+        RECT 47.215 508.035 47.385 508.205 ;
+        RECT 47.675 508.035 47.845 508.205 ;
+        RECT 48.135 508.035 48.305 508.205 ;
+        RECT 48.595 508.035 48.765 508.205 ;
+        RECT 49.055 508.035 49.225 508.205 ;
+        RECT 49.515 508.035 49.685 508.205 ;
+        RECT 49.975 508.035 50.145 508.205 ;
+        RECT 50.435 508.035 50.605 508.205 ;
+        RECT 50.895 508.035 51.065 508.205 ;
+        RECT 51.355 508.035 51.525 508.205 ;
+        RECT 51.815 508.035 51.985 508.205 ;
+        RECT 52.275 508.035 52.445 508.205 ;
+        RECT 52.735 508.035 52.905 508.205 ;
+        RECT 53.195 508.035 53.365 508.205 ;
+        RECT 53.655 508.035 53.825 508.205 ;
+        RECT 42.615 505.315 42.785 505.485 ;
+        RECT 43.075 505.315 43.245 505.485 ;
+        RECT 43.535 505.315 43.705 505.485 ;
+        RECT 43.995 505.315 44.165 505.485 ;
+        RECT 44.455 505.315 44.625 505.485 ;
+        RECT 44.915 505.315 45.085 505.485 ;
+        RECT 45.375 505.315 45.545 505.485 ;
+        RECT 45.835 505.315 46.005 505.485 ;
+        RECT 46.295 505.315 46.465 505.485 ;
+        RECT 46.755 505.315 46.925 505.485 ;
+        RECT 47.215 505.315 47.385 505.485 ;
+        RECT 47.675 505.315 47.845 505.485 ;
+        RECT 48.135 505.315 48.305 505.485 ;
+        RECT 48.595 505.315 48.765 505.485 ;
+        RECT 49.055 505.315 49.225 505.485 ;
+        RECT 49.515 505.315 49.685 505.485 ;
+        RECT 49.975 505.315 50.145 505.485 ;
+        RECT 50.435 505.315 50.605 505.485 ;
+        RECT 50.895 505.315 51.065 505.485 ;
+        RECT 51.355 505.315 51.525 505.485 ;
+        RECT 51.815 505.315 51.985 505.485 ;
+        RECT 52.275 505.315 52.445 505.485 ;
+        RECT 52.735 505.315 52.905 505.485 ;
+        RECT 53.195 505.315 53.365 505.485 ;
+        RECT 53.655 505.315 53.825 505.485 ;
+        RECT 42.615 502.595 42.785 502.765 ;
+        RECT 43.075 502.595 43.245 502.765 ;
+        RECT 43.535 502.595 43.705 502.765 ;
+        RECT 43.995 502.595 44.165 502.765 ;
+        RECT 44.455 502.595 44.625 502.765 ;
+        RECT 44.915 502.595 45.085 502.765 ;
+        RECT 45.375 502.595 45.545 502.765 ;
+        RECT 45.835 502.595 46.005 502.765 ;
+        RECT 46.295 502.595 46.465 502.765 ;
+        RECT 46.755 502.595 46.925 502.765 ;
+        RECT 47.215 502.595 47.385 502.765 ;
+        RECT 47.675 502.595 47.845 502.765 ;
+        RECT 48.135 502.595 48.305 502.765 ;
+        RECT 48.595 502.595 48.765 502.765 ;
+        RECT 49.055 502.595 49.225 502.765 ;
+        RECT 49.515 502.595 49.685 502.765 ;
+        RECT 49.975 502.595 50.145 502.765 ;
+        RECT 50.435 502.595 50.605 502.765 ;
+        RECT 50.895 502.595 51.065 502.765 ;
+        RECT 51.355 502.595 51.525 502.765 ;
+        RECT 51.815 502.595 51.985 502.765 ;
+        RECT 52.275 502.595 52.445 502.765 ;
+        RECT 52.735 502.595 52.905 502.765 ;
+        RECT 53.195 502.595 53.365 502.765 ;
+        RECT 53.655 502.595 53.825 502.765 ;
+        RECT 42.615 499.875 42.785 500.045 ;
+        RECT 43.075 499.875 43.245 500.045 ;
+        RECT 43.535 499.875 43.705 500.045 ;
+        RECT 43.995 499.875 44.165 500.045 ;
+        RECT 44.455 499.875 44.625 500.045 ;
+        RECT 44.915 499.875 45.085 500.045 ;
+        RECT 45.375 499.875 45.545 500.045 ;
+        RECT 45.835 499.875 46.005 500.045 ;
+        RECT 46.295 499.875 46.465 500.045 ;
+        RECT 46.755 499.875 46.925 500.045 ;
+        RECT 47.215 499.875 47.385 500.045 ;
+        RECT 47.675 499.875 47.845 500.045 ;
+        RECT 48.135 499.875 48.305 500.045 ;
+        RECT 48.595 499.875 48.765 500.045 ;
+        RECT 49.055 499.875 49.225 500.045 ;
+        RECT 49.515 499.875 49.685 500.045 ;
+        RECT 49.975 499.875 50.145 500.045 ;
+        RECT 50.435 499.875 50.605 500.045 ;
+        RECT 50.895 499.875 51.065 500.045 ;
+        RECT 51.355 499.875 51.525 500.045 ;
+        RECT 51.815 499.875 51.985 500.045 ;
+        RECT 52.275 499.875 52.445 500.045 ;
+        RECT 52.735 499.875 52.905 500.045 ;
+        RECT 53.195 499.875 53.365 500.045 ;
+        RECT 53.655 499.875 53.825 500.045 ;
+        RECT 42.615 497.155 42.785 497.325 ;
+        RECT 43.075 497.155 43.245 497.325 ;
+        RECT 43.535 497.155 43.705 497.325 ;
+        RECT 43.995 497.155 44.165 497.325 ;
+        RECT 44.455 497.155 44.625 497.325 ;
+        RECT 44.915 497.155 45.085 497.325 ;
+        RECT 45.375 497.155 45.545 497.325 ;
+        RECT 45.835 497.155 46.005 497.325 ;
+        RECT 46.295 497.155 46.465 497.325 ;
+        RECT 46.755 497.155 46.925 497.325 ;
+        RECT 47.215 497.155 47.385 497.325 ;
+        RECT 47.675 497.155 47.845 497.325 ;
+        RECT 48.135 497.155 48.305 497.325 ;
+        RECT 48.595 497.155 48.765 497.325 ;
+        RECT 49.055 497.155 49.225 497.325 ;
+        RECT 49.515 497.155 49.685 497.325 ;
+        RECT 49.975 497.155 50.145 497.325 ;
+        RECT 50.435 497.155 50.605 497.325 ;
+        RECT 50.895 497.155 51.065 497.325 ;
+        RECT 51.355 497.155 51.525 497.325 ;
+        RECT 51.815 497.155 51.985 497.325 ;
+        RECT 52.275 497.155 52.445 497.325 ;
+        RECT 52.735 497.155 52.905 497.325 ;
+        RECT 53.195 497.155 53.365 497.325 ;
+        RECT 53.655 497.155 53.825 497.325 ;
+        RECT 42.615 494.435 42.785 494.605 ;
+        RECT 43.075 494.435 43.245 494.605 ;
+        RECT 43.535 494.435 43.705 494.605 ;
+        RECT 43.995 494.435 44.165 494.605 ;
+        RECT 44.455 494.435 44.625 494.605 ;
+        RECT 44.915 494.435 45.085 494.605 ;
+        RECT 45.375 494.435 45.545 494.605 ;
+        RECT 45.835 494.435 46.005 494.605 ;
+        RECT 46.295 494.435 46.465 494.605 ;
+        RECT 46.755 494.435 46.925 494.605 ;
+        RECT 47.215 494.435 47.385 494.605 ;
+        RECT 47.675 494.435 47.845 494.605 ;
+        RECT 48.135 494.435 48.305 494.605 ;
+        RECT 48.595 494.435 48.765 494.605 ;
+        RECT 49.055 494.435 49.225 494.605 ;
+        RECT 49.515 494.435 49.685 494.605 ;
+        RECT 49.975 494.435 50.145 494.605 ;
+        RECT 50.435 494.435 50.605 494.605 ;
+        RECT 50.895 494.435 51.065 494.605 ;
+        RECT 51.355 494.435 51.525 494.605 ;
+        RECT 51.815 494.435 51.985 494.605 ;
+        RECT 52.275 494.435 52.445 494.605 ;
+        RECT 52.735 494.435 52.905 494.605 ;
+        RECT 53.195 494.435 53.365 494.605 ;
+        RECT 53.655 494.435 53.825 494.605 ;
+        RECT 42.615 491.715 42.785 491.885 ;
+        RECT 43.075 491.715 43.245 491.885 ;
+        RECT 43.535 491.715 43.705 491.885 ;
+        RECT 43.995 491.715 44.165 491.885 ;
+        RECT 44.455 491.715 44.625 491.885 ;
+        RECT 44.915 491.715 45.085 491.885 ;
+        RECT 45.375 491.715 45.545 491.885 ;
+        RECT 45.835 491.715 46.005 491.885 ;
+        RECT 46.295 491.715 46.465 491.885 ;
+        RECT 46.755 491.715 46.925 491.885 ;
+        RECT 47.215 491.715 47.385 491.885 ;
+        RECT 47.675 491.715 47.845 491.885 ;
+        RECT 48.135 491.715 48.305 491.885 ;
+        RECT 48.595 491.715 48.765 491.885 ;
+        RECT 49.055 491.715 49.225 491.885 ;
+        RECT 49.515 491.715 49.685 491.885 ;
+        RECT 49.975 491.715 50.145 491.885 ;
+        RECT 50.435 491.715 50.605 491.885 ;
+        RECT 50.895 491.715 51.065 491.885 ;
+        RECT 51.355 491.715 51.525 491.885 ;
+        RECT 51.815 491.715 51.985 491.885 ;
+        RECT 52.275 491.715 52.445 491.885 ;
+        RECT 52.735 491.715 52.905 491.885 ;
+        RECT 53.195 491.715 53.365 491.885 ;
+        RECT 53.655 491.715 53.825 491.885 ;
+        RECT 42.615 488.995 42.785 489.165 ;
+        RECT 43.075 488.995 43.245 489.165 ;
+        RECT 43.535 488.995 43.705 489.165 ;
+        RECT 43.995 488.995 44.165 489.165 ;
+        RECT 44.455 488.995 44.625 489.165 ;
+        RECT 44.915 488.995 45.085 489.165 ;
+        RECT 45.375 488.995 45.545 489.165 ;
+        RECT 45.835 488.995 46.005 489.165 ;
+        RECT 46.295 488.995 46.465 489.165 ;
+        RECT 46.755 488.995 46.925 489.165 ;
+        RECT 47.215 488.995 47.385 489.165 ;
+        RECT 47.675 488.995 47.845 489.165 ;
+        RECT 48.135 488.995 48.305 489.165 ;
+        RECT 48.595 488.995 48.765 489.165 ;
+        RECT 49.055 488.995 49.225 489.165 ;
+        RECT 49.515 488.995 49.685 489.165 ;
+        RECT 49.975 488.995 50.145 489.165 ;
+        RECT 50.435 488.995 50.605 489.165 ;
+        RECT 50.895 488.995 51.065 489.165 ;
+        RECT 51.355 488.995 51.525 489.165 ;
+        RECT 51.815 488.995 51.985 489.165 ;
+        RECT 52.275 488.995 52.445 489.165 ;
+        RECT 52.735 488.995 52.905 489.165 ;
+        RECT 53.195 488.995 53.365 489.165 ;
+        RECT 53.655 488.995 53.825 489.165 ;
+        RECT 42.615 486.275 42.785 486.445 ;
+        RECT 43.075 486.275 43.245 486.445 ;
+        RECT 43.535 486.275 43.705 486.445 ;
+        RECT 43.995 486.275 44.165 486.445 ;
+        RECT 44.455 486.275 44.625 486.445 ;
+        RECT 44.915 486.275 45.085 486.445 ;
+        RECT 45.375 486.275 45.545 486.445 ;
+        RECT 45.835 486.275 46.005 486.445 ;
+        RECT 46.295 486.275 46.465 486.445 ;
+        RECT 46.755 486.275 46.925 486.445 ;
+        RECT 47.215 486.275 47.385 486.445 ;
+        RECT 47.675 486.275 47.845 486.445 ;
+        RECT 48.135 486.275 48.305 486.445 ;
+        RECT 48.595 486.275 48.765 486.445 ;
+        RECT 49.055 486.275 49.225 486.445 ;
+        RECT 49.515 486.275 49.685 486.445 ;
+        RECT 49.975 486.275 50.145 486.445 ;
+        RECT 50.435 486.275 50.605 486.445 ;
+        RECT 50.895 486.275 51.065 486.445 ;
+        RECT 51.355 486.275 51.525 486.445 ;
+        RECT 51.815 486.275 51.985 486.445 ;
+        RECT 52.275 486.275 52.445 486.445 ;
+        RECT 52.735 486.275 52.905 486.445 ;
+        RECT 53.195 486.275 53.365 486.445 ;
+        RECT 53.655 486.275 53.825 486.445 ;
+        RECT 42.615 483.555 42.785 483.725 ;
+        RECT 43.075 483.555 43.245 483.725 ;
+        RECT 43.535 483.555 43.705 483.725 ;
+        RECT 43.995 483.555 44.165 483.725 ;
+        RECT 44.455 483.555 44.625 483.725 ;
+        RECT 44.915 483.555 45.085 483.725 ;
+        RECT 45.375 483.555 45.545 483.725 ;
+        RECT 45.835 483.555 46.005 483.725 ;
+        RECT 46.295 483.555 46.465 483.725 ;
+        RECT 46.755 483.555 46.925 483.725 ;
+        RECT 47.215 483.555 47.385 483.725 ;
+        RECT 47.675 483.555 47.845 483.725 ;
+        RECT 48.135 483.555 48.305 483.725 ;
+        RECT 48.595 483.555 48.765 483.725 ;
+        RECT 49.055 483.555 49.225 483.725 ;
+        RECT 49.515 483.555 49.685 483.725 ;
+        RECT 49.975 483.555 50.145 483.725 ;
+        RECT 50.435 483.555 50.605 483.725 ;
+        RECT 50.895 483.555 51.065 483.725 ;
+        RECT 51.355 483.555 51.525 483.725 ;
+        RECT 51.815 483.555 51.985 483.725 ;
+        RECT 52.275 483.555 52.445 483.725 ;
+        RECT 52.735 483.555 52.905 483.725 ;
+        RECT 53.195 483.555 53.365 483.725 ;
+        RECT 53.655 483.555 53.825 483.725 ;
+        RECT 42.615 480.835 42.785 481.005 ;
+        RECT 43.075 480.835 43.245 481.005 ;
+        RECT 43.535 480.835 43.705 481.005 ;
+        RECT 43.995 480.835 44.165 481.005 ;
+        RECT 44.455 480.835 44.625 481.005 ;
+        RECT 44.915 480.835 45.085 481.005 ;
+        RECT 45.375 480.835 45.545 481.005 ;
+        RECT 45.835 480.835 46.005 481.005 ;
+        RECT 46.295 480.835 46.465 481.005 ;
+        RECT 46.755 480.835 46.925 481.005 ;
+        RECT 47.215 480.835 47.385 481.005 ;
+        RECT 47.675 480.835 47.845 481.005 ;
+        RECT 48.135 480.835 48.305 481.005 ;
+        RECT 48.595 480.835 48.765 481.005 ;
+        RECT 49.055 480.835 49.225 481.005 ;
+        RECT 49.515 480.835 49.685 481.005 ;
+        RECT 49.975 480.835 50.145 481.005 ;
+        RECT 50.435 480.835 50.605 481.005 ;
+        RECT 50.895 480.835 51.065 481.005 ;
+        RECT 51.355 480.835 51.525 481.005 ;
+        RECT 51.815 480.835 51.985 481.005 ;
+        RECT 52.275 480.835 52.445 481.005 ;
+        RECT 52.735 480.835 52.905 481.005 ;
+        RECT 53.195 480.835 53.365 481.005 ;
+        RECT 53.655 480.835 53.825 481.005 ;
+        RECT 42.615 478.115 42.785 478.285 ;
+        RECT 43.075 478.115 43.245 478.285 ;
+        RECT 43.535 478.115 43.705 478.285 ;
+        RECT 43.995 478.115 44.165 478.285 ;
+        RECT 44.455 478.115 44.625 478.285 ;
+        RECT 44.915 478.115 45.085 478.285 ;
+        RECT 45.375 478.115 45.545 478.285 ;
+        RECT 45.835 478.115 46.005 478.285 ;
+        RECT 46.295 478.115 46.465 478.285 ;
+        RECT 46.755 478.115 46.925 478.285 ;
+        RECT 47.215 478.115 47.385 478.285 ;
+        RECT 47.675 478.115 47.845 478.285 ;
+        RECT 48.135 478.115 48.305 478.285 ;
+        RECT 48.595 478.115 48.765 478.285 ;
+        RECT 49.055 478.115 49.225 478.285 ;
+        RECT 49.515 478.115 49.685 478.285 ;
+        RECT 49.975 478.115 50.145 478.285 ;
+        RECT 50.435 478.115 50.605 478.285 ;
+        RECT 50.895 478.115 51.065 478.285 ;
+        RECT 51.355 478.115 51.525 478.285 ;
+        RECT 51.815 478.115 51.985 478.285 ;
+        RECT 52.275 478.115 52.445 478.285 ;
+        RECT 52.735 478.115 52.905 478.285 ;
+        RECT 53.195 478.115 53.365 478.285 ;
+        RECT 53.655 478.115 53.825 478.285 ;
+        RECT 42.615 475.395 42.785 475.565 ;
+        RECT 43.075 475.395 43.245 475.565 ;
+        RECT 43.535 475.395 43.705 475.565 ;
+        RECT 43.995 475.395 44.165 475.565 ;
+        RECT 44.455 475.395 44.625 475.565 ;
+        RECT 44.915 475.395 45.085 475.565 ;
+        RECT 45.375 475.395 45.545 475.565 ;
+        RECT 45.835 475.395 46.005 475.565 ;
+        RECT 46.295 475.395 46.465 475.565 ;
+        RECT 46.755 475.395 46.925 475.565 ;
+        RECT 47.215 475.395 47.385 475.565 ;
+        RECT 47.675 475.395 47.845 475.565 ;
+        RECT 48.135 475.395 48.305 475.565 ;
+        RECT 48.595 475.395 48.765 475.565 ;
+        RECT 49.055 475.395 49.225 475.565 ;
+        RECT 49.515 475.395 49.685 475.565 ;
+        RECT 49.975 475.395 50.145 475.565 ;
+        RECT 50.435 475.395 50.605 475.565 ;
+        RECT 50.895 475.395 51.065 475.565 ;
+        RECT 51.355 475.395 51.525 475.565 ;
+        RECT 51.815 475.395 51.985 475.565 ;
+        RECT 52.275 475.395 52.445 475.565 ;
+        RECT 52.735 475.395 52.905 475.565 ;
+        RECT 53.195 475.395 53.365 475.565 ;
+        RECT 53.655 475.395 53.825 475.565 ;
+        RECT 42.615 472.675 42.785 472.845 ;
+        RECT 43.075 472.675 43.245 472.845 ;
+        RECT 43.535 472.675 43.705 472.845 ;
+        RECT 43.995 472.675 44.165 472.845 ;
+        RECT 44.455 472.675 44.625 472.845 ;
+        RECT 44.915 472.675 45.085 472.845 ;
+        RECT 45.375 472.675 45.545 472.845 ;
+        RECT 45.835 472.675 46.005 472.845 ;
+        RECT 46.295 472.675 46.465 472.845 ;
+        RECT 46.755 472.675 46.925 472.845 ;
+        RECT 47.215 472.675 47.385 472.845 ;
+        RECT 47.675 472.675 47.845 472.845 ;
+        RECT 48.135 472.675 48.305 472.845 ;
+        RECT 48.595 472.675 48.765 472.845 ;
+        RECT 49.055 472.675 49.225 472.845 ;
+        RECT 49.515 472.675 49.685 472.845 ;
+        RECT 49.975 472.675 50.145 472.845 ;
+        RECT 50.435 472.675 50.605 472.845 ;
+        RECT 50.895 472.675 51.065 472.845 ;
+        RECT 51.355 472.675 51.525 472.845 ;
+        RECT 51.815 472.675 51.985 472.845 ;
+        RECT 52.275 472.675 52.445 472.845 ;
+        RECT 52.735 472.675 52.905 472.845 ;
+        RECT 53.195 472.675 53.365 472.845 ;
+        RECT 53.655 472.675 53.825 472.845 ;
+        RECT 42.615 469.955 42.785 470.125 ;
+        RECT 43.075 469.955 43.245 470.125 ;
+        RECT 43.535 469.955 43.705 470.125 ;
+        RECT 43.995 469.955 44.165 470.125 ;
+        RECT 44.455 469.955 44.625 470.125 ;
+        RECT 44.915 469.955 45.085 470.125 ;
+        RECT 45.375 469.955 45.545 470.125 ;
+        RECT 45.835 469.955 46.005 470.125 ;
+        RECT 46.295 469.955 46.465 470.125 ;
+        RECT 46.755 469.955 46.925 470.125 ;
+        RECT 47.215 469.955 47.385 470.125 ;
+        RECT 47.675 469.955 47.845 470.125 ;
+        RECT 48.135 469.955 48.305 470.125 ;
+        RECT 48.595 469.955 48.765 470.125 ;
+        RECT 49.055 469.955 49.225 470.125 ;
+        RECT 49.515 469.955 49.685 470.125 ;
+        RECT 49.975 469.955 50.145 470.125 ;
+        RECT 50.435 469.955 50.605 470.125 ;
+        RECT 50.895 469.955 51.065 470.125 ;
+        RECT 51.355 469.955 51.525 470.125 ;
+        RECT 51.815 469.955 51.985 470.125 ;
+        RECT 52.275 469.955 52.445 470.125 ;
+        RECT 52.735 469.955 52.905 470.125 ;
+        RECT 53.195 469.955 53.365 470.125 ;
+        RECT 53.655 469.955 53.825 470.125 ;
+        RECT 42.615 467.235 42.785 467.405 ;
+        RECT 43.075 467.235 43.245 467.405 ;
+        RECT 43.535 467.235 43.705 467.405 ;
+        RECT 43.995 467.235 44.165 467.405 ;
+        RECT 44.455 467.235 44.625 467.405 ;
+        RECT 44.915 467.235 45.085 467.405 ;
+        RECT 45.375 467.235 45.545 467.405 ;
+        RECT 45.835 467.235 46.005 467.405 ;
+        RECT 46.295 467.235 46.465 467.405 ;
+        RECT 46.755 467.235 46.925 467.405 ;
+        RECT 47.215 467.235 47.385 467.405 ;
+        RECT 47.675 467.235 47.845 467.405 ;
+        RECT 48.135 467.235 48.305 467.405 ;
+        RECT 48.595 467.235 48.765 467.405 ;
+        RECT 49.055 467.235 49.225 467.405 ;
+        RECT 49.515 467.235 49.685 467.405 ;
+        RECT 49.975 467.235 50.145 467.405 ;
+        RECT 50.435 467.235 50.605 467.405 ;
+        RECT 50.895 467.235 51.065 467.405 ;
+        RECT 51.355 467.235 51.525 467.405 ;
+        RECT 51.815 467.235 51.985 467.405 ;
+        RECT 52.275 467.235 52.445 467.405 ;
+        RECT 52.735 467.235 52.905 467.405 ;
+        RECT 53.195 467.235 53.365 467.405 ;
+        RECT 53.655 467.235 53.825 467.405 ;
+        RECT 42.615 464.515 42.785 464.685 ;
+        RECT 43.075 464.515 43.245 464.685 ;
+        RECT 43.535 464.515 43.705 464.685 ;
+        RECT 43.995 464.515 44.165 464.685 ;
+        RECT 44.455 464.515 44.625 464.685 ;
+        RECT 44.915 464.515 45.085 464.685 ;
+        RECT 45.375 464.515 45.545 464.685 ;
+        RECT 45.835 464.515 46.005 464.685 ;
+        RECT 46.295 464.515 46.465 464.685 ;
+        RECT 46.755 464.515 46.925 464.685 ;
+        RECT 47.215 464.515 47.385 464.685 ;
+        RECT 47.675 464.515 47.845 464.685 ;
+        RECT 48.135 464.515 48.305 464.685 ;
+        RECT 48.595 464.515 48.765 464.685 ;
+        RECT 49.055 464.515 49.225 464.685 ;
+        RECT 49.515 464.515 49.685 464.685 ;
+        RECT 49.975 464.515 50.145 464.685 ;
+        RECT 50.435 464.515 50.605 464.685 ;
+        RECT 50.895 464.515 51.065 464.685 ;
+        RECT 51.355 464.515 51.525 464.685 ;
+        RECT 51.815 464.515 51.985 464.685 ;
+        RECT 52.275 464.515 52.445 464.685 ;
+        RECT 52.735 464.515 52.905 464.685 ;
+        RECT 53.195 464.515 53.365 464.685 ;
+        RECT 53.655 464.515 53.825 464.685 ;
+        RECT 42.615 461.795 42.785 461.965 ;
+        RECT 43.075 461.795 43.245 461.965 ;
+        RECT 43.535 461.795 43.705 461.965 ;
+        RECT 43.995 461.795 44.165 461.965 ;
+        RECT 44.455 461.795 44.625 461.965 ;
+        RECT 44.915 461.795 45.085 461.965 ;
+        RECT 45.375 461.795 45.545 461.965 ;
+        RECT 45.835 461.795 46.005 461.965 ;
+        RECT 46.295 461.795 46.465 461.965 ;
+        RECT 46.755 461.795 46.925 461.965 ;
+        RECT 47.215 461.795 47.385 461.965 ;
+        RECT 47.675 461.795 47.845 461.965 ;
+        RECT 48.135 461.795 48.305 461.965 ;
+        RECT 48.595 461.795 48.765 461.965 ;
+        RECT 49.055 461.795 49.225 461.965 ;
+        RECT 49.515 461.795 49.685 461.965 ;
+        RECT 49.975 461.795 50.145 461.965 ;
+        RECT 50.435 461.795 50.605 461.965 ;
+        RECT 50.895 461.795 51.065 461.965 ;
+        RECT 51.355 461.795 51.525 461.965 ;
+        RECT 51.815 461.795 51.985 461.965 ;
+        RECT 52.275 461.795 52.445 461.965 ;
+        RECT 52.735 461.795 52.905 461.965 ;
+        RECT 53.195 461.795 53.365 461.965 ;
+        RECT 53.655 461.795 53.825 461.965 ;
+        RECT 42.615 459.075 42.785 459.245 ;
+        RECT 43.075 459.075 43.245 459.245 ;
+        RECT 43.535 459.075 43.705 459.245 ;
+        RECT 43.995 459.075 44.165 459.245 ;
+        RECT 44.455 459.075 44.625 459.245 ;
+        RECT 44.915 459.075 45.085 459.245 ;
+        RECT 45.375 459.075 45.545 459.245 ;
+        RECT 45.835 459.075 46.005 459.245 ;
+        RECT 46.295 459.075 46.465 459.245 ;
+        RECT 46.755 459.075 46.925 459.245 ;
+        RECT 47.215 459.075 47.385 459.245 ;
+        RECT 47.675 459.075 47.845 459.245 ;
+        RECT 48.135 459.075 48.305 459.245 ;
+        RECT 48.595 459.075 48.765 459.245 ;
+        RECT 49.055 459.075 49.225 459.245 ;
+        RECT 49.515 459.075 49.685 459.245 ;
+        RECT 49.975 459.075 50.145 459.245 ;
+        RECT 50.435 459.075 50.605 459.245 ;
+        RECT 50.895 459.075 51.065 459.245 ;
+        RECT 51.355 459.075 51.525 459.245 ;
+        RECT 51.815 459.075 51.985 459.245 ;
+        RECT 52.275 459.075 52.445 459.245 ;
+        RECT 52.735 459.075 52.905 459.245 ;
+        RECT 53.195 459.075 53.365 459.245 ;
+        RECT 53.655 459.075 53.825 459.245 ;
+        RECT 42.615 456.355 42.785 456.525 ;
+        RECT 43.075 456.355 43.245 456.525 ;
+        RECT 43.535 456.355 43.705 456.525 ;
+        RECT 43.995 456.355 44.165 456.525 ;
+        RECT 44.455 456.355 44.625 456.525 ;
+        RECT 44.915 456.355 45.085 456.525 ;
+        RECT 45.375 456.355 45.545 456.525 ;
+        RECT 45.835 456.355 46.005 456.525 ;
+        RECT 46.295 456.355 46.465 456.525 ;
+        RECT 46.755 456.355 46.925 456.525 ;
+        RECT 47.215 456.355 47.385 456.525 ;
+        RECT 47.675 456.355 47.845 456.525 ;
+        RECT 48.135 456.355 48.305 456.525 ;
+        RECT 48.595 456.355 48.765 456.525 ;
+        RECT 49.055 456.355 49.225 456.525 ;
+        RECT 49.515 456.355 49.685 456.525 ;
+        RECT 49.975 456.355 50.145 456.525 ;
+        RECT 50.435 456.355 50.605 456.525 ;
+        RECT 50.895 456.355 51.065 456.525 ;
+        RECT 51.355 456.355 51.525 456.525 ;
+        RECT 51.815 456.355 51.985 456.525 ;
+        RECT 52.275 456.355 52.445 456.525 ;
+        RECT 52.735 456.355 52.905 456.525 ;
+        RECT 53.195 456.355 53.365 456.525 ;
+        RECT 53.655 456.355 53.825 456.525 ;
+        RECT 42.615 453.635 42.785 453.805 ;
+        RECT 43.075 453.635 43.245 453.805 ;
+        RECT 43.535 453.635 43.705 453.805 ;
+        RECT 43.995 453.635 44.165 453.805 ;
+        RECT 44.455 453.635 44.625 453.805 ;
+        RECT 44.915 453.635 45.085 453.805 ;
+        RECT 45.375 453.635 45.545 453.805 ;
+        RECT 45.835 453.635 46.005 453.805 ;
+        RECT 46.295 453.635 46.465 453.805 ;
+        RECT 46.755 453.635 46.925 453.805 ;
+        RECT 47.215 453.635 47.385 453.805 ;
+        RECT 47.675 453.635 47.845 453.805 ;
+        RECT 48.135 453.635 48.305 453.805 ;
+        RECT 48.595 453.635 48.765 453.805 ;
+        RECT 49.055 453.635 49.225 453.805 ;
+        RECT 49.515 453.635 49.685 453.805 ;
+        RECT 49.975 453.635 50.145 453.805 ;
+        RECT 50.435 453.635 50.605 453.805 ;
+        RECT 50.895 453.635 51.065 453.805 ;
+        RECT 51.355 453.635 51.525 453.805 ;
+        RECT 51.815 453.635 51.985 453.805 ;
+        RECT 52.275 453.635 52.445 453.805 ;
+        RECT 52.735 453.635 52.905 453.805 ;
+        RECT 53.195 453.635 53.365 453.805 ;
+        RECT 53.655 453.635 53.825 453.805 ;
+        RECT 42.615 450.915 42.785 451.085 ;
+        RECT 43.075 450.915 43.245 451.085 ;
+        RECT 43.535 450.915 43.705 451.085 ;
+        RECT 43.995 450.915 44.165 451.085 ;
+        RECT 44.455 450.915 44.625 451.085 ;
+        RECT 44.915 450.915 45.085 451.085 ;
+        RECT 45.375 450.915 45.545 451.085 ;
+        RECT 45.835 450.915 46.005 451.085 ;
+        RECT 46.295 450.915 46.465 451.085 ;
+        RECT 46.755 450.915 46.925 451.085 ;
+        RECT 47.215 450.915 47.385 451.085 ;
+        RECT 47.675 450.915 47.845 451.085 ;
+        RECT 48.135 450.915 48.305 451.085 ;
+        RECT 48.595 450.915 48.765 451.085 ;
+        RECT 49.055 450.915 49.225 451.085 ;
+        RECT 49.515 450.915 49.685 451.085 ;
+        RECT 49.975 450.915 50.145 451.085 ;
+        RECT 50.435 450.915 50.605 451.085 ;
+        RECT 50.895 450.915 51.065 451.085 ;
+        RECT 51.355 450.915 51.525 451.085 ;
+        RECT 51.815 450.915 51.985 451.085 ;
+        RECT 52.275 450.915 52.445 451.085 ;
+        RECT 52.735 450.915 52.905 451.085 ;
+        RECT 53.195 450.915 53.365 451.085 ;
+        RECT 53.655 450.915 53.825 451.085 ;
+        RECT 42.615 448.195 42.785 448.365 ;
+        RECT 43.075 448.195 43.245 448.365 ;
+        RECT 43.535 448.195 43.705 448.365 ;
+        RECT 43.995 448.195 44.165 448.365 ;
+        RECT 44.455 448.195 44.625 448.365 ;
+        RECT 44.915 448.195 45.085 448.365 ;
+        RECT 45.375 448.195 45.545 448.365 ;
+        RECT 45.835 448.195 46.005 448.365 ;
+        RECT 46.295 448.195 46.465 448.365 ;
+        RECT 46.755 448.195 46.925 448.365 ;
+        RECT 47.215 448.195 47.385 448.365 ;
+        RECT 47.675 448.195 47.845 448.365 ;
+        RECT 48.135 448.195 48.305 448.365 ;
+        RECT 48.595 448.195 48.765 448.365 ;
+        RECT 49.055 448.195 49.225 448.365 ;
+        RECT 49.515 448.195 49.685 448.365 ;
+        RECT 49.975 448.195 50.145 448.365 ;
+        RECT 50.435 448.195 50.605 448.365 ;
+        RECT 50.895 448.195 51.065 448.365 ;
+        RECT 51.355 448.195 51.525 448.365 ;
+        RECT 51.815 448.195 51.985 448.365 ;
+        RECT 52.275 448.195 52.445 448.365 ;
+        RECT 52.735 448.195 52.905 448.365 ;
+        RECT 53.195 448.195 53.365 448.365 ;
+        RECT 53.655 448.195 53.825 448.365 ;
+        RECT 42.615 445.475 42.785 445.645 ;
+        RECT 43.075 445.475 43.245 445.645 ;
+        RECT 43.535 445.475 43.705 445.645 ;
+        RECT 43.995 445.475 44.165 445.645 ;
+        RECT 44.455 445.475 44.625 445.645 ;
+        RECT 44.915 445.475 45.085 445.645 ;
+        RECT 45.375 445.475 45.545 445.645 ;
+        RECT 45.835 445.475 46.005 445.645 ;
+        RECT 46.295 445.475 46.465 445.645 ;
+        RECT 46.755 445.475 46.925 445.645 ;
+        RECT 47.215 445.475 47.385 445.645 ;
+        RECT 47.675 445.475 47.845 445.645 ;
+        RECT 48.135 445.475 48.305 445.645 ;
+        RECT 48.595 445.475 48.765 445.645 ;
+        RECT 49.055 445.475 49.225 445.645 ;
+        RECT 49.515 445.475 49.685 445.645 ;
+        RECT 49.975 445.475 50.145 445.645 ;
+        RECT 50.435 445.475 50.605 445.645 ;
+        RECT 50.895 445.475 51.065 445.645 ;
+        RECT 51.355 445.475 51.525 445.645 ;
+        RECT 51.815 445.475 51.985 445.645 ;
+        RECT 52.275 445.475 52.445 445.645 ;
+        RECT 52.735 445.475 52.905 445.645 ;
+        RECT 53.195 445.475 53.365 445.645 ;
+        RECT 53.655 445.475 53.825 445.645 ;
+        RECT 42.615 442.755 42.785 442.925 ;
+        RECT 43.075 442.755 43.245 442.925 ;
+        RECT 43.535 442.755 43.705 442.925 ;
+        RECT 43.995 442.755 44.165 442.925 ;
+        RECT 44.455 442.755 44.625 442.925 ;
+        RECT 44.915 442.755 45.085 442.925 ;
+        RECT 45.375 442.755 45.545 442.925 ;
+        RECT 45.835 442.755 46.005 442.925 ;
+        RECT 46.295 442.755 46.465 442.925 ;
+        RECT 46.755 442.755 46.925 442.925 ;
+        RECT 47.215 442.755 47.385 442.925 ;
+        RECT 47.675 442.755 47.845 442.925 ;
+        RECT 48.135 442.755 48.305 442.925 ;
+        RECT 48.595 442.755 48.765 442.925 ;
+        RECT 49.055 442.755 49.225 442.925 ;
+        RECT 49.515 442.755 49.685 442.925 ;
+        RECT 49.975 442.755 50.145 442.925 ;
+        RECT 50.435 442.755 50.605 442.925 ;
+        RECT 50.895 442.755 51.065 442.925 ;
+        RECT 51.355 442.755 51.525 442.925 ;
+        RECT 51.815 442.755 51.985 442.925 ;
+        RECT 52.275 442.755 52.445 442.925 ;
+        RECT 52.735 442.755 52.905 442.925 ;
+        RECT 53.195 442.755 53.365 442.925 ;
+        RECT 53.655 442.755 53.825 442.925 ;
+        RECT 42.615 440.035 42.785 440.205 ;
+        RECT 43.075 440.035 43.245 440.205 ;
+        RECT 43.535 440.035 43.705 440.205 ;
+        RECT 43.995 440.035 44.165 440.205 ;
+        RECT 44.455 440.035 44.625 440.205 ;
+        RECT 44.915 440.035 45.085 440.205 ;
+        RECT 45.375 440.035 45.545 440.205 ;
+        RECT 45.835 440.035 46.005 440.205 ;
+        RECT 46.295 440.035 46.465 440.205 ;
+        RECT 46.755 440.035 46.925 440.205 ;
+        RECT 47.215 440.035 47.385 440.205 ;
+        RECT 47.675 440.035 47.845 440.205 ;
+        RECT 48.135 440.035 48.305 440.205 ;
+        RECT 48.595 440.035 48.765 440.205 ;
+        RECT 49.055 440.035 49.225 440.205 ;
+        RECT 49.515 440.035 49.685 440.205 ;
+        RECT 49.975 440.035 50.145 440.205 ;
+        RECT 50.435 440.035 50.605 440.205 ;
+        RECT 50.895 440.035 51.065 440.205 ;
+        RECT 51.355 440.035 51.525 440.205 ;
+        RECT 51.815 440.035 51.985 440.205 ;
+        RECT 52.275 440.035 52.445 440.205 ;
+        RECT 52.735 440.035 52.905 440.205 ;
+        RECT 53.195 440.035 53.365 440.205 ;
+        RECT 53.655 440.035 53.825 440.205 ;
+        RECT 42.615 437.315 42.785 437.485 ;
+        RECT 43.075 437.315 43.245 437.485 ;
+        RECT 43.535 437.315 43.705 437.485 ;
+        RECT 43.995 437.315 44.165 437.485 ;
+        RECT 44.455 437.315 44.625 437.485 ;
+        RECT 44.915 437.315 45.085 437.485 ;
+        RECT 45.375 437.315 45.545 437.485 ;
+        RECT 45.835 437.315 46.005 437.485 ;
+        RECT 46.295 437.315 46.465 437.485 ;
+        RECT 46.755 437.315 46.925 437.485 ;
+        RECT 47.215 437.315 47.385 437.485 ;
+        RECT 47.675 437.315 47.845 437.485 ;
+        RECT 48.135 437.315 48.305 437.485 ;
+        RECT 48.595 437.315 48.765 437.485 ;
+        RECT 49.055 437.315 49.225 437.485 ;
+        RECT 49.515 437.315 49.685 437.485 ;
+        RECT 49.975 437.315 50.145 437.485 ;
+        RECT 50.435 437.315 50.605 437.485 ;
+        RECT 50.895 437.315 51.065 437.485 ;
+        RECT 51.355 437.315 51.525 437.485 ;
+        RECT 51.815 437.315 51.985 437.485 ;
+        RECT 52.275 437.315 52.445 437.485 ;
+        RECT 52.735 437.315 52.905 437.485 ;
+        RECT 53.195 437.315 53.365 437.485 ;
+        RECT 53.655 437.315 53.825 437.485 ;
+        RECT 42.615 434.595 42.785 434.765 ;
+        RECT 43.075 434.595 43.245 434.765 ;
+        RECT 43.535 434.595 43.705 434.765 ;
+        RECT 43.995 434.595 44.165 434.765 ;
+        RECT 44.455 434.595 44.625 434.765 ;
+        RECT 44.915 434.595 45.085 434.765 ;
+        RECT 45.375 434.595 45.545 434.765 ;
+        RECT 45.835 434.595 46.005 434.765 ;
+        RECT 46.295 434.595 46.465 434.765 ;
+        RECT 46.755 434.595 46.925 434.765 ;
+        RECT 47.215 434.595 47.385 434.765 ;
+        RECT 47.675 434.595 47.845 434.765 ;
+        RECT 48.135 434.595 48.305 434.765 ;
+        RECT 48.595 434.595 48.765 434.765 ;
+        RECT 49.055 434.595 49.225 434.765 ;
+        RECT 49.515 434.595 49.685 434.765 ;
+        RECT 49.975 434.595 50.145 434.765 ;
+        RECT 50.435 434.595 50.605 434.765 ;
+        RECT 50.895 434.595 51.065 434.765 ;
+        RECT 51.355 434.595 51.525 434.765 ;
+        RECT 51.815 434.595 51.985 434.765 ;
+        RECT 52.275 434.595 52.445 434.765 ;
+        RECT 52.735 434.595 52.905 434.765 ;
+        RECT 53.195 434.595 53.365 434.765 ;
+        RECT 53.655 434.595 53.825 434.765 ;
+        RECT 42.615 431.875 42.785 432.045 ;
+        RECT 43.075 431.875 43.245 432.045 ;
+        RECT 43.535 431.875 43.705 432.045 ;
+        RECT 43.995 431.875 44.165 432.045 ;
+        RECT 44.455 431.875 44.625 432.045 ;
+        RECT 44.915 431.875 45.085 432.045 ;
+        RECT 45.375 431.875 45.545 432.045 ;
+        RECT 45.835 431.875 46.005 432.045 ;
+        RECT 46.295 431.875 46.465 432.045 ;
+        RECT 46.755 431.875 46.925 432.045 ;
+        RECT 47.215 431.875 47.385 432.045 ;
+        RECT 47.675 431.875 47.845 432.045 ;
+        RECT 48.135 431.875 48.305 432.045 ;
+        RECT 48.595 431.875 48.765 432.045 ;
+        RECT 49.055 431.875 49.225 432.045 ;
+        RECT 49.515 431.875 49.685 432.045 ;
+        RECT 49.975 431.875 50.145 432.045 ;
+        RECT 50.435 431.875 50.605 432.045 ;
+        RECT 50.895 431.875 51.065 432.045 ;
+        RECT 51.355 431.875 51.525 432.045 ;
+        RECT 51.815 431.875 51.985 432.045 ;
+        RECT 52.275 431.875 52.445 432.045 ;
+        RECT 52.735 431.875 52.905 432.045 ;
+        RECT 53.195 431.875 53.365 432.045 ;
+        RECT 53.655 431.875 53.825 432.045 ;
+        RECT 42.615 429.155 42.785 429.325 ;
+        RECT 43.075 429.155 43.245 429.325 ;
+        RECT 43.535 429.155 43.705 429.325 ;
+        RECT 43.995 429.155 44.165 429.325 ;
+        RECT 44.455 429.155 44.625 429.325 ;
+        RECT 44.915 429.155 45.085 429.325 ;
+        RECT 45.375 429.155 45.545 429.325 ;
+        RECT 45.835 429.155 46.005 429.325 ;
+        RECT 46.295 429.155 46.465 429.325 ;
+        RECT 46.755 429.155 46.925 429.325 ;
+        RECT 47.215 429.155 47.385 429.325 ;
+        RECT 47.675 429.155 47.845 429.325 ;
+        RECT 48.135 429.155 48.305 429.325 ;
+        RECT 48.595 429.155 48.765 429.325 ;
+        RECT 49.055 429.155 49.225 429.325 ;
+        RECT 49.515 429.155 49.685 429.325 ;
+        RECT 49.975 429.155 50.145 429.325 ;
+        RECT 50.435 429.155 50.605 429.325 ;
+        RECT 50.895 429.155 51.065 429.325 ;
+        RECT 51.355 429.155 51.525 429.325 ;
+        RECT 51.815 429.155 51.985 429.325 ;
+        RECT 52.275 429.155 52.445 429.325 ;
+        RECT 52.735 429.155 52.905 429.325 ;
+        RECT 53.195 429.155 53.365 429.325 ;
+        RECT 53.655 429.155 53.825 429.325 ;
+        RECT 42.615 426.435 42.785 426.605 ;
+        RECT 43.075 426.435 43.245 426.605 ;
+        RECT 43.535 426.435 43.705 426.605 ;
+        RECT 43.995 426.435 44.165 426.605 ;
+        RECT 44.455 426.435 44.625 426.605 ;
+        RECT 44.915 426.435 45.085 426.605 ;
+        RECT 45.375 426.435 45.545 426.605 ;
+        RECT 45.835 426.435 46.005 426.605 ;
+        RECT 46.295 426.435 46.465 426.605 ;
+        RECT 46.755 426.435 46.925 426.605 ;
+        RECT 47.215 426.435 47.385 426.605 ;
+        RECT 47.675 426.435 47.845 426.605 ;
+        RECT 48.135 426.435 48.305 426.605 ;
+        RECT 48.595 426.435 48.765 426.605 ;
+        RECT 49.055 426.435 49.225 426.605 ;
+        RECT 49.515 426.435 49.685 426.605 ;
+        RECT 49.975 426.435 50.145 426.605 ;
+        RECT 50.435 426.435 50.605 426.605 ;
+        RECT 50.895 426.435 51.065 426.605 ;
+        RECT 51.355 426.435 51.525 426.605 ;
+        RECT 51.815 426.435 51.985 426.605 ;
+        RECT 52.275 426.435 52.445 426.605 ;
+        RECT 52.735 426.435 52.905 426.605 ;
+        RECT 53.195 426.435 53.365 426.605 ;
+        RECT 53.655 426.435 53.825 426.605 ;
+        RECT 42.615 423.715 42.785 423.885 ;
+        RECT 43.075 423.715 43.245 423.885 ;
+        RECT 43.535 423.715 43.705 423.885 ;
+        RECT 43.995 423.715 44.165 423.885 ;
+        RECT 44.455 423.715 44.625 423.885 ;
+        RECT 44.915 423.715 45.085 423.885 ;
+        RECT 45.375 423.715 45.545 423.885 ;
+        RECT 45.835 423.715 46.005 423.885 ;
+        RECT 46.295 423.715 46.465 423.885 ;
+        RECT 46.755 423.715 46.925 423.885 ;
+        RECT 47.215 423.715 47.385 423.885 ;
+        RECT 47.675 423.715 47.845 423.885 ;
+        RECT 48.135 423.715 48.305 423.885 ;
+        RECT 48.595 423.715 48.765 423.885 ;
+        RECT 49.055 423.715 49.225 423.885 ;
+        RECT 49.515 423.715 49.685 423.885 ;
+        RECT 49.975 423.715 50.145 423.885 ;
+        RECT 50.435 423.715 50.605 423.885 ;
+        RECT 50.895 423.715 51.065 423.885 ;
+        RECT 51.355 423.715 51.525 423.885 ;
+        RECT 51.815 423.715 51.985 423.885 ;
+        RECT 52.275 423.715 52.445 423.885 ;
+        RECT 52.735 423.715 52.905 423.885 ;
+        RECT 53.195 423.715 53.365 423.885 ;
+        RECT 53.655 423.715 53.825 423.885 ;
+        RECT 42.615 420.995 42.785 421.165 ;
+        RECT 43.075 420.995 43.245 421.165 ;
+        RECT 43.535 420.995 43.705 421.165 ;
+        RECT 43.995 420.995 44.165 421.165 ;
+        RECT 44.455 420.995 44.625 421.165 ;
+        RECT 44.915 420.995 45.085 421.165 ;
+        RECT 45.375 420.995 45.545 421.165 ;
+        RECT 45.835 420.995 46.005 421.165 ;
+        RECT 46.295 420.995 46.465 421.165 ;
+        RECT 46.755 420.995 46.925 421.165 ;
+        RECT 47.215 420.995 47.385 421.165 ;
+        RECT 47.675 420.995 47.845 421.165 ;
+        RECT 48.135 420.995 48.305 421.165 ;
+        RECT 48.595 420.995 48.765 421.165 ;
+        RECT 49.055 420.995 49.225 421.165 ;
+        RECT 49.515 420.995 49.685 421.165 ;
+        RECT 49.975 420.995 50.145 421.165 ;
+        RECT 50.435 420.995 50.605 421.165 ;
+        RECT 50.895 420.995 51.065 421.165 ;
+        RECT 51.355 420.995 51.525 421.165 ;
+        RECT 51.815 420.995 51.985 421.165 ;
+        RECT 52.275 420.995 52.445 421.165 ;
+        RECT 52.735 420.995 52.905 421.165 ;
+        RECT 53.195 420.995 53.365 421.165 ;
+        RECT 53.655 420.995 53.825 421.165 ;
+        RECT 42.615 418.275 42.785 418.445 ;
+        RECT 43.075 418.275 43.245 418.445 ;
+        RECT 43.535 418.275 43.705 418.445 ;
+        RECT 43.995 418.275 44.165 418.445 ;
+        RECT 44.455 418.275 44.625 418.445 ;
+        RECT 44.915 418.275 45.085 418.445 ;
+        RECT 45.375 418.275 45.545 418.445 ;
+        RECT 45.835 418.275 46.005 418.445 ;
+        RECT 46.295 418.275 46.465 418.445 ;
+        RECT 46.755 418.275 46.925 418.445 ;
+        RECT 47.215 418.275 47.385 418.445 ;
+        RECT 47.675 418.275 47.845 418.445 ;
+        RECT 48.135 418.275 48.305 418.445 ;
+        RECT 48.595 418.275 48.765 418.445 ;
+        RECT 49.055 418.275 49.225 418.445 ;
+        RECT 49.515 418.275 49.685 418.445 ;
+        RECT 49.975 418.275 50.145 418.445 ;
+        RECT 50.435 418.275 50.605 418.445 ;
+        RECT 50.895 418.275 51.065 418.445 ;
+        RECT 51.355 418.275 51.525 418.445 ;
+        RECT 51.815 418.275 51.985 418.445 ;
+        RECT 52.275 418.275 52.445 418.445 ;
+        RECT 52.735 418.275 52.905 418.445 ;
+        RECT 53.195 418.275 53.365 418.445 ;
+        RECT 53.655 418.275 53.825 418.445 ;
+        RECT 42.615 415.555 42.785 415.725 ;
+        RECT 43.075 415.555 43.245 415.725 ;
+        RECT 43.535 415.555 43.705 415.725 ;
+        RECT 43.995 415.555 44.165 415.725 ;
+        RECT 44.455 415.555 44.625 415.725 ;
+        RECT 44.915 415.555 45.085 415.725 ;
+        RECT 45.375 415.555 45.545 415.725 ;
+        RECT 45.835 415.555 46.005 415.725 ;
+        RECT 46.295 415.555 46.465 415.725 ;
+        RECT 46.755 415.555 46.925 415.725 ;
+        RECT 47.215 415.555 47.385 415.725 ;
+        RECT 47.675 415.555 47.845 415.725 ;
+        RECT 48.135 415.555 48.305 415.725 ;
+        RECT 48.595 415.555 48.765 415.725 ;
+        RECT 49.055 415.555 49.225 415.725 ;
+        RECT 49.515 415.555 49.685 415.725 ;
+        RECT 49.975 415.555 50.145 415.725 ;
+        RECT 50.435 415.555 50.605 415.725 ;
+        RECT 50.895 415.555 51.065 415.725 ;
+        RECT 51.355 415.555 51.525 415.725 ;
+        RECT 51.815 415.555 51.985 415.725 ;
+        RECT 52.275 415.555 52.445 415.725 ;
+        RECT 52.735 415.555 52.905 415.725 ;
+        RECT 53.195 415.555 53.365 415.725 ;
+        RECT 53.655 415.555 53.825 415.725 ;
+        RECT 42.615 412.835 42.785 413.005 ;
+        RECT 43.075 412.835 43.245 413.005 ;
+        RECT 43.535 412.835 43.705 413.005 ;
+        RECT 43.995 412.835 44.165 413.005 ;
+        RECT 44.455 412.835 44.625 413.005 ;
+        RECT 44.915 412.835 45.085 413.005 ;
+        RECT 45.375 412.835 45.545 413.005 ;
+        RECT 45.835 412.835 46.005 413.005 ;
+        RECT 46.295 412.835 46.465 413.005 ;
+        RECT 46.755 412.835 46.925 413.005 ;
+        RECT 47.215 412.835 47.385 413.005 ;
+        RECT 47.675 412.835 47.845 413.005 ;
+        RECT 48.135 412.835 48.305 413.005 ;
+        RECT 48.595 412.835 48.765 413.005 ;
+        RECT 49.055 412.835 49.225 413.005 ;
+        RECT 49.515 412.835 49.685 413.005 ;
+        RECT 49.975 412.835 50.145 413.005 ;
+        RECT 50.435 412.835 50.605 413.005 ;
+        RECT 50.895 412.835 51.065 413.005 ;
+        RECT 51.355 412.835 51.525 413.005 ;
+        RECT 51.815 412.835 51.985 413.005 ;
+        RECT 52.275 412.835 52.445 413.005 ;
+        RECT 52.735 412.835 52.905 413.005 ;
+        RECT 53.195 412.835 53.365 413.005 ;
+        RECT 53.655 412.835 53.825 413.005 ;
+        RECT 42.615 410.115 42.785 410.285 ;
+        RECT 43.075 410.115 43.245 410.285 ;
+        RECT 43.535 410.115 43.705 410.285 ;
+        RECT 43.995 410.115 44.165 410.285 ;
+        RECT 44.455 410.115 44.625 410.285 ;
+        RECT 44.915 410.115 45.085 410.285 ;
+        RECT 45.375 410.115 45.545 410.285 ;
+        RECT 45.835 410.115 46.005 410.285 ;
+        RECT 46.295 410.115 46.465 410.285 ;
+        RECT 46.755 410.115 46.925 410.285 ;
+        RECT 47.215 410.115 47.385 410.285 ;
+        RECT 47.675 410.115 47.845 410.285 ;
+        RECT 48.135 410.115 48.305 410.285 ;
+        RECT 48.595 410.115 48.765 410.285 ;
+        RECT 49.055 410.115 49.225 410.285 ;
+        RECT 49.515 410.115 49.685 410.285 ;
+        RECT 49.975 410.115 50.145 410.285 ;
+        RECT 50.435 410.115 50.605 410.285 ;
+        RECT 50.895 410.115 51.065 410.285 ;
+        RECT 51.355 410.115 51.525 410.285 ;
+        RECT 51.815 410.115 51.985 410.285 ;
+        RECT 52.275 410.115 52.445 410.285 ;
+        RECT 52.735 410.115 52.905 410.285 ;
+        RECT 53.195 410.115 53.365 410.285 ;
+        RECT 53.655 410.115 53.825 410.285 ;
+        RECT 42.615 407.395 42.785 407.565 ;
+        RECT 43.075 407.395 43.245 407.565 ;
+        RECT 43.535 407.395 43.705 407.565 ;
+        RECT 43.995 407.395 44.165 407.565 ;
+        RECT 44.455 407.395 44.625 407.565 ;
+        RECT 44.915 407.395 45.085 407.565 ;
+        RECT 45.375 407.395 45.545 407.565 ;
+        RECT 45.835 407.395 46.005 407.565 ;
+        RECT 46.295 407.395 46.465 407.565 ;
+        RECT 46.755 407.395 46.925 407.565 ;
+        RECT 47.215 407.395 47.385 407.565 ;
+        RECT 47.675 407.395 47.845 407.565 ;
+        RECT 48.135 407.395 48.305 407.565 ;
+        RECT 48.595 407.395 48.765 407.565 ;
+        RECT 49.055 407.395 49.225 407.565 ;
+        RECT 49.515 407.395 49.685 407.565 ;
+        RECT 49.975 407.395 50.145 407.565 ;
+        RECT 50.435 407.395 50.605 407.565 ;
+        RECT 50.895 407.395 51.065 407.565 ;
+        RECT 51.355 407.395 51.525 407.565 ;
+        RECT 51.815 407.395 51.985 407.565 ;
+        RECT 52.275 407.395 52.445 407.565 ;
+        RECT 52.735 407.395 52.905 407.565 ;
+        RECT 53.195 407.395 53.365 407.565 ;
+        RECT 53.655 407.395 53.825 407.565 ;
+        RECT 42.615 404.675 42.785 404.845 ;
+        RECT 43.075 404.675 43.245 404.845 ;
+        RECT 43.535 404.675 43.705 404.845 ;
+        RECT 43.995 404.675 44.165 404.845 ;
+        RECT 44.455 404.675 44.625 404.845 ;
+        RECT 44.915 404.675 45.085 404.845 ;
+        RECT 45.375 404.675 45.545 404.845 ;
+        RECT 45.835 404.675 46.005 404.845 ;
+        RECT 46.295 404.675 46.465 404.845 ;
+        RECT 46.755 404.675 46.925 404.845 ;
+        RECT 47.215 404.675 47.385 404.845 ;
+        RECT 47.675 404.675 47.845 404.845 ;
+        RECT 48.135 404.675 48.305 404.845 ;
+        RECT 48.595 404.675 48.765 404.845 ;
+        RECT 49.055 404.675 49.225 404.845 ;
+        RECT 49.515 404.675 49.685 404.845 ;
+        RECT 49.975 404.675 50.145 404.845 ;
+        RECT 50.435 404.675 50.605 404.845 ;
+        RECT 50.895 404.675 51.065 404.845 ;
+        RECT 51.355 404.675 51.525 404.845 ;
+        RECT 51.815 404.675 51.985 404.845 ;
+        RECT 52.275 404.675 52.445 404.845 ;
+        RECT 52.735 404.675 52.905 404.845 ;
+        RECT 53.195 404.675 53.365 404.845 ;
+        RECT 53.655 404.675 53.825 404.845 ;
+        RECT 42.615 401.955 42.785 402.125 ;
+        RECT 43.075 401.955 43.245 402.125 ;
+        RECT 43.535 401.955 43.705 402.125 ;
+        RECT 43.995 401.955 44.165 402.125 ;
+        RECT 44.455 401.955 44.625 402.125 ;
+        RECT 44.915 401.955 45.085 402.125 ;
+        RECT 45.375 401.955 45.545 402.125 ;
+        RECT 45.835 401.955 46.005 402.125 ;
+        RECT 46.295 401.955 46.465 402.125 ;
+        RECT 46.755 401.955 46.925 402.125 ;
+        RECT 47.215 401.955 47.385 402.125 ;
+        RECT 47.675 401.955 47.845 402.125 ;
+        RECT 48.135 401.955 48.305 402.125 ;
+        RECT 48.595 401.955 48.765 402.125 ;
+        RECT 49.055 401.955 49.225 402.125 ;
+        RECT 49.515 401.955 49.685 402.125 ;
+        RECT 49.975 401.955 50.145 402.125 ;
+        RECT 50.435 401.955 50.605 402.125 ;
+        RECT 50.895 401.955 51.065 402.125 ;
+        RECT 51.355 401.955 51.525 402.125 ;
+        RECT 51.815 401.955 51.985 402.125 ;
+        RECT 52.275 401.955 52.445 402.125 ;
+        RECT 52.735 401.955 52.905 402.125 ;
+        RECT 53.195 401.955 53.365 402.125 ;
+        RECT 53.655 401.955 53.825 402.125 ;
+        RECT 42.615 399.235 42.785 399.405 ;
+        RECT 43.075 399.235 43.245 399.405 ;
+        RECT 43.535 399.235 43.705 399.405 ;
+        RECT 43.995 399.235 44.165 399.405 ;
+        RECT 44.455 399.235 44.625 399.405 ;
+        RECT 44.915 399.235 45.085 399.405 ;
+        RECT 45.375 399.235 45.545 399.405 ;
+        RECT 45.835 399.235 46.005 399.405 ;
+        RECT 46.295 399.235 46.465 399.405 ;
+        RECT 46.755 399.235 46.925 399.405 ;
+        RECT 47.215 399.235 47.385 399.405 ;
+        RECT 47.675 399.235 47.845 399.405 ;
+        RECT 48.135 399.235 48.305 399.405 ;
+        RECT 48.595 399.235 48.765 399.405 ;
+        RECT 49.055 399.235 49.225 399.405 ;
+        RECT 49.515 399.235 49.685 399.405 ;
+        RECT 49.975 399.235 50.145 399.405 ;
+        RECT 50.435 399.235 50.605 399.405 ;
+        RECT 50.895 399.235 51.065 399.405 ;
+        RECT 51.355 399.235 51.525 399.405 ;
+        RECT 51.815 399.235 51.985 399.405 ;
+        RECT 52.275 399.235 52.445 399.405 ;
+        RECT 52.735 399.235 52.905 399.405 ;
+        RECT 53.195 399.235 53.365 399.405 ;
+        RECT 53.655 399.235 53.825 399.405 ;
+        RECT 42.615 396.515 42.785 396.685 ;
+        RECT 43.075 396.515 43.245 396.685 ;
+        RECT 43.535 396.515 43.705 396.685 ;
+        RECT 43.995 396.515 44.165 396.685 ;
+        RECT 44.455 396.515 44.625 396.685 ;
+        RECT 44.915 396.515 45.085 396.685 ;
+        RECT 45.375 396.515 45.545 396.685 ;
+        RECT 45.835 396.515 46.005 396.685 ;
+        RECT 46.295 396.515 46.465 396.685 ;
+        RECT 46.755 396.515 46.925 396.685 ;
+        RECT 47.215 396.515 47.385 396.685 ;
+        RECT 47.675 396.515 47.845 396.685 ;
+        RECT 48.135 396.515 48.305 396.685 ;
+        RECT 48.595 396.515 48.765 396.685 ;
+        RECT 49.055 396.515 49.225 396.685 ;
+        RECT 49.515 396.515 49.685 396.685 ;
+        RECT 49.975 396.515 50.145 396.685 ;
+        RECT 50.435 396.515 50.605 396.685 ;
+        RECT 50.895 396.515 51.065 396.685 ;
+        RECT 51.355 396.515 51.525 396.685 ;
+        RECT 51.815 396.515 51.985 396.685 ;
+        RECT 52.275 396.515 52.445 396.685 ;
+        RECT 52.735 396.515 52.905 396.685 ;
+        RECT 53.195 396.515 53.365 396.685 ;
+        RECT 53.655 396.515 53.825 396.685 ;
+        RECT 42.615 393.795 42.785 393.965 ;
+        RECT 43.075 393.795 43.245 393.965 ;
+        RECT 43.535 393.795 43.705 393.965 ;
+        RECT 43.995 393.795 44.165 393.965 ;
+        RECT 44.455 393.795 44.625 393.965 ;
+        RECT 44.915 393.795 45.085 393.965 ;
+        RECT 45.375 393.795 45.545 393.965 ;
+        RECT 45.835 393.795 46.005 393.965 ;
+        RECT 46.295 393.795 46.465 393.965 ;
+        RECT 46.755 393.795 46.925 393.965 ;
+        RECT 47.215 393.795 47.385 393.965 ;
+        RECT 47.675 393.795 47.845 393.965 ;
+        RECT 48.135 393.795 48.305 393.965 ;
+        RECT 48.595 393.795 48.765 393.965 ;
+        RECT 49.055 393.795 49.225 393.965 ;
+        RECT 49.515 393.795 49.685 393.965 ;
+        RECT 49.975 393.795 50.145 393.965 ;
+        RECT 50.435 393.795 50.605 393.965 ;
+        RECT 50.895 393.795 51.065 393.965 ;
+        RECT 51.355 393.795 51.525 393.965 ;
+        RECT 51.815 393.795 51.985 393.965 ;
+        RECT 52.275 393.795 52.445 393.965 ;
+        RECT 52.735 393.795 52.905 393.965 ;
+        RECT 53.195 393.795 53.365 393.965 ;
+        RECT 53.655 393.795 53.825 393.965 ;
+        RECT 42.615 391.075 42.785 391.245 ;
+        RECT 43.075 391.075 43.245 391.245 ;
+        RECT 43.535 391.075 43.705 391.245 ;
+        RECT 43.995 391.075 44.165 391.245 ;
+        RECT 44.455 391.075 44.625 391.245 ;
+        RECT 44.915 391.075 45.085 391.245 ;
+        RECT 45.375 391.075 45.545 391.245 ;
+        RECT 45.835 391.075 46.005 391.245 ;
+        RECT 46.295 391.075 46.465 391.245 ;
+        RECT 46.755 391.075 46.925 391.245 ;
+        RECT 47.215 391.075 47.385 391.245 ;
+        RECT 47.675 391.075 47.845 391.245 ;
+        RECT 48.135 391.075 48.305 391.245 ;
+        RECT 48.595 391.075 48.765 391.245 ;
+        RECT 49.055 391.075 49.225 391.245 ;
+        RECT 49.515 391.075 49.685 391.245 ;
+        RECT 49.975 391.075 50.145 391.245 ;
+        RECT 50.435 391.075 50.605 391.245 ;
+        RECT 50.895 391.075 51.065 391.245 ;
+        RECT 51.355 391.075 51.525 391.245 ;
+        RECT 51.815 391.075 51.985 391.245 ;
+        RECT 52.275 391.075 52.445 391.245 ;
+        RECT 52.735 391.075 52.905 391.245 ;
+        RECT 53.195 391.075 53.365 391.245 ;
+        RECT 53.655 391.075 53.825 391.245 ;
+        RECT 42.615 388.355 42.785 388.525 ;
+        RECT 43.075 388.355 43.245 388.525 ;
+        RECT 43.535 388.355 43.705 388.525 ;
+        RECT 43.995 388.355 44.165 388.525 ;
+        RECT 44.455 388.355 44.625 388.525 ;
+        RECT 44.915 388.355 45.085 388.525 ;
+        RECT 45.375 388.355 45.545 388.525 ;
+        RECT 45.835 388.355 46.005 388.525 ;
+        RECT 46.295 388.355 46.465 388.525 ;
+        RECT 46.755 388.355 46.925 388.525 ;
+        RECT 47.215 388.355 47.385 388.525 ;
+        RECT 47.675 388.355 47.845 388.525 ;
+        RECT 48.135 388.355 48.305 388.525 ;
+        RECT 48.595 388.355 48.765 388.525 ;
+        RECT 49.055 388.355 49.225 388.525 ;
+        RECT 49.515 388.355 49.685 388.525 ;
+        RECT 49.975 388.355 50.145 388.525 ;
+        RECT 50.435 388.355 50.605 388.525 ;
+        RECT 50.895 388.355 51.065 388.525 ;
+        RECT 51.355 388.355 51.525 388.525 ;
+        RECT 51.815 388.355 51.985 388.525 ;
+        RECT 52.275 388.355 52.445 388.525 ;
+        RECT 52.735 388.355 52.905 388.525 ;
+        RECT 53.195 388.355 53.365 388.525 ;
+        RECT 53.655 388.355 53.825 388.525 ;
+        RECT 42.615 385.635 42.785 385.805 ;
+        RECT 43.075 385.635 43.245 385.805 ;
+        RECT 43.535 385.635 43.705 385.805 ;
+        RECT 43.995 385.635 44.165 385.805 ;
+        RECT 44.455 385.635 44.625 385.805 ;
+        RECT 44.915 385.635 45.085 385.805 ;
+        RECT 45.375 385.635 45.545 385.805 ;
+        RECT 45.835 385.635 46.005 385.805 ;
+        RECT 46.295 385.635 46.465 385.805 ;
+        RECT 46.755 385.635 46.925 385.805 ;
+        RECT 47.215 385.635 47.385 385.805 ;
+        RECT 47.675 385.635 47.845 385.805 ;
+        RECT 48.135 385.635 48.305 385.805 ;
+        RECT 48.595 385.635 48.765 385.805 ;
+        RECT 49.055 385.635 49.225 385.805 ;
+        RECT 49.515 385.635 49.685 385.805 ;
+        RECT 49.975 385.635 50.145 385.805 ;
+        RECT 50.435 385.635 50.605 385.805 ;
+        RECT 50.895 385.635 51.065 385.805 ;
+        RECT 51.355 385.635 51.525 385.805 ;
+        RECT 51.815 385.635 51.985 385.805 ;
+        RECT 52.275 385.635 52.445 385.805 ;
+        RECT 52.735 385.635 52.905 385.805 ;
+        RECT 53.195 385.635 53.365 385.805 ;
+        RECT 53.655 385.635 53.825 385.805 ;
+        RECT 42.615 382.915 42.785 383.085 ;
+        RECT 43.075 382.915 43.245 383.085 ;
+        RECT 43.535 382.915 43.705 383.085 ;
+        RECT 43.995 382.915 44.165 383.085 ;
+        RECT 44.455 382.915 44.625 383.085 ;
+        RECT 44.915 382.915 45.085 383.085 ;
+        RECT 45.375 382.915 45.545 383.085 ;
+        RECT 45.835 382.915 46.005 383.085 ;
+        RECT 46.295 382.915 46.465 383.085 ;
+        RECT 46.755 382.915 46.925 383.085 ;
+        RECT 47.215 382.915 47.385 383.085 ;
+        RECT 47.675 382.915 47.845 383.085 ;
+        RECT 48.135 382.915 48.305 383.085 ;
+        RECT 48.595 382.915 48.765 383.085 ;
+        RECT 49.055 382.915 49.225 383.085 ;
+        RECT 49.515 382.915 49.685 383.085 ;
+        RECT 49.975 382.915 50.145 383.085 ;
+        RECT 50.435 382.915 50.605 383.085 ;
+        RECT 50.895 382.915 51.065 383.085 ;
+        RECT 51.355 382.915 51.525 383.085 ;
+        RECT 51.815 382.915 51.985 383.085 ;
+        RECT 52.275 382.915 52.445 383.085 ;
+        RECT 52.735 382.915 52.905 383.085 ;
+        RECT 53.195 382.915 53.365 383.085 ;
+        RECT 53.655 382.915 53.825 383.085 ;
+        RECT 42.615 380.195 42.785 380.365 ;
+        RECT 43.075 380.195 43.245 380.365 ;
+        RECT 43.535 380.195 43.705 380.365 ;
+        RECT 43.995 380.195 44.165 380.365 ;
+        RECT 44.455 380.195 44.625 380.365 ;
+        RECT 44.915 380.195 45.085 380.365 ;
+        RECT 45.375 380.195 45.545 380.365 ;
+        RECT 45.835 380.195 46.005 380.365 ;
+        RECT 46.295 380.195 46.465 380.365 ;
+        RECT 46.755 380.195 46.925 380.365 ;
+        RECT 47.215 380.195 47.385 380.365 ;
+        RECT 47.675 380.195 47.845 380.365 ;
+        RECT 48.135 380.195 48.305 380.365 ;
+        RECT 48.595 380.195 48.765 380.365 ;
+        RECT 49.055 380.195 49.225 380.365 ;
+        RECT 49.515 380.195 49.685 380.365 ;
+        RECT 49.975 380.195 50.145 380.365 ;
+        RECT 50.435 380.195 50.605 380.365 ;
+        RECT 50.895 380.195 51.065 380.365 ;
+        RECT 51.355 380.195 51.525 380.365 ;
+        RECT 51.815 380.195 51.985 380.365 ;
+        RECT 52.275 380.195 52.445 380.365 ;
+        RECT 52.735 380.195 52.905 380.365 ;
+        RECT 53.195 380.195 53.365 380.365 ;
+        RECT 53.655 380.195 53.825 380.365 ;
+        RECT 42.615 377.475 42.785 377.645 ;
+        RECT 43.075 377.475 43.245 377.645 ;
+        RECT 43.535 377.475 43.705 377.645 ;
+        RECT 43.995 377.475 44.165 377.645 ;
+        RECT 44.455 377.475 44.625 377.645 ;
+        RECT 44.915 377.475 45.085 377.645 ;
+        RECT 45.375 377.475 45.545 377.645 ;
+        RECT 45.835 377.475 46.005 377.645 ;
+        RECT 46.295 377.475 46.465 377.645 ;
+        RECT 46.755 377.475 46.925 377.645 ;
+        RECT 47.215 377.475 47.385 377.645 ;
+        RECT 47.675 377.475 47.845 377.645 ;
+        RECT 48.135 377.475 48.305 377.645 ;
+        RECT 48.595 377.475 48.765 377.645 ;
+        RECT 49.055 377.475 49.225 377.645 ;
+        RECT 49.515 377.475 49.685 377.645 ;
+        RECT 49.975 377.475 50.145 377.645 ;
+        RECT 50.435 377.475 50.605 377.645 ;
+        RECT 50.895 377.475 51.065 377.645 ;
+        RECT 51.355 377.475 51.525 377.645 ;
+        RECT 51.815 377.475 51.985 377.645 ;
+        RECT 52.275 377.475 52.445 377.645 ;
+        RECT 52.735 377.475 52.905 377.645 ;
+        RECT 53.195 377.475 53.365 377.645 ;
+        RECT 53.655 377.475 53.825 377.645 ;
+        RECT 42.615 374.755 42.785 374.925 ;
+        RECT 43.075 374.755 43.245 374.925 ;
+        RECT 43.535 374.755 43.705 374.925 ;
+        RECT 43.995 374.755 44.165 374.925 ;
+        RECT 44.455 374.755 44.625 374.925 ;
+        RECT 44.915 374.755 45.085 374.925 ;
+        RECT 45.375 374.755 45.545 374.925 ;
+        RECT 45.835 374.755 46.005 374.925 ;
+        RECT 46.295 374.755 46.465 374.925 ;
+        RECT 46.755 374.755 46.925 374.925 ;
+        RECT 47.215 374.755 47.385 374.925 ;
+        RECT 47.675 374.755 47.845 374.925 ;
+        RECT 48.135 374.755 48.305 374.925 ;
+        RECT 48.595 374.755 48.765 374.925 ;
+        RECT 49.055 374.755 49.225 374.925 ;
+        RECT 49.515 374.755 49.685 374.925 ;
+        RECT 49.975 374.755 50.145 374.925 ;
+        RECT 50.435 374.755 50.605 374.925 ;
+        RECT 50.895 374.755 51.065 374.925 ;
+        RECT 51.355 374.755 51.525 374.925 ;
+        RECT 51.815 374.755 51.985 374.925 ;
+        RECT 52.275 374.755 52.445 374.925 ;
+        RECT 52.735 374.755 52.905 374.925 ;
+        RECT 53.195 374.755 53.365 374.925 ;
+        RECT 53.655 374.755 53.825 374.925 ;
+        RECT 42.615 372.035 42.785 372.205 ;
+        RECT 43.075 372.035 43.245 372.205 ;
+        RECT 43.535 372.035 43.705 372.205 ;
+        RECT 43.995 372.035 44.165 372.205 ;
+        RECT 44.455 372.035 44.625 372.205 ;
+        RECT 44.915 372.035 45.085 372.205 ;
+        RECT 45.375 372.035 45.545 372.205 ;
+        RECT 45.835 372.035 46.005 372.205 ;
+        RECT 46.295 372.035 46.465 372.205 ;
+        RECT 46.755 372.035 46.925 372.205 ;
+        RECT 47.215 372.035 47.385 372.205 ;
+        RECT 47.675 372.035 47.845 372.205 ;
+        RECT 48.135 372.035 48.305 372.205 ;
+        RECT 48.595 372.035 48.765 372.205 ;
+        RECT 49.055 372.035 49.225 372.205 ;
+        RECT 49.515 372.035 49.685 372.205 ;
+        RECT 49.975 372.035 50.145 372.205 ;
+        RECT 50.435 372.035 50.605 372.205 ;
+        RECT 50.895 372.035 51.065 372.205 ;
+        RECT 51.355 372.035 51.525 372.205 ;
+        RECT 51.815 372.035 51.985 372.205 ;
+        RECT 52.275 372.035 52.445 372.205 ;
+        RECT 52.735 372.035 52.905 372.205 ;
+        RECT 53.195 372.035 53.365 372.205 ;
+        RECT 53.655 372.035 53.825 372.205 ;
+        RECT 42.615 369.315 42.785 369.485 ;
+        RECT 43.075 369.315 43.245 369.485 ;
+        RECT 43.535 369.315 43.705 369.485 ;
+        RECT 43.995 369.315 44.165 369.485 ;
+        RECT 44.455 369.315 44.625 369.485 ;
+        RECT 44.915 369.315 45.085 369.485 ;
+        RECT 45.375 369.315 45.545 369.485 ;
+        RECT 45.835 369.315 46.005 369.485 ;
+        RECT 46.295 369.315 46.465 369.485 ;
+        RECT 46.755 369.315 46.925 369.485 ;
+        RECT 47.215 369.315 47.385 369.485 ;
+        RECT 47.675 369.315 47.845 369.485 ;
+        RECT 48.135 369.315 48.305 369.485 ;
+        RECT 48.595 369.315 48.765 369.485 ;
+        RECT 49.055 369.315 49.225 369.485 ;
+        RECT 49.515 369.315 49.685 369.485 ;
+        RECT 49.975 369.315 50.145 369.485 ;
+        RECT 50.435 369.315 50.605 369.485 ;
+        RECT 50.895 369.315 51.065 369.485 ;
+        RECT 51.355 369.315 51.525 369.485 ;
+        RECT 51.815 369.315 51.985 369.485 ;
+        RECT 52.275 369.315 52.445 369.485 ;
+        RECT 52.735 369.315 52.905 369.485 ;
+        RECT 53.195 369.315 53.365 369.485 ;
+        RECT 53.655 369.315 53.825 369.485 ;
+        RECT 42.615 366.595 42.785 366.765 ;
+        RECT 43.075 366.595 43.245 366.765 ;
+        RECT 43.535 366.595 43.705 366.765 ;
+        RECT 43.995 366.595 44.165 366.765 ;
+        RECT 44.455 366.595 44.625 366.765 ;
+        RECT 44.915 366.595 45.085 366.765 ;
+        RECT 45.375 366.595 45.545 366.765 ;
+        RECT 45.835 366.595 46.005 366.765 ;
+        RECT 46.295 366.595 46.465 366.765 ;
+        RECT 46.755 366.595 46.925 366.765 ;
+        RECT 47.215 366.595 47.385 366.765 ;
+        RECT 47.675 366.595 47.845 366.765 ;
+        RECT 48.135 366.595 48.305 366.765 ;
+        RECT 48.595 366.595 48.765 366.765 ;
+        RECT 49.055 366.595 49.225 366.765 ;
+        RECT 49.515 366.595 49.685 366.765 ;
+        RECT 49.975 366.595 50.145 366.765 ;
+        RECT 50.435 366.595 50.605 366.765 ;
+        RECT 50.895 366.595 51.065 366.765 ;
+        RECT 51.355 366.595 51.525 366.765 ;
+        RECT 51.815 366.595 51.985 366.765 ;
+        RECT 52.275 366.595 52.445 366.765 ;
+        RECT 52.735 366.595 52.905 366.765 ;
+        RECT 53.195 366.595 53.365 366.765 ;
+        RECT 53.655 366.595 53.825 366.765 ;
+        RECT 42.615 363.875 42.785 364.045 ;
+        RECT 43.075 363.875 43.245 364.045 ;
+        RECT 43.535 363.875 43.705 364.045 ;
+        RECT 43.995 363.875 44.165 364.045 ;
+        RECT 44.455 363.875 44.625 364.045 ;
+        RECT 44.915 363.875 45.085 364.045 ;
+        RECT 45.375 363.875 45.545 364.045 ;
+        RECT 45.835 363.875 46.005 364.045 ;
+        RECT 46.295 363.875 46.465 364.045 ;
+        RECT 46.755 363.875 46.925 364.045 ;
+        RECT 47.215 363.875 47.385 364.045 ;
+        RECT 47.675 363.875 47.845 364.045 ;
+        RECT 48.135 363.875 48.305 364.045 ;
+        RECT 48.595 363.875 48.765 364.045 ;
+        RECT 49.055 363.875 49.225 364.045 ;
+        RECT 49.515 363.875 49.685 364.045 ;
+        RECT 49.975 363.875 50.145 364.045 ;
+        RECT 50.435 363.875 50.605 364.045 ;
+        RECT 50.895 363.875 51.065 364.045 ;
+        RECT 51.355 363.875 51.525 364.045 ;
+        RECT 51.815 363.875 51.985 364.045 ;
+        RECT 52.275 363.875 52.445 364.045 ;
+        RECT 52.735 363.875 52.905 364.045 ;
+        RECT 53.195 363.875 53.365 364.045 ;
+        RECT 53.655 363.875 53.825 364.045 ;
+        RECT 42.615 361.155 42.785 361.325 ;
+        RECT 43.075 361.155 43.245 361.325 ;
+        RECT 43.535 361.155 43.705 361.325 ;
+        RECT 43.995 361.155 44.165 361.325 ;
+        RECT 44.455 361.155 44.625 361.325 ;
+        RECT 44.915 361.155 45.085 361.325 ;
+        RECT 45.375 361.155 45.545 361.325 ;
+        RECT 45.835 361.155 46.005 361.325 ;
+        RECT 46.295 361.155 46.465 361.325 ;
+        RECT 46.755 361.155 46.925 361.325 ;
+        RECT 47.215 361.155 47.385 361.325 ;
+        RECT 47.675 361.155 47.845 361.325 ;
+        RECT 48.135 361.155 48.305 361.325 ;
+        RECT 48.595 361.155 48.765 361.325 ;
+        RECT 49.055 361.155 49.225 361.325 ;
+        RECT 49.515 361.155 49.685 361.325 ;
+        RECT 49.975 361.155 50.145 361.325 ;
+        RECT 50.435 361.155 50.605 361.325 ;
+        RECT 50.895 361.155 51.065 361.325 ;
+        RECT 51.355 361.155 51.525 361.325 ;
+        RECT 51.815 361.155 51.985 361.325 ;
+        RECT 52.275 361.155 52.445 361.325 ;
+        RECT 52.735 361.155 52.905 361.325 ;
+        RECT 53.195 361.155 53.365 361.325 ;
+        RECT 53.655 361.155 53.825 361.325 ;
+        RECT 42.615 358.435 42.785 358.605 ;
+        RECT 43.075 358.435 43.245 358.605 ;
+        RECT 43.535 358.435 43.705 358.605 ;
+        RECT 43.995 358.435 44.165 358.605 ;
+        RECT 44.455 358.435 44.625 358.605 ;
+        RECT 44.915 358.435 45.085 358.605 ;
+        RECT 45.375 358.435 45.545 358.605 ;
+        RECT 45.835 358.435 46.005 358.605 ;
+        RECT 46.295 358.435 46.465 358.605 ;
+        RECT 46.755 358.435 46.925 358.605 ;
+        RECT 47.215 358.435 47.385 358.605 ;
+        RECT 47.675 358.435 47.845 358.605 ;
+        RECT 48.135 358.435 48.305 358.605 ;
+        RECT 48.595 358.435 48.765 358.605 ;
+        RECT 49.055 358.435 49.225 358.605 ;
+        RECT 49.515 358.435 49.685 358.605 ;
+        RECT 49.975 358.435 50.145 358.605 ;
+        RECT 50.435 358.435 50.605 358.605 ;
+        RECT 50.895 358.435 51.065 358.605 ;
+        RECT 51.355 358.435 51.525 358.605 ;
+        RECT 51.815 358.435 51.985 358.605 ;
+        RECT 52.275 358.435 52.445 358.605 ;
+        RECT 52.735 358.435 52.905 358.605 ;
+        RECT 53.195 358.435 53.365 358.605 ;
+        RECT 53.655 358.435 53.825 358.605 ;
+        RECT 42.615 355.715 42.785 355.885 ;
+        RECT 43.075 355.715 43.245 355.885 ;
+        RECT 43.535 355.715 43.705 355.885 ;
+        RECT 43.995 355.715 44.165 355.885 ;
+        RECT 44.455 355.715 44.625 355.885 ;
+        RECT 44.915 355.715 45.085 355.885 ;
+        RECT 45.375 355.715 45.545 355.885 ;
+        RECT 45.835 355.715 46.005 355.885 ;
+        RECT 46.295 355.715 46.465 355.885 ;
+        RECT 46.755 355.715 46.925 355.885 ;
+        RECT 47.215 355.715 47.385 355.885 ;
+        RECT 47.675 355.715 47.845 355.885 ;
+        RECT 48.135 355.715 48.305 355.885 ;
+        RECT 48.595 355.715 48.765 355.885 ;
+        RECT 49.055 355.715 49.225 355.885 ;
+        RECT 49.515 355.715 49.685 355.885 ;
+        RECT 49.975 355.715 50.145 355.885 ;
+        RECT 50.435 355.715 50.605 355.885 ;
+        RECT 50.895 355.715 51.065 355.885 ;
+        RECT 51.355 355.715 51.525 355.885 ;
+        RECT 51.815 355.715 51.985 355.885 ;
+        RECT 52.275 355.715 52.445 355.885 ;
+        RECT 52.735 355.715 52.905 355.885 ;
+        RECT 53.195 355.715 53.365 355.885 ;
+        RECT 53.655 355.715 53.825 355.885 ;
+        RECT 42.615 352.995 42.785 353.165 ;
+        RECT 43.075 352.995 43.245 353.165 ;
+        RECT 43.535 352.995 43.705 353.165 ;
+        RECT 43.995 352.995 44.165 353.165 ;
+        RECT 44.455 352.995 44.625 353.165 ;
+        RECT 44.915 352.995 45.085 353.165 ;
+        RECT 45.375 352.995 45.545 353.165 ;
+        RECT 45.835 352.995 46.005 353.165 ;
+        RECT 46.295 352.995 46.465 353.165 ;
+        RECT 46.755 352.995 46.925 353.165 ;
+        RECT 47.215 352.995 47.385 353.165 ;
+        RECT 47.675 352.995 47.845 353.165 ;
+        RECT 48.135 352.995 48.305 353.165 ;
+        RECT 48.595 352.995 48.765 353.165 ;
+        RECT 49.055 352.995 49.225 353.165 ;
+        RECT 49.515 352.995 49.685 353.165 ;
+        RECT 49.975 352.995 50.145 353.165 ;
+        RECT 50.435 352.995 50.605 353.165 ;
+        RECT 50.895 352.995 51.065 353.165 ;
+        RECT 51.355 352.995 51.525 353.165 ;
+        RECT 51.815 352.995 51.985 353.165 ;
+        RECT 52.275 352.995 52.445 353.165 ;
+        RECT 52.735 352.995 52.905 353.165 ;
+        RECT 53.195 352.995 53.365 353.165 ;
+        RECT 53.655 352.995 53.825 353.165 ;
+        RECT 42.615 350.275 42.785 350.445 ;
+        RECT 43.075 350.275 43.245 350.445 ;
+        RECT 43.535 350.275 43.705 350.445 ;
+        RECT 43.995 350.275 44.165 350.445 ;
+        RECT 44.455 350.275 44.625 350.445 ;
+        RECT 44.915 350.275 45.085 350.445 ;
+        RECT 45.375 350.275 45.545 350.445 ;
+        RECT 45.835 350.275 46.005 350.445 ;
+        RECT 46.295 350.275 46.465 350.445 ;
+        RECT 46.755 350.275 46.925 350.445 ;
+        RECT 47.215 350.275 47.385 350.445 ;
+        RECT 47.675 350.275 47.845 350.445 ;
+        RECT 48.135 350.275 48.305 350.445 ;
+        RECT 48.595 350.275 48.765 350.445 ;
+        RECT 49.055 350.275 49.225 350.445 ;
+        RECT 49.515 350.275 49.685 350.445 ;
+        RECT 49.975 350.275 50.145 350.445 ;
+        RECT 50.435 350.275 50.605 350.445 ;
+        RECT 50.895 350.275 51.065 350.445 ;
+        RECT 51.355 350.275 51.525 350.445 ;
+        RECT 51.815 350.275 51.985 350.445 ;
+        RECT 52.275 350.275 52.445 350.445 ;
+        RECT 52.735 350.275 52.905 350.445 ;
+        RECT 53.195 350.275 53.365 350.445 ;
+        RECT 53.655 350.275 53.825 350.445 ;
+        RECT 42.615 347.555 42.785 347.725 ;
+        RECT 43.075 347.555 43.245 347.725 ;
+        RECT 43.535 347.555 43.705 347.725 ;
+        RECT 43.995 347.555 44.165 347.725 ;
+        RECT 44.455 347.555 44.625 347.725 ;
+        RECT 44.915 347.555 45.085 347.725 ;
+        RECT 45.375 347.555 45.545 347.725 ;
+        RECT 45.835 347.555 46.005 347.725 ;
+        RECT 46.295 347.555 46.465 347.725 ;
+        RECT 46.755 347.555 46.925 347.725 ;
+        RECT 47.215 347.555 47.385 347.725 ;
+        RECT 47.675 347.555 47.845 347.725 ;
+        RECT 48.135 347.555 48.305 347.725 ;
+        RECT 48.595 347.555 48.765 347.725 ;
+        RECT 49.055 347.555 49.225 347.725 ;
+        RECT 49.515 347.555 49.685 347.725 ;
+        RECT 49.975 347.555 50.145 347.725 ;
+        RECT 50.435 347.555 50.605 347.725 ;
+        RECT 50.895 347.555 51.065 347.725 ;
+        RECT 51.355 347.555 51.525 347.725 ;
+        RECT 51.815 347.555 51.985 347.725 ;
+        RECT 52.275 347.555 52.445 347.725 ;
+        RECT 52.735 347.555 52.905 347.725 ;
+        RECT 53.195 347.555 53.365 347.725 ;
+        RECT 53.655 347.555 53.825 347.725 ;
+        RECT 42.615 344.835 42.785 345.005 ;
+        RECT 43.075 344.835 43.245 345.005 ;
+        RECT 43.535 344.835 43.705 345.005 ;
+        RECT 43.995 344.835 44.165 345.005 ;
+        RECT 44.455 344.835 44.625 345.005 ;
+        RECT 44.915 344.835 45.085 345.005 ;
+        RECT 45.375 344.835 45.545 345.005 ;
+        RECT 45.835 344.835 46.005 345.005 ;
+        RECT 46.295 344.835 46.465 345.005 ;
+        RECT 46.755 344.835 46.925 345.005 ;
+        RECT 47.215 344.835 47.385 345.005 ;
+        RECT 47.675 344.835 47.845 345.005 ;
+        RECT 48.135 344.835 48.305 345.005 ;
+        RECT 48.595 344.835 48.765 345.005 ;
+        RECT 49.055 344.835 49.225 345.005 ;
+        RECT 49.515 344.835 49.685 345.005 ;
+        RECT 49.975 344.835 50.145 345.005 ;
+        RECT 50.435 344.835 50.605 345.005 ;
+        RECT 50.895 344.835 51.065 345.005 ;
+        RECT 51.355 344.835 51.525 345.005 ;
+        RECT 51.815 344.835 51.985 345.005 ;
+        RECT 52.275 344.835 52.445 345.005 ;
+        RECT 52.735 344.835 52.905 345.005 ;
+        RECT 53.195 344.835 53.365 345.005 ;
+        RECT 53.655 344.835 53.825 345.005 ;
+        RECT 42.615 342.115 42.785 342.285 ;
+        RECT 43.075 342.115 43.245 342.285 ;
+        RECT 43.535 342.115 43.705 342.285 ;
+        RECT 43.995 342.115 44.165 342.285 ;
+        RECT 44.455 342.115 44.625 342.285 ;
+        RECT 44.915 342.115 45.085 342.285 ;
+        RECT 45.375 342.115 45.545 342.285 ;
+        RECT 45.835 342.115 46.005 342.285 ;
+        RECT 46.295 342.115 46.465 342.285 ;
+        RECT 46.755 342.115 46.925 342.285 ;
+        RECT 47.215 342.115 47.385 342.285 ;
+        RECT 47.675 342.115 47.845 342.285 ;
+        RECT 48.135 342.115 48.305 342.285 ;
+        RECT 48.595 342.115 48.765 342.285 ;
+        RECT 49.055 342.115 49.225 342.285 ;
+        RECT 49.515 342.115 49.685 342.285 ;
+        RECT 49.975 342.115 50.145 342.285 ;
+        RECT 50.435 342.115 50.605 342.285 ;
+        RECT 50.895 342.115 51.065 342.285 ;
+        RECT 51.355 342.115 51.525 342.285 ;
+        RECT 51.815 342.115 51.985 342.285 ;
+        RECT 52.275 342.115 52.445 342.285 ;
+        RECT 52.735 342.115 52.905 342.285 ;
+        RECT 53.195 342.115 53.365 342.285 ;
+        RECT 53.655 342.115 53.825 342.285 ;
+        RECT 42.615 339.395 42.785 339.565 ;
+        RECT 43.075 339.395 43.245 339.565 ;
+        RECT 43.535 339.395 43.705 339.565 ;
+        RECT 43.995 339.395 44.165 339.565 ;
+        RECT 44.455 339.395 44.625 339.565 ;
+        RECT 44.915 339.395 45.085 339.565 ;
+        RECT 45.375 339.395 45.545 339.565 ;
+        RECT 45.835 339.395 46.005 339.565 ;
+        RECT 46.295 339.395 46.465 339.565 ;
+        RECT 46.755 339.395 46.925 339.565 ;
+        RECT 47.215 339.395 47.385 339.565 ;
+        RECT 47.675 339.395 47.845 339.565 ;
+        RECT 48.135 339.395 48.305 339.565 ;
+        RECT 48.595 339.395 48.765 339.565 ;
+        RECT 49.055 339.395 49.225 339.565 ;
+        RECT 49.515 339.395 49.685 339.565 ;
+        RECT 49.975 339.395 50.145 339.565 ;
+        RECT 50.435 339.395 50.605 339.565 ;
+        RECT 50.895 339.395 51.065 339.565 ;
+        RECT 51.355 339.395 51.525 339.565 ;
+        RECT 51.815 339.395 51.985 339.565 ;
+        RECT 52.275 339.395 52.445 339.565 ;
+        RECT 52.735 339.395 52.905 339.565 ;
+        RECT 53.195 339.395 53.365 339.565 ;
+        RECT 53.655 339.395 53.825 339.565 ;
+        RECT 42.615 336.675 42.785 336.845 ;
+        RECT 43.075 336.675 43.245 336.845 ;
+        RECT 43.535 336.675 43.705 336.845 ;
+        RECT 43.995 336.675 44.165 336.845 ;
+        RECT 44.455 336.675 44.625 336.845 ;
+        RECT 44.915 336.675 45.085 336.845 ;
+        RECT 45.375 336.675 45.545 336.845 ;
+        RECT 45.835 336.675 46.005 336.845 ;
+        RECT 46.295 336.675 46.465 336.845 ;
+        RECT 46.755 336.675 46.925 336.845 ;
+        RECT 47.215 336.675 47.385 336.845 ;
+        RECT 47.675 336.675 47.845 336.845 ;
+        RECT 48.135 336.675 48.305 336.845 ;
+        RECT 48.595 336.675 48.765 336.845 ;
+        RECT 49.055 336.675 49.225 336.845 ;
+        RECT 49.515 336.675 49.685 336.845 ;
+        RECT 49.975 336.675 50.145 336.845 ;
+        RECT 50.435 336.675 50.605 336.845 ;
+        RECT 50.895 336.675 51.065 336.845 ;
+        RECT 51.355 336.675 51.525 336.845 ;
+        RECT 51.815 336.675 51.985 336.845 ;
+        RECT 52.275 336.675 52.445 336.845 ;
+        RECT 52.735 336.675 52.905 336.845 ;
+        RECT 53.195 336.675 53.365 336.845 ;
+        RECT 53.655 336.675 53.825 336.845 ;
+        RECT 42.615 333.955 42.785 334.125 ;
+        RECT 43.075 333.955 43.245 334.125 ;
+        RECT 43.535 333.955 43.705 334.125 ;
+        RECT 43.995 333.955 44.165 334.125 ;
+        RECT 44.455 333.955 44.625 334.125 ;
+        RECT 44.915 333.955 45.085 334.125 ;
+        RECT 45.375 333.955 45.545 334.125 ;
+        RECT 45.835 333.955 46.005 334.125 ;
+        RECT 46.295 333.955 46.465 334.125 ;
+        RECT 46.755 333.955 46.925 334.125 ;
+        RECT 47.215 333.955 47.385 334.125 ;
+        RECT 47.675 333.955 47.845 334.125 ;
+        RECT 48.135 333.955 48.305 334.125 ;
+        RECT 48.595 333.955 48.765 334.125 ;
+        RECT 49.055 333.955 49.225 334.125 ;
+        RECT 49.515 333.955 49.685 334.125 ;
+        RECT 49.975 333.955 50.145 334.125 ;
+        RECT 50.435 333.955 50.605 334.125 ;
+        RECT 50.895 333.955 51.065 334.125 ;
+        RECT 51.355 333.955 51.525 334.125 ;
+        RECT 51.815 333.955 51.985 334.125 ;
+        RECT 52.275 333.955 52.445 334.125 ;
+        RECT 52.735 333.955 52.905 334.125 ;
+        RECT 53.195 333.955 53.365 334.125 ;
+        RECT 53.655 333.955 53.825 334.125 ;
+        RECT 42.615 331.235 42.785 331.405 ;
+        RECT 43.075 331.235 43.245 331.405 ;
+        RECT 43.535 331.235 43.705 331.405 ;
+        RECT 43.995 331.235 44.165 331.405 ;
+        RECT 44.455 331.235 44.625 331.405 ;
+        RECT 44.915 331.235 45.085 331.405 ;
+        RECT 45.375 331.235 45.545 331.405 ;
+        RECT 45.835 331.235 46.005 331.405 ;
+        RECT 46.295 331.235 46.465 331.405 ;
+        RECT 46.755 331.235 46.925 331.405 ;
+        RECT 47.215 331.235 47.385 331.405 ;
+        RECT 47.675 331.235 47.845 331.405 ;
+        RECT 48.135 331.235 48.305 331.405 ;
+        RECT 48.595 331.235 48.765 331.405 ;
+        RECT 49.055 331.235 49.225 331.405 ;
+        RECT 49.515 331.235 49.685 331.405 ;
+        RECT 49.975 331.235 50.145 331.405 ;
+        RECT 50.435 331.235 50.605 331.405 ;
+        RECT 50.895 331.235 51.065 331.405 ;
+        RECT 51.355 331.235 51.525 331.405 ;
+        RECT 51.815 331.235 51.985 331.405 ;
+        RECT 52.275 331.235 52.445 331.405 ;
+        RECT 52.735 331.235 52.905 331.405 ;
+        RECT 53.195 331.235 53.365 331.405 ;
+        RECT 53.655 331.235 53.825 331.405 ;
+        RECT 42.615 328.515 42.785 328.685 ;
+        RECT 43.075 328.515 43.245 328.685 ;
+        RECT 43.535 328.515 43.705 328.685 ;
+        RECT 43.995 328.515 44.165 328.685 ;
+        RECT 44.455 328.515 44.625 328.685 ;
+        RECT 44.915 328.515 45.085 328.685 ;
+        RECT 45.375 328.515 45.545 328.685 ;
+        RECT 45.835 328.515 46.005 328.685 ;
+        RECT 46.295 328.515 46.465 328.685 ;
+        RECT 46.755 328.515 46.925 328.685 ;
+        RECT 47.215 328.515 47.385 328.685 ;
+        RECT 47.675 328.515 47.845 328.685 ;
+        RECT 48.135 328.515 48.305 328.685 ;
+        RECT 48.595 328.515 48.765 328.685 ;
+        RECT 49.055 328.515 49.225 328.685 ;
+        RECT 49.515 328.515 49.685 328.685 ;
+        RECT 49.975 328.515 50.145 328.685 ;
+        RECT 50.435 328.515 50.605 328.685 ;
+        RECT 50.895 328.515 51.065 328.685 ;
+        RECT 51.355 328.515 51.525 328.685 ;
+        RECT 51.815 328.515 51.985 328.685 ;
+        RECT 52.275 328.515 52.445 328.685 ;
+        RECT 52.735 328.515 52.905 328.685 ;
+        RECT 53.195 328.515 53.365 328.685 ;
+        RECT 53.655 328.515 53.825 328.685 ;
+        RECT 42.615 325.795 42.785 325.965 ;
+        RECT 43.075 325.795 43.245 325.965 ;
+        RECT 43.535 325.795 43.705 325.965 ;
+        RECT 43.995 325.795 44.165 325.965 ;
+        RECT 44.455 325.795 44.625 325.965 ;
+        RECT 44.915 325.795 45.085 325.965 ;
+        RECT 45.375 325.795 45.545 325.965 ;
+        RECT 45.835 325.795 46.005 325.965 ;
+        RECT 46.295 325.795 46.465 325.965 ;
+        RECT 46.755 325.795 46.925 325.965 ;
+        RECT 47.215 325.795 47.385 325.965 ;
+        RECT 47.675 325.795 47.845 325.965 ;
+        RECT 48.135 325.795 48.305 325.965 ;
+        RECT 48.595 325.795 48.765 325.965 ;
+        RECT 49.055 325.795 49.225 325.965 ;
+        RECT 49.515 325.795 49.685 325.965 ;
+        RECT 49.975 325.795 50.145 325.965 ;
+        RECT 50.435 325.795 50.605 325.965 ;
+        RECT 50.895 325.795 51.065 325.965 ;
+        RECT 51.355 325.795 51.525 325.965 ;
+        RECT 51.815 325.795 51.985 325.965 ;
+        RECT 52.275 325.795 52.445 325.965 ;
+        RECT 52.735 325.795 52.905 325.965 ;
+        RECT 53.195 325.795 53.365 325.965 ;
+        RECT 53.655 325.795 53.825 325.965 ;
+        RECT 42.615 323.075 42.785 323.245 ;
+        RECT 43.075 323.075 43.245 323.245 ;
+        RECT 43.535 323.075 43.705 323.245 ;
+        RECT 43.995 323.075 44.165 323.245 ;
+        RECT 44.455 323.075 44.625 323.245 ;
+        RECT 44.915 323.075 45.085 323.245 ;
+        RECT 45.375 323.075 45.545 323.245 ;
+        RECT 45.835 323.075 46.005 323.245 ;
+        RECT 46.295 323.075 46.465 323.245 ;
+        RECT 46.755 323.075 46.925 323.245 ;
+        RECT 47.215 323.075 47.385 323.245 ;
+        RECT 47.675 323.075 47.845 323.245 ;
+        RECT 48.135 323.075 48.305 323.245 ;
+        RECT 48.595 323.075 48.765 323.245 ;
+        RECT 49.055 323.075 49.225 323.245 ;
+        RECT 49.515 323.075 49.685 323.245 ;
+        RECT 49.975 323.075 50.145 323.245 ;
+        RECT 50.435 323.075 50.605 323.245 ;
+        RECT 50.895 323.075 51.065 323.245 ;
+        RECT 51.355 323.075 51.525 323.245 ;
+        RECT 51.815 323.075 51.985 323.245 ;
+        RECT 52.275 323.075 52.445 323.245 ;
+        RECT 52.735 323.075 52.905 323.245 ;
+        RECT 53.195 323.075 53.365 323.245 ;
+        RECT 53.655 323.075 53.825 323.245 ;
+        RECT 42.615 320.355 42.785 320.525 ;
+        RECT 43.075 320.355 43.245 320.525 ;
+        RECT 43.535 320.355 43.705 320.525 ;
+        RECT 43.995 320.355 44.165 320.525 ;
+        RECT 44.455 320.355 44.625 320.525 ;
+        RECT 44.915 320.355 45.085 320.525 ;
+        RECT 45.375 320.355 45.545 320.525 ;
+        RECT 45.835 320.355 46.005 320.525 ;
+        RECT 46.295 320.355 46.465 320.525 ;
+        RECT 46.755 320.355 46.925 320.525 ;
+        RECT 47.215 320.355 47.385 320.525 ;
+        RECT 47.675 320.355 47.845 320.525 ;
+        RECT 48.135 320.355 48.305 320.525 ;
+        RECT 48.595 320.355 48.765 320.525 ;
+        RECT 49.055 320.355 49.225 320.525 ;
+        RECT 49.515 320.355 49.685 320.525 ;
+        RECT 49.975 320.355 50.145 320.525 ;
+        RECT 50.435 320.355 50.605 320.525 ;
+        RECT 50.895 320.355 51.065 320.525 ;
+        RECT 51.355 320.355 51.525 320.525 ;
+        RECT 51.815 320.355 51.985 320.525 ;
+        RECT 52.275 320.355 52.445 320.525 ;
+        RECT 52.735 320.355 52.905 320.525 ;
+        RECT 53.195 320.355 53.365 320.525 ;
+        RECT 53.655 320.355 53.825 320.525 ;
+        RECT 42.615 317.635 42.785 317.805 ;
+        RECT 43.075 317.635 43.245 317.805 ;
+        RECT 43.535 317.635 43.705 317.805 ;
+        RECT 43.995 317.635 44.165 317.805 ;
+        RECT 44.455 317.635 44.625 317.805 ;
+        RECT 44.915 317.635 45.085 317.805 ;
+        RECT 45.375 317.635 45.545 317.805 ;
+        RECT 45.835 317.635 46.005 317.805 ;
+        RECT 46.295 317.635 46.465 317.805 ;
+        RECT 46.755 317.635 46.925 317.805 ;
+        RECT 47.215 317.635 47.385 317.805 ;
+        RECT 47.675 317.635 47.845 317.805 ;
+        RECT 48.135 317.635 48.305 317.805 ;
+        RECT 48.595 317.635 48.765 317.805 ;
+        RECT 49.055 317.635 49.225 317.805 ;
+        RECT 49.515 317.635 49.685 317.805 ;
+        RECT 49.975 317.635 50.145 317.805 ;
+        RECT 50.435 317.635 50.605 317.805 ;
+        RECT 50.895 317.635 51.065 317.805 ;
+        RECT 51.355 317.635 51.525 317.805 ;
+        RECT 51.815 317.635 51.985 317.805 ;
+        RECT 52.275 317.635 52.445 317.805 ;
+        RECT 52.735 317.635 52.905 317.805 ;
+        RECT 53.195 317.635 53.365 317.805 ;
+        RECT 53.655 317.635 53.825 317.805 ;
+        RECT 42.615 314.915 42.785 315.085 ;
+        RECT 43.075 314.915 43.245 315.085 ;
+        RECT 43.535 314.915 43.705 315.085 ;
+        RECT 43.995 314.915 44.165 315.085 ;
+        RECT 44.455 314.915 44.625 315.085 ;
+        RECT 44.915 314.915 45.085 315.085 ;
+        RECT 45.375 314.915 45.545 315.085 ;
+        RECT 45.835 314.915 46.005 315.085 ;
+        RECT 46.295 314.915 46.465 315.085 ;
+        RECT 46.755 314.915 46.925 315.085 ;
+        RECT 47.215 314.915 47.385 315.085 ;
+        RECT 47.675 314.915 47.845 315.085 ;
+        RECT 48.135 314.915 48.305 315.085 ;
+        RECT 48.595 314.915 48.765 315.085 ;
+        RECT 49.055 314.915 49.225 315.085 ;
+        RECT 49.515 314.915 49.685 315.085 ;
+        RECT 49.975 314.915 50.145 315.085 ;
+        RECT 50.435 314.915 50.605 315.085 ;
+        RECT 50.895 314.915 51.065 315.085 ;
+        RECT 51.355 314.915 51.525 315.085 ;
+        RECT 51.815 314.915 51.985 315.085 ;
+        RECT 52.275 314.915 52.445 315.085 ;
+        RECT 52.735 314.915 52.905 315.085 ;
+        RECT 53.195 314.915 53.365 315.085 ;
+        RECT 53.655 314.915 53.825 315.085 ;
+        RECT 42.615 312.195 42.785 312.365 ;
+        RECT 43.075 312.195 43.245 312.365 ;
+        RECT 43.535 312.195 43.705 312.365 ;
+        RECT 43.995 312.195 44.165 312.365 ;
+        RECT 44.455 312.195 44.625 312.365 ;
+        RECT 44.915 312.195 45.085 312.365 ;
+        RECT 45.375 312.195 45.545 312.365 ;
+        RECT 45.835 312.195 46.005 312.365 ;
+        RECT 46.295 312.195 46.465 312.365 ;
+        RECT 46.755 312.195 46.925 312.365 ;
+        RECT 47.215 312.195 47.385 312.365 ;
+        RECT 47.675 312.195 47.845 312.365 ;
+        RECT 48.135 312.195 48.305 312.365 ;
+        RECT 48.595 312.195 48.765 312.365 ;
+        RECT 49.055 312.195 49.225 312.365 ;
+        RECT 49.515 312.195 49.685 312.365 ;
+        RECT 49.975 312.195 50.145 312.365 ;
+        RECT 50.435 312.195 50.605 312.365 ;
+        RECT 50.895 312.195 51.065 312.365 ;
+        RECT 51.355 312.195 51.525 312.365 ;
+        RECT 51.815 312.195 51.985 312.365 ;
+        RECT 52.275 312.195 52.445 312.365 ;
+        RECT 52.735 312.195 52.905 312.365 ;
+        RECT 53.195 312.195 53.365 312.365 ;
+        RECT 53.655 312.195 53.825 312.365 ;
+        RECT 42.615 309.475 42.785 309.645 ;
+        RECT 43.075 309.475 43.245 309.645 ;
+        RECT 43.535 309.475 43.705 309.645 ;
+        RECT 43.995 309.475 44.165 309.645 ;
+        RECT 44.455 309.475 44.625 309.645 ;
+        RECT 44.915 309.475 45.085 309.645 ;
+        RECT 45.375 309.475 45.545 309.645 ;
+        RECT 45.835 309.475 46.005 309.645 ;
+        RECT 46.295 309.475 46.465 309.645 ;
+        RECT 46.755 309.475 46.925 309.645 ;
+        RECT 47.215 309.475 47.385 309.645 ;
+        RECT 47.675 309.475 47.845 309.645 ;
+        RECT 48.135 309.475 48.305 309.645 ;
+        RECT 48.595 309.475 48.765 309.645 ;
+        RECT 49.055 309.475 49.225 309.645 ;
+        RECT 49.515 309.475 49.685 309.645 ;
+        RECT 49.975 309.475 50.145 309.645 ;
+        RECT 50.435 309.475 50.605 309.645 ;
+        RECT 50.895 309.475 51.065 309.645 ;
+        RECT 51.355 309.475 51.525 309.645 ;
+        RECT 51.815 309.475 51.985 309.645 ;
+        RECT 52.275 309.475 52.445 309.645 ;
+        RECT 52.735 309.475 52.905 309.645 ;
+        RECT 53.195 309.475 53.365 309.645 ;
+        RECT 53.655 309.475 53.825 309.645 ;
+        RECT 42.615 306.755 42.785 306.925 ;
+        RECT 43.075 306.755 43.245 306.925 ;
+        RECT 43.535 306.755 43.705 306.925 ;
+        RECT 43.995 306.755 44.165 306.925 ;
+        RECT 44.455 306.755 44.625 306.925 ;
+        RECT 44.915 306.755 45.085 306.925 ;
+        RECT 45.375 306.755 45.545 306.925 ;
+        RECT 45.835 306.755 46.005 306.925 ;
+        RECT 46.295 306.755 46.465 306.925 ;
+        RECT 46.755 306.755 46.925 306.925 ;
+        RECT 47.215 306.755 47.385 306.925 ;
+        RECT 47.675 306.755 47.845 306.925 ;
+        RECT 48.135 306.755 48.305 306.925 ;
+        RECT 48.595 306.755 48.765 306.925 ;
+        RECT 49.055 306.755 49.225 306.925 ;
+        RECT 49.515 306.755 49.685 306.925 ;
+        RECT 49.975 306.755 50.145 306.925 ;
+        RECT 50.435 306.755 50.605 306.925 ;
+        RECT 50.895 306.755 51.065 306.925 ;
+        RECT 51.355 306.755 51.525 306.925 ;
+        RECT 51.815 306.755 51.985 306.925 ;
+        RECT 52.275 306.755 52.445 306.925 ;
+        RECT 52.735 306.755 52.905 306.925 ;
+        RECT 53.195 306.755 53.365 306.925 ;
+        RECT 53.655 306.755 53.825 306.925 ;
+        RECT 42.615 304.035 42.785 304.205 ;
+        RECT 43.075 304.035 43.245 304.205 ;
+        RECT 43.535 304.035 43.705 304.205 ;
+        RECT 43.995 304.035 44.165 304.205 ;
+        RECT 44.455 304.035 44.625 304.205 ;
+        RECT 44.915 304.035 45.085 304.205 ;
+        RECT 45.375 304.035 45.545 304.205 ;
+        RECT 45.835 304.035 46.005 304.205 ;
+        RECT 46.295 304.035 46.465 304.205 ;
+        RECT 46.755 304.035 46.925 304.205 ;
+        RECT 47.215 304.035 47.385 304.205 ;
+        RECT 47.675 304.035 47.845 304.205 ;
+        RECT 48.135 304.035 48.305 304.205 ;
+        RECT 48.595 304.035 48.765 304.205 ;
+        RECT 49.055 304.035 49.225 304.205 ;
+        RECT 49.515 304.035 49.685 304.205 ;
+        RECT 49.975 304.035 50.145 304.205 ;
+        RECT 50.435 304.035 50.605 304.205 ;
+        RECT 50.895 304.035 51.065 304.205 ;
+        RECT 51.355 304.035 51.525 304.205 ;
+        RECT 51.815 304.035 51.985 304.205 ;
+        RECT 52.275 304.035 52.445 304.205 ;
+        RECT 52.735 304.035 52.905 304.205 ;
+        RECT 53.195 304.035 53.365 304.205 ;
+        RECT 53.655 304.035 53.825 304.205 ;
+        RECT 42.615 301.315 42.785 301.485 ;
+        RECT 43.075 301.315 43.245 301.485 ;
+        RECT 43.535 301.315 43.705 301.485 ;
+        RECT 43.995 301.315 44.165 301.485 ;
+        RECT 44.455 301.315 44.625 301.485 ;
+        RECT 44.915 301.315 45.085 301.485 ;
+        RECT 45.375 301.315 45.545 301.485 ;
+        RECT 45.835 301.315 46.005 301.485 ;
+        RECT 46.295 301.315 46.465 301.485 ;
+        RECT 46.755 301.315 46.925 301.485 ;
+        RECT 47.215 301.315 47.385 301.485 ;
+        RECT 47.675 301.315 47.845 301.485 ;
+        RECT 48.135 301.315 48.305 301.485 ;
+        RECT 48.595 301.315 48.765 301.485 ;
+        RECT 49.055 301.315 49.225 301.485 ;
+        RECT 49.515 301.315 49.685 301.485 ;
+        RECT 49.975 301.315 50.145 301.485 ;
+        RECT 50.435 301.315 50.605 301.485 ;
+        RECT 50.895 301.315 51.065 301.485 ;
+        RECT 51.355 301.315 51.525 301.485 ;
+        RECT 51.815 301.315 51.985 301.485 ;
+        RECT 52.275 301.315 52.445 301.485 ;
+        RECT 52.735 301.315 52.905 301.485 ;
+        RECT 53.195 301.315 53.365 301.485 ;
+        RECT 53.655 301.315 53.825 301.485 ;
+        RECT 42.615 298.595 42.785 298.765 ;
+        RECT 43.075 298.595 43.245 298.765 ;
+        RECT 43.535 298.595 43.705 298.765 ;
+        RECT 43.995 298.595 44.165 298.765 ;
+        RECT 44.455 298.595 44.625 298.765 ;
+        RECT 44.915 298.595 45.085 298.765 ;
+        RECT 45.375 298.595 45.545 298.765 ;
+        RECT 45.835 298.595 46.005 298.765 ;
+        RECT 46.295 298.595 46.465 298.765 ;
+        RECT 46.755 298.595 46.925 298.765 ;
+        RECT 47.215 298.595 47.385 298.765 ;
+        RECT 47.675 298.595 47.845 298.765 ;
+        RECT 48.135 298.595 48.305 298.765 ;
+        RECT 48.595 298.595 48.765 298.765 ;
+        RECT 49.055 298.595 49.225 298.765 ;
+        RECT 49.515 298.595 49.685 298.765 ;
+        RECT 49.975 298.595 50.145 298.765 ;
+        RECT 50.435 298.595 50.605 298.765 ;
+        RECT 50.895 298.595 51.065 298.765 ;
+        RECT 51.355 298.595 51.525 298.765 ;
+        RECT 51.815 298.595 51.985 298.765 ;
+        RECT 52.275 298.595 52.445 298.765 ;
+        RECT 52.735 298.595 52.905 298.765 ;
+        RECT 53.195 298.595 53.365 298.765 ;
+        RECT 53.655 298.595 53.825 298.765 ;
+        RECT 42.615 295.875 42.785 296.045 ;
+        RECT 43.075 295.875 43.245 296.045 ;
+        RECT 43.535 295.875 43.705 296.045 ;
+        RECT 43.995 295.875 44.165 296.045 ;
+        RECT 44.455 295.875 44.625 296.045 ;
+        RECT 44.915 295.875 45.085 296.045 ;
+        RECT 45.375 295.875 45.545 296.045 ;
+        RECT 45.835 295.875 46.005 296.045 ;
+        RECT 46.295 295.875 46.465 296.045 ;
+        RECT 46.755 295.875 46.925 296.045 ;
+        RECT 47.215 295.875 47.385 296.045 ;
+        RECT 47.675 295.875 47.845 296.045 ;
+        RECT 48.135 295.875 48.305 296.045 ;
+        RECT 48.595 295.875 48.765 296.045 ;
+        RECT 49.055 295.875 49.225 296.045 ;
+        RECT 49.515 295.875 49.685 296.045 ;
+        RECT 49.975 295.875 50.145 296.045 ;
+        RECT 50.435 295.875 50.605 296.045 ;
+        RECT 50.895 295.875 51.065 296.045 ;
+        RECT 51.355 295.875 51.525 296.045 ;
+        RECT 51.815 295.875 51.985 296.045 ;
+        RECT 52.275 295.875 52.445 296.045 ;
+        RECT 52.735 295.875 52.905 296.045 ;
+        RECT 53.195 295.875 53.365 296.045 ;
+        RECT 53.655 295.875 53.825 296.045 ;
+        RECT 42.615 293.155 42.785 293.325 ;
+        RECT 43.075 293.155 43.245 293.325 ;
+        RECT 43.535 293.155 43.705 293.325 ;
+        RECT 43.995 293.155 44.165 293.325 ;
+        RECT 44.455 293.155 44.625 293.325 ;
+        RECT 44.915 293.155 45.085 293.325 ;
+        RECT 45.375 293.155 45.545 293.325 ;
+        RECT 45.835 293.155 46.005 293.325 ;
+        RECT 46.295 293.155 46.465 293.325 ;
+        RECT 46.755 293.155 46.925 293.325 ;
+        RECT 47.215 293.155 47.385 293.325 ;
+        RECT 47.675 293.155 47.845 293.325 ;
+        RECT 48.135 293.155 48.305 293.325 ;
+        RECT 48.595 293.155 48.765 293.325 ;
+        RECT 49.055 293.155 49.225 293.325 ;
+        RECT 49.515 293.155 49.685 293.325 ;
+        RECT 49.975 293.155 50.145 293.325 ;
+        RECT 50.435 293.155 50.605 293.325 ;
+        RECT 50.895 293.155 51.065 293.325 ;
+        RECT 51.355 293.155 51.525 293.325 ;
+        RECT 51.815 293.155 51.985 293.325 ;
+        RECT 52.275 293.155 52.445 293.325 ;
+        RECT 52.735 293.155 52.905 293.325 ;
+        RECT 53.195 293.155 53.365 293.325 ;
+        RECT 53.655 293.155 53.825 293.325 ;
+        RECT 42.615 290.435 42.785 290.605 ;
+        RECT 43.075 290.435 43.245 290.605 ;
+        RECT 43.535 290.435 43.705 290.605 ;
+        RECT 43.995 290.435 44.165 290.605 ;
+        RECT 44.455 290.435 44.625 290.605 ;
+        RECT 44.915 290.435 45.085 290.605 ;
+        RECT 45.375 290.435 45.545 290.605 ;
+        RECT 45.835 290.435 46.005 290.605 ;
+        RECT 46.295 290.435 46.465 290.605 ;
+        RECT 46.755 290.435 46.925 290.605 ;
+        RECT 47.215 290.435 47.385 290.605 ;
+        RECT 47.675 290.435 47.845 290.605 ;
+        RECT 48.135 290.435 48.305 290.605 ;
+        RECT 48.595 290.435 48.765 290.605 ;
+        RECT 49.055 290.435 49.225 290.605 ;
+        RECT 49.515 290.435 49.685 290.605 ;
+        RECT 49.975 290.435 50.145 290.605 ;
+        RECT 50.435 290.435 50.605 290.605 ;
+        RECT 50.895 290.435 51.065 290.605 ;
+        RECT 51.355 290.435 51.525 290.605 ;
+        RECT 51.815 290.435 51.985 290.605 ;
+        RECT 52.275 290.435 52.445 290.605 ;
+        RECT 52.735 290.435 52.905 290.605 ;
+        RECT 53.195 290.435 53.365 290.605 ;
+        RECT 53.655 290.435 53.825 290.605 ;
+        RECT 42.615 287.715 42.785 287.885 ;
+        RECT 43.075 287.715 43.245 287.885 ;
+        RECT 43.535 287.715 43.705 287.885 ;
+        RECT 43.995 287.715 44.165 287.885 ;
+        RECT 44.455 287.715 44.625 287.885 ;
+        RECT 44.915 287.715 45.085 287.885 ;
+        RECT 45.375 287.715 45.545 287.885 ;
+        RECT 45.835 287.715 46.005 287.885 ;
+        RECT 46.295 287.715 46.465 287.885 ;
+        RECT 46.755 287.715 46.925 287.885 ;
+        RECT 47.215 287.715 47.385 287.885 ;
+        RECT 47.675 287.715 47.845 287.885 ;
+        RECT 48.135 287.715 48.305 287.885 ;
+        RECT 48.595 287.715 48.765 287.885 ;
+        RECT 49.055 287.715 49.225 287.885 ;
+        RECT 49.515 287.715 49.685 287.885 ;
+        RECT 49.975 287.715 50.145 287.885 ;
+        RECT 50.435 287.715 50.605 287.885 ;
+        RECT 50.895 287.715 51.065 287.885 ;
+        RECT 51.355 287.715 51.525 287.885 ;
+        RECT 51.815 287.715 51.985 287.885 ;
+        RECT 52.275 287.715 52.445 287.885 ;
+        RECT 52.735 287.715 52.905 287.885 ;
+        RECT 53.195 287.715 53.365 287.885 ;
+        RECT 53.655 287.715 53.825 287.885 ;
+        RECT 42.615 284.995 42.785 285.165 ;
+        RECT 43.075 284.995 43.245 285.165 ;
+        RECT 43.535 284.995 43.705 285.165 ;
+        RECT 43.995 284.995 44.165 285.165 ;
+        RECT 44.455 284.995 44.625 285.165 ;
+        RECT 44.915 284.995 45.085 285.165 ;
+        RECT 45.375 284.995 45.545 285.165 ;
+        RECT 45.835 284.995 46.005 285.165 ;
+        RECT 46.295 284.995 46.465 285.165 ;
+        RECT 46.755 284.995 46.925 285.165 ;
+        RECT 47.215 284.995 47.385 285.165 ;
+        RECT 47.675 284.995 47.845 285.165 ;
+        RECT 48.135 284.995 48.305 285.165 ;
+        RECT 48.595 284.995 48.765 285.165 ;
+        RECT 49.055 284.995 49.225 285.165 ;
+        RECT 49.515 284.995 49.685 285.165 ;
+        RECT 49.975 284.995 50.145 285.165 ;
+        RECT 50.435 284.995 50.605 285.165 ;
+        RECT 50.895 284.995 51.065 285.165 ;
+        RECT 51.355 284.995 51.525 285.165 ;
+        RECT 51.815 284.995 51.985 285.165 ;
+        RECT 52.275 284.995 52.445 285.165 ;
+        RECT 52.735 284.995 52.905 285.165 ;
+        RECT 53.195 284.995 53.365 285.165 ;
+        RECT 53.655 284.995 53.825 285.165 ;
+        RECT 42.615 282.275 42.785 282.445 ;
+        RECT 43.075 282.275 43.245 282.445 ;
+        RECT 43.535 282.275 43.705 282.445 ;
+        RECT 43.995 282.275 44.165 282.445 ;
+        RECT 44.455 282.275 44.625 282.445 ;
+        RECT 44.915 282.275 45.085 282.445 ;
+        RECT 45.375 282.275 45.545 282.445 ;
+        RECT 45.835 282.275 46.005 282.445 ;
+        RECT 46.295 282.275 46.465 282.445 ;
+        RECT 46.755 282.275 46.925 282.445 ;
+        RECT 47.215 282.275 47.385 282.445 ;
+        RECT 47.675 282.275 47.845 282.445 ;
+        RECT 48.135 282.275 48.305 282.445 ;
+        RECT 48.595 282.275 48.765 282.445 ;
+        RECT 49.055 282.275 49.225 282.445 ;
+        RECT 49.515 282.275 49.685 282.445 ;
+        RECT 49.975 282.275 50.145 282.445 ;
+        RECT 50.435 282.275 50.605 282.445 ;
+        RECT 50.895 282.275 51.065 282.445 ;
+        RECT 51.355 282.275 51.525 282.445 ;
+        RECT 51.815 282.275 51.985 282.445 ;
+        RECT 52.275 282.275 52.445 282.445 ;
+        RECT 52.735 282.275 52.905 282.445 ;
+        RECT 53.195 282.275 53.365 282.445 ;
+        RECT 53.655 282.275 53.825 282.445 ;
+        RECT 42.615 279.555 42.785 279.725 ;
+        RECT 43.075 279.555 43.245 279.725 ;
+        RECT 43.535 279.555 43.705 279.725 ;
+        RECT 43.995 279.555 44.165 279.725 ;
+        RECT 44.455 279.555 44.625 279.725 ;
+        RECT 44.915 279.555 45.085 279.725 ;
+        RECT 45.375 279.555 45.545 279.725 ;
+        RECT 45.835 279.555 46.005 279.725 ;
+        RECT 46.295 279.555 46.465 279.725 ;
+        RECT 46.755 279.555 46.925 279.725 ;
+        RECT 47.215 279.555 47.385 279.725 ;
+        RECT 47.675 279.555 47.845 279.725 ;
+        RECT 48.135 279.555 48.305 279.725 ;
+        RECT 48.595 279.555 48.765 279.725 ;
+        RECT 49.055 279.555 49.225 279.725 ;
+        RECT 49.515 279.555 49.685 279.725 ;
+        RECT 49.975 279.555 50.145 279.725 ;
+        RECT 50.435 279.555 50.605 279.725 ;
+        RECT 50.895 279.555 51.065 279.725 ;
+        RECT 51.355 279.555 51.525 279.725 ;
+        RECT 51.815 279.555 51.985 279.725 ;
+        RECT 52.275 279.555 52.445 279.725 ;
+        RECT 52.735 279.555 52.905 279.725 ;
+        RECT 53.195 279.555 53.365 279.725 ;
+        RECT 53.655 279.555 53.825 279.725 ;
+        RECT 42.615 276.835 42.785 277.005 ;
+        RECT 43.075 276.835 43.245 277.005 ;
+        RECT 43.535 276.835 43.705 277.005 ;
+        RECT 43.995 276.835 44.165 277.005 ;
+        RECT 44.455 276.835 44.625 277.005 ;
+        RECT 44.915 276.835 45.085 277.005 ;
+        RECT 45.375 276.835 45.545 277.005 ;
+        RECT 45.835 276.835 46.005 277.005 ;
+        RECT 46.295 276.835 46.465 277.005 ;
+        RECT 46.755 276.835 46.925 277.005 ;
+        RECT 47.215 276.835 47.385 277.005 ;
+        RECT 47.675 276.835 47.845 277.005 ;
+        RECT 48.135 276.835 48.305 277.005 ;
+        RECT 48.595 276.835 48.765 277.005 ;
+        RECT 49.055 276.835 49.225 277.005 ;
+        RECT 49.515 276.835 49.685 277.005 ;
+        RECT 49.975 276.835 50.145 277.005 ;
+        RECT 50.435 276.835 50.605 277.005 ;
+        RECT 50.895 276.835 51.065 277.005 ;
+        RECT 51.355 276.835 51.525 277.005 ;
+        RECT 51.815 276.835 51.985 277.005 ;
+        RECT 52.275 276.835 52.445 277.005 ;
+        RECT 52.735 276.835 52.905 277.005 ;
+        RECT 53.195 276.835 53.365 277.005 ;
+        RECT 53.655 276.835 53.825 277.005 ;
+        RECT 42.615 274.115 42.785 274.285 ;
+        RECT 43.075 274.115 43.245 274.285 ;
+        RECT 43.535 274.115 43.705 274.285 ;
+        RECT 43.995 274.115 44.165 274.285 ;
+        RECT 44.455 274.115 44.625 274.285 ;
+        RECT 44.915 274.115 45.085 274.285 ;
+        RECT 45.375 274.115 45.545 274.285 ;
+        RECT 45.835 274.115 46.005 274.285 ;
+        RECT 46.295 274.115 46.465 274.285 ;
+        RECT 46.755 274.115 46.925 274.285 ;
+        RECT 47.215 274.115 47.385 274.285 ;
+        RECT 47.675 274.115 47.845 274.285 ;
+        RECT 48.135 274.115 48.305 274.285 ;
+        RECT 48.595 274.115 48.765 274.285 ;
+        RECT 49.055 274.115 49.225 274.285 ;
+        RECT 49.515 274.115 49.685 274.285 ;
+        RECT 49.975 274.115 50.145 274.285 ;
+        RECT 50.435 274.115 50.605 274.285 ;
+        RECT 50.895 274.115 51.065 274.285 ;
+        RECT 51.355 274.115 51.525 274.285 ;
+        RECT 51.815 274.115 51.985 274.285 ;
+        RECT 52.275 274.115 52.445 274.285 ;
+        RECT 52.735 274.115 52.905 274.285 ;
+        RECT 53.195 274.115 53.365 274.285 ;
+        RECT 53.655 274.115 53.825 274.285 ;
+        RECT 42.615 271.395 42.785 271.565 ;
+        RECT 43.075 271.395 43.245 271.565 ;
+        RECT 43.535 271.395 43.705 271.565 ;
+        RECT 43.995 271.395 44.165 271.565 ;
+        RECT 44.455 271.395 44.625 271.565 ;
+        RECT 44.915 271.395 45.085 271.565 ;
+        RECT 45.375 271.395 45.545 271.565 ;
+        RECT 45.835 271.395 46.005 271.565 ;
+        RECT 46.295 271.395 46.465 271.565 ;
+        RECT 46.755 271.395 46.925 271.565 ;
+        RECT 47.215 271.395 47.385 271.565 ;
+        RECT 47.675 271.395 47.845 271.565 ;
+        RECT 48.135 271.395 48.305 271.565 ;
+        RECT 48.595 271.395 48.765 271.565 ;
+        RECT 49.055 271.395 49.225 271.565 ;
+        RECT 49.515 271.395 49.685 271.565 ;
+        RECT 49.975 271.395 50.145 271.565 ;
+        RECT 50.435 271.395 50.605 271.565 ;
+        RECT 50.895 271.395 51.065 271.565 ;
+        RECT 51.355 271.395 51.525 271.565 ;
+        RECT 51.815 271.395 51.985 271.565 ;
+        RECT 52.275 271.395 52.445 271.565 ;
+        RECT 52.735 271.395 52.905 271.565 ;
+        RECT 53.195 271.395 53.365 271.565 ;
+        RECT 53.655 271.395 53.825 271.565 ;
+        RECT 42.615 268.675 42.785 268.845 ;
+        RECT 43.075 268.675 43.245 268.845 ;
+        RECT 43.535 268.675 43.705 268.845 ;
+        RECT 43.995 268.675 44.165 268.845 ;
+        RECT 44.455 268.675 44.625 268.845 ;
+        RECT 44.915 268.675 45.085 268.845 ;
+        RECT 45.375 268.675 45.545 268.845 ;
+        RECT 45.835 268.675 46.005 268.845 ;
+        RECT 46.295 268.675 46.465 268.845 ;
+        RECT 46.755 268.675 46.925 268.845 ;
+        RECT 47.215 268.675 47.385 268.845 ;
+        RECT 47.675 268.675 47.845 268.845 ;
+        RECT 48.135 268.675 48.305 268.845 ;
+        RECT 48.595 268.675 48.765 268.845 ;
+        RECT 49.055 268.675 49.225 268.845 ;
+        RECT 49.515 268.675 49.685 268.845 ;
+        RECT 49.975 268.675 50.145 268.845 ;
+        RECT 50.435 268.675 50.605 268.845 ;
+        RECT 50.895 268.675 51.065 268.845 ;
+        RECT 51.355 268.675 51.525 268.845 ;
+        RECT 51.815 268.675 51.985 268.845 ;
+        RECT 52.275 268.675 52.445 268.845 ;
+        RECT 52.735 268.675 52.905 268.845 ;
+        RECT 53.195 268.675 53.365 268.845 ;
+        RECT 53.655 268.675 53.825 268.845 ;
+        RECT 42.615 265.955 42.785 266.125 ;
+        RECT 43.075 265.955 43.245 266.125 ;
+        RECT 43.535 265.955 43.705 266.125 ;
+        RECT 43.995 265.955 44.165 266.125 ;
+        RECT 44.455 265.955 44.625 266.125 ;
+        RECT 44.915 265.955 45.085 266.125 ;
+        RECT 45.375 265.955 45.545 266.125 ;
+        RECT 45.835 265.955 46.005 266.125 ;
+        RECT 46.295 265.955 46.465 266.125 ;
+        RECT 46.755 265.955 46.925 266.125 ;
+        RECT 47.215 265.955 47.385 266.125 ;
+        RECT 47.675 265.955 47.845 266.125 ;
+        RECT 48.135 265.955 48.305 266.125 ;
+        RECT 48.595 265.955 48.765 266.125 ;
+        RECT 49.055 265.955 49.225 266.125 ;
+        RECT 49.515 265.955 49.685 266.125 ;
+        RECT 49.975 265.955 50.145 266.125 ;
+        RECT 50.435 265.955 50.605 266.125 ;
+        RECT 50.895 265.955 51.065 266.125 ;
+        RECT 51.355 265.955 51.525 266.125 ;
+        RECT 51.815 265.955 51.985 266.125 ;
+        RECT 52.275 265.955 52.445 266.125 ;
+        RECT 52.735 265.955 52.905 266.125 ;
+        RECT 53.195 265.955 53.365 266.125 ;
+        RECT 53.655 265.955 53.825 266.125 ;
+        RECT 42.615 263.235 42.785 263.405 ;
+        RECT 43.075 263.235 43.245 263.405 ;
+        RECT 43.535 263.235 43.705 263.405 ;
+        RECT 43.995 263.235 44.165 263.405 ;
+        RECT 44.455 263.235 44.625 263.405 ;
+        RECT 44.915 263.235 45.085 263.405 ;
+        RECT 45.375 263.235 45.545 263.405 ;
+        RECT 45.835 263.235 46.005 263.405 ;
+        RECT 46.295 263.235 46.465 263.405 ;
+        RECT 46.755 263.235 46.925 263.405 ;
+        RECT 47.215 263.235 47.385 263.405 ;
+        RECT 47.675 263.235 47.845 263.405 ;
+        RECT 48.135 263.235 48.305 263.405 ;
+        RECT 48.595 263.235 48.765 263.405 ;
+        RECT 49.055 263.235 49.225 263.405 ;
+        RECT 49.515 263.235 49.685 263.405 ;
+        RECT 49.975 263.235 50.145 263.405 ;
+        RECT 50.435 263.235 50.605 263.405 ;
+        RECT 50.895 263.235 51.065 263.405 ;
+        RECT 51.355 263.235 51.525 263.405 ;
+        RECT 51.815 263.235 51.985 263.405 ;
+        RECT 52.275 263.235 52.445 263.405 ;
+        RECT 52.735 263.235 52.905 263.405 ;
+        RECT 53.195 263.235 53.365 263.405 ;
+        RECT 53.655 263.235 53.825 263.405 ;
+        RECT 42.615 260.515 42.785 260.685 ;
+        RECT 43.075 260.515 43.245 260.685 ;
+        RECT 43.535 260.515 43.705 260.685 ;
+        RECT 43.995 260.515 44.165 260.685 ;
+        RECT 44.455 260.515 44.625 260.685 ;
+        RECT 44.915 260.515 45.085 260.685 ;
+        RECT 45.375 260.515 45.545 260.685 ;
+        RECT 45.835 260.515 46.005 260.685 ;
+        RECT 46.295 260.515 46.465 260.685 ;
+        RECT 46.755 260.515 46.925 260.685 ;
+        RECT 47.215 260.515 47.385 260.685 ;
+        RECT 47.675 260.515 47.845 260.685 ;
+        RECT 48.135 260.515 48.305 260.685 ;
+        RECT 48.595 260.515 48.765 260.685 ;
+        RECT 49.055 260.515 49.225 260.685 ;
+        RECT 49.515 260.515 49.685 260.685 ;
+        RECT 49.975 260.515 50.145 260.685 ;
+        RECT 50.435 260.515 50.605 260.685 ;
+        RECT 50.895 260.515 51.065 260.685 ;
+        RECT 51.355 260.515 51.525 260.685 ;
+        RECT 51.815 260.515 51.985 260.685 ;
+        RECT 52.275 260.515 52.445 260.685 ;
+        RECT 52.735 260.515 52.905 260.685 ;
+        RECT 53.195 260.515 53.365 260.685 ;
+        RECT 53.655 260.515 53.825 260.685 ;
+        RECT 42.615 257.795 42.785 257.965 ;
+        RECT 43.075 257.795 43.245 257.965 ;
+        RECT 43.535 257.795 43.705 257.965 ;
+        RECT 43.995 257.795 44.165 257.965 ;
+        RECT 44.455 257.795 44.625 257.965 ;
+        RECT 44.915 257.795 45.085 257.965 ;
+        RECT 45.375 257.795 45.545 257.965 ;
+        RECT 45.835 257.795 46.005 257.965 ;
+        RECT 46.295 257.795 46.465 257.965 ;
+        RECT 46.755 257.795 46.925 257.965 ;
+        RECT 47.215 257.795 47.385 257.965 ;
+        RECT 47.675 257.795 47.845 257.965 ;
+        RECT 48.135 257.795 48.305 257.965 ;
+        RECT 48.595 257.795 48.765 257.965 ;
+        RECT 49.055 257.795 49.225 257.965 ;
+        RECT 49.515 257.795 49.685 257.965 ;
+        RECT 49.975 257.795 50.145 257.965 ;
+        RECT 50.435 257.795 50.605 257.965 ;
+        RECT 50.895 257.795 51.065 257.965 ;
+        RECT 51.355 257.795 51.525 257.965 ;
+        RECT 51.815 257.795 51.985 257.965 ;
+        RECT 52.275 257.795 52.445 257.965 ;
+        RECT 52.735 257.795 52.905 257.965 ;
+        RECT 53.195 257.795 53.365 257.965 ;
+        RECT 53.655 257.795 53.825 257.965 ;
+        RECT 42.615 255.075 42.785 255.245 ;
+        RECT 43.075 255.075 43.245 255.245 ;
+        RECT 43.535 255.075 43.705 255.245 ;
+        RECT 43.995 255.075 44.165 255.245 ;
+        RECT 44.455 255.075 44.625 255.245 ;
+        RECT 44.915 255.075 45.085 255.245 ;
+        RECT 45.375 255.075 45.545 255.245 ;
+        RECT 45.835 255.075 46.005 255.245 ;
+        RECT 46.295 255.075 46.465 255.245 ;
+        RECT 46.755 255.075 46.925 255.245 ;
+        RECT 47.215 255.075 47.385 255.245 ;
+        RECT 47.675 255.075 47.845 255.245 ;
+        RECT 48.135 255.075 48.305 255.245 ;
+        RECT 48.595 255.075 48.765 255.245 ;
+        RECT 49.055 255.075 49.225 255.245 ;
+        RECT 49.515 255.075 49.685 255.245 ;
+        RECT 49.975 255.075 50.145 255.245 ;
+        RECT 50.435 255.075 50.605 255.245 ;
+        RECT 50.895 255.075 51.065 255.245 ;
+        RECT 51.355 255.075 51.525 255.245 ;
+        RECT 51.815 255.075 51.985 255.245 ;
+        RECT 52.275 255.075 52.445 255.245 ;
+        RECT 52.735 255.075 52.905 255.245 ;
+        RECT 53.195 255.075 53.365 255.245 ;
+        RECT 53.655 255.075 53.825 255.245 ;
+        RECT 42.615 252.355 42.785 252.525 ;
+        RECT 43.075 252.355 43.245 252.525 ;
+        RECT 43.535 252.355 43.705 252.525 ;
+        RECT 43.995 252.355 44.165 252.525 ;
+        RECT 44.455 252.355 44.625 252.525 ;
+        RECT 44.915 252.355 45.085 252.525 ;
+        RECT 45.375 252.355 45.545 252.525 ;
+        RECT 45.835 252.355 46.005 252.525 ;
+        RECT 46.295 252.355 46.465 252.525 ;
+        RECT 46.755 252.355 46.925 252.525 ;
+        RECT 47.215 252.355 47.385 252.525 ;
+        RECT 47.675 252.355 47.845 252.525 ;
+        RECT 48.135 252.355 48.305 252.525 ;
+        RECT 48.595 252.355 48.765 252.525 ;
+        RECT 49.055 252.355 49.225 252.525 ;
+        RECT 49.515 252.355 49.685 252.525 ;
+        RECT 49.975 252.355 50.145 252.525 ;
+        RECT 50.435 252.355 50.605 252.525 ;
+        RECT 50.895 252.355 51.065 252.525 ;
+        RECT 51.355 252.355 51.525 252.525 ;
+        RECT 51.815 252.355 51.985 252.525 ;
+        RECT 52.275 252.355 52.445 252.525 ;
+        RECT 52.735 252.355 52.905 252.525 ;
+        RECT 53.195 252.355 53.365 252.525 ;
+        RECT 53.655 252.355 53.825 252.525 ;
+        RECT 42.615 249.635 42.785 249.805 ;
+        RECT 43.075 249.635 43.245 249.805 ;
+        RECT 43.535 249.635 43.705 249.805 ;
+        RECT 43.995 249.635 44.165 249.805 ;
+        RECT 44.455 249.635 44.625 249.805 ;
+        RECT 44.915 249.635 45.085 249.805 ;
+        RECT 45.375 249.635 45.545 249.805 ;
+        RECT 45.835 249.635 46.005 249.805 ;
+        RECT 46.295 249.635 46.465 249.805 ;
+        RECT 46.755 249.635 46.925 249.805 ;
+        RECT 47.215 249.635 47.385 249.805 ;
+        RECT 47.675 249.635 47.845 249.805 ;
+        RECT 48.135 249.635 48.305 249.805 ;
+        RECT 48.595 249.635 48.765 249.805 ;
+        RECT 49.055 249.635 49.225 249.805 ;
+        RECT 49.515 249.635 49.685 249.805 ;
+        RECT 49.975 249.635 50.145 249.805 ;
+        RECT 50.435 249.635 50.605 249.805 ;
+        RECT 50.895 249.635 51.065 249.805 ;
+        RECT 51.355 249.635 51.525 249.805 ;
+        RECT 51.815 249.635 51.985 249.805 ;
+        RECT 52.275 249.635 52.445 249.805 ;
+        RECT 52.735 249.635 52.905 249.805 ;
+        RECT 53.195 249.635 53.365 249.805 ;
+        RECT 53.655 249.635 53.825 249.805 ;
+        RECT 42.615 246.915 42.785 247.085 ;
+        RECT 43.075 246.915 43.245 247.085 ;
+        RECT 43.535 246.915 43.705 247.085 ;
+        RECT 43.995 246.915 44.165 247.085 ;
+        RECT 44.455 246.915 44.625 247.085 ;
+        RECT 44.915 246.915 45.085 247.085 ;
+        RECT 45.375 246.915 45.545 247.085 ;
+        RECT 45.835 246.915 46.005 247.085 ;
+        RECT 46.295 246.915 46.465 247.085 ;
+        RECT 46.755 246.915 46.925 247.085 ;
+        RECT 47.215 246.915 47.385 247.085 ;
+        RECT 47.675 246.915 47.845 247.085 ;
+        RECT 48.135 246.915 48.305 247.085 ;
+        RECT 48.595 246.915 48.765 247.085 ;
+        RECT 49.055 246.915 49.225 247.085 ;
+        RECT 49.515 246.915 49.685 247.085 ;
+        RECT 49.975 246.915 50.145 247.085 ;
+        RECT 50.435 246.915 50.605 247.085 ;
+        RECT 50.895 246.915 51.065 247.085 ;
+        RECT 51.355 246.915 51.525 247.085 ;
+        RECT 51.815 246.915 51.985 247.085 ;
+        RECT 52.275 246.915 52.445 247.085 ;
+        RECT 52.735 246.915 52.905 247.085 ;
+        RECT 53.195 246.915 53.365 247.085 ;
+        RECT 53.655 246.915 53.825 247.085 ;
+        RECT 42.615 244.195 42.785 244.365 ;
+        RECT 43.075 244.195 43.245 244.365 ;
+        RECT 43.535 244.195 43.705 244.365 ;
+        RECT 43.995 244.195 44.165 244.365 ;
+        RECT 44.455 244.195 44.625 244.365 ;
+        RECT 44.915 244.195 45.085 244.365 ;
+        RECT 45.375 244.195 45.545 244.365 ;
+        RECT 45.835 244.195 46.005 244.365 ;
+        RECT 46.295 244.195 46.465 244.365 ;
+        RECT 46.755 244.195 46.925 244.365 ;
+        RECT 47.215 244.195 47.385 244.365 ;
+        RECT 47.675 244.195 47.845 244.365 ;
+        RECT 48.135 244.195 48.305 244.365 ;
+        RECT 48.595 244.195 48.765 244.365 ;
+        RECT 49.055 244.195 49.225 244.365 ;
+        RECT 49.515 244.195 49.685 244.365 ;
+        RECT 49.975 244.195 50.145 244.365 ;
+        RECT 50.435 244.195 50.605 244.365 ;
+        RECT 50.895 244.195 51.065 244.365 ;
+        RECT 51.355 244.195 51.525 244.365 ;
+        RECT 51.815 244.195 51.985 244.365 ;
+        RECT 52.275 244.195 52.445 244.365 ;
+        RECT 52.735 244.195 52.905 244.365 ;
+        RECT 53.195 244.195 53.365 244.365 ;
+        RECT 53.655 244.195 53.825 244.365 ;
+        RECT 42.615 241.475 42.785 241.645 ;
+        RECT 43.075 241.475 43.245 241.645 ;
+        RECT 43.535 241.475 43.705 241.645 ;
+        RECT 43.995 241.475 44.165 241.645 ;
+        RECT 44.455 241.475 44.625 241.645 ;
+        RECT 44.915 241.475 45.085 241.645 ;
+        RECT 45.375 241.475 45.545 241.645 ;
+        RECT 45.835 241.475 46.005 241.645 ;
+        RECT 46.295 241.475 46.465 241.645 ;
+        RECT 46.755 241.475 46.925 241.645 ;
+        RECT 47.215 241.475 47.385 241.645 ;
+        RECT 47.675 241.475 47.845 241.645 ;
+        RECT 48.135 241.475 48.305 241.645 ;
+        RECT 48.595 241.475 48.765 241.645 ;
+        RECT 49.055 241.475 49.225 241.645 ;
+        RECT 49.515 241.475 49.685 241.645 ;
+        RECT 49.975 241.475 50.145 241.645 ;
+        RECT 50.435 241.475 50.605 241.645 ;
+        RECT 50.895 241.475 51.065 241.645 ;
+        RECT 51.355 241.475 51.525 241.645 ;
+        RECT 51.815 241.475 51.985 241.645 ;
+        RECT 52.275 241.475 52.445 241.645 ;
+        RECT 52.735 241.475 52.905 241.645 ;
+        RECT 53.195 241.475 53.365 241.645 ;
+        RECT 53.655 241.475 53.825 241.645 ;
+        RECT 42.615 238.755 42.785 238.925 ;
+        RECT 43.075 238.755 43.245 238.925 ;
+        RECT 43.535 238.755 43.705 238.925 ;
+        RECT 43.995 238.755 44.165 238.925 ;
+        RECT 44.455 238.755 44.625 238.925 ;
+        RECT 44.915 238.755 45.085 238.925 ;
+        RECT 45.375 238.755 45.545 238.925 ;
+        RECT 45.835 238.755 46.005 238.925 ;
+        RECT 46.295 238.755 46.465 238.925 ;
+        RECT 46.755 238.755 46.925 238.925 ;
+        RECT 47.215 238.755 47.385 238.925 ;
+        RECT 47.675 238.755 47.845 238.925 ;
+        RECT 48.135 238.755 48.305 238.925 ;
+        RECT 48.595 238.755 48.765 238.925 ;
+        RECT 49.055 238.755 49.225 238.925 ;
+        RECT 49.515 238.755 49.685 238.925 ;
+        RECT 49.975 238.755 50.145 238.925 ;
+        RECT 50.435 238.755 50.605 238.925 ;
+        RECT 50.895 238.755 51.065 238.925 ;
+        RECT 51.355 238.755 51.525 238.925 ;
+        RECT 51.815 238.755 51.985 238.925 ;
+        RECT 52.275 238.755 52.445 238.925 ;
+        RECT 52.735 238.755 52.905 238.925 ;
+        RECT 53.195 238.755 53.365 238.925 ;
+        RECT 53.655 238.755 53.825 238.925 ;
+        RECT 42.615 236.035 42.785 236.205 ;
+        RECT 43.075 236.035 43.245 236.205 ;
+        RECT 43.535 236.035 43.705 236.205 ;
+        RECT 43.995 236.035 44.165 236.205 ;
+        RECT 44.455 236.035 44.625 236.205 ;
+        RECT 44.915 236.035 45.085 236.205 ;
+        RECT 45.375 236.035 45.545 236.205 ;
+        RECT 45.835 236.035 46.005 236.205 ;
+        RECT 46.295 236.035 46.465 236.205 ;
+        RECT 46.755 236.035 46.925 236.205 ;
+        RECT 47.215 236.035 47.385 236.205 ;
+        RECT 47.675 236.035 47.845 236.205 ;
+        RECT 48.135 236.035 48.305 236.205 ;
+        RECT 48.595 236.035 48.765 236.205 ;
+        RECT 49.055 236.035 49.225 236.205 ;
+        RECT 49.515 236.035 49.685 236.205 ;
+        RECT 49.975 236.035 50.145 236.205 ;
+        RECT 50.435 236.035 50.605 236.205 ;
+        RECT 50.895 236.035 51.065 236.205 ;
+        RECT 51.355 236.035 51.525 236.205 ;
+        RECT 51.815 236.035 51.985 236.205 ;
+        RECT 52.275 236.035 52.445 236.205 ;
+        RECT 52.735 236.035 52.905 236.205 ;
+        RECT 53.195 236.035 53.365 236.205 ;
+        RECT 53.655 236.035 53.825 236.205 ;
+        RECT 42.615 233.315 42.785 233.485 ;
+        RECT 43.075 233.315 43.245 233.485 ;
+        RECT 43.535 233.315 43.705 233.485 ;
+        RECT 43.995 233.315 44.165 233.485 ;
+        RECT 44.455 233.315 44.625 233.485 ;
+        RECT 44.915 233.315 45.085 233.485 ;
+        RECT 45.375 233.315 45.545 233.485 ;
+        RECT 45.835 233.315 46.005 233.485 ;
+        RECT 46.295 233.315 46.465 233.485 ;
+        RECT 46.755 233.315 46.925 233.485 ;
+        RECT 47.215 233.315 47.385 233.485 ;
+        RECT 47.675 233.315 47.845 233.485 ;
+        RECT 48.135 233.315 48.305 233.485 ;
+        RECT 48.595 233.315 48.765 233.485 ;
+        RECT 49.055 233.315 49.225 233.485 ;
+        RECT 49.515 233.315 49.685 233.485 ;
+        RECT 49.975 233.315 50.145 233.485 ;
+        RECT 50.435 233.315 50.605 233.485 ;
+        RECT 50.895 233.315 51.065 233.485 ;
+        RECT 51.355 233.315 51.525 233.485 ;
+        RECT 51.815 233.315 51.985 233.485 ;
+        RECT 52.275 233.315 52.445 233.485 ;
+        RECT 52.735 233.315 52.905 233.485 ;
+        RECT 53.195 233.315 53.365 233.485 ;
+        RECT 53.655 233.315 53.825 233.485 ;
+        RECT 42.615 230.595 42.785 230.765 ;
+        RECT 43.075 230.595 43.245 230.765 ;
+        RECT 43.535 230.595 43.705 230.765 ;
+        RECT 43.995 230.595 44.165 230.765 ;
+        RECT 44.455 230.595 44.625 230.765 ;
+        RECT 44.915 230.595 45.085 230.765 ;
+        RECT 45.375 230.595 45.545 230.765 ;
+        RECT 45.835 230.595 46.005 230.765 ;
+        RECT 46.295 230.595 46.465 230.765 ;
+        RECT 46.755 230.595 46.925 230.765 ;
+        RECT 47.215 230.595 47.385 230.765 ;
+        RECT 47.675 230.595 47.845 230.765 ;
+        RECT 48.135 230.595 48.305 230.765 ;
+        RECT 48.595 230.595 48.765 230.765 ;
+        RECT 49.055 230.595 49.225 230.765 ;
+        RECT 49.515 230.595 49.685 230.765 ;
+        RECT 49.975 230.595 50.145 230.765 ;
+        RECT 50.435 230.595 50.605 230.765 ;
+        RECT 50.895 230.595 51.065 230.765 ;
+        RECT 51.355 230.595 51.525 230.765 ;
+        RECT 51.815 230.595 51.985 230.765 ;
+        RECT 52.275 230.595 52.445 230.765 ;
+        RECT 52.735 230.595 52.905 230.765 ;
+        RECT 53.195 230.595 53.365 230.765 ;
+        RECT 53.655 230.595 53.825 230.765 ;
+        RECT 42.615 227.875 42.785 228.045 ;
+        RECT 43.075 227.875 43.245 228.045 ;
+        RECT 43.535 227.875 43.705 228.045 ;
+        RECT 43.995 227.875 44.165 228.045 ;
+        RECT 44.455 227.875 44.625 228.045 ;
+        RECT 44.915 227.875 45.085 228.045 ;
+        RECT 45.375 227.875 45.545 228.045 ;
+        RECT 45.835 227.875 46.005 228.045 ;
+        RECT 46.295 227.875 46.465 228.045 ;
+        RECT 46.755 227.875 46.925 228.045 ;
+        RECT 47.215 227.875 47.385 228.045 ;
+        RECT 47.675 227.875 47.845 228.045 ;
+        RECT 48.135 227.875 48.305 228.045 ;
+        RECT 48.595 227.875 48.765 228.045 ;
+        RECT 49.055 227.875 49.225 228.045 ;
+        RECT 49.515 227.875 49.685 228.045 ;
+        RECT 49.975 227.875 50.145 228.045 ;
+        RECT 50.435 227.875 50.605 228.045 ;
+        RECT 50.895 227.875 51.065 228.045 ;
+        RECT 51.355 227.875 51.525 228.045 ;
+        RECT 51.815 227.875 51.985 228.045 ;
+        RECT 52.275 227.875 52.445 228.045 ;
+        RECT 52.735 227.875 52.905 228.045 ;
+        RECT 53.195 227.875 53.365 228.045 ;
+        RECT 53.655 227.875 53.825 228.045 ;
+        RECT 42.615 225.155 42.785 225.325 ;
+        RECT 43.075 225.155 43.245 225.325 ;
+        RECT 43.535 225.155 43.705 225.325 ;
+        RECT 43.995 225.155 44.165 225.325 ;
+        RECT 44.455 225.155 44.625 225.325 ;
+        RECT 44.915 225.155 45.085 225.325 ;
+        RECT 45.375 225.155 45.545 225.325 ;
+        RECT 45.835 225.155 46.005 225.325 ;
+        RECT 46.295 225.155 46.465 225.325 ;
+        RECT 46.755 225.155 46.925 225.325 ;
+        RECT 47.215 225.155 47.385 225.325 ;
+        RECT 47.675 225.155 47.845 225.325 ;
+        RECT 48.135 225.155 48.305 225.325 ;
+        RECT 48.595 225.155 48.765 225.325 ;
+        RECT 49.055 225.155 49.225 225.325 ;
+        RECT 49.515 225.155 49.685 225.325 ;
+        RECT 49.975 225.155 50.145 225.325 ;
+        RECT 50.435 225.155 50.605 225.325 ;
+        RECT 50.895 225.155 51.065 225.325 ;
+        RECT 51.355 225.155 51.525 225.325 ;
+        RECT 51.815 225.155 51.985 225.325 ;
+        RECT 52.275 225.155 52.445 225.325 ;
+        RECT 52.735 225.155 52.905 225.325 ;
+        RECT 53.195 225.155 53.365 225.325 ;
+        RECT 53.655 225.155 53.825 225.325 ;
+        RECT 42.615 222.435 42.785 222.605 ;
+        RECT 43.075 222.435 43.245 222.605 ;
+        RECT 43.535 222.435 43.705 222.605 ;
+        RECT 43.995 222.435 44.165 222.605 ;
+        RECT 44.455 222.435 44.625 222.605 ;
+        RECT 44.915 222.435 45.085 222.605 ;
+        RECT 45.375 222.435 45.545 222.605 ;
+        RECT 45.835 222.435 46.005 222.605 ;
+        RECT 46.295 222.435 46.465 222.605 ;
+        RECT 46.755 222.435 46.925 222.605 ;
+        RECT 47.215 222.435 47.385 222.605 ;
+        RECT 47.675 222.435 47.845 222.605 ;
+        RECT 48.135 222.435 48.305 222.605 ;
+        RECT 48.595 222.435 48.765 222.605 ;
+        RECT 49.055 222.435 49.225 222.605 ;
+        RECT 49.515 222.435 49.685 222.605 ;
+        RECT 49.975 222.435 50.145 222.605 ;
+        RECT 50.435 222.435 50.605 222.605 ;
+        RECT 50.895 222.435 51.065 222.605 ;
+        RECT 51.355 222.435 51.525 222.605 ;
+        RECT 51.815 222.435 51.985 222.605 ;
+        RECT 52.275 222.435 52.445 222.605 ;
+        RECT 52.735 222.435 52.905 222.605 ;
+        RECT 53.195 222.435 53.365 222.605 ;
+        RECT 53.655 222.435 53.825 222.605 ;
+        RECT 42.615 219.715 42.785 219.885 ;
+        RECT 43.075 219.715 43.245 219.885 ;
+        RECT 43.535 219.715 43.705 219.885 ;
+        RECT 43.995 219.715 44.165 219.885 ;
+        RECT 44.455 219.715 44.625 219.885 ;
+        RECT 44.915 219.715 45.085 219.885 ;
+        RECT 45.375 219.715 45.545 219.885 ;
+        RECT 45.835 219.715 46.005 219.885 ;
+        RECT 46.295 219.715 46.465 219.885 ;
+        RECT 46.755 219.715 46.925 219.885 ;
+        RECT 47.215 219.715 47.385 219.885 ;
+        RECT 47.675 219.715 47.845 219.885 ;
+        RECT 48.135 219.715 48.305 219.885 ;
+        RECT 48.595 219.715 48.765 219.885 ;
+        RECT 49.055 219.715 49.225 219.885 ;
+        RECT 49.515 219.715 49.685 219.885 ;
+        RECT 49.975 219.715 50.145 219.885 ;
+        RECT 50.435 219.715 50.605 219.885 ;
+        RECT 50.895 219.715 51.065 219.885 ;
+        RECT 51.355 219.715 51.525 219.885 ;
+        RECT 51.815 219.715 51.985 219.885 ;
+        RECT 52.275 219.715 52.445 219.885 ;
+        RECT 52.735 219.715 52.905 219.885 ;
+        RECT 53.195 219.715 53.365 219.885 ;
+        RECT 53.655 219.715 53.825 219.885 ;
+        RECT 42.615 216.995 42.785 217.165 ;
+        RECT 43.075 216.995 43.245 217.165 ;
+        RECT 43.535 216.995 43.705 217.165 ;
+        RECT 43.995 216.995 44.165 217.165 ;
+        RECT 44.455 216.995 44.625 217.165 ;
+        RECT 44.915 216.995 45.085 217.165 ;
+        RECT 45.375 216.995 45.545 217.165 ;
+        RECT 45.835 216.995 46.005 217.165 ;
+        RECT 46.295 216.995 46.465 217.165 ;
+        RECT 46.755 216.995 46.925 217.165 ;
+        RECT 47.215 216.995 47.385 217.165 ;
+        RECT 47.675 216.995 47.845 217.165 ;
+        RECT 48.135 216.995 48.305 217.165 ;
+        RECT 48.595 216.995 48.765 217.165 ;
+        RECT 49.055 216.995 49.225 217.165 ;
+        RECT 49.515 216.995 49.685 217.165 ;
+        RECT 49.975 216.995 50.145 217.165 ;
+        RECT 50.435 216.995 50.605 217.165 ;
+        RECT 50.895 216.995 51.065 217.165 ;
+        RECT 51.355 216.995 51.525 217.165 ;
+        RECT 51.815 216.995 51.985 217.165 ;
+        RECT 52.275 216.995 52.445 217.165 ;
+        RECT 52.735 216.995 52.905 217.165 ;
+        RECT 53.195 216.995 53.365 217.165 ;
+        RECT 53.655 216.995 53.825 217.165 ;
+        RECT 42.615 214.275 42.785 214.445 ;
+        RECT 43.075 214.275 43.245 214.445 ;
+        RECT 43.535 214.275 43.705 214.445 ;
+        RECT 43.995 214.275 44.165 214.445 ;
+        RECT 44.455 214.275 44.625 214.445 ;
+        RECT 44.915 214.275 45.085 214.445 ;
+        RECT 45.375 214.275 45.545 214.445 ;
+        RECT 45.835 214.275 46.005 214.445 ;
+        RECT 46.295 214.275 46.465 214.445 ;
+        RECT 46.755 214.275 46.925 214.445 ;
+        RECT 47.215 214.275 47.385 214.445 ;
+        RECT 47.675 214.275 47.845 214.445 ;
+        RECT 48.135 214.275 48.305 214.445 ;
+        RECT 48.595 214.275 48.765 214.445 ;
+        RECT 49.055 214.275 49.225 214.445 ;
+        RECT 49.515 214.275 49.685 214.445 ;
+        RECT 49.975 214.275 50.145 214.445 ;
+        RECT 50.435 214.275 50.605 214.445 ;
+        RECT 50.895 214.275 51.065 214.445 ;
+        RECT 51.355 214.275 51.525 214.445 ;
+        RECT 51.815 214.275 51.985 214.445 ;
+        RECT 52.275 214.275 52.445 214.445 ;
+        RECT 52.735 214.275 52.905 214.445 ;
+        RECT 53.195 214.275 53.365 214.445 ;
+        RECT 53.655 214.275 53.825 214.445 ;
+        RECT 42.615 211.555 42.785 211.725 ;
+        RECT 43.075 211.555 43.245 211.725 ;
+        RECT 43.535 211.555 43.705 211.725 ;
+        RECT 43.995 211.555 44.165 211.725 ;
+        RECT 44.455 211.555 44.625 211.725 ;
+        RECT 44.915 211.555 45.085 211.725 ;
+        RECT 45.375 211.555 45.545 211.725 ;
+        RECT 45.835 211.555 46.005 211.725 ;
+        RECT 46.295 211.555 46.465 211.725 ;
+        RECT 46.755 211.555 46.925 211.725 ;
+        RECT 47.215 211.555 47.385 211.725 ;
+        RECT 47.675 211.555 47.845 211.725 ;
+        RECT 48.135 211.555 48.305 211.725 ;
+        RECT 48.595 211.555 48.765 211.725 ;
+        RECT 49.055 211.555 49.225 211.725 ;
+        RECT 49.515 211.555 49.685 211.725 ;
+        RECT 49.975 211.555 50.145 211.725 ;
+        RECT 50.435 211.555 50.605 211.725 ;
+        RECT 50.895 211.555 51.065 211.725 ;
+        RECT 51.355 211.555 51.525 211.725 ;
+        RECT 51.815 211.555 51.985 211.725 ;
+        RECT 52.275 211.555 52.445 211.725 ;
+        RECT 52.735 211.555 52.905 211.725 ;
+        RECT 53.195 211.555 53.365 211.725 ;
+        RECT 53.655 211.555 53.825 211.725 ;
+        RECT 42.615 208.835 42.785 209.005 ;
+        RECT 43.075 208.835 43.245 209.005 ;
+        RECT 43.535 208.835 43.705 209.005 ;
+        RECT 43.995 208.835 44.165 209.005 ;
+        RECT 44.455 208.835 44.625 209.005 ;
+        RECT 44.915 208.835 45.085 209.005 ;
+        RECT 45.375 208.835 45.545 209.005 ;
+        RECT 45.835 208.835 46.005 209.005 ;
+        RECT 46.295 208.835 46.465 209.005 ;
+        RECT 46.755 208.835 46.925 209.005 ;
+        RECT 47.215 208.835 47.385 209.005 ;
+        RECT 47.675 208.835 47.845 209.005 ;
+        RECT 48.135 208.835 48.305 209.005 ;
+        RECT 48.595 208.835 48.765 209.005 ;
+        RECT 49.055 208.835 49.225 209.005 ;
+        RECT 49.515 208.835 49.685 209.005 ;
+        RECT 49.975 208.835 50.145 209.005 ;
+        RECT 50.435 208.835 50.605 209.005 ;
+        RECT 50.895 208.835 51.065 209.005 ;
+        RECT 51.355 208.835 51.525 209.005 ;
+        RECT 51.815 208.835 51.985 209.005 ;
+        RECT 52.275 208.835 52.445 209.005 ;
+        RECT 52.735 208.835 52.905 209.005 ;
+        RECT 53.195 208.835 53.365 209.005 ;
+        RECT 53.655 208.835 53.825 209.005 ;
+        RECT 42.615 206.115 42.785 206.285 ;
+        RECT 43.075 206.115 43.245 206.285 ;
+        RECT 43.535 206.115 43.705 206.285 ;
+        RECT 43.995 206.115 44.165 206.285 ;
+        RECT 44.455 206.115 44.625 206.285 ;
+        RECT 44.915 206.115 45.085 206.285 ;
+        RECT 45.375 206.115 45.545 206.285 ;
+        RECT 45.835 206.115 46.005 206.285 ;
+        RECT 46.295 206.115 46.465 206.285 ;
+        RECT 46.755 206.115 46.925 206.285 ;
+        RECT 47.215 206.115 47.385 206.285 ;
+        RECT 47.675 206.115 47.845 206.285 ;
+        RECT 48.135 206.115 48.305 206.285 ;
+        RECT 48.595 206.115 48.765 206.285 ;
+        RECT 49.055 206.115 49.225 206.285 ;
+        RECT 49.515 206.115 49.685 206.285 ;
+        RECT 49.975 206.115 50.145 206.285 ;
+        RECT 50.435 206.115 50.605 206.285 ;
+        RECT 50.895 206.115 51.065 206.285 ;
+        RECT 51.355 206.115 51.525 206.285 ;
+        RECT 51.815 206.115 51.985 206.285 ;
+        RECT 52.275 206.115 52.445 206.285 ;
+        RECT 52.735 206.115 52.905 206.285 ;
+        RECT 53.195 206.115 53.365 206.285 ;
+        RECT 53.655 206.115 53.825 206.285 ;
+        RECT 42.615 203.395 42.785 203.565 ;
+        RECT 43.075 203.395 43.245 203.565 ;
+        RECT 43.535 203.395 43.705 203.565 ;
+        RECT 43.995 203.395 44.165 203.565 ;
+        RECT 44.455 203.395 44.625 203.565 ;
+        RECT 44.915 203.395 45.085 203.565 ;
+        RECT 45.375 203.395 45.545 203.565 ;
+        RECT 45.835 203.395 46.005 203.565 ;
+        RECT 46.295 203.395 46.465 203.565 ;
+        RECT 46.755 203.395 46.925 203.565 ;
+        RECT 47.215 203.395 47.385 203.565 ;
+        RECT 47.675 203.395 47.845 203.565 ;
+        RECT 48.135 203.395 48.305 203.565 ;
+        RECT 48.595 203.395 48.765 203.565 ;
+        RECT 49.055 203.395 49.225 203.565 ;
+        RECT 49.515 203.395 49.685 203.565 ;
+        RECT 49.975 203.395 50.145 203.565 ;
+        RECT 50.435 203.395 50.605 203.565 ;
+        RECT 50.895 203.395 51.065 203.565 ;
+        RECT 51.355 203.395 51.525 203.565 ;
+        RECT 51.815 203.395 51.985 203.565 ;
+        RECT 52.275 203.395 52.445 203.565 ;
+        RECT 52.735 203.395 52.905 203.565 ;
+        RECT 53.195 203.395 53.365 203.565 ;
+        RECT 53.655 203.395 53.825 203.565 ;
+        RECT 42.615 200.675 42.785 200.845 ;
+        RECT 43.075 200.675 43.245 200.845 ;
+        RECT 43.535 200.675 43.705 200.845 ;
+        RECT 43.995 200.675 44.165 200.845 ;
+        RECT 44.455 200.675 44.625 200.845 ;
+        RECT 44.915 200.675 45.085 200.845 ;
+        RECT 45.375 200.675 45.545 200.845 ;
+        RECT 45.835 200.675 46.005 200.845 ;
+        RECT 46.295 200.675 46.465 200.845 ;
+        RECT 46.755 200.675 46.925 200.845 ;
+        RECT 47.215 200.675 47.385 200.845 ;
+        RECT 47.675 200.675 47.845 200.845 ;
+        RECT 48.135 200.675 48.305 200.845 ;
+        RECT 48.595 200.675 48.765 200.845 ;
+        RECT 49.055 200.675 49.225 200.845 ;
+        RECT 49.515 200.675 49.685 200.845 ;
+        RECT 49.975 200.675 50.145 200.845 ;
+        RECT 50.435 200.675 50.605 200.845 ;
+        RECT 50.895 200.675 51.065 200.845 ;
+        RECT 51.355 200.675 51.525 200.845 ;
+        RECT 51.815 200.675 51.985 200.845 ;
+        RECT 52.275 200.675 52.445 200.845 ;
+        RECT 52.735 200.675 52.905 200.845 ;
+        RECT 53.195 200.675 53.365 200.845 ;
+        RECT 53.655 200.675 53.825 200.845 ;
+        RECT 42.615 197.955 42.785 198.125 ;
+        RECT 43.075 197.955 43.245 198.125 ;
+        RECT 43.535 197.955 43.705 198.125 ;
+        RECT 43.995 197.955 44.165 198.125 ;
+        RECT 44.455 197.955 44.625 198.125 ;
+        RECT 44.915 197.955 45.085 198.125 ;
+        RECT 45.375 197.955 45.545 198.125 ;
+        RECT 45.835 197.955 46.005 198.125 ;
+        RECT 46.295 197.955 46.465 198.125 ;
+        RECT 46.755 197.955 46.925 198.125 ;
+        RECT 47.215 197.955 47.385 198.125 ;
+        RECT 47.675 197.955 47.845 198.125 ;
+        RECT 48.135 197.955 48.305 198.125 ;
+        RECT 48.595 197.955 48.765 198.125 ;
+        RECT 49.055 197.955 49.225 198.125 ;
+        RECT 49.515 197.955 49.685 198.125 ;
+        RECT 49.975 197.955 50.145 198.125 ;
+        RECT 50.435 197.955 50.605 198.125 ;
+        RECT 50.895 197.955 51.065 198.125 ;
+        RECT 51.355 197.955 51.525 198.125 ;
+        RECT 51.815 197.955 51.985 198.125 ;
+        RECT 52.275 197.955 52.445 198.125 ;
+        RECT 52.735 197.955 52.905 198.125 ;
+        RECT 53.195 197.955 53.365 198.125 ;
+        RECT 53.655 197.955 53.825 198.125 ;
+        RECT 42.615 195.235 42.785 195.405 ;
+        RECT 43.075 195.235 43.245 195.405 ;
+        RECT 43.535 195.235 43.705 195.405 ;
+        RECT 43.995 195.235 44.165 195.405 ;
+        RECT 44.455 195.235 44.625 195.405 ;
+        RECT 44.915 195.235 45.085 195.405 ;
+        RECT 45.375 195.235 45.545 195.405 ;
+        RECT 45.835 195.235 46.005 195.405 ;
+        RECT 46.295 195.235 46.465 195.405 ;
+        RECT 46.755 195.235 46.925 195.405 ;
+        RECT 47.215 195.235 47.385 195.405 ;
+        RECT 47.675 195.235 47.845 195.405 ;
+        RECT 48.135 195.235 48.305 195.405 ;
+        RECT 48.595 195.235 48.765 195.405 ;
+        RECT 49.055 195.235 49.225 195.405 ;
+        RECT 49.515 195.235 49.685 195.405 ;
+        RECT 49.975 195.235 50.145 195.405 ;
+        RECT 50.435 195.235 50.605 195.405 ;
+        RECT 50.895 195.235 51.065 195.405 ;
+        RECT 51.355 195.235 51.525 195.405 ;
+        RECT 51.815 195.235 51.985 195.405 ;
+        RECT 52.275 195.235 52.445 195.405 ;
+        RECT 52.735 195.235 52.905 195.405 ;
+        RECT 53.195 195.235 53.365 195.405 ;
+        RECT 53.655 195.235 53.825 195.405 ;
+        RECT 42.615 192.515 42.785 192.685 ;
+        RECT 43.075 192.515 43.245 192.685 ;
+        RECT 43.535 192.515 43.705 192.685 ;
+        RECT 43.995 192.515 44.165 192.685 ;
+        RECT 44.455 192.515 44.625 192.685 ;
+        RECT 44.915 192.515 45.085 192.685 ;
+        RECT 45.375 192.515 45.545 192.685 ;
+        RECT 45.835 192.515 46.005 192.685 ;
+        RECT 46.295 192.515 46.465 192.685 ;
+        RECT 46.755 192.515 46.925 192.685 ;
+        RECT 47.215 192.515 47.385 192.685 ;
+        RECT 47.675 192.515 47.845 192.685 ;
+        RECT 48.135 192.515 48.305 192.685 ;
+        RECT 48.595 192.515 48.765 192.685 ;
+        RECT 49.055 192.515 49.225 192.685 ;
+        RECT 49.515 192.515 49.685 192.685 ;
+        RECT 49.975 192.515 50.145 192.685 ;
+        RECT 50.435 192.515 50.605 192.685 ;
+        RECT 50.895 192.515 51.065 192.685 ;
+        RECT 51.355 192.515 51.525 192.685 ;
+        RECT 51.815 192.515 51.985 192.685 ;
+        RECT 52.275 192.515 52.445 192.685 ;
+        RECT 52.735 192.515 52.905 192.685 ;
+        RECT 53.195 192.515 53.365 192.685 ;
+        RECT 53.655 192.515 53.825 192.685 ;
+        RECT 42.615 189.795 42.785 189.965 ;
+        RECT 43.075 189.795 43.245 189.965 ;
+        RECT 43.535 189.795 43.705 189.965 ;
+        RECT 43.995 189.795 44.165 189.965 ;
+        RECT 44.455 189.795 44.625 189.965 ;
+        RECT 44.915 189.795 45.085 189.965 ;
+        RECT 45.375 189.795 45.545 189.965 ;
+        RECT 45.835 189.795 46.005 189.965 ;
+        RECT 46.295 189.795 46.465 189.965 ;
+        RECT 46.755 189.795 46.925 189.965 ;
+        RECT 47.215 189.795 47.385 189.965 ;
+        RECT 47.675 189.795 47.845 189.965 ;
+        RECT 48.135 189.795 48.305 189.965 ;
+        RECT 48.595 189.795 48.765 189.965 ;
+        RECT 49.055 189.795 49.225 189.965 ;
+        RECT 49.515 189.795 49.685 189.965 ;
+        RECT 49.975 189.795 50.145 189.965 ;
+        RECT 50.435 189.795 50.605 189.965 ;
+        RECT 50.895 189.795 51.065 189.965 ;
+        RECT 51.355 189.795 51.525 189.965 ;
+        RECT 51.815 189.795 51.985 189.965 ;
+        RECT 52.275 189.795 52.445 189.965 ;
+        RECT 52.735 189.795 52.905 189.965 ;
+        RECT 53.195 189.795 53.365 189.965 ;
+        RECT 53.655 189.795 53.825 189.965 ;
+        RECT 42.615 187.075 42.785 187.245 ;
+        RECT 43.075 187.075 43.245 187.245 ;
+        RECT 43.535 187.075 43.705 187.245 ;
+        RECT 43.995 187.075 44.165 187.245 ;
+        RECT 44.455 187.075 44.625 187.245 ;
+        RECT 44.915 187.075 45.085 187.245 ;
+        RECT 45.375 187.075 45.545 187.245 ;
+        RECT 45.835 187.075 46.005 187.245 ;
+        RECT 46.295 187.075 46.465 187.245 ;
+        RECT 46.755 187.075 46.925 187.245 ;
+        RECT 47.215 187.075 47.385 187.245 ;
+        RECT 47.675 187.075 47.845 187.245 ;
+        RECT 48.135 187.075 48.305 187.245 ;
+        RECT 48.595 187.075 48.765 187.245 ;
+        RECT 49.055 187.075 49.225 187.245 ;
+        RECT 49.515 187.075 49.685 187.245 ;
+        RECT 49.975 187.075 50.145 187.245 ;
+        RECT 50.435 187.075 50.605 187.245 ;
+        RECT 50.895 187.075 51.065 187.245 ;
+        RECT 51.355 187.075 51.525 187.245 ;
+        RECT 51.815 187.075 51.985 187.245 ;
+        RECT 52.275 187.075 52.445 187.245 ;
+        RECT 52.735 187.075 52.905 187.245 ;
+        RECT 53.195 187.075 53.365 187.245 ;
+        RECT 53.655 187.075 53.825 187.245 ;
+        RECT 42.615 184.355 42.785 184.525 ;
+        RECT 43.075 184.355 43.245 184.525 ;
+        RECT 43.535 184.355 43.705 184.525 ;
+        RECT 43.995 184.355 44.165 184.525 ;
+        RECT 44.455 184.355 44.625 184.525 ;
+        RECT 44.915 184.355 45.085 184.525 ;
+        RECT 45.375 184.355 45.545 184.525 ;
+        RECT 45.835 184.355 46.005 184.525 ;
+        RECT 46.295 184.355 46.465 184.525 ;
+        RECT 46.755 184.355 46.925 184.525 ;
+        RECT 47.215 184.355 47.385 184.525 ;
+        RECT 47.675 184.355 47.845 184.525 ;
+        RECT 48.135 184.355 48.305 184.525 ;
+        RECT 48.595 184.355 48.765 184.525 ;
+        RECT 49.055 184.355 49.225 184.525 ;
+        RECT 49.515 184.355 49.685 184.525 ;
+        RECT 49.975 184.355 50.145 184.525 ;
+        RECT 50.435 184.355 50.605 184.525 ;
+        RECT 50.895 184.355 51.065 184.525 ;
+        RECT 51.355 184.355 51.525 184.525 ;
+        RECT 51.815 184.355 51.985 184.525 ;
+        RECT 52.275 184.355 52.445 184.525 ;
+        RECT 52.735 184.355 52.905 184.525 ;
+        RECT 53.195 184.355 53.365 184.525 ;
+        RECT 53.655 184.355 53.825 184.525 ;
+        RECT 42.615 181.635 42.785 181.805 ;
+        RECT 43.075 181.635 43.245 181.805 ;
+        RECT 43.535 181.635 43.705 181.805 ;
+        RECT 43.995 181.635 44.165 181.805 ;
+        RECT 44.455 181.635 44.625 181.805 ;
+        RECT 44.915 181.635 45.085 181.805 ;
+        RECT 45.375 181.635 45.545 181.805 ;
+        RECT 45.835 181.635 46.005 181.805 ;
+        RECT 46.295 181.635 46.465 181.805 ;
+        RECT 46.755 181.635 46.925 181.805 ;
+        RECT 47.215 181.635 47.385 181.805 ;
+        RECT 47.675 181.635 47.845 181.805 ;
+        RECT 48.135 181.635 48.305 181.805 ;
+        RECT 48.595 181.635 48.765 181.805 ;
+        RECT 49.055 181.635 49.225 181.805 ;
+        RECT 49.515 181.635 49.685 181.805 ;
+        RECT 49.975 181.635 50.145 181.805 ;
+        RECT 50.435 181.635 50.605 181.805 ;
+        RECT 50.895 181.635 51.065 181.805 ;
+        RECT 51.355 181.635 51.525 181.805 ;
+        RECT 51.815 181.635 51.985 181.805 ;
+        RECT 52.275 181.635 52.445 181.805 ;
+        RECT 52.735 181.635 52.905 181.805 ;
+        RECT 53.195 181.635 53.365 181.805 ;
+        RECT 53.655 181.635 53.825 181.805 ;
+        RECT 42.615 178.915 42.785 179.085 ;
+        RECT 43.075 178.915 43.245 179.085 ;
+        RECT 43.535 178.915 43.705 179.085 ;
+        RECT 43.995 178.915 44.165 179.085 ;
+        RECT 44.455 178.915 44.625 179.085 ;
+        RECT 44.915 178.915 45.085 179.085 ;
+        RECT 45.375 178.915 45.545 179.085 ;
+        RECT 45.835 178.915 46.005 179.085 ;
+        RECT 46.295 178.915 46.465 179.085 ;
+        RECT 46.755 178.915 46.925 179.085 ;
+        RECT 47.215 178.915 47.385 179.085 ;
+        RECT 47.675 178.915 47.845 179.085 ;
+        RECT 48.135 178.915 48.305 179.085 ;
+        RECT 48.595 178.915 48.765 179.085 ;
+        RECT 49.055 178.915 49.225 179.085 ;
+        RECT 49.515 178.915 49.685 179.085 ;
+        RECT 49.975 178.915 50.145 179.085 ;
+        RECT 50.435 178.915 50.605 179.085 ;
+        RECT 50.895 178.915 51.065 179.085 ;
+        RECT 51.355 178.915 51.525 179.085 ;
+        RECT 51.815 178.915 51.985 179.085 ;
+        RECT 52.275 178.915 52.445 179.085 ;
+        RECT 52.735 178.915 52.905 179.085 ;
+        RECT 53.195 178.915 53.365 179.085 ;
+        RECT 53.655 178.915 53.825 179.085 ;
+        RECT 42.615 176.195 42.785 176.365 ;
+        RECT 43.075 176.195 43.245 176.365 ;
+        RECT 43.535 176.195 43.705 176.365 ;
+        RECT 43.995 176.195 44.165 176.365 ;
+        RECT 44.455 176.195 44.625 176.365 ;
+        RECT 44.915 176.195 45.085 176.365 ;
+        RECT 45.375 176.195 45.545 176.365 ;
+        RECT 45.835 176.195 46.005 176.365 ;
+        RECT 46.295 176.195 46.465 176.365 ;
+        RECT 46.755 176.195 46.925 176.365 ;
+        RECT 47.215 176.195 47.385 176.365 ;
+        RECT 47.675 176.195 47.845 176.365 ;
+        RECT 48.135 176.195 48.305 176.365 ;
+        RECT 48.595 176.195 48.765 176.365 ;
+        RECT 49.055 176.195 49.225 176.365 ;
+        RECT 49.515 176.195 49.685 176.365 ;
+        RECT 49.975 176.195 50.145 176.365 ;
+        RECT 50.435 176.195 50.605 176.365 ;
+        RECT 50.895 176.195 51.065 176.365 ;
+        RECT 51.355 176.195 51.525 176.365 ;
+        RECT 51.815 176.195 51.985 176.365 ;
+        RECT 52.275 176.195 52.445 176.365 ;
+        RECT 52.735 176.195 52.905 176.365 ;
+        RECT 53.195 176.195 53.365 176.365 ;
+        RECT 53.655 176.195 53.825 176.365 ;
+        RECT 42.615 173.475 42.785 173.645 ;
+        RECT 43.075 173.475 43.245 173.645 ;
+        RECT 43.535 173.475 43.705 173.645 ;
+        RECT 43.995 173.475 44.165 173.645 ;
+        RECT 44.455 173.475 44.625 173.645 ;
+        RECT 44.915 173.475 45.085 173.645 ;
+        RECT 45.375 173.475 45.545 173.645 ;
+        RECT 45.835 173.475 46.005 173.645 ;
+        RECT 46.295 173.475 46.465 173.645 ;
+        RECT 46.755 173.475 46.925 173.645 ;
+        RECT 47.215 173.475 47.385 173.645 ;
+        RECT 47.675 173.475 47.845 173.645 ;
+        RECT 48.135 173.475 48.305 173.645 ;
+        RECT 48.595 173.475 48.765 173.645 ;
+        RECT 49.055 173.475 49.225 173.645 ;
+        RECT 49.515 173.475 49.685 173.645 ;
+        RECT 49.975 173.475 50.145 173.645 ;
+        RECT 50.435 173.475 50.605 173.645 ;
+        RECT 50.895 173.475 51.065 173.645 ;
+        RECT 51.355 173.475 51.525 173.645 ;
+        RECT 51.815 173.475 51.985 173.645 ;
+        RECT 52.275 173.475 52.445 173.645 ;
+        RECT 52.735 173.475 52.905 173.645 ;
+        RECT 53.195 173.475 53.365 173.645 ;
+        RECT 53.655 173.475 53.825 173.645 ;
+        RECT 42.615 170.755 42.785 170.925 ;
+        RECT 43.075 170.755 43.245 170.925 ;
+        RECT 43.535 170.755 43.705 170.925 ;
+        RECT 43.995 170.755 44.165 170.925 ;
+        RECT 44.455 170.755 44.625 170.925 ;
+        RECT 44.915 170.755 45.085 170.925 ;
+        RECT 45.375 170.755 45.545 170.925 ;
+        RECT 45.835 170.755 46.005 170.925 ;
+        RECT 46.295 170.755 46.465 170.925 ;
+        RECT 46.755 170.755 46.925 170.925 ;
+        RECT 47.215 170.755 47.385 170.925 ;
+        RECT 47.675 170.755 47.845 170.925 ;
+        RECT 48.135 170.755 48.305 170.925 ;
+        RECT 48.595 170.755 48.765 170.925 ;
+        RECT 49.055 170.755 49.225 170.925 ;
+        RECT 49.515 170.755 49.685 170.925 ;
+        RECT 49.975 170.755 50.145 170.925 ;
+        RECT 50.435 170.755 50.605 170.925 ;
+        RECT 50.895 170.755 51.065 170.925 ;
+        RECT 51.355 170.755 51.525 170.925 ;
+        RECT 51.815 170.755 51.985 170.925 ;
+        RECT 52.275 170.755 52.445 170.925 ;
+        RECT 52.735 170.755 52.905 170.925 ;
+        RECT 53.195 170.755 53.365 170.925 ;
+        RECT 53.655 170.755 53.825 170.925 ;
+        RECT 42.615 168.035 42.785 168.205 ;
+        RECT 43.075 168.035 43.245 168.205 ;
+        RECT 43.535 168.035 43.705 168.205 ;
+        RECT 43.995 168.035 44.165 168.205 ;
+        RECT 44.455 168.035 44.625 168.205 ;
+        RECT 44.915 168.035 45.085 168.205 ;
+        RECT 45.375 168.035 45.545 168.205 ;
+        RECT 45.835 168.035 46.005 168.205 ;
+        RECT 46.295 168.035 46.465 168.205 ;
+        RECT 46.755 168.035 46.925 168.205 ;
+        RECT 47.215 168.035 47.385 168.205 ;
+        RECT 47.675 168.035 47.845 168.205 ;
+        RECT 48.135 168.035 48.305 168.205 ;
+        RECT 48.595 168.035 48.765 168.205 ;
+        RECT 49.055 168.035 49.225 168.205 ;
+        RECT 49.515 168.035 49.685 168.205 ;
+        RECT 49.975 168.035 50.145 168.205 ;
+        RECT 50.435 168.035 50.605 168.205 ;
+        RECT 50.895 168.035 51.065 168.205 ;
+        RECT 51.355 168.035 51.525 168.205 ;
+        RECT 51.815 168.035 51.985 168.205 ;
+        RECT 52.275 168.035 52.445 168.205 ;
+        RECT 52.735 168.035 52.905 168.205 ;
+        RECT 53.195 168.035 53.365 168.205 ;
+        RECT 53.655 168.035 53.825 168.205 ;
+        RECT 42.615 165.315 42.785 165.485 ;
+        RECT 43.075 165.315 43.245 165.485 ;
+        RECT 43.535 165.315 43.705 165.485 ;
+        RECT 43.995 165.315 44.165 165.485 ;
+        RECT 44.455 165.315 44.625 165.485 ;
+        RECT 44.915 165.315 45.085 165.485 ;
+        RECT 45.375 165.315 45.545 165.485 ;
+        RECT 45.835 165.315 46.005 165.485 ;
+        RECT 46.295 165.315 46.465 165.485 ;
+        RECT 46.755 165.315 46.925 165.485 ;
+        RECT 47.215 165.315 47.385 165.485 ;
+        RECT 47.675 165.315 47.845 165.485 ;
+        RECT 48.135 165.315 48.305 165.485 ;
+        RECT 48.595 165.315 48.765 165.485 ;
+        RECT 49.055 165.315 49.225 165.485 ;
+        RECT 49.515 165.315 49.685 165.485 ;
+        RECT 49.975 165.315 50.145 165.485 ;
+        RECT 50.435 165.315 50.605 165.485 ;
+        RECT 50.895 165.315 51.065 165.485 ;
+        RECT 51.355 165.315 51.525 165.485 ;
+        RECT 51.815 165.315 51.985 165.485 ;
+        RECT 52.275 165.315 52.445 165.485 ;
+        RECT 52.735 165.315 52.905 165.485 ;
+        RECT 53.195 165.315 53.365 165.485 ;
+        RECT 53.655 165.315 53.825 165.485 ;
+        RECT 42.615 162.595 42.785 162.765 ;
+        RECT 43.075 162.595 43.245 162.765 ;
+        RECT 43.535 162.595 43.705 162.765 ;
+        RECT 43.995 162.595 44.165 162.765 ;
+        RECT 44.455 162.595 44.625 162.765 ;
+        RECT 44.915 162.595 45.085 162.765 ;
+        RECT 45.375 162.595 45.545 162.765 ;
+        RECT 45.835 162.595 46.005 162.765 ;
+        RECT 46.295 162.595 46.465 162.765 ;
+        RECT 46.755 162.595 46.925 162.765 ;
+        RECT 47.215 162.595 47.385 162.765 ;
+        RECT 47.675 162.595 47.845 162.765 ;
+        RECT 48.135 162.595 48.305 162.765 ;
+        RECT 48.595 162.595 48.765 162.765 ;
+        RECT 49.055 162.595 49.225 162.765 ;
+        RECT 49.515 162.595 49.685 162.765 ;
+        RECT 49.975 162.595 50.145 162.765 ;
+        RECT 50.435 162.595 50.605 162.765 ;
+        RECT 50.895 162.595 51.065 162.765 ;
+        RECT 51.355 162.595 51.525 162.765 ;
+        RECT 51.815 162.595 51.985 162.765 ;
+        RECT 52.275 162.595 52.445 162.765 ;
+        RECT 52.735 162.595 52.905 162.765 ;
+        RECT 53.195 162.595 53.365 162.765 ;
+        RECT 53.655 162.595 53.825 162.765 ;
+        RECT 42.615 159.875 42.785 160.045 ;
+        RECT 43.075 159.875 43.245 160.045 ;
+        RECT 43.535 159.875 43.705 160.045 ;
+        RECT 43.995 159.875 44.165 160.045 ;
+        RECT 44.455 159.875 44.625 160.045 ;
+        RECT 44.915 159.875 45.085 160.045 ;
+        RECT 45.375 159.875 45.545 160.045 ;
+        RECT 45.835 159.875 46.005 160.045 ;
+        RECT 46.295 159.875 46.465 160.045 ;
+        RECT 46.755 159.875 46.925 160.045 ;
+        RECT 47.215 159.875 47.385 160.045 ;
+        RECT 47.675 159.875 47.845 160.045 ;
+        RECT 48.135 159.875 48.305 160.045 ;
+        RECT 48.595 159.875 48.765 160.045 ;
+        RECT 49.055 159.875 49.225 160.045 ;
+        RECT 49.515 159.875 49.685 160.045 ;
+        RECT 49.975 159.875 50.145 160.045 ;
+        RECT 50.435 159.875 50.605 160.045 ;
+        RECT 50.895 159.875 51.065 160.045 ;
+        RECT 51.355 159.875 51.525 160.045 ;
+        RECT 51.815 159.875 51.985 160.045 ;
+        RECT 52.275 159.875 52.445 160.045 ;
+        RECT 52.735 159.875 52.905 160.045 ;
+        RECT 53.195 159.875 53.365 160.045 ;
+        RECT 53.655 159.875 53.825 160.045 ;
+        RECT 42.615 157.155 42.785 157.325 ;
+        RECT 43.075 157.155 43.245 157.325 ;
+        RECT 43.535 157.155 43.705 157.325 ;
+        RECT 43.995 157.155 44.165 157.325 ;
+        RECT 44.455 157.155 44.625 157.325 ;
+        RECT 44.915 157.155 45.085 157.325 ;
+        RECT 45.375 157.155 45.545 157.325 ;
+        RECT 45.835 157.155 46.005 157.325 ;
+        RECT 46.295 157.155 46.465 157.325 ;
+        RECT 46.755 157.155 46.925 157.325 ;
+        RECT 47.215 157.155 47.385 157.325 ;
+        RECT 47.675 157.155 47.845 157.325 ;
+        RECT 48.135 157.155 48.305 157.325 ;
+        RECT 48.595 157.155 48.765 157.325 ;
+        RECT 49.055 157.155 49.225 157.325 ;
+        RECT 49.515 157.155 49.685 157.325 ;
+        RECT 49.975 157.155 50.145 157.325 ;
+        RECT 50.435 157.155 50.605 157.325 ;
+        RECT 50.895 157.155 51.065 157.325 ;
+        RECT 51.355 157.155 51.525 157.325 ;
+        RECT 51.815 157.155 51.985 157.325 ;
+        RECT 52.275 157.155 52.445 157.325 ;
+        RECT 52.735 157.155 52.905 157.325 ;
+        RECT 53.195 157.155 53.365 157.325 ;
+        RECT 53.655 157.155 53.825 157.325 ;
+        RECT 42.615 154.435 42.785 154.605 ;
+        RECT 43.075 154.435 43.245 154.605 ;
+        RECT 43.535 154.435 43.705 154.605 ;
+        RECT 43.995 154.435 44.165 154.605 ;
+        RECT 44.455 154.435 44.625 154.605 ;
+        RECT 44.915 154.435 45.085 154.605 ;
+        RECT 45.375 154.435 45.545 154.605 ;
+        RECT 45.835 154.435 46.005 154.605 ;
+        RECT 46.295 154.435 46.465 154.605 ;
+        RECT 46.755 154.435 46.925 154.605 ;
+        RECT 47.215 154.435 47.385 154.605 ;
+        RECT 47.675 154.435 47.845 154.605 ;
+        RECT 48.135 154.435 48.305 154.605 ;
+        RECT 48.595 154.435 48.765 154.605 ;
+        RECT 49.055 154.435 49.225 154.605 ;
+        RECT 49.515 154.435 49.685 154.605 ;
+        RECT 49.975 154.435 50.145 154.605 ;
+        RECT 50.435 154.435 50.605 154.605 ;
+        RECT 50.895 154.435 51.065 154.605 ;
+        RECT 51.355 154.435 51.525 154.605 ;
+        RECT 51.815 154.435 51.985 154.605 ;
+        RECT 52.275 154.435 52.445 154.605 ;
+        RECT 52.735 154.435 52.905 154.605 ;
+        RECT 53.195 154.435 53.365 154.605 ;
+        RECT 53.655 154.435 53.825 154.605 ;
+        RECT 42.615 151.715 42.785 151.885 ;
+        RECT 43.075 151.715 43.245 151.885 ;
+        RECT 43.535 151.715 43.705 151.885 ;
+        RECT 43.995 151.715 44.165 151.885 ;
+        RECT 44.455 151.715 44.625 151.885 ;
+        RECT 44.915 151.715 45.085 151.885 ;
+        RECT 45.375 151.715 45.545 151.885 ;
+        RECT 45.835 151.715 46.005 151.885 ;
+        RECT 46.295 151.715 46.465 151.885 ;
+        RECT 46.755 151.715 46.925 151.885 ;
+        RECT 47.215 151.715 47.385 151.885 ;
+        RECT 47.675 151.715 47.845 151.885 ;
+        RECT 48.135 151.715 48.305 151.885 ;
+        RECT 48.595 151.715 48.765 151.885 ;
+        RECT 49.055 151.715 49.225 151.885 ;
+        RECT 49.515 151.715 49.685 151.885 ;
+        RECT 49.975 151.715 50.145 151.885 ;
+        RECT 50.435 151.715 50.605 151.885 ;
+        RECT 50.895 151.715 51.065 151.885 ;
+        RECT 51.355 151.715 51.525 151.885 ;
+        RECT 51.815 151.715 51.985 151.885 ;
+        RECT 52.275 151.715 52.445 151.885 ;
+        RECT 52.735 151.715 52.905 151.885 ;
+        RECT 53.195 151.715 53.365 151.885 ;
+        RECT 53.655 151.715 53.825 151.885 ;
+        RECT 42.615 148.995 42.785 149.165 ;
+        RECT 43.075 148.995 43.245 149.165 ;
+        RECT 43.535 148.995 43.705 149.165 ;
+        RECT 43.995 148.995 44.165 149.165 ;
+        RECT 44.455 148.995 44.625 149.165 ;
+        RECT 44.915 148.995 45.085 149.165 ;
+        RECT 45.375 148.995 45.545 149.165 ;
+        RECT 45.835 148.995 46.005 149.165 ;
+        RECT 46.295 148.995 46.465 149.165 ;
+        RECT 46.755 148.995 46.925 149.165 ;
+        RECT 47.215 148.995 47.385 149.165 ;
+        RECT 47.675 148.995 47.845 149.165 ;
+        RECT 48.135 148.995 48.305 149.165 ;
+        RECT 48.595 148.995 48.765 149.165 ;
+        RECT 49.055 148.995 49.225 149.165 ;
+        RECT 49.515 148.995 49.685 149.165 ;
+        RECT 49.975 148.995 50.145 149.165 ;
+        RECT 50.435 148.995 50.605 149.165 ;
+        RECT 50.895 148.995 51.065 149.165 ;
+        RECT 51.355 148.995 51.525 149.165 ;
+        RECT 51.815 148.995 51.985 149.165 ;
+        RECT 52.275 148.995 52.445 149.165 ;
+        RECT 52.735 148.995 52.905 149.165 ;
+        RECT 53.195 148.995 53.365 149.165 ;
+        RECT 53.655 148.995 53.825 149.165 ;
+        RECT 42.615 146.275 42.785 146.445 ;
+        RECT 43.075 146.275 43.245 146.445 ;
+        RECT 43.535 146.275 43.705 146.445 ;
+        RECT 43.995 146.275 44.165 146.445 ;
+        RECT 44.455 146.275 44.625 146.445 ;
+        RECT 44.915 146.275 45.085 146.445 ;
+        RECT 45.375 146.275 45.545 146.445 ;
+        RECT 45.835 146.275 46.005 146.445 ;
+        RECT 46.295 146.275 46.465 146.445 ;
+        RECT 46.755 146.275 46.925 146.445 ;
+        RECT 47.215 146.275 47.385 146.445 ;
+        RECT 47.675 146.275 47.845 146.445 ;
+        RECT 48.135 146.275 48.305 146.445 ;
+        RECT 48.595 146.275 48.765 146.445 ;
+        RECT 49.055 146.275 49.225 146.445 ;
+        RECT 49.515 146.275 49.685 146.445 ;
+        RECT 49.975 146.275 50.145 146.445 ;
+        RECT 50.435 146.275 50.605 146.445 ;
+        RECT 50.895 146.275 51.065 146.445 ;
+        RECT 51.355 146.275 51.525 146.445 ;
+        RECT 51.815 146.275 51.985 146.445 ;
+        RECT 52.275 146.275 52.445 146.445 ;
+        RECT 52.735 146.275 52.905 146.445 ;
+        RECT 53.195 146.275 53.365 146.445 ;
+        RECT 53.655 146.275 53.825 146.445 ;
+        RECT 42.615 143.555 42.785 143.725 ;
+        RECT 43.075 143.555 43.245 143.725 ;
+        RECT 43.535 143.555 43.705 143.725 ;
+        RECT 43.995 143.555 44.165 143.725 ;
+        RECT 44.455 143.555 44.625 143.725 ;
+        RECT 44.915 143.555 45.085 143.725 ;
+        RECT 45.375 143.555 45.545 143.725 ;
+        RECT 45.835 143.555 46.005 143.725 ;
+        RECT 46.295 143.555 46.465 143.725 ;
+        RECT 46.755 143.555 46.925 143.725 ;
+        RECT 47.215 143.555 47.385 143.725 ;
+        RECT 47.675 143.555 47.845 143.725 ;
+        RECT 48.135 143.555 48.305 143.725 ;
+        RECT 48.595 143.555 48.765 143.725 ;
+        RECT 49.055 143.555 49.225 143.725 ;
+        RECT 49.515 143.555 49.685 143.725 ;
+        RECT 49.975 143.555 50.145 143.725 ;
+        RECT 50.435 143.555 50.605 143.725 ;
+        RECT 50.895 143.555 51.065 143.725 ;
+        RECT 51.355 143.555 51.525 143.725 ;
+        RECT 51.815 143.555 51.985 143.725 ;
+        RECT 52.275 143.555 52.445 143.725 ;
+        RECT 52.735 143.555 52.905 143.725 ;
+        RECT 53.195 143.555 53.365 143.725 ;
+        RECT 53.655 143.555 53.825 143.725 ;
+        RECT 42.615 140.835 42.785 141.005 ;
+        RECT 43.075 140.835 43.245 141.005 ;
+        RECT 43.535 140.835 43.705 141.005 ;
+        RECT 43.995 140.835 44.165 141.005 ;
+        RECT 44.455 140.835 44.625 141.005 ;
+        RECT 44.915 140.835 45.085 141.005 ;
+        RECT 45.375 140.835 45.545 141.005 ;
+        RECT 45.835 140.835 46.005 141.005 ;
+        RECT 46.295 140.835 46.465 141.005 ;
+        RECT 46.755 140.835 46.925 141.005 ;
+        RECT 47.215 140.835 47.385 141.005 ;
+        RECT 47.675 140.835 47.845 141.005 ;
+        RECT 48.135 140.835 48.305 141.005 ;
+        RECT 48.595 140.835 48.765 141.005 ;
+        RECT 49.055 140.835 49.225 141.005 ;
+        RECT 49.515 140.835 49.685 141.005 ;
+        RECT 49.975 140.835 50.145 141.005 ;
+        RECT 50.435 140.835 50.605 141.005 ;
+        RECT 50.895 140.835 51.065 141.005 ;
+        RECT 51.355 140.835 51.525 141.005 ;
+        RECT 51.815 140.835 51.985 141.005 ;
+        RECT 52.275 140.835 52.445 141.005 ;
+        RECT 52.735 140.835 52.905 141.005 ;
+        RECT 53.195 140.835 53.365 141.005 ;
+        RECT 53.655 140.835 53.825 141.005 ;
+        RECT 42.615 138.115 42.785 138.285 ;
+        RECT 43.075 138.115 43.245 138.285 ;
+        RECT 43.535 138.115 43.705 138.285 ;
+        RECT 43.995 138.115 44.165 138.285 ;
+        RECT 44.455 138.115 44.625 138.285 ;
+        RECT 44.915 138.115 45.085 138.285 ;
+        RECT 45.375 138.115 45.545 138.285 ;
+        RECT 45.835 138.115 46.005 138.285 ;
+        RECT 46.295 138.115 46.465 138.285 ;
+        RECT 46.755 138.115 46.925 138.285 ;
+        RECT 47.215 138.115 47.385 138.285 ;
+        RECT 47.675 138.115 47.845 138.285 ;
+        RECT 48.135 138.115 48.305 138.285 ;
+        RECT 48.595 138.115 48.765 138.285 ;
+        RECT 49.055 138.115 49.225 138.285 ;
+        RECT 49.515 138.115 49.685 138.285 ;
+        RECT 49.975 138.115 50.145 138.285 ;
+        RECT 50.435 138.115 50.605 138.285 ;
+        RECT 50.895 138.115 51.065 138.285 ;
+        RECT 51.355 138.115 51.525 138.285 ;
+        RECT 51.815 138.115 51.985 138.285 ;
+        RECT 52.275 138.115 52.445 138.285 ;
+        RECT 52.735 138.115 52.905 138.285 ;
+        RECT 53.195 138.115 53.365 138.285 ;
+        RECT 53.655 138.115 53.825 138.285 ;
+        RECT 42.615 135.395 42.785 135.565 ;
+        RECT 43.075 135.395 43.245 135.565 ;
+        RECT 43.535 135.395 43.705 135.565 ;
+        RECT 43.995 135.395 44.165 135.565 ;
+        RECT 44.455 135.395 44.625 135.565 ;
+        RECT 44.915 135.395 45.085 135.565 ;
+        RECT 45.375 135.395 45.545 135.565 ;
+        RECT 45.835 135.395 46.005 135.565 ;
+        RECT 46.295 135.395 46.465 135.565 ;
+        RECT 46.755 135.395 46.925 135.565 ;
+        RECT 47.215 135.395 47.385 135.565 ;
+        RECT 47.675 135.395 47.845 135.565 ;
+        RECT 48.135 135.395 48.305 135.565 ;
+        RECT 48.595 135.395 48.765 135.565 ;
+        RECT 49.055 135.395 49.225 135.565 ;
+        RECT 49.515 135.395 49.685 135.565 ;
+        RECT 49.975 135.395 50.145 135.565 ;
+        RECT 50.435 135.395 50.605 135.565 ;
+        RECT 50.895 135.395 51.065 135.565 ;
+        RECT 51.355 135.395 51.525 135.565 ;
+        RECT 51.815 135.395 51.985 135.565 ;
+        RECT 52.275 135.395 52.445 135.565 ;
+        RECT 52.735 135.395 52.905 135.565 ;
+        RECT 53.195 135.395 53.365 135.565 ;
+        RECT 53.655 135.395 53.825 135.565 ;
+        RECT 42.615 132.675 42.785 132.845 ;
+        RECT 43.075 132.675 43.245 132.845 ;
+        RECT 43.535 132.675 43.705 132.845 ;
+        RECT 43.995 132.675 44.165 132.845 ;
+        RECT 44.455 132.675 44.625 132.845 ;
+        RECT 44.915 132.675 45.085 132.845 ;
+        RECT 45.375 132.675 45.545 132.845 ;
+        RECT 45.835 132.675 46.005 132.845 ;
+        RECT 46.295 132.675 46.465 132.845 ;
+        RECT 46.755 132.675 46.925 132.845 ;
+        RECT 47.215 132.675 47.385 132.845 ;
+        RECT 47.675 132.675 47.845 132.845 ;
+        RECT 48.135 132.675 48.305 132.845 ;
+        RECT 48.595 132.675 48.765 132.845 ;
+        RECT 49.055 132.675 49.225 132.845 ;
+        RECT 49.515 132.675 49.685 132.845 ;
+        RECT 49.975 132.675 50.145 132.845 ;
+        RECT 50.435 132.675 50.605 132.845 ;
+        RECT 50.895 132.675 51.065 132.845 ;
+        RECT 51.355 132.675 51.525 132.845 ;
+        RECT 51.815 132.675 51.985 132.845 ;
+        RECT 52.275 132.675 52.445 132.845 ;
+        RECT 52.735 132.675 52.905 132.845 ;
+        RECT 53.195 132.675 53.365 132.845 ;
+        RECT 53.655 132.675 53.825 132.845 ;
+        RECT 42.615 129.955 42.785 130.125 ;
+        RECT 43.075 129.955 43.245 130.125 ;
+        RECT 43.535 129.955 43.705 130.125 ;
+        RECT 43.995 129.955 44.165 130.125 ;
+        RECT 44.455 129.955 44.625 130.125 ;
+        RECT 44.915 129.955 45.085 130.125 ;
+        RECT 45.375 129.955 45.545 130.125 ;
+        RECT 45.835 129.955 46.005 130.125 ;
+        RECT 46.295 129.955 46.465 130.125 ;
+        RECT 46.755 129.955 46.925 130.125 ;
+        RECT 47.215 129.955 47.385 130.125 ;
+        RECT 47.675 129.955 47.845 130.125 ;
+        RECT 48.135 129.955 48.305 130.125 ;
+        RECT 48.595 129.955 48.765 130.125 ;
+        RECT 49.055 129.955 49.225 130.125 ;
+        RECT 49.515 129.955 49.685 130.125 ;
+        RECT 49.975 129.955 50.145 130.125 ;
+        RECT 50.435 129.955 50.605 130.125 ;
+        RECT 50.895 129.955 51.065 130.125 ;
+        RECT 51.355 129.955 51.525 130.125 ;
+        RECT 51.815 129.955 51.985 130.125 ;
+        RECT 52.275 129.955 52.445 130.125 ;
+        RECT 52.735 129.955 52.905 130.125 ;
+        RECT 53.195 129.955 53.365 130.125 ;
+        RECT 53.655 129.955 53.825 130.125 ;
+        RECT 42.615 127.235 42.785 127.405 ;
+        RECT 43.075 127.235 43.245 127.405 ;
+        RECT 43.535 127.235 43.705 127.405 ;
+        RECT 43.995 127.235 44.165 127.405 ;
+        RECT 44.455 127.235 44.625 127.405 ;
+        RECT 44.915 127.235 45.085 127.405 ;
+        RECT 45.375 127.235 45.545 127.405 ;
+        RECT 45.835 127.235 46.005 127.405 ;
+        RECT 46.295 127.235 46.465 127.405 ;
+        RECT 46.755 127.235 46.925 127.405 ;
+        RECT 47.215 127.235 47.385 127.405 ;
+        RECT 47.675 127.235 47.845 127.405 ;
+        RECT 48.135 127.235 48.305 127.405 ;
+        RECT 48.595 127.235 48.765 127.405 ;
+        RECT 49.055 127.235 49.225 127.405 ;
+        RECT 49.515 127.235 49.685 127.405 ;
+        RECT 49.975 127.235 50.145 127.405 ;
+        RECT 50.435 127.235 50.605 127.405 ;
+        RECT 50.895 127.235 51.065 127.405 ;
+        RECT 51.355 127.235 51.525 127.405 ;
+        RECT 51.815 127.235 51.985 127.405 ;
+        RECT 52.275 127.235 52.445 127.405 ;
+        RECT 52.735 127.235 52.905 127.405 ;
+        RECT 53.195 127.235 53.365 127.405 ;
+        RECT 53.655 127.235 53.825 127.405 ;
+        RECT 42.615 124.515 42.785 124.685 ;
+        RECT 43.075 124.515 43.245 124.685 ;
+        RECT 43.535 124.515 43.705 124.685 ;
+        RECT 43.995 124.515 44.165 124.685 ;
+        RECT 44.455 124.515 44.625 124.685 ;
+        RECT 44.915 124.515 45.085 124.685 ;
+        RECT 45.375 124.515 45.545 124.685 ;
+        RECT 45.835 124.515 46.005 124.685 ;
+        RECT 46.295 124.515 46.465 124.685 ;
+        RECT 46.755 124.515 46.925 124.685 ;
+        RECT 47.215 124.515 47.385 124.685 ;
+        RECT 47.675 124.515 47.845 124.685 ;
+        RECT 48.135 124.515 48.305 124.685 ;
+        RECT 48.595 124.515 48.765 124.685 ;
+        RECT 49.055 124.515 49.225 124.685 ;
+        RECT 49.515 124.515 49.685 124.685 ;
+        RECT 49.975 124.515 50.145 124.685 ;
+        RECT 50.435 124.515 50.605 124.685 ;
+        RECT 50.895 124.515 51.065 124.685 ;
+        RECT 51.355 124.515 51.525 124.685 ;
+        RECT 51.815 124.515 51.985 124.685 ;
+        RECT 52.275 124.515 52.445 124.685 ;
+        RECT 52.735 124.515 52.905 124.685 ;
+        RECT 53.195 124.515 53.365 124.685 ;
+        RECT 53.655 124.515 53.825 124.685 ;
+        RECT 42.615 121.795 42.785 121.965 ;
+        RECT 43.075 121.795 43.245 121.965 ;
+        RECT 43.535 121.795 43.705 121.965 ;
+        RECT 43.995 121.795 44.165 121.965 ;
+        RECT 44.455 121.795 44.625 121.965 ;
+        RECT 44.915 121.795 45.085 121.965 ;
+        RECT 45.375 121.795 45.545 121.965 ;
+        RECT 45.835 121.795 46.005 121.965 ;
+        RECT 46.295 121.795 46.465 121.965 ;
+        RECT 46.755 121.795 46.925 121.965 ;
+        RECT 47.215 121.795 47.385 121.965 ;
+        RECT 47.675 121.795 47.845 121.965 ;
+        RECT 48.135 121.795 48.305 121.965 ;
+        RECT 48.595 121.795 48.765 121.965 ;
+        RECT 49.055 121.795 49.225 121.965 ;
+        RECT 49.515 121.795 49.685 121.965 ;
+        RECT 49.975 121.795 50.145 121.965 ;
+        RECT 50.435 121.795 50.605 121.965 ;
+        RECT 50.895 121.795 51.065 121.965 ;
+        RECT 51.355 121.795 51.525 121.965 ;
+        RECT 51.815 121.795 51.985 121.965 ;
+        RECT 52.275 121.795 52.445 121.965 ;
+        RECT 52.735 121.795 52.905 121.965 ;
+        RECT 53.195 121.795 53.365 121.965 ;
+        RECT 53.655 121.795 53.825 121.965 ;
+        RECT 42.615 119.075 42.785 119.245 ;
+        RECT 43.075 119.075 43.245 119.245 ;
+        RECT 43.535 119.075 43.705 119.245 ;
+        RECT 43.995 119.075 44.165 119.245 ;
+        RECT 44.455 119.075 44.625 119.245 ;
+        RECT 44.915 119.075 45.085 119.245 ;
+        RECT 45.375 119.075 45.545 119.245 ;
+        RECT 45.835 119.075 46.005 119.245 ;
+        RECT 46.295 119.075 46.465 119.245 ;
+        RECT 46.755 119.075 46.925 119.245 ;
+        RECT 47.215 119.075 47.385 119.245 ;
+        RECT 47.675 119.075 47.845 119.245 ;
+        RECT 48.135 119.075 48.305 119.245 ;
+        RECT 48.595 119.075 48.765 119.245 ;
+        RECT 49.055 119.075 49.225 119.245 ;
+        RECT 49.515 119.075 49.685 119.245 ;
+        RECT 49.975 119.075 50.145 119.245 ;
+        RECT 50.435 119.075 50.605 119.245 ;
+        RECT 50.895 119.075 51.065 119.245 ;
+        RECT 51.355 119.075 51.525 119.245 ;
+        RECT 51.815 119.075 51.985 119.245 ;
+        RECT 52.275 119.075 52.445 119.245 ;
+        RECT 52.735 119.075 52.905 119.245 ;
+        RECT 53.195 119.075 53.365 119.245 ;
+        RECT 53.655 119.075 53.825 119.245 ;
+        RECT 42.615 116.355 42.785 116.525 ;
+        RECT 43.075 116.355 43.245 116.525 ;
+        RECT 43.535 116.355 43.705 116.525 ;
+        RECT 43.995 116.355 44.165 116.525 ;
+        RECT 44.455 116.355 44.625 116.525 ;
+        RECT 44.915 116.355 45.085 116.525 ;
+        RECT 45.375 116.355 45.545 116.525 ;
+        RECT 45.835 116.355 46.005 116.525 ;
+        RECT 46.295 116.355 46.465 116.525 ;
+        RECT 46.755 116.355 46.925 116.525 ;
+        RECT 47.215 116.355 47.385 116.525 ;
+        RECT 47.675 116.355 47.845 116.525 ;
+        RECT 48.135 116.355 48.305 116.525 ;
+        RECT 48.595 116.355 48.765 116.525 ;
+        RECT 49.055 116.355 49.225 116.525 ;
+        RECT 49.515 116.355 49.685 116.525 ;
+        RECT 49.975 116.355 50.145 116.525 ;
+        RECT 50.435 116.355 50.605 116.525 ;
+        RECT 50.895 116.355 51.065 116.525 ;
+        RECT 51.355 116.355 51.525 116.525 ;
+        RECT 51.815 116.355 51.985 116.525 ;
+        RECT 52.275 116.355 52.445 116.525 ;
+        RECT 52.735 116.355 52.905 116.525 ;
+        RECT 53.195 116.355 53.365 116.525 ;
+        RECT 53.655 116.355 53.825 116.525 ;
+        RECT 42.615 113.635 42.785 113.805 ;
+        RECT 43.075 113.635 43.245 113.805 ;
+        RECT 43.535 113.635 43.705 113.805 ;
+        RECT 43.995 113.635 44.165 113.805 ;
+        RECT 44.455 113.635 44.625 113.805 ;
+        RECT 44.915 113.635 45.085 113.805 ;
+        RECT 45.375 113.635 45.545 113.805 ;
+        RECT 45.835 113.635 46.005 113.805 ;
+        RECT 46.295 113.635 46.465 113.805 ;
+        RECT 46.755 113.635 46.925 113.805 ;
+        RECT 47.215 113.635 47.385 113.805 ;
+        RECT 47.675 113.635 47.845 113.805 ;
+        RECT 48.135 113.635 48.305 113.805 ;
+        RECT 48.595 113.635 48.765 113.805 ;
+        RECT 49.055 113.635 49.225 113.805 ;
+        RECT 49.515 113.635 49.685 113.805 ;
+        RECT 49.975 113.635 50.145 113.805 ;
+        RECT 50.435 113.635 50.605 113.805 ;
+        RECT 50.895 113.635 51.065 113.805 ;
+        RECT 51.355 113.635 51.525 113.805 ;
+        RECT 51.815 113.635 51.985 113.805 ;
+        RECT 52.275 113.635 52.445 113.805 ;
+        RECT 52.735 113.635 52.905 113.805 ;
+        RECT 53.195 113.635 53.365 113.805 ;
+        RECT 53.655 113.635 53.825 113.805 ;
+        RECT 42.615 110.915 42.785 111.085 ;
+        RECT 43.075 110.915 43.245 111.085 ;
+        RECT 43.535 110.915 43.705 111.085 ;
+        RECT 43.995 110.915 44.165 111.085 ;
+        RECT 44.455 110.915 44.625 111.085 ;
+        RECT 44.915 110.915 45.085 111.085 ;
+        RECT 45.375 110.915 45.545 111.085 ;
+        RECT 45.835 110.915 46.005 111.085 ;
+        RECT 46.295 110.915 46.465 111.085 ;
+        RECT 46.755 110.915 46.925 111.085 ;
+        RECT 47.215 110.915 47.385 111.085 ;
+        RECT 47.675 110.915 47.845 111.085 ;
+        RECT 48.135 110.915 48.305 111.085 ;
+        RECT 48.595 110.915 48.765 111.085 ;
+        RECT 49.055 110.915 49.225 111.085 ;
+        RECT 49.515 110.915 49.685 111.085 ;
+        RECT 49.975 110.915 50.145 111.085 ;
+        RECT 50.435 110.915 50.605 111.085 ;
+        RECT 50.895 110.915 51.065 111.085 ;
+        RECT 51.355 110.915 51.525 111.085 ;
+        RECT 51.815 110.915 51.985 111.085 ;
+        RECT 52.275 110.915 52.445 111.085 ;
+        RECT 52.735 110.915 52.905 111.085 ;
+        RECT 53.195 110.915 53.365 111.085 ;
+        RECT 53.655 110.915 53.825 111.085 ;
+        RECT 42.615 108.195 42.785 108.365 ;
+        RECT 43.075 108.195 43.245 108.365 ;
+        RECT 43.535 108.195 43.705 108.365 ;
+        RECT 43.995 108.195 44.165 108.365 ;
+        RECT 44.455 108.195 44.625 108.365 ;
+        RECT 44.915 108.195 45.085 108.365 ;
+        RECT 45.375 108.195 45.545 108.365 ;
+        RECT 45.835 108.195 46.005 108.365 ;
+        RECT 46.295 108.195 46.465 108.365 ;
+        RECT 46.755 108.195 46.925 108.365 ;
+        RECT 47.215 108.195 47.385 108.365 ;
+        RECT 47.675 108.195 47.845 108.365 ;
+        RECT 48.135 108.195 48.305 108.365 ;
+        RECT 48.595 108.195 48.765 108.365 ;
+        RECT 49.055 108.195 49.225 108.365 ;
+        RECT 49.515 108.195 49.685 108.365 ;
+        RECT 49.975 108.195 50.145 108.365 ;
+        RECT 50.435 108.195 50.605 108.365 ;
+        RECT 50.895 108.195 51.065 108.365 ;
+        RECT 51.355 108.195 51.525 108.365 ;
+        RECT 51.815 108.195 51.985 108.365 ;
+        RECT 52.275 108.195 52.445 108.365 ;
+        RECT 52.735 108.195 52.905 108.365 ;
+        RECT 53.195 108.195 53.365 108.365 ;
+        RECT 53.655 108.195 53.825 108.365 ;
+        RECT 42.615 105.475 42.785 105.645 ;
+        RECT 43.075 105.475 43.245 105.645 ;
+        RECT 43.535 105.475 43.705 105.645 ;
+        RECT 43.995 105.475 44.165 105.645 ;
+        RECT 44.455 105.475 44.625 105.645 ;
+        RECT 44.915 105.475 45.085 105.645 ;
+        RECT 45.375 105.475 45.545 105.645 ;
+        RECT 45.835 105.475 46.005 105.645 ;
+        RECT 46.295 105.475 46.465 105.645 ;
+        RECT 46.755 105.475 46.925 105.645 ;
+        RECT 47.215 105.475 47.385 105.645 ;
+        RECT 47.675 105.475 47.845 105.645 ;
+        RECT 48.135 105.475 48.305 105.645 ;
+        RECT 48.595 105.475 48.765 105.645 ;
+        RECT 49.055 105.475 49.225 105.645 ;
+        RECT 49.515 105.475 49.685 105.645 ;
+        RECT 49.975 105.475 50.145 105.645 ;
+        RECT 50.435 105.475 50.605 105.645 ;
+        RECT 50.895 105.475 51.065 105.645 ;
+        RECT 51.355 105.475 51.525 105.645 ;
+        RECT 51.815 105.475 51.985 105.645 ;
+        RECT 52.275 105.475 52.445 105.645 ;
+        RECT 52.735 105.475 52.905 105.645 ;
+        RECT 53.195 105.475 53.365 105.645 ;
+        RECT 53.655 105.475 53.825 105.645 ;
+        RECT 42.615 102.755 42.785 102.925 ;
+        RECT 43.075 102.755 43.245 102.925 ;
+        RECT 43.535 102.755 43.705 102.925 ;
+        RECT 43.995 102.755 44.165 102.925 ;
+        RECT 44.455 102.755 44.625 102.925 ;
+        RECT 44.915 102.755 45.085 102.925 ;
+        RECT 45.375 102.755 45.545 102.925 ;
+        RECT 45.835 102.755 46.005 102.925 ;
+        RECT 46.295 102.755 46.465 102.925 ;
+        RECT 46.755 102.755 46.925 102.925 ;
+        RECT 47.215 102.755 47.385 102.925 ;
+        RECT 47.675 102.755 47.845 102.925 ;
+        RECT 48.135 102.755 48.305 102.925 ;
+        RECT 48.595 102.755 48.765 102.925 ;
+        RECT 49.055 102.755 49.225 102.925 ;
+        RECT 49.515 102.755 49.685 102.925 ;
+        RECT 49.975 102.755 50.145 102.925 ;
+        RECT 50.435 102.755 50.605 102.925 ;
+        RECT 50.895 102.755 51.065 102.925 ;
+        RECT 51.355 102.755 51.525 102.925 ;
+        RECT 51.815 102.755 51.985 102.925 ;
+        RECT 52.275 102.755 52.445 102.925 ;
+        RECT 52.735 102.755 52.905 102.925 ;
+        RECT 53.195 102.755 53.365 102.925 ;
+        RECT 53.655 102.755 53.825 102.925 ;
+        RECT 42.615 100.035 42.785 100.205 ;
+        RECT 43.075 100.035 43.245 100.205 ;
+        RECT 43.535 100.035 43.705 100.205 ;
+        RECT 43.995 100.035 44.165 100.205 ;
+        RECT 44.455 100.035 44.625 100.205 ;
+        RECT 44.915 100.035 45.085 100.205 ;
+        RECT 45.375 100.035 45.545 100.205 ;
+        RECT 45.835 100.035 46.005 100.205 ;
+        RECT 46.295 100.035 46.465 100.205 ;
+        RECT 46.755 100.035 46.925 100.205 ;
+        RECT 47.215 100.035 47.385 100.205 ;
+        RECT 47.675 100.035 47.845 100.205 ;
+        RECT 48.135 100.035 48.305 100.205 ;
+        RECT 48.595 100.035 48.765 100.205 ;
+        RECT 49.055 100.035 49.225 100.205 ;
+        RECT 49.515 100.035 49.685 100.205 ;
+        RECT 49.975 100.035 50.145 100.205 ;
+        RECT 50.435 100.035 50.605 100.205 ;
+        RECT 50.895 100.035 51.065 100.205 ;
+        RECT 51.355 100.035 51.525 100.205 ;
+        RECT 51.815 100.035 51.985 100.205 ;
+        RECT 52.275 100.035 52.445 100.205 ;
+        RECT 52.735 100.035 52.905 100.205 ;
+        RECT 53.195 100.035 53.365 100.205 ;
+        RECT 53.655 100.035 53.825 100.205 ;
+        RECT 42.615 97.315 42.785 97.485 ;
+        RECT 43.075 97.315 43.245 97.485 ;
+        RECT 43.535 97.315 43.705 97.485 ;
+        RECT 43.995 97.315 44.165 97.485 ;
+        RECT 44.455 97.315 44.625 97.485 ;
+        RECT 44.915 97.315 45.085 97.485 ;
+        RECT 45.375 97.315 45.545 97.485 ;
+        RECT 45.835 97.315 46.005 97.485 ;
+        RECT 46.295 97.315 46.465 97.485 ;
+        RECT 46.755 97.315 46.925 97.485 ;
+        RECT 47.215 97.315 47.385 97.485 ;
+        RECT 47.675 97.315 47.845 97.485 ;
+        RECT 48.135 97.315 48.305 97.485 ;
+        RECT 48.595 97.315 48.765 97.485 ;
+        RECT 49.055 97.315 49.225 97.485 ;
+        RECT 49.515 97.315 49.685 97.485 ;
+        RECT 49.975 97.315 50.145 97.485 ;
+        RECT 50.435 97.315 50.605 97.485 ;
+        RECT 50.895 97.315 51.065 97.485 ;
+        RECT 51.355 97.315 51.525 97.485 ;
+        RECT 51.815 97.315 51.985 97.485 ;
+        RECT 52.275 97.315 52.445 97.485 ;
+        RECT 52.735 97.315 52.905 97.485 ;
+        RECT 53.195 97.315 53.365 97.485 ;
+        RECT 53.655 97.315 53.825 97.485 ;
+        RECT 42.615 94.595 42.785 94.765 ;
+        RECT 43.075 94.595 43.245 94.765 ;
+        RECT 43.535 94.595 43.705 94.765 ;
+        RECT 43.995 94.595 44.165 94.765 ;
+        RECT 44.455 94.595 44.625 94.765 ;
+        RECT 44.915 94.595 45.085 94.765 ;
+        RECT 45.375 94.595 45.545 94.765 ;
+        RECT 45.835 94.595 46.005 94.765 ;
+        RECT 46.295 94.595 46.465 94.765 ;
+        RECT 46.755 94.595 46.925 94.765 ;
+        RECT 47.215 94.595 47.385 94.765 ;
+        RECT 47.675 94.595 47.845 94.765 ;
+        RECT 48.135 94.595 48.305 94.765 ;
+        RECT 48.595 94.595 48.765 94.765 ;
+        RECT 49.055 94.595 49.225 94.765 ;
+        RECT 49.515 94.595 49.685 94.765 ;
+        RECT 49.975 94.595 50.145 94.765 ;
+        RECT 50.435 94.595 50.605 94.765 ;
+        RECT 50.895 94.595 51.065 94.765 ;
+        RECT 51.355 94.595 51.525 94.765 ;
+        RECT 51.815 94.595 51.985 94.765 ;
+        RECT 52.275 94.595 52.445 94.765 ;
+        RECT 52.735 94.595 52.905 94.765 ;
+        RECT 53.195 94.595 53.365 94.765 ;
+        RECT 53.655 94.595 53.825 94.765 ;
+        RECT 42.615 91.875 42.785 92.045 ;
+        RECT 43.075 91.875 43.245 92.045 ;
+        RECT 43.535 91.875 43.705 92.045 ;
+        RECT 43.995 91.875 44.165 92.045 ;
+        RECT 44.455 91.875 44.625 92.045 ;
+        RECT 44.915 91.875 45.085 92.045 ;
+        RECT 45.375 91.875 45.545 92.045 ;
+        RECT 45.835 91.875 46.005 92.045 ;
+        RECT 46.295 91.875 46.465 92.045 ;
+        RECT 46.755 91.875 46.925 92.045 ;
+        RECT 47.215 91.875 47.385 92.045 ;
+        RECT 47.675 91.875 47.845 92.045 ;
+        RECT 48.135 91.875 48.305 92.045 ;
+        RECT 48.595 91.875 48.765 92.045 ;
+        RECT 49.055 91.875 49.225 92.045 ;
+        RECT 49.515 91.875 49.685 92.045 ;
+        RECT 49.975 91.875 50.145 92.045 ;
+        RECT 50.435 91.875 50.605 92.045 ;
+        RECT 50.895 91.875 51.065 92.045 ;
+        RECT 51.355 91.875 51.525 92.045 ;
+        RECT 51.815 91.875 51.985 92.045 ;
+        RECT 52.275 91.875 52.445 92.045 ;
+        RECT 52.735 91.875 52.905 92.045 ;
+        RECT 53.195 91.875 53.365 92.045 ;
+        RECT 53.655 91.875 53.825 92.045 ;
+        RECT 42.615 89.155 42.785 89.325 ;
+        RECT 43.075 89.155 43.245 89.325 ;
+        RECT 43.535 89.155 43.705 89.325 ;
+        RECT 43.995 89.155 44.165 89.325 ;
+        RECT 44.455 89.155 44.625 89.325 ;
+        RECT 44.915 89.155 45.085 89.325 ;
+        RECT 45.375 89.155 45.545 89.325 ;
+        RECT 45.835 89.155 46.005 89.325 ;
+        RECT 46.295 89.155 46.465 89.325 ;
+        RECT 46.755 89.155 46.925 89.325 ;
+        RECT 47.215 89.155 47.385 89.325 ;
+        RECT 47.675 89.155 47.845 89.325 ;
+        RECT 48.135 89.155 48.305 89.325 ;
+        RECT 48.595 89.155 48.765 89.325 ;
+        RECT 49.055 89.155 49.225 89.325 ;
+        RECT 49.515 89.155 49.685 89.325 ;
+        RECT 49.975 89.155 50.145 89.325 ;
+        RECT 50.435 89.155 50.605 89.325 ;
+        RECT 50.895 89.155 51.065 89.325 ;
+        RECT 51.355 89.155 51.525 89.325 ;
+        RECT 51.815 89.155 51.985 89.325 ;
+        RECT 52.275 89.155 52.445 89.325 ;
+        RECT 52.735 89.155 52.905 89.325 ;
+        RECT 53.195 89.155 53.365 89.325 ;
+        RECT 53.655 89.155 53.825 89.325 ;
+        RECT 42.615 86.435 42.785 86.605 ;
+        RECT 43.075 86.435 43.245 86.605 ;
+        RECT 43.535 86.435 43.705 86.605 ;
+        RECT 43.995 86.435 44.165 86.605 ;
+        RECT 44.455 86.435 44.625 86.605 ;
+        RECT 44.915 86.435 45.085 86.605 ;
+        RECT 45.375 86.435 45.545 86.605 ;
+        RECT 45.835 86.435 46.005 86.605 ;
+        RECT 46.295 86.435 46.465 86.605 ;
+        RECT 46.755 86.435 46.925 86.605 ;
+        RECT 47.215 86.435 47.385 86.605 ;
+        RECT 47.675 86.435 47.845 86.605 ;
+        RECT 48.135 86.435 48.305 86.605 ;
+        RECT 48.595 86.435 48.765 86.605 ;
+        RECT 49.055 86.435 49.225 86.605 ;
+        RECT 49.515 86.435 49.685 86.605 ;
+        RECT 49.975 86.435 50.145 86.605 ;
+        RECT 50.435 86.435 50.605 86.605 ;
+        RECT 50.895 86.435 51.065 86.605 ;
+        RECT 51.355 86.435 51.525 86.605 ;
+        RECT 51.815 86.435 51.985 86.605 ;
+        RECT 52.275 86.435 52.445 86.605 ;
+        RECT 52.735 86.435 52.905 86.605 ;
+        RECT 53.195 86.435 53.365 86.605 ;
+        RECT 53.655 86.435 53.825 86.605 ;
+        RECT 42.615 83.715 42.785 83.885 ;
+        RECT 43.075 83.715 43.245 83.885 ;
+        RECT 43.535 83.715 43.705 83.885 ;
+        RECT 43.995 83.715 44.165 83.885 ;
+        RECT 44.455 83.715 44.625 83.885 ;
+        RECT 44.915 83.715 45.085 83.885 ;
+        RECT 45.375 83.715 45.545 83.885 ;
+        RECT 45.835 83.715 46.005 83.885 ;
+        RECT 46.295 83.715 46.465 83.885 ;
+        RECT 46.755 83.715 46.925 83.885 ;
+        RECT 47.215 83.715 47.385 83.885 ;
+        RECT 47.675 83.715 47.845 83.885 ;
+        RECT 48.135 83.715 48.305 83.885 ;
+        RECT 48.595 83.715 48.765 83.885 ;
+        RECT 49.055 83.715 49.225 83.885 ;
+        RECT 49.515 83.715 49.685 83.885 ;
+        RECT 49.975 83.715 50.145 83.885 ;
+        RECT 50.435 83.715 50.605 83.885 ;
+        RECT 50.895 83.715 51.065 83.885 ;
+        RECT 51.355 83.715 51.525 83.885 ;
+        RECT 51.815 83.715 51.985 83.885 ;
+        RECT 52.275 83.715 52.445 83.885 ;
+        RECT 52.735 83.715 52.905 83.885 ;
+        RECT 53.195 83.715 53.365 83.885 ;
+        RECT 53.655 83.715 53.825 83.885 ;
+        RECT 42.615 80.995 42.785 81.165 ;
+        RECT 43.075 80.995 43.245 81.165 ;
+        RECT 43.535 80.995 43.705 81.165 ;
+        RECT 43.995 80.995 44.165 81.165 ;
+        RECT 44.455 80.995 44.625 81.165 ;
+        RECT 44.915 80.995 45.085 81.165 ;
+        RECT 45.375 80.995 45.545 81.165 ;
+        RECT 45.835 80.995 46.005 81.165 ;
+        RECT 46.295 80.995 46.465 81.165 ;
+        RECT 46.755 80.995 46.925 81.165 ;
+        RECT 47.215 80.995 47.385 81.165 ;
+        RECT 47.675 80.995 47.845 81.165 ;
+        RECT 48.135 80.995 48.305 81.165 ;
+        RECT 48.595 80.995 48.765 81.165 ;
+        RECT 49.055 80.995 49.225 81.165 ;
+        RECT 49.515 80.995 49.685 81.165 ;
+        RECT 49.975 80.995 50.145 81.165 ;
+        RECT 50.435 80.995 50.605 81.165 ;
+        RECT 50.895 80.995 51.065 81.165 ;
+        RECT 51.355 80.995 51.525 81.165 ;
+        RECT 51.815 80.995 51.985 81.165 ;
+        RECT 52.275 80.995 52.445 81.165 ;
+        RECT 52.735 80.995 52.905 81.165 ;
+        RECT 53.195 80.995 53.365 81.165 ;
+        RECT 53.655 80.995 53.825 81.165 ;
+        RECT 42.615 78.275 42.785 78.445 ;
+        RECT 43.075 78.275 43.245 78.445 ;
+        RECT 43.535 78.275 43.705 78.445 ;
+        RECT 43.995 78.275 44.165 78.445 ;
+        RECT 44.455 78.275 44.625 78.445 ;
+        RECT 44.915 78.275 45.085 78.445 ;
+        RECT 45.375 78.275 45.545 78.445 ;
+        RECT 45.835 78.275 46.005 78.445 ;
+        RECT 46.295 78.275 46.465 78.445 ;
+        RECT 46.755 78.275 46.925 78.445 ;
+        RECT 47.215 78.275 47.385 78.445 ;
+        RECT 47.675 78.275 47.845 78.445 ;
+        RECT 48.135 78.275 48.305 78.445 ;
+        RECT 48.595 78.275 48.765 78.445 ;
+        RECT 49.055 78.275 49.225 78.445 ;
+        RECT 49.515 78.275 49.685 78.445 ;
+        RECT 49.975 78.275 50.145 78.445 ;
+        RECT 50.435 78.275 50.605 78.445 ;
+        RECT 50.895 78.275 51.065 78.445 ;
+        RECT 51.355 78.275 51.525 78.445 ;
+        RECT 51.815 78.275 51.985 78.445 ;
+        RECT 52.275 78.275 52.445 78.445 ;
+        RECT 52.735 78.275 52.905 78.445 ;
+        RECT 53.195 78.275 53.365 78.445 ;
+        RECT 53.655 78.275 53.825 78.445 ;
+        RECT 42.615 75.555 42.785 75.725 ;
+        RECT 43.075 75.555 43.245 75.725 ;
+        RECT 43.535 75.555 43.705 75.725 ;
+        RECT 43.995 75.555 44.165 75.725 ;
+        RECT 44.455 75.555 44.625 75.725 ;
+        RECT 44.915 75.555 45.085 75.725 ;
+        RECT 45.375 75.555 45.545 75.725 ;
+        RECT 45.835 75.555 46.005 75.725 ;
+        RECT 46.295 75.555 46.465 75.725 ;
+        RECT 46.755 75.555 46.925 75.725 ;
+        RECT 47.215 75.555 47.385 75.725 ;
+        RECT 47.675 75.555 47.845 75.725 ;
+        RECT 48.135 75.555 48.305 75.725 ;
+        RECT 48.595 75.555 48.765 75.725 ;
+        RECT 49.055 75.555 49.225 75.725 ;
+        RECT 49.515 75.555 49.685 75.725 ;
+        RECT 49.975 75.555 50.145 75.725 ;
+        RECT 50.435 75.555 50.605 75.725 ;
+        RECT 50.895 75.555 51.065 75.725 ;
+        RECT 51.355 75.555 51.525 75.725 ;
+        RECT 51.815 75.555 51.985 75.725 ;
+        RECT 52.275 75.555 52.445 75.725 ;
+        RECT 52.735 75.555 52.905 75.725 ;
+        RECT 53.195 75.555 53.365 75.725 ;
+        RECT 53.655 75.555 53.825 75.725 ;
+        RECT 42.615 72.835 42.785 73.005 ;
+        RECT 43.075 72.835 43.245 73.005 ;
+        RECT 43.535 72.835 43.705 73.005 ;
+        RECT 43.995 72.835 44.165 73.005 ;
+        RECT 44.455 72.835 44.625 73.005 ;
+        RECT 44.915 72.835 45.085 73.005 ;
+        RECT 45.375 72.835 45.545 73.005 ;
+        RECT 45.835 72.835 46.005 73.005 ;
+        RECT 46.295 72.835 46.465 73.005 ;
+        RECT 46.755 72.835 46.925 73.005 ;
+        RECT 47.215 72.835 47.385 73.005 ;
+        RECT 47.675 72.835 47.845 73.005 ;
+        RECT 48.135 72.835 48.305 73.005 ;
+        RECT 48.595 72.835 48.765 73.005 ;
+        RECT 49.055 72.835 49.225 73.005 ;
+        RECT 49.515 72.835 49.685 73.005 ;
+        RECT 49.975 72.835 50.145 73.005 ;
+        RECT 50.435 72.835 50.605 73.005 ;
+        RECT 50.895 72.835 51.065 73.005 ;
+        RECT 51.355 72.835 51.525 73.005 ;
+        RECT 51.815 72.835 51.985 73.005 ;
+        RECT 52.275 72.835 52.445 73.005 ;
+        RECT 52.735 72.835 52.905 73.005 ;
+        RECT 53.195 72.835 53.365 73.005 ;
+        RECT 53.655 72.835 53.825 73.005 ;
+        RECT 42.615 70.115 42.785 70.285 ;
+        RECT 43.075 70.115 43.245 70.285 ;
+        RECT 43.535 70.115 43.705 70.285 ;
+        RECT 43.995 70.115 44.165 70.285 ;
+        RECT 44.455 70.115 44.625 70.285 ;
+        RECT 44.915 70.115 45.085 70.285 ;
+        RECT 45.375 70.115 45.545 70.285 ;
+        RECT 45.835 70.115 46.005 70.285 ;
+        RECT 46.295 70.115 46.465 70.285 ;
+        RECT 46.755 70.115 46.925 70.285 ;
+        RECT 47.215 70.115 47.385 70.285 ;
+        RECT 47.675 70.115 47.845 70.285 ;
+        RECT 48.135 70.115 48.305 70.285 ;
+        RECT 48.595 70.115 48.765 70.285 ;
+        RECT 49.055 70.115 49.225 70.285 ;
+        RECT 49.515 70.115 49.685 70.285 ;
+        RECT 49.975 70.115 50.145 70.285 ;
+        RECT 50.435 70.115 50.605 70.285 ;
+        RECT 50.895 70.115 51.065 70.285 ;
+        RECT 51.355 70.115 51.525 70.285 ;
+        RECT 51.815 70.115 51.985 70.285 ;
+        RECT 52.275 70.115 52.445 70.285 ;
+        RECT 52.735 70.115 52.905 70.285 ;
+        RECT 53.195 70.115 53.365 70.285 ;
+        RECT 53.655 70.115 53.825 70.285 ;
+        RECT 42.615 67.395 42.785 67.565 ;
+        RECT 43.075 67.395 43.245 67.565 ;
+        RECT 43.535 67.395 43.705 67.565 ;
+        RECT 43.995 67.395 44.165 67.565 ;
+        RECT 44.455 67.395 44.625 67.565 ;
+        RECT 44.915 67.395 45.085 67.565 ;
+        RECT 45.375 67.395 45.545 67.565 ;
+        RECT 45.835 67.395 46.005 67.565 ;
+        RECT 46.295 67.395 46.465 67.565 ;
+        RECT 46.755 67.395 46.925 67.565 ;
+        RECT 47.215 67.395 47.385 67.565 ;
+        RECT 47.675 67.395 47.845 67.565 ;
+        RECT 48.135 67.395 48.305 67.565 ;
+        RECT 48.595 67.395 48.765 67.565 ;
+        RECT 49.055 67.395 49.225 67.565 ;
+        RECT 49.515 67.395 49.685 67.565 ;
+        RECT 49.975 67.395 50.145 67.565 ;
+        RECT 50.435 67.395 50.605 67.565 ;
+        RECT 50.895 67.395 51.065 67.565 ;
+        RECT 51.355 67.395 51.525 67.565 ;
+        RECT 51.815 67.395 51.985 67.565 ;
+        RECT 52.275 67.395 52.445 67.565 ;
+        RECT 52.735 67.395 52.905 67.565 ;
+        RECT 53.195 67.395 53.365 67.565 ;
+        RECT 53.655 67.395 53.825 67.565 ;
+        RECT 42.615 64.675 42.785 64.845 ;
+        RECT 43.075 64.675 43.245 64.845 ;
+        RECT 43.535 64.675 43.705 64.845 ;
+        RECT 43.995 64.675 44.165 64.845 ;
+        RECT 44.455 64.675 44.625 64.845 ;
+        RECT 44.915 64.675 45.085 64.845 ;
+        RECT 45.375 64.675 45.545 64.845 ;
+        RECT 45.835 64.675 46.005 64.845 ;
+        RECT 46.295 64.675 46.465 64.845 ;
+        RECT 46.755 64.675 46.925 64.845 ;
+        RECT 47.215 64.675 47.385 64.845 ;
+        RECT 47.675 64.675 47.845 64.845 ;
+        RECT 48.135 64.675 48.305 64.845 ;
+        RECT 48.595 64.675 48.765 64.845 ;
+        RECT 49.055 64.675 49.225 64.845 ;
+        RECT 49.515 64.675 49.685 64.845 ;
+        RECT 49.975 64.675 50.145 64.845 ;
+        RECT 50.435 64.675 50.605 64.845 ;
+        RECT 50.895 64.675 51.065 64.845 ;
+        RECT 51.355 64.675 51.525 64.845 ;
+        RECT 51.815 64.675 51.985 64.845 ;
+        RECT 52.275 64.675 52.445 64.845 ;
+        RECT 52.735 64.675 52.905 64.845 ;
+        RECT 53.195 64.675 53.365 64.845 ;
+        RECT 53.655 64.675 53.825 64.845 ;
+        RECT 42.615 61.955 42.785 62.125 ;
+        RECT 43.075 61.955 43.245 62.125 ;
+        RECT 43.535 61.955 43.705 62.125 ;
+        RECT 43.995 61.955 44.165 62.125 ;
+        RECT 44.455 61.955 44.625 62.125 ;
+        RECT 44.915 61.955 45.085 62.125 ;
+        RECT 45.375 61.955 45.545 62.125 ;
+        RECT 45.835 61.955 46.005 62.125 ;
+        RECT 46.295 61.955 46.465 62.125 ;
+        RECT 46.755 61.955 46.925 62.125 ;
+        RECT 47.215 61.955 47.385 62.125 ;
+        RECT 47.675 61.955 47.845 62.125 ;
+        RECT 48.135 61.955 48.305 62.125 ;
+        RECT 48.595 61.955 48.765 62.125 ;
+        RECT 49.055 61.955 49.225 62.125 ;
+        RECT 49.515 61.955 49.685 62.125 ;
+        RECT 49.975 61.955 50.145 62.125 ;
+        RECT 50.435 61.955 50.605 62.125 ;
+        RECT 50.895 61.955 51.065 62.125 ;
+        RECT 51.355 61.955 51.525 62.125 ;
+        RECT 51.815 61.955 51.985 62.125 ;
+        RECT 52.275 61.955 52.445 62.125 ;
+        RECT 52.735 61.955 52.905 62.125 ;
+        RECT 53.195 61.955 53.365 62.125 ;
+        RECT 53.655 61.955 53.825 62.125 ;
+        RECT 42.615 59.235 42.785 59.405 ;
+        RECT 43.075 59.235 43.245 59.405 ;
+        RECT 43.535 59.235 43.705 59.405 ;
+        RECT 43.995 59.235 44.165 59.405 ;
+        RECT 44.455 59.235 44.625 59.405 ;
+        RECT 44.915 59.235 45.085 59.405 ;
+        RECT 45.375 59.235 45.545 59.405 ;
+        RECT 45.835 59.235 46.005 59.405 ;
+        RECT 46.295 59.235 46.465 59.405 ;
+        RECT 46.755 59.235 46.925 59.405 ;
+        RECT 47.215 59.235 47.385 59.405 ;
+        RECT 47.675 59.235 47.845 59.405 ;
+        RECT 48.135 59.235 48.305 59.405 ;
+        RECT 48.595 59.235 48.765 59.405 ;
+        RECT 49.055 59.235 49.225 59.405 ;
+        RECT 49.515 59.235 49.685 59.405 ;
+        RECT 49.975 59.235 50.145 59.405 ;
+        RECT 50.435 59.235 50.605 59.405 ;
+        RECT 50.895 59.235 51.065 59.405 ;
+        RECT 51.355 59.235 51.525 59.405 ;
+        RECT 51.815 59.235 51.985 59.405 ;
+        RECT 52.275 59.235 52.445 59.405 ;
+        RECT 52.735 59.235 52.905 59.405 ;
+        RECT 53.195 59.235 53.365 59.405 ;
+        RECT 53.655 59.235 53.825 59.405 ;
+        RECT 42.615 56.515 42.785 56.685 ;
+        RECT 43.075 56.515 43.245 56.685 ;
+        RECT 43.535 56.515 43.705 56.685 ;
+        RECT 43.995 56.515 44.165 56.685 ;
+        RECT 44.455 56.515 44.625 56.685 ;
+        RECT 44.915 56.515 45.085 56.685 ;
+        RECT 45.375 56.515 45.545 56.685 ;
+        RECT 45.835 56.515 46.005 56.685 ;
+        RECT 46.295 56.515 46.465 56.685 ;
+        RECT 46.755 56.515 46.925 56.685 ;
+        RECT 47.215 56.515 47.385 56.685 ;
+        RECT 47.675 56.515 47.845 56.685 ;
+        RECT 48.135 56.515 48.305 56.685 ;
+        RECT 48.595 56.515 48.765 56.685 ;
+        RECT 49.055 56.515 49.225 56.685 ;
+        RECT 49.515 56.515 49.685 56.685 ;
+        RECT 49.975 56.515 50.145 56.685 ;
+        RECT 50.435 56.515 50.605 56.685 ;
+        RECT 50.895 56.515 51.065 56.685 ;
+        RECT 51.355 56.515 51.525 56.685 ;
+        RECT 51.815 56.515 51.985 56.685 ;
+        RECT 52.275 56.515 52.445 56.685 ;
+        RECT 52.735 56.515 52.905 56.685 ;
+        RECT 53.195 56.515 53.365 56.685 ;
+        RECT 53.655 56.515 53.825 56.685 ;
+      LAYER met1 ;
+        RECT 42.470 619.400 633.960 619.880 ;
+        RECT 54.000 617.160 633.960 619.400 ;
+        RECT 42.470 616.680 633.960 617.160 ;
+        RECT 54.000 614.440 633.960 616.680 ;
+        RECT 42.470 613.960 633.960 614.440 ;
+        RECT 54.000 611.720 633.960 613.960 ;
+        RECT 42.470 611.240 633.960 611.720 ;
+        RECT 44.380 611.040 44.700 611.100 ;
+        RECT 54.000 611.040 633.960 611.240 ;
+        RECT 44.380 610.900 633.960 611.040 ;
+        RECT 44.380 610.840 44.700 610.900 ;
+        RECT 49.440 609.340 49.760 609.400 ;
+        RECT 54.000 609.340 633.960 610.900 ;
+        RECT 49.440 609.200 633.960 609.340 ;
+        RECT 49.440 609.140 49.760 609.200 ;
+        RECT 54.000 609.000 633.960 609.200 ;
+        RECT 42.470 608.520 633.960 609.000 ;
+        RECT 54.000 606.280 633.960 608.520 ;
+        RECT 42.470 605.800 633.960 606.280 ;
+        RECT 54.000 603.560 633.960 605.800 ;
+        RECT 42.470 603.080 633.960 603.560 ;
+        RECT 54.000 600.840 633.960 603.080 ;
+        RECT 42.470 600.360 633.960 600.840 ;
+        RECT 54.000 598.120 633.960 600.360 ;
+        RECT 42.470 597.640 633.960 598.120 ;
+        RECT 54.000 595.400 633.960 597.640 ;
+        RECT 42.470 594.920 633.960 595.400 ;
+        RECT 54.000 592.680 633.960 594.920 ;
+        RECT 42.470 592.200 633.960 592.680 ;
+        RECT 54.000 589.960 633.960 592.200 ;
+        RECT 42.470 589.480 633.960 589.960 ;
+        RECT 54.000 587.240 633.960 589.480 ;
+        RECT 42.470 586.760 633.960 587.240 ;
+        RECT 54.000 584.520 633.960 586.760 ;
+        RECT 42.470 584.040 633.960 584.520 ;
+        RECT 54.000 581.800 633.960 584.040 ;
+        RECT 42.470 581.320 633.960 581.800 ;
+        RECT 54.000 579.080 633.960 581.320 ;
+        RECT 42.470 578.600 633.960 579.080 ;
+        RECT 54.000 576.360 633.960 578.600 ;
+        RECT 42.470 575.880 633.960 576.360 ;
+        RECT 54.000 573.640 633.960 575.880 ;
+        RECT 42.470 573.160 633.960 573.640 ;
+        RECT 54.000 570.920 633.960 573.160 ;
+        RECT 42.470 570.440 633.960 570.920 ;
+        RECT 54.000 568.200 633.960 570.440 ;
+        RECT 42.470 567.720 633.960 568.200 ;
+        RECT 54.000 565.480 633.960 567.720 ;
+        RECT 42.470 565.000 633.960 565.480 ;
+        RECT 54.000 562.760 633.960 565.000 ;
+        RECT 42.470 562.280 633.960 562.760 ;
+        RECT 54.000 560.040 633.960 562.280 ;
+        RECT 42.470 559.560 633.960 560.040 ;
+        RECT 54.000 557.320 633.960 559.560 ;
+        RECT 42.470 556.840 633.960 557.320 ;
+        RECT 54.000 554.600 633.960 556.840 ;
+        RECT 42.470 554.120 633.960 554.600 ;
+        RECT 54.000 551.880 633.960 554.120 ;
+        RECT 42.470 551.400 633.960 551.880 ;
+        RECT 54.000 549.160 633.960 551.400 ;
+        RECT 42.470 548.680 633.960 549.160 ;
+        RECT 54.000 546.440 633.960 548.680 ;
+        RECT 42.470 545.960 633.960 546.440 ;
+        RECT 54.000 543.720 633.960 545.960 ;
+        RECT 42.470 543.240 633.960 543.720 ;
+        RECT 54.000 541.000 633.960 543.240 ;
+        RECT 42.470 540.520 633.960 541.000 ;
+        RECT 54.000 538.280 633.960 540.520 ;
+        RECT 42.470 537.800 633.960 538.280 ;
+        RECT 54.000 535.560 633.960 537.800 ;
+        RECT 42.470 535.080 633.960 535.560 ;
+        RECT 54.000 532.840 633.960 535.080 ;
+        RECT 42.470 532.360 633.960 532.840 ;
+        RECT 54.000 530.120 633.960 532.360 ;
+        RECT 42.470 529.640 633.960 530.120 ;
+        RECT 54.000 527.400 633.960 529.640 ;
+        RECT 42.470 526.920 633.960 527.400 ;
+        RECT 54.000 524.680 633.960 526.920 ;
+        RECT 42.470 524.200 633.960 524.680 ;
+        RECT 54.000 521.960 633.960 524.200 ;
+        RECT 42.470 521.480 633.960 521.960 ;
+        RECT 54.000 519.240 633.960 521.480 ;
+        RECT 42.470 518.760 633.960 519.240 ;
+        RECT 54.000 516.520 633.960 518.760 ;
+        RECT 42.470 516.040 633.960 516.520 ;
+        RECT 54.000 513.800 633.960 516.040 ;
+        RECT 42.470 513.320 633.960 513.800 ;
+        RECT 54.000 511.080 633.960 513.320 ;
+        RECT 42.470 510.600 633.960 511.080 ;
+        RECT 54.000 508.360 633.960 510.600 ;
+        RECT 42.470 507.880 633.960 508.360 ;
+        RECT 54.000 505.640 633.960 507.880 ;
+        RECT 42.470 505.160 633.960 505.640 ;
+        RECT 54.000 502.920 633.960 505.160 ;
+        RECT 42.470 502.440 633.960 502.920 ;
+        RECT 54.000 500.200 633.960 502.440 ;
+        RECT 42.470 499.720 633.960 500.200 ;
+        RECT 54.000 497.480 633.960 499.720 ;
+        RECT 42.470 497.000 633.960 497.480 ;
+        RECT 54.000 494.760 633.960 497.000 ;
+        RECT 42.470 494.280 633.960 494.760 ;
+        RECT 54.000 492.040 633.960 494.280 ;
+        RECT 42.470 491.560 633.960 492.040 ;
+        RECT 54.000 489.320 633.960 491.560 ;
+        RECT 42.470 488.840 633.960 489.320 ;
+        RECT 54.000 486.600 633.960 488.840 ;
+        RECT 42.470 486.120 633.960 486.600 ;
+        RECT 54.000 483.880 633.960 486.120 ;
+        RECT 42.470 483.400 633.960 483.880 ;
+        RECT 54.000 481.160 633.960 483.400 ;
+        RECT 42.470 480.680 633.960 481.160 ;
+        RECT 54.000 478.440 633.960 480.680 ;
+        RECT 42.470 477.960 633.960 478.440 ;
+        RECT 54.000 475.720 633.960 477.960 ;
+        RECT 42.470 475.240 633.960 475.720 ;
+        RECT 54.000 473.000 633.960 475.240 ;
+        RECT 42.470 472.520 633.960 473.000 ;
+        RECT 54.000 470.280 633.960 472.520 ;
+        RECT 42.470 469.800 633.960 470.280 ;
+        RECT 54.000 467.560 633.960 469.800 ;
+        RECT 42.470 467.080 633.960 467.560 ;
+        RECT 54.000 464.840 633.960 467.080 ;
+        RECT 42.470 464.360 633.960 464.840 ;
+        RECT 54.000 462.120 633.960 464.360 ;
+        RECT 42.470 461.640 633.960 462.120 ;
+        RECT 54.000 459.400 633.960 461.640 ;
+        RECT 42.470 458.920 633.960 459.400 ;
+        RECT 54.000 456.680 633.960 458.920 ;
+        RECT 42.470 456.200 633.960 456.680 ;
+        RECT 54.000 453.960 633.960 456.200 ;
+        RECT 42.470 453.480 633.960 453.960 ;
+        RECT 54.000 451.240 633.960 453.480 ;
+        RECT 42.470 450.760 633.960 451.240 ;
+        RECT 54.000 448.520 633.960 450.760 ;
+        RECT 42.470 448.040 633.960 448.520 ;
+        RECT 54.000 445.800 633.960 448.040 ;
+        RECT 42.470 445.320 633.960 445.800 ;
+        RECT 54.000 443.080 633.960 445.320 ;
+        RECT 42.470 442.600 633.960 443.080 ;
+        RECT 54.000 440.360 633.960 442.600 ;
+        RECT 42.470 439.880 633.960 440.360 ;
+        RECT 54.000 437.640 633.960 439.880 ;
+        RECT 42.470 437.160 633.960 437.640 ;
+        RECT 54.000 434.920 633.960 437.160 ;
+        RECT 42.470 434.440 633.960 434.920 ;
+        RECT 54.000 432.200 633.960 434.440 ;
+        RECT 42.470 431.720 633.960 432.200 ;
+        RECT 54.000 429.480 633.960 431.720 ;
+        RECT 42.470 429.000 633.960 429.480 ;
+        RECT 54.000 426.760 633.960 429.000 ;
+        RECT 42.470 426.280 633.960 426.760 ;
+        RECT 54.000 424.040 633.960 426.280 ;
+        RECT 42.470 423.560 633.960 424.040 ;
+        RECT 54.000 421.320 633.960 423.560 ;
+        RECT 42.470 420.840 633.960 421.320 ;
+        RECT 54.000 418.600 633.960 420.840 ;
+        RECT 42.470 418.120 633.960 418.600 ;
+        RECT 54.000 415.880 633.960 418.120 ;
+        RECT 42.470 415.400 633.960 415.880 ;
+        RECT 54.000 413.160 633.960 415.400 ;
+        RECT 42.470 412.680 633.960 413.160 ;
+        RECT 54.000 410.440 633.960 412.680 ;
+        RECT 42.470 409.960 633.960 410.440 ;
+        RECT 54.000 407.720 633.960 409.960 ;
+        RECT 42.470 407.240 633.960 407.720 ;
+        RECT 54.000 405.000 633.960 407.240 ;
+        RECT 42.470 404.520 633.960 405.000 ;
+        RECT 54.000 402.280 633.960 404.520 ;
+        RECT 42.470 401.800 633.960 402.280 ;
+        RECT 54.000 399.560 633.960 401.800 ;
+        RECT 42.470 399.080 633.960 399.560 ;
+        RECT 54.000 396.840 633.960 399.080 ;
+        RECT 42.470 396.360 633.960 396.840 ;
+        RECT 54.000 394.120 633.960 396.360 ;
+        RECT 42.470 393.640 633.960 394.120 ;
+        RECT 54.000 391.400 633.960 393.640 ;
+        RECT 42.470 390.920 633.960 391.400 ;
+        RECT 54.000 388.680 633.960 390.920 ;
+        RECT 42.470 388.200 633.960 388.680 ;
+        RECT 54.000 385.960 633.960 388.200 ;
+        RECT 42.470 385.480 633.960 385.960 ;
+        RECT 54.000 383.240 633.960 385.480 ;
+        RECT 42.470 382.760 633.960 383.240 ;
+        RECT 54.000 380.520 633.960 382.760 ;
+        RECT 42.470 380.040 633.960 380.520 ;
+        RECT 54.000 377.800 633.960 380.040 ;
+        RECT 42.470 377.320 633.960 377.800 ;
+        RECT 54.000 375.080 633.960 377.320 ;
+        RECT 42.470 374.600 633.960 375.080 ;
+        RECT 54.000 372.360 633.960 374.600 ;
+        RECT 42.470 371.880 633.960 372.360 ;
+        RECT 54.000 369.640 633.960 371.880 ;
+        RECT 42.470 369.160 633.960 369.640 ;
+        RECT 54.000 366.920 633.960 369.160 ;
+        RECT 42.470 366.440 633.960 366.920 ;
+        RECT 54.000 364.200 633.960 366.440 ;
+        RECT 42.470 363.720 633.960 364.200 ;
+        RECT 54.000 361.480 633.960 363.720 ;
+        RECT 42.470 361.000 633.960 361.480 ;
+        RECT 54.000 358.760 633.960 361.000 ;
+        RECT 42.470 358.280 633.960 358.760 ;
+        RECT 54.000 356.040 633.960 358.280 ;
+        RECT 42.470 355.560 633.960 356.040 ;
+        RECT 54.000 353.320 633.960 355.560 ;
+        RECT 42.470 352.840 633.960 353.320 ;
+        RECT 54.000 350.600 633.960 352.840 ;
+        RECT 42.470 350.120 633.960 350.600 ;
+        RECT 54.000 347.880 633.960 350.120 ;
+        RECT 42.470 347.400 633.960 347.880 ;
+        RECT 54.000 345.160 633.960 347.400 ;
+        RECT 42.470 344.680 633.960 345.160 ;
+        RECT 54.000 342.440 633.960 344.680 ;
+        RECT 42.470 341.960 633.960 342.440 ;
+        RECT 54.000 339.720 633.960 341.960 ;
+        RECT 42.470 339.240 633.960 339.720 ;
+        RECT 54.000 337.000 633.960 339.240 ;
+        RECT 42.470 336.520 633.960 337.000 ;
+        RECT 54.000 334.280 633.960 336.520 ;
+        RECT 42.470 333.800 633.960 334.280 ;
+        RECT 54.000 331.560 633.960 333.800 ;
+        RECT 42.470 331.080 633.960 331.560 ;
+        RECT 54.000 328.840 633.960 331.080 ;
+        RECT 42.470 328.360 633.960 328.840 ;
+        RECT 54.000 326.120 633.960 328.360 ;
+        RECT 42.470 325.640 633.960 326.120 ;
+        RECT 54.000 323.400 633.960 325.640 ;
+        RECT 42.470 322.920 633.960 323.400 ;
+        RECT 54.000 320.680 633.960 322.920 ;
+        RECT 42.470 320.200 633.960 320.680 ;
+        RECT 54.000 317.960 633.960 320.200 ;
+        RECT 42.470 317.480 633.960 317.960 ;
+        RECT 54.000 315.240 633.960 317.480 ;
+        RECT 42.470 314.760 633.960 315.240 ;
+        RECT 54.000 312.520 633.960 314.760 ;
+        RECT 42.470 312.040 633.960 312.520 ;
+        RECT 54.000 309.800 633.960 312.040 ;
+        RECT 42.470 309.320 633.960 309.800 ;
+        RECT 54.000 307.080 633.960 309.320 ;
+        RECT 42.470 306.600 633.960 307.080 ;
+        RECT 54.000 304.360 633.960 306.600 ;
+        RECT 42.470 303.880 633.960 304.360 ;
+        RECT 54.000 301.640 633.960 303.880 ;
+        RECT 42.470 301.160 633.960 301.640 ;
+        RECT 54.000 298.920 633.960 301.160 ;
+        RECT 42.470 298.440 633.960 298.920 ;
+        RECT 54.000 296.200 633.960 298.440 ;
+        RECT 42.470 295.720 633.960 296.200 ;
+        RECT 54.000 293.480 633.960 295.720 ;
+        RECT 42.470 293.000 633.960 293.480 ;
+        RECT 54.000 290.760 633.960 293.000 ;
+        RECT 42.470 290.280 633.960 290.760 ;
+        RECT 54.000 288.040 633.960 290.280 ;
+        RECT 42.470 287.560 633.960 288.040 ;
+        RECT 54.000 285.320 633.960 287.560 ;
+        RECT 42.470 284.840 633.960 285.320 ;
+        RECT 54.000 282.600 633.960 284.840 ;
+        RECT 42.470 282.120 633.960 282.600 ;
+        RECT 54.000 279.880 633.960 282.120 ;
+        RECT 42.470 279.400 633.960 279.880 ;
+        RECT 54.000 277.160 633.960 279.400 ;
+        RECT 42.470 276.680 633.960 277.160 ;
+        RECT 54.000 274.440 633.960 276.680 ;
+        RECT 42.470 273.960 633.960 274.440 ;
+        RECT 54.000 271.720 633.960 273.960 ;
+        RECT 42.470 271.240 633.960 271.720 ;
+        RECT 54.000 269.000 633.960 271.240 ;
+        RECT 42.470 268.520 633.960 269.000 ;
+        RECT 54.000 266.280 633.960 268.520 ;
+        RECT 42.470 265.800 633.960 266.280 ;
+        RECT 54.000 263.560 633.960 265.800 ;
+        RECT 42.470 263.080 633.960 263.560 ;
+        RECT 54.000 260.840 633.960 263.080 ;
+        RECT 42.470 260.360 633.960 260.840 ;
+        RECT 54.000 258.120 633.960 260.360 ;
+        RECT 42.470 257.640 633.960 258.120 ;
+        RECT 54.000 255.400 633.960 257.640 ;
+        RECT 42.470 254.920 633.960 255.400 ;
+        RECT 54.000 252.680 633.960 254.920 ;
+        RECT 42.470 252.200 633.960 252.680 ;
+        RECT 54.000 249.960 633.960 252.200 ;
+        RECT 42.470 249.480 633.960 249.960 ;
+        RECT 54.000 247.240 633.960 249.480 ;
+        RECT 42.470 246.760 633.960 247.240 ;
+        RECT 54.000 244.520 633.960 246.760 ;
+        RECT 42.470 244.040 633.960 244.520 ;
+        RECT 54.000 241.800 633.960 244.040 ;
+        RECT 42.470 241.320 633.960 241.800 ;
+        RECT 54.000 239.080 633.960 241.320 ;
+        RECT 42.470 238.600 633.960 239.080 ;
+        RECT 54.000 236.360 633.960 238.600 ;
+        RECT 42.470 235.880 633.960 236.360 ;
+        RECT 54.000 233.640 633.960 235.880 ;
+        RECT 42.470 233.160 633.960 233.640 ;
+        RECT 54.000 230.920 633.960 233.160 ;
+        RECT 42.470 230.440 633.960 230.920 ;
+        RECT 54.000 228.200 633.960 230.440 ;
+        RECT 42.470 227.720 633.960 228.200 ;
+        RECT 54.000 225.480 633.960 227.720 ;
+        RECT 42.470 225.000 633.960 225.480 ;
+        RECT 54.000 222.760 633.960 225.000 ;
+        RECT 42.470 222.280 633.960 222.760 ;
+        RECT 54.000 220.040 633.960 222.280 ;
+        RECT 42.470 219.560 633.960 220.040 ;
+        RECT 54.000 217.320 633.960 219.560 ;
+        RECT 42.470 216.840 633.960 217.320 ;
+        RECT 54.000 214.600 633.960 216.840 ;
+        RECT 42.470 214.120 633.960 214.600 ;
+        RECT 54.000 211.880 633.960 214.120 ;
+        RECT 42.470 211.400 633.960 211.880 ;
+        RECT 54.000 209.160 633.960 211.400 ;
+        RECT 42.470 208.680 633.960 209.160 ;
+        RECT 54.000 206.440 633.960 208.680 ;
+        RECT 42.470 205.960 633.960 206.440 ;
+        RECT 54.000 203.720 633.960 205.960 ;
+        RECT 42.470 203.240 633.960 203.720 ;
+        RECT 54.000 201.000 633.960 203.240 ;
+        RECT 42.470 200.520 633.960 201.000 ;
+        RECT 54.000 198.280 633.960 200.520 ;
+        RECT 42.470 197.800 633.960 198.280 ;
+        RECT 54.000 195.560 633.960 197.800 ;
+        RECT 42.470 195.080 633.960 195.560 ;
+        RECT 54.000 192.840 633.960 195.080 ;
+        RECT 42.470 192.360 633.960 192.840 ;
+        RECT 54.000 190.120 633.960 192.360 ;
+        RECT 42.470 189.640 633.960 190.120 ;
+        RECT 54.000 187.400 633.960 189.640 ;
+        RECT 42.470 186.920 633.960 187.400 ;
+        RECT 54.000 184.680 633.960 186.920 ;
+        RECT 42.470 184.200 633.960 184.680 ;
+        RECT 54.000 181.960 633.960 184.200 ;
+        RECT 42.470 181.480 633.960 181.960 ;
+        RECT 54.000 179.240 633.960 181.480 ;
+        RECT 42.470 178.760 633.960 179.240 ;
+        RECT 54.000 176.520 633.960 178.760 ;
+        RECT 42.470 176.040 633.960 176.520 ;
+        RECT 54.000 173.800 633.960 176.040 ;
+        RECT 42.470 173.320 633.960 173.800 ;
+        RECT 54.000 171.080 633.960 173.320 ;
+        RECT 42.470 170.600 633.960 171.080 ;
+        RECT 54.000 168.360 633.960 170.600 ;
+        RECT 42.470 167.880 633.960 168.360 ;
+        RECT 54.000 165.640 633.960 167.880 ;
+        RECT 42.470 165.160 633.960 165.640 ;
+        RECT 54.000 162.920 633.960 165.160 ;
+        RECT 42.470 162.440 633.960 162.920 ;
+        RECT 54.000 160.200 633.960 162.440 ;
+        RECT 42.470 159.720 633.960 160.200 ;
+        RECT 54.000 157.480 633.960 159.720 ;
+        RECT 42.470 157.000 633.960 157.480 ;
+        RECT 54.000 154.760 633.960 157.000 ;
+        RECT 42.470 154.280 633.960 154.760 ;
+        RECT 54.000 152.040 633.960 154.280 ;
+        RECT 42.470 151.560 633.960 152.040 ;
+        RECT 54.000 149.320 633.960 151.560 ;
+        RECT 42.470 148.840 633.960 149.320 ;
+        RECT 54.000 146.600 633.960 148.840 ;
+        RECT 42.470 146.120 633.960 146.600 ;
+        RECT 54.000 143.880 633.960 146.120 ;
+        RECT 42.470 143.400 633.960 143.880 ;
+        RECT 54.000 141.160 633.960 143.400 ;
+        RECT 42.470 140.680 633.960 141.160 ;
+        RECT 54.000 138.440 633.960 140.680 ;
+        RECT 42.470 137.960 633.960 138.440 ;
+        RECT 54.000 135.720 633.960 137.960 ;
+        RECT 42.470 135.240 633.960 135.720 ;
+        RECT 54.000 133.000 633.960 135.240 ;
+        RECT 42.470 132.520 633.960 133.000 ;
+        RECT 54.000 130.280 633.960 132.520 ;
+        RECT 42.470 129.800 633.960 130.280 ;
+        RECT 54.000 127.560 633.960 129.800 ;
+        RECT 42.470 127.080 633.960 127.560 ;
+        RECT 54.000 124.840 633.960 127.080 ;
+        RECT 42.470 124.360 633.960 124.840 ;
+        RECT 54.000 122.120 633.960 124.360 ;
+        RECT 42.470 121.640 633.960 122.120 ;
+        RECT 54.000 119.400 633.960 121.640 ;
+        RECT 42.470 118.920 633.960 119.400 ;
+        RECT 54.000 116.680 633.960 118.920 ;
+        RECT 42.470 116.200 633.960 116.680 ;
+        RECT 54.000 113.960 633.960 116.200 ;
+        RECT 42.470 113.480 633.960 113.960 ;
+        RECT 54.000 111.240 633.960 113.480 ;
+        RECT 42.470 110.760 633.960 111.240 ;
+        RECT 54.000 108.520 633.960 110.760 ;
+        RECT 42.470 108.040 633.960 108.520 ;
+        RECT 54.000 105.800 633.960 108.040 ;
+        RECT 42.470 105.320 633.960 105.800 ;
+        RECT 54.000 103.080 633.960 105.320 ;
+        RECT 42.470 102.600 633.960 103.080 ;
+        RECT 54.000 100.360 633.960 102.600 ;
+        RECT 42.470 99.880 633.960 100.360 ;
+        RECT 54.000 97.640 633.960 99.880 ;
+        RECT 42.470 97.160 633.960 97.640 ;
+        RECT 54.000 94.920 633.960 97.160 ;
+        RECT 42.470 94.440 633.960 94.920 ;
+        RECT 54.000 92.200 633.960 94.440 ;
+        RECT 42.470 91.720 633.960 92.200 ;
+        RECT 54.000 89.480 633.960 91.720 ;
+        RECT 42.470 89.000 633.960 89.480 ;
+        RECT 54.000 86.760 633.960 89.000 ;
+        RECT 42.470 86.280 633.960 86.760 ;
+        RECT 54.000 84.040 633.960 86.280 ;
+        RECT 42.470 83.560 633.960 84.040 ;
+        RECT 54.000 81.320 633.960 83.560 ;
+        RECT 42.470 80.840 633.960 81.320 ;
+        RECT 54.000 78.600 633.960 80.840 ;
+        RECT 42.470 78.120 633.960 78.600 ;
+        RECT 54.000 75.880 633.960 78.120 ;
+        RECT 42.470 75.400 633.960 75.880 ;
+        RECT 54.000 73.160 633.960 75.400 ;
+        RECT 42.470 72.680 633.960 73.160 ;
+        RECT 54.000 70.440 633.960 72.680 ;
+        RECT 42.470 69.960 633.960 70.440 ;
+        RECT 54.000 67.720 633.960 69.960 ;
+        RECT 42.470 67.240 633.960 67.720 ;
+        RECT 54.000 65.000 633.960 67.240 ;
+        RECT 42.470 64.520 633.960 65.000 ;
+        RECT 54.000 62.280 633.960 64.520 ;
+        RECT 42.470 61.800 633.960 62.280 ;
+        RECT 54.000 59.560 633.960 61.800 ;
+        RECT 42.470 59.080 633.960 59.560 ;
+        RECT 54.000 56.840 633.960 59.080 ;
+        RECT 42.470 56.360 633.960 56.840 ;
+        RECT 54.000 54.120 633.960 56.360 ;
+        RECT 42.470 54.000 633.960 54.120 ;
+        RECT 42.470 53.640 631.270 54.000 ;
+        RECT 92.680 53.440 93.000 53.500 ;
+        RECT 138.680 53.440 139.000 53.500 ;
+        RECT 145.120 53.440 145.440 53.500 ;
+        RECT 152.020 53.440 152.340 53.500 ;
+        RECT 82.190 53.300 93.000 53.440 ;
+        RECT 50.820 52.760 51.140 52.820 ;
+        RECT 65.080 52.760 65.400 52.820 ;
+        RECT 50.820 52.620 65.400 52.760 ;
+        RECT 50.820 52.560 51.140 52.620 ;
+        RECT 65.080 52.560 65.400 52.620 ;
+        RECT 72.900 52.760 73.220 52.820 ;
+        RECT 82.190 52.760 82.330 53.300 ;
+        RECT 92.680 53.240 93.000 53.300 ;
+        RECT 93.230 53.300 139.000 53.440 ;
+        RECT 83.040 53.100 83.330 53.145 ;
+        RECT 85.360 53.100 85.650 53.145 ;
+        RECT 86.740 53.100 87.030 53.145 ;
+        RECT 83.040 52.960 87.030 53.100 ;
+        RECT 83.040 52.915 83.330 52.960 ;
+        RECT 85.360 52.915 85.650 52.960 ;
+        RECT 86.740 52.915 87.030 52.960 ;
+        RECT 88.080 53.100 88.400 53.160 ;
+        RECT 93.230 53.100 93.370 53.300 ;
+        RECT 138.680 53.240 139.000 53.300 ;
+        RECT 139.690 53.300 144.430 53.440 ;
+        RECT 99.120 53.100 99.440 53.160 ;
+        RECT 88.080 52.960 93.370 53.100 ;
+        RECT 98.290 52.960 99.440 53.100 ;
+        RECT 88.080 52.900 88.400 52.960 ;
+        RECT 72.900 52.620 82.330 52.760 ;
+        RECT 82.575 52.760 82.865 52.805 ;
+        RECT 91.760 52.760 92.080 52.820 ;
+        RECT 98.290 52.760 98.430 52.960 ;
+        RECT 99.120 52.900 99.440 52.960 ;
+        RECT 99.600 53.100 99.890 53.145 ;
+        RECT 101.920 53.100 102.210 53.145 ;
+        RECT 103.300 53.100 103.590 53.145 ;
+        RECT 99.600 52.960 103.590 53.100 ;
+        RECT 99.600 52.915 99.890 52.960 ;
+        RECT 101.920 52.915 102.210 52.960 ;
+        RECT 103.300 52.915 103.590 52.960 ;
+        RECT 112.020 53.100 112.310 53.145 ;
+        RECT 114.340 53.100 114.630 53.145 ;
+        RECT 115.720 53.100 116.010 53.145 ;
+        RECT 112.020 52.960 116.010 53.100 ;
+        RECT 112.020 52.915 112.310 52.960 ;
+        RECT 114.340 52.915 114.630 52.960 ;
+        RECT 115.720 52.915 116.010 52.960 ;
+        RECT 127.660 53.100 127.950 53.145 ;
+        RECT 129.980 53.100 130.270 53.145 ;
+        RECT 131.360 53.100 131.650 53.145 ;
+        RECT 127.660 52.960 131.650 53.100 ;
+        RECT 127.660 52.915 127.950 52.960 ;
+        RECT 129.980 52.915 130.270 52.960 ;
+        RECT 131.360 52.915 131.650 52.960 ;
+        RECT 133.160 53.100 133.480 53.160 ;
+        RECT 139.140 53.100 139.460 53.160 ;
+        RECT 139.690 53.100 139.830 53.300 ;
+        RECT 133.160 52.960 139.830 53.100 ;
+        RECT 140.080 53.100 140.370 53.145 ;
+        RECT 142.400 53.100 142.690 53.145 ;
+        RECT 143.780 53.100 144.070 53.145 ;
+        RECT 140.080 52.960 144.070 53.100 ;
+        RECT 144.290 53.100 144.430 53.300 ;
+        RECT 145.120 53.300 152.340 53.440 ;
+        RECT 145.120 53.240 145.440 53.300 ;
+        RECT 152.020 53.240 152.340 53.300 ;
+        RECT 152.480 53.440 152.800 53.500 ;
+        RECT 162.155 53.440 162.445 53.485 ;
+        RECT 152.480 53.300 162.445 53.440 ;
+        RECT 152.480 53.240 152.800 53.300 ;
+        RECT 162.155 53.255 162.445 53.300 ;
+        RECT 163.520 53.440 163.840 53.500 ;
+        RECT 184.220 53.440 184.540 53.500 ;
+        RECT 186.520 53.440 186.840 53.500 ;
+        RECT 163.520 53.300 186.840 53.440 ;
+        RECT 163.520 53.240 163.840 53.300 ;
+        RECT 184.220 53.240 184.540 53.300 ;
+        RECT 186.520 53.240 186.840 53.300 ;
+        RECT 188.360 53.440 188.680 53.500 ;
+        RECT 210.900 53.440 211.220 53.500 ;
+        RECT 219.180 53.440 219.500 53.500 ;
+        RECT 248.160 53.440 248.480 53.500 ;
+        RECT 188.360 53.300 209.290 53.440 ;
+        RECT 188.360 53.240 188.680 53.300 ;
+        RECT 148.340 53.100 148.660 53.160 ;
+        RECT 144.290 52.960 148.660 53.100 ;
+        RECT 133.160 52.900 133.480 52.960 ;
+        RECT 139.140 52.900 139.460 52.960 ;
+        RECT 140.080 52.915 140.370 52.960 ;
+        RECT 142.400 52.915 142.690 52.960 ;
+        RECT 143.780 52.915 144.070 52.960 ;
+        RECT 148.340 52.900 148.660 52.960 ;
+        RECT 155.720 53.100 156.010 53.145 ;
+        RECT 158.040 53.100 158.330 53.145 ;
+        RECT 159.420 53.100 159.710 53.145 ;
+        RECT 155.720 52.960 159.710 53.100 ;
+        RECT 155.720 52.915 156.010 52.960 ;
+        RECT 158.040 52.915 158.330 52.960 ;
+        RECT 159.420 52.915 159.710 52.960 ;
+        RECT 170.900 53.100 171.190 53.145 ;
+        RECT 173.220 53.100 173.510 53.145 ;
+        RECT 174.600 53.100 174.890 53.145 ;
+        RECT 170.900 52.960 174.890 53.100 ;
+        RECT 170.900 52.915 171.190 52.960 ;
+        RECT 173.220 52.915 173.510 52.960 ;
+        RECT 174.600 52.915 174.890 52.960 ;
+        RECT 185.620 53.100 185.910 53.145 ;
+        RECT 187.940 53.100 188.230 53.145 ;
+        RECT 189.320 53.100 189.610 53.145 ;
+        RECT 185.620 52.960 189.610 53.100 ;
+        RECT 185.620 52.915 185.910 52.960 ;
+        RECT 187.940 52.915 188.230 52.960 ;
+        RECT 189.320 52.915 189.610 52.960 ;
+        RECT 198.500 53.100 198.790 53.145 ;
+        RECT 200.820 53.100 201.110 53.145 ;
+        RECT 202.200 53.100 202.490 53.145 ;
+        RECT 198.500 52.960 202.490 53.100 ;
+        RECT 209.150 53.100 209.290 53.300 ;
+        RECT 210.900 53.300 218.950 53.440 ;
+        RECT 210.900 53.240 211.220 53.300 ;
+        RECT 218.810 53.100 218.950 53.300 ;
+        RECT 219.180 53.300 248.480 53.440 ;
+        RECT 219.180 53.240 219.500 53.300 ;
+        RECT 248.160 53.240 248.480 53.300 ;
+        RECT 249.080 53.440 249.400 53.500 ;
+        RECT 260.120 53.440 260.440 53.500 ;
+        RECT 261.960 53.440 262.280 53.500 ;
+        RECT 279.440 53.440 279.760 53.500 ;
+        RECT 249.080 53.300 260.440 53.440 ;
+        RECT 249.080 53.240 249.400 53.300 ;
+        RECT 260.120 53.240 260.440 53.300 ;
+        RECT 260.670 53.300 261.730 53.440 ;
+        RECT 228.840 53.100 229.160 53.160 ;
+        RECT 238.040 53.100 238.360 53.160 ;
+        RECT 209.150 52.960 218.030 53.100 ;
+        RECT 218.810 52.960 227.690 53.100 ;
+        RECT 198.500 52.915 198.790 52.960 ;
+        RECT 200.820 52.915 201.110 52.960 ;
+        RECT 202.200 52.915 202.490 52.960 ;
+        RECT 82.575 52.620 86.010 52.760 ;
+        RECT 72.900 52.560 73.220 52.620 ;
+        RECT 82.575 52.575 82.865 52.620 ;
+        RECT 53.120 52.420 53.440 52.480 ;
+        RECT 77.515 52.420 77.805 52.465 ;
+        RECT 53.120 52.280 77.805 52.420 ;
+        RECT 53.120 52.220 53.440 52.280 ;
+        RECT 77.515 52.235 77.805 52.280 ;
+        RECT 83.955 52.420 84.245 52.465 ;
+        RECT 85.320 52.420 85.640 52.480 ;
+        RECT 83.955 52.280 85.640 52.420 ;
+        RECT 85.870 52.420 86.010 52.620 ;
+        RECT 91.760 52.620 98.430 52.760 ;
+        RECT 98.660 52.760 98.980 52.820 ;
+        RECT 100.040 52.760 100.360 52.820 ;
+        RECT 98.660 52.620 100.360 52.760 ;
+        RECT 91.760 52.560 92.080 52.620 ;
+        RECT 98.660 52.560 98.980 52.620 ;
+        RECT 100.040 52.560 100.360 52.620 ;
+        RECT 100.515 52.760 100.805 52.805 ;
+        RECT 107.400 52.760 107.720 52.820 ;
+        RECT 100.515 52.620 107.720 52.760 ;
+        RECT 100.515 52.575 100.805 52.620 ;
+        RECT 107.400 52.560 107.720 52.620 ;
+        RECT 112.935 52.760 113.225 52.805 ;
+        RECT 121.660 52.760 121.980 52.820 ;
+        RECT 134.095 52.760 134.385 52.805 ;
+        RECT 112.935 52.620 121.980 52.760 ;
+        RECT 112.935 52.575 113.225 52.620 ;
+        RECT 121.660 52.560 121.980 52.620 ;
+        RECT 122.210 52.620 134.385 52.760 ;
+        RECT 99.135 52.420 99.425 52.465 ;
+        RECT 111.555 52.420 111.845 52.465 ;
+        RECT 113.380 52.420 113.700 52.480 ;
+        RECT 85.870 52.280 113.700 52.420 ;
+        RECT 83.955 52.235 84.245 52.280 ;
+        RECT 85.320 52.220 85.640 52.280 ;
+        RECT 99.135 52.235 99.425 52.280 ;
+        RECT 111.555 52.235 111.845 52.280 ;
+        RECT 113.380 52.220 113.700 52.280 ;
+        RECT 114.300 52.420 114.620 52.480 ;
+        RECT 122.210 52.420 122.350 52.620 ;
+        RECT 134.095 52.575 134.385 52.620 ;
+        RECT 141.900 52.760 142.220 52.820 ;
+        RECT 146.515 52.760 146.805 52.805 ;
+        RECT 141.900 52.620 146.805 52.760 ;
+        RECT 141.900 52.560 142.220 52.620 ;
+        RECT 146.515 52.575 146.805 52.620 ;
+        RECT 153.860 52.760 154.180 52.820 ;
+        RECT 155.255 52.760 155.545 52.805 ;
+        RECT 156.620 52.760 156.940 52.820 ;
+        RECT 153.860 52.620 155.545 52.760 ;
+        RECT 156.425 52.620 156.940 52.760 ;
+        RECT 153.860 52.560 154.180 52.620 ;
+        RECT 155.255 52.575 155.545 52.620 ;
+        RECT 114.300 52.280 122.350 52.420 ;
+        RECT 125.800 52.420 126.120 52.480 ;
+        RECT 127.195 52.420 127.485 52.465 ;
+        RECT 128.560 52.420 128.880 52.480 ;
+        RECT 125.800 52.280 127.485 52.420 ;
+        RECT 128.365 52.280 128.880 52.420 ;
+        RECT 114.300 52.220 114.620 52.280 ;
+        RECT 125.800 52.220 126.120 52.280 ;
+        RECT 127.195 52.235 127.485 52.280 ;
+        RECT 128.560 52.220 128.880 52.280 ;
+        RECT 132.240 52.420 132.560 52.480 ;
+        RECT 139.600 52.420 139.920 52.480 ;
+        RECT 132.240 52.280 139.920 52.420 ;
+        RECT 132.240 52.220 132.560 52.280 ;
+        RECT 139.600 52.220 139.920 52.280 ;
+        RECT 140.995 52.420 141.285 52.465 ;
+        RECT 149.720 52.420 150.040 52.480 ;
+        RECT 140.995 52.280 150.040 52.420 ;
+        RECT 155.330 52.420 155.470 52.575 ;
+        RECT 156.620 52.560 156.940 52.620 ;
+        RECT 158.920 52.760 159.240 52.820 ;
+        RECT 171.800 52.760 172.120 52.820 ;
+        RECT 158.920 52.620 171.110 52.760 ;
+        RECT 171.605 52.620 172.120 52.760 ;
+        RECT 158.920 52.560 159.240 52.620 ;
+        RECT 170.420 52.420 170.740 52.480 ;
+        RECT 155.330 52.280 170.740 52.420 ;
+        RECT 170.970 52.420 171.110 52.620 ;
+        RECT 171.800 52.560 172.120 52.620 ;
+        RECT 177.335 52.575 177.625 52.805 ;
+        RECT 178.700 52.760 179.020 52.820 ;
+        RECT 186.535 52.760 186.825 52.805 ;
+        RECT 190.660 52.760 190.980 52.820 ;
+        RECT 210.455 52.760 210.745 52.805 ;
+        RECT 212.280 52.760 212.600 52.820 ;
+        RECT 214.600 52.760 214.890 52.805 ;
+        RECT 217.380 52.760 217.670 52.805 ;
+        RECT 178.700 52.620 186.290 52.760 ;
+        RECT 177.410 52.420 177.550 52.575 ;
+        RECT 178.700 52.560 179.020 52.620 ;
+        RECT 170.970 52.280 177.550 52.420 ;
+        RECT 181.920 52.420 182.240 52.480 ;
+        RECT 183.760 52.420 184.080 52.480 ;
+        RECT 185.155 52.420 185.445 52.465 ;
+        RECT 181.920 52.280 185.445 52.420 ;
+        RECT 186.150 52.420 186.290 52.620 ;
+        RECT 186.535 52.620 190.980 52.760 ;
+        RECT 186.535 52.575 186.825 52.620 ;
+        RECT 190.660 52.560 190.980 52.620 ;
+        RECT 191.210 52.620 210.745 52.760 ;
+        RECT 212.085 52.620 212.600 52.760 ;
+        RECT 191.210 52.420 191.350 52.620 ;
+        RECT 210.455 52.575 210.745 52.620 ;
+        RECT 212.280 52.560 212.600 52.620 ;
+        RECT 212.830 52.620 214.350 52.760 ;
+        RECT 186.150 52.280 191.350 52.420 ;
+        RECT 197.560 52.420 197.880 52.480 ;
+        RECT 198.035 52.420 198.325 52.465 ;
+        RECT 197.560 52.280 198.325 52.420 ;
+        RECT 140.995 52.235 141.285 52.280 ;
+        RECT 149.720 52.220 150.040 52.280 ;
+        RECT 170.420 52.220 170.740 52.280 ;
+        RECT 181.920 52.220 182.240 52.280 ;
+        RECT 183.760 52.220 184.080 52.280 ;
+        RECT 185.155 52.235 185.445 52.280 ;
+        RECT 197.560 52.220 197.880 52.280 ;
+        RECT 198.035 52.235 198.325 52.280 ;
+        RECT 199.415 52.420 199.705 52.465 ;
+        RECT 202.620 52.420 202.940 52.480 ;
+        RECT 212.830 52.420 212.970 52.620 ;
+        RECT 199.415 52.280 202.940 52.420 ;
+        RECT 199.415 52.235 199.705 52.280 ;
+        RECT 202.620 52.220 202.940 52.280 ;
+        RECT 203.170 52.280 212.970 52.420 ;
+        RECT 213.200 52.420 213.520 52.480 ;
+        RECT 214.210 52.420 214.350 52.620 ;
+        RECT 214.600 52.620 217.670 52.760 ;
+        RECT 217.890 52.760 218.030 52.960 ;
+        RECT 217.890 52.620 225.850 52.760 ;
+        RECT 214.600 52.575 214.890 52.620 ;
+        RECT 217.380 52.575 217.670 52.620 ;
+        RECT 215.515 52.420 215.805 52.465 ;
+        RECT 213.200 52.280 213.715 52.420 ;
+        RECT 214.210 52.280 215.805 52.420 ;
+        RECT 81.640 52.080 81.960 52.140 ;
+        RECT 51.370 51.940 81.960 52.080 ;
+        RECT 47.140 51.740 47.460 51.800 ;
+        RECT 51.370 51.740 51.510 51.940 ;
+        RECT 81.640 51.880 81.960 51.940 ;
+        RECT 83.500 52.080 83.790 52.125 ;
+        RECT 84.900 52.080 85.190 52.125 ;
+        RECT 86.740 52.080 87.030 52.125 ;
+        RECT 83.500 51.940 87.030 52.080 ;
+        RECT 83.500 51.895 83.790 51.940 ;
+        RECT 84.900 51.895 85.190 51.940 ;
+        RECT 86.740 51.895 87.030 51.940 ;
+        RECT 100.060 52.080 100.350 52.125 ;
+        RECT 101.460 52.080 101.750 52.125 ;
+        RECT 103.300 52.080 103.590 52.125 ;
+        RECT 100.060 51.940 103.590 52.080 ;
+        RECT 100.060 51.895 100.350 51.940 ;
+        RECT 101.460 51.895 101.750 51.940 ;
+        RECT 103.300 51.895 103.590 51.940 ;
+        RECT 112.480 52.080 112.770 52.125 ;
+        RECT 113.880 52.080 114.170 52.125 ;
+        RECT 115.720 52.080 116.010 52.125 ;
+        RECT 112.480 51.940 116.010 52.080 ;
+        RECT 112.480 51.895 112.770 51.940 ;
+        RECT 113.880 51.895 114.170 51.940 ;
+        RECT 115.720 51.895 116.010 51.940 ;
+        RECT 125.340 52.080 125.660 52.140 ;
+        RECT 127.640 52.080 127.960 52.140 ;
+        RECT 125.340 51.940 127.960 52.080 ;
+        RECT 125.340 51.880 125.660 51.940 ;
+        RECT 127.640 51.880 127.960 51.940 ;
+        RECT 128.120 52.080 128.410 52.125 ;
+        RECT 129.520 52.080 129.810 52.125 ;
+        RECT 131.360 52.080 131.650 52.125 ;
+        RECT 128.120 51.940 131.650 52.080 ;
+        RECT 128.120 51.895 128.410 51.940 ;
+        RECT 129.520 51.895 129.810 51.940 ;
+        RECT 131.360 51.895 131.650 51.940 ;
+        RECT 136.840 52.080 137.160 52.140 ;
+        RECT 140.060 52.080 140.380 52.140 ;
+        RECT 136.840 51.940 140.380 52.080 ;
+        RECT 136.840 51.880 137.160 51.940 ;
+        RECT 140.060 51.880 140.380 51.940 ;
+        RECT 140.540 52.080 140.830 52.125 ;
+        RECT 141.940 52.080 142.230 52.125 ;
+        RECT 143.780 52.080 144.070 52.125 ;
+        RECT 154.780 52.080 155.100 52.140 ;
+        RECT 140.540 51.940 144.070 52.080 ;
+        RECT 140.540 51.895 140.830 51.940 ;
+        RECT 141.940 51.895 142.230 51.940 ;
+        RECT 143.780 51.895 144.070 51.940 ;
+        RECT 144.290 51.940 155.100 52.080 ;
+        RECT 47.140 51.600 51.510 51.740 ;
+        RECT 77.500 51.740 77.820 51.800 ;
+        RECT 77.975 51.740 78.265 51.785 ;
+        RECT 77.500 51.600 78.265 51.740 ;
+        RECT 81.730 51.740 81.870 51.880 ;
+        RECT 89.475 51.740 89.765 51.785 ;
+        RECT 81.730 51.600 89.765 51.740 ;
+        RECT 47.140 51.540 47.460 51.600 ;
+        RECT 77.500 51.540 77.820 51.600 ;
+        RECT 77.975 51.555 78.265 51.600 ;
+        RECT 89.475 51.555 89.765 51.600 ;
+        RECT 89.920 51.740 90.240 51.800 ;
+        RECT 106.035 51.740 106.325 51.785 ;
+        RECT 89.920 51.600 106.325 51.740 ;
+        RECT 89.920 51.540 90.240 51.600 ;
+        RECT 106.035 51.555 106.325 51.600 ;
+        RECT 108.320 51.740 108.640 51.800 ;
+        RECT 118.455 51.740 118.745 51.785 ;
+        RECT 108.320 51.600 118.745 51.740 ;
+        RECT 108.320 51.540 108.640 51.600 ;
+        RECT 118.455 51.555 118.745 51.600 ;
+        RECT 119.360 51.740 119.680 51.800 ;
+        RECT 133.160 51.740 133.480 51.800 ;
+        RECT 119.360 51.600 133.480 51.740 ;
+        RECT 119.360 51.540 119.680 51.600 ;
+        RECT 133.160 51.540 133.480 51.600 ;
+        RECT 138.680 51.740 139.000 51.800 ;
+        RECT 144.290 51.740 144.430 51.940 ;
+        RECT 154.780 51.880 155.100 51.940 ;
+        RECT 156.180 52.080 156.470 52.125 ;
+        RECT 157.580 52.080 157.870 52.125 ;
+        RECT 159.420 52.080 159.710 52.125 ;
+        RECT 156.180 51.940 159.710 52.080 ;
+        RECT 156.180 51.895 156.470 51.940 ;
+        RECT 157.580 51.895 157.870 51.940 ;
+        RECT 159.420 51.895 159.710 51.940 ;
+        RECT 171.360 52.080 171.650 52.125 ;
+        RECT 172.760 52.080 173.050 52.125 ;
+        RECT 174.600 52.080 174.890 52.125 ;
+        RECT 171.360 51.940 174.890 52.080 ;
+        RECT 171.360 51.895 171.650 51.940 ;
+        RECT 172.760 51.895 173.050 51.940 ;
+        RECT 174.600 51.895 174.890 51.940 ;
+        RECT 178.240 52.080 178.560 52.140 ;
+        RECT 186.080 52.080 186.370 52.125 ;
+        RECT 187.480 52.080 187.770 52.125 ;
+        RECT 189.320 52.080 189.610 52.125 ;
+        RECT 178.240 51.940 185.830 52.080 ;
+        RECT 178.240 51.880 178.560 51.940 ;
+        RECT 138.680 51.600 144.430 51.740 ;
+        RECT 185.690 51.740 185.830 51.940 ;
+        RECT 186.080 51.940 189.610 52.080 ;
+        RECT 186.080 51.895 186.370 51.940 ;
+        RECT 187.480 51.895 187.770 51.940 ;
+        RECT 189.320 51.895 189.610 51.940 ;
+        RECT 190.660 52.080 190.980 52.140 ;
+        RECT 198.960 52.080 199.250 52.125 ;
+        RECT 200.360 52.080 200.650 52.125 ;
+        RECT 202.200 52.080 202.490 52.125 ;
+        RECT 190.660 51.940 192.270 52.080 ;
+        RECT 190.660 51.880 190.980 51.940 ;
+        RECT 191.580 51.740 191.900 51.800 ;
+        RECT 192.130 51.785 192.270 51.940 ;
+        RECT 198.960 51.940 202.490 52.080 ;
+        RECT 198.960 51.895 199.250 51.940 ;
+        RECT 200.360 51.895 200.650 51.940 ;
+        RECT 202.200 51.895 202.490 51.940 ;
+        RECT 185.690 51.600 191.900 51.740 ;
+        RECT 138.680 51.540 139.000 51.600 ;
+        RECT 191.580 51.540 191.900 51.600 ;
+        RECT 192.055 51.555 192.345 51.785 ;
+        RECT 197.100 51.740 197.420 51.800 ;
+        RECT 203.170 51.740 203.310 52.280 ;
+        RECT 213.200 52.220 213.520 52.280 ;
+        RECT 215.515 52.235 215.805 52.280 ;
+        RECT 215.975 52.420 216.265 52.465 ;
+        RECT 220.100 52.420 220.420 52.480 ;
+        RECT 225.710 52.465 225.850 52.620 ;
+        RECT 226.095 52.575 226.385 52.805 ;
+        RECT 227.550 52.760 227.690 52.960 ;
+        RECT 228.840 52.960 238.360 53.100 ;
+        RECT 228.840 52.900 229.160 52.960 ;
+        RECT 238.040 52.900 238.360 52.960 ;
+        RECT 238.960 53.100 239.280 53.160 ;
+        RECT 252.760 53.100 253.080 53.160 ;
+        RECT 260.670 53.100 260.810 53.300 ;
+        RECT 238.960 52.960 253.080 53.100 ;
+        RECT 238.960 52.900 239.280 52.960 ;
+        RECT 252.760 52.900 253.080 52.960 ;
+        RECT 257.910 52.960 260.810 53.100 ;
+        RECT 234.835 52.760 235.125 52.805 ;
+        RECT 243.100 52.760 243.420 52.820 ;
+        RECT 227.550 52.620 232.290 52.760 ;
+        RECT 215.975 52.280 220.420 52.420 ;
+        RECT 215.975 52.235 216.265 52.280 ;
+        RECT 220.100 52.220 220.420 52.280 ;
+        RECT 223.335 52.235 223.625 52.465 ;
+        RECT 225.635 52.235 225.925 52.465 ;
+        RECT 210.455 52.080 210.745 52.125 ;
+        RECT 223.410 52.080 223.550 52.235 ;
+        RECT 210.455 51.940 223.550 52.080 ;
+        RECT 210.455 51.895 210.745 51.940 ;
+        RECT 197.100 51.600 203.310 51.740 ;
+        RECT 204.000 51.740 204.320 51.800 ;
+        RECT 204.935 51.740 205.225 51.785 ;
+        RECT 204.000 51.600 205.225 51.740 ;
+        RECT 197.100 51.540 197.420 51.600 ;
+        RECT 204.000 51.540 204.320 51.600 ;
+        RECT 204.935 51.555 205.225 51.600 ;
+        RECT 205.380 51.740 205.700 51.800 ;
+        RECT 218.275 51.740 218.565 51.785 ;
+        RECT 205.380 51.600 218.565 51.740 ;
+        RECT 205.380 51.540 205.700 51.600 ;
+        RECT 218.275 51.555 218.565 51.600 ;
+        RECT 225.160 51.740 225.480 51.800 ;
+        RECT 226.170 51.740 226.310 52.575 ;
+        RECT 226.540 52.420 226.860 52.480 ;
+        RECT 228.840 52.420 229.160 52.480 ;
+        RECT 232.150 52.465 232.290 52.620 ;
+        RECT 234.835 52.620 243.420 52.760 ;
+        RECT 234.835 52.575 235.125 52.620 ;
+        RECT 243.100 52.560 243.420 52.620 ;
+        RECT 247.260 52.760 247.550 52.805 ;
+        RECT 250.040 52.760 250.330 52.805 ;
+        RECT 247.260 52.620 250.330 52.760 ;
+        RECT 247.260 52.575 247.550 52.620 ;
+        RECT 250.040 52.575 250.330 52.620 ;
+        RECT 256.440 52.760 256.760 52.820 ;
+        RECT 256.440 52.620 256.955 52.760 ;
+        RECT 256.440 52.560 256.760 52.620 ;
+        RECT 231.155 52.420 231.445 52.465 ;
+        RECT 226.540 52.280 231.445 52.420 ;
+        RECT 226.540 52.220 226.860 52.280 ;
+        RECT 228.840 52.220 229.160 52.280 ;
+        RECT 231.155 52.235 231.445 52.280 ;
+        RECT 232.075 52.235 232.365 52.465 ;
+        RECT 232.535 52.420 232.825 52.465 ;
+        RECT 235.280 52.420 235.600 52.480 ;
+        RECT 232.535 52.280 235.600 52.420 ;
+        RECT 232.535 52.235 232.825 52.280 ;
+        RECT 235.280 52.220 235.600 52.280 ;
+        RECT 238.040 52.420 238.360 52.480 ;
+        RECT 239.435 52.420 239.725 52.465 ;
+        RECT 238.040 52.280 239.725 52.420 ;
+        RECT 238.040 52.220 238.360 52.280 ;
+        RECT 239.435 52.235 239.725 52.280 ;
+        RECT 244.955 52.235 245.245 52.465 ;
+        RECT 245.875 52.420 246.165 52.465 ;
+        RECT 248.635 52.420 248.925 52.465 ;
+        RECT 249.080 52.420 249.400 52.480 ;
+        RECT 245.875 52.280 249.400 52.420 ;
+        RECT 245.875 52.235 246.165 52.280 ;
+        RECT 248.635 52.235 248.925 52.280 ;
+        RECT 230.220 52.080 230.540 52.140 ;
+        RECT 235.740 52.080 236.060 52.140 ;
+        RECT 230.220 51.940 236.060 52.080 ;
+        RECT 245.030 52.080 245.170 52.235 ;
+        RECT 249.080 52.220 249.400 52.280 ;
+        RECT 249.540 52.420 249.860 52.480 ;
+        RECT 256.915 52.420 257.205 52.465 ;
+        RECT 257.910 52.420 258.050 52.960 ;
+        RECT 258.300 52.760 258.590 52.805 ;
+        RECT 261.080 52.760 261.370 52.805 ;
+        RECT 258.300 52.620 261.370 52.760 ;
+        RECT 258.300 52.575 258.590 52.620 ;
+        RECT 261.080 52.575 261.370 52.620 ;
+        RECT 249.540 52.280 250.055 52.420 ;
+        RECT 256.915 52.280 258.050 52.420 ;
+        RECT 258.740 52.420 259.060 52.480 ;
+        RECT 259.215 52.420 259.505 52.465 ;
+        RECT 258.740 52.280 259.505 52.420 ;
+        RECT 249.540 52.220 249.860 52.280 ;
+        RECT 256.915 52.235 257.205 52.280 ;
+        RECT 258.740 52.220 259.060 52.280 ;
+        RECT 259.215 52.235 259.505 52.280 ;
+        RECT 259.675 52.420 259.965 52.465 ;
+        RECT 261.590 52.420 261.730 53.300 ;
+        RECT 261.960 53.300 279.760 53.440 ;
+        RECT 261.960 53.240 262.280 53.300 ;
+        RECT 279.440 53.240 279.760 53.300 ;
+        RECT 279.900 53.440 280.220 53.500 ;
+        RECT 290.480 53.440 290.800 53.500 ;
+        RECT 279.900 53.300 290.800 53.440 ;
+        RECT 279.900 53.240 280.220 53.300 ;
+        RECT 290.480 53.240 290.800 53.300 ;
+        RECT 328.660 53.440 328.980 53.500 ;
+        RECT 350.280 53.440 350.600 53.500 ;
+        RECT 371.900 53.440 372.220 53.500 ;
+        RECT 382.020 53.440 382.340 53.500 ;
+        RECT 328.660 53.300 350.600 53.440 ;
+        RECT 328.660 53.240 328.980 53.300 ;
+        RECT 350.280 53.240 350.600 53.300 ;
+        RECT 352.210 53.300 371.670 53.440 ;
+        RECT 268.400 53.100 268.720 53.160 ;
+        RECT 302.900 53.100 303.220 53.160 ;
+        RECT 327.740 53.100 328.060 53.160 ;
+        RECT 342.920 53.100 343.240 53.160 ;
+        RECT 268.400 52.960 303.220 53.100 ;
+        RECT 268.400 52.900 268.720 52.960 ;
+        RECT 302.900 52.900 303.220 52.960 ;
+        RECT 305.750 52.960 328.060 53.100 ;
+        RECT 261.960 52.760 262.280 52.820 ;
+        RECT 273.000 52.760 273.320 52.820 ;
+        RECT 261.960 52.620 262.475 52.760 ;
+        RECT 267.110 52.620 273.320 52.760 ;
+        RECT 261.960 52.560 262.280 52.620 ;
+        RECT 267.110 52.420 267.250 52.620 ;
+        RECT 273.000 52.560 273.320 52.620 ;
+        RECT 273.480 52.760 273.770 52.805 ;
+        RECT 276.260 52.760 276.550 52.805 ;
+        RECT 277.140 52.760 277.460 52.820 ;
+        RECT 273.480 52.620 276.550 52.760 ;
+        RECT 276.945 52.620 277.460 52.760 ;
+        RECT 273.480 52.575 273.770 52.620 ;
+        RECT 276.260 52.575 276.550 52.620 ;
+        RECT 277.140 52.560 277.460 52.620 ;
+        RECT 277.600 52.760 277.920 52.820 ;
+        RECT 284.040 52.760 284.360 52.820 ;
+        RECT 277.600 52.620 284.360 52.760 ;
+        RECT 277.600 52.560 277.920 52.620 ;
+        RECT 284.040 52.560 284.360 52.620 ;
+        RECT 284.520 52.760 284.810 52.805 ;
+        RECT 287.300 52.760 287.590 52.805 ;
+        RECT 288.180 52.760 288.500 52.820 ;
+        RECT 305.750 52.760 305.890 52.960 ;
+        RECT 327.740 52.900 328.060 52.960 ;
+        RECT 342.090 52.960 343.240 53.100 ;
+        RECT 308.440 52.760 308.730 52.805 ;
+        RECT 311.220 52.760 311.510 52.805 ;
+        RECT 284.520 52.620 287.590 52.760 ;
+        RECT 287.985 52.620 288.500 52.760 ;
+        RECT 284.520 52.575 284.810 52.620 ;
+        RECT 287.300 52.575 287.590 52.620 ;
+        RECT 288.180 52.560 288.500 52.620 ;
+        RECT 296.550 52.620 305.890 52.760 ;
+        RECT 307.130 52.620 308.190 52.760 ;
+        RECT 259.675 52.280 267.250 52.420 ;
+        RECT 270.240 52.420 270.560 52.480 ;
+        RECT 272.095 52.420 272.385 52.465 ;
+        RECT 270.240 52.280 272.385 52.420 ;
+        RECT 259.675 52.235 259.965 52.280 ;
+        RECT 270.240 52.220 270.560 52.280 ;
+        RECT 272.095 52.235 272.385 52.280 ;
+        RECT 272.540 52.420 272.860 52.480 ;
+        RECT 274.380 52.420 274.700 52.480 ;
+        RECT 272.540 52.280 273.055 52.420 ;
+        RECT 274.185 52.280 274.700 52.420 ;
+        RECT 272.540 52.220 272.860 52.280 ;
+        RECT 274.380 52.220 274.700 52.280 ;
+        RECT 274.855 52.420 275.145 52.465 ;
+        RECT 275.300 52.420 275.620 52.480 ;
+        RECT 282.660 52.420 282.980 52.480 ;
+        RECT 274.855 52.280 282.980 52.420 ;
+        RECT 274.855 52.235 275.145 52.280 ;
+        RECT 275.300 52.220 275.620 52.280 ;
+        RECT 282.660 52.220 282.980 52.280 ;
+        RECT 283.135 52.235 283.425 52.465 ;
+        RECT 283.580 52.420 283.900 52.480 ;
+        RECT 285.420 52.420 285.740 52.480 ;
+        RECT 283.580 52.280 284.095 52.420 ;
+        RECT 285.225 52.280 285.740 52.420 ;
+        RECT 281.740 52.080 282.060 52.140 ;
+        RECT 245.030 51.940 258.050 52.080 ;
+        RECT 230.220 51.880 230.540 51.940 ;
+        RECT 235.740 51.880 236.060 51.940 ;
+        RECT 225.160 51.600 226.310 51.740 ;
+        RECT 227.000 51.740 227.320 51.800 ;
+        RECT 240.355 51.740 240.645 51.785 ;
+        RECT 227.000 51.600 240.645 51.740 ;
+        RECT 225.160 51.540 225.480 51.600 ;
+        RECT 227.000 51.540 227.320 51.600 ;
+        RECT 240.355 51.555 240.645 51.600 ;
+        RECT 241.720 51.740 242.040 51.800 ;
+        RECT 244.940 51.740 245.260 51.800 ;
+        RECT 241.720 51.600 245.260 51.740 ;
+        RECT 241.720 51.540 242.040 51.600 ;
+        RECT 244.940 51.540 245.260 51.600 ;
+        RECT 250.935 51.740 251.225 51.785 ;
+        RECT 251.380 51.740 251.700 51.800 ;
+        RECT 250.935 51.600 251.700 51.740 ;
+        RECT 250.935 51.555 251.225 51.600 ;
+        RECT 251.380 51.540 251.700 51.600 ;
+        RECT 251.840 51.740 252.160 51.800 ;
+        RECT 253.680 51.740 254.000 51.800 ;
+        RECT 256.440 51.740 256.760 51.800 ;
+        RECT 251.840 51.600 256.760 51.740 ;
+        RECT 257.910 51.740 258.050 51.940 ;
+        RECT 262.510 51.940 282.060 52.080 ;
+        RECT 283.210 52.080 283.350 52.235 ;
+        RECT 283.580 52.220 283.900 52.280 ;
+        RECT 285.420 52.220 285.740 52.280 ;
+        RECT 285.895 52.420 286.185 52.465 ;
+        RECT 295.540 52.420 295.860 52.480 ;
+        RECT 285.895 52.280 295.860 52.420 ;
+        RECT 285.895 52.235 286.185 52.280 ;
+        RECT 285.970 52.080 286.110 52.235 ;
+        RECT 295.540 52.220 295.860 52.280 ;
+        RECT 283.210 51.940 286.110 52.080 ;
+        RECT 286.340 52.080 286.660 52.140 ;
+        RECT 296.550 52.080 296.690 52.620 ;
+        RECT 296.935 52.235 297.225 52.465 ;
+        RECT 298.300 52.420 298.620 52.480 ;
+        RECT 307.130 52.465 307.270 52.620 ;
+        RECT 298.105 52.280 298.620 52.420 ;
+        RECT 286.340 51.940 296.690 52.080 ;
+        RECT 262.510 51.740 262.650 51.940 ;
+        RECT 281.740 51.880 282.060 51.940 ;
+        RECT 286.340 51.880 286.660 51.940 ;
+        RECT 257.910 51.600 262.650 51.740 ;
+        RECT 274.840 51.740 275.160 51.800 ;
+        RECT 280.360 51.740 280.680 51.800 ;
+        RECT 274.840 51.600 280.680 51.740 ;
+        RECT 251.840 51.540 252.160 51.600 ;
+        RECT 253.680 51.540 254.000 51.600 ;
+        RECT 256.440 51.540 256.760 51.600 ;
+        RECT 274.840 51.540 275.160 51.600 ;
+        RECT 280.360 51.540 280.680 51.600 ;
+        RECT 287.720 51.740 288.040 51.800 ;
+        RECT 296.015 51.740 296.305 51.785 ;
+        RECT 287.720 51.600 296.305 51.740 ;
+        RECT 297.010 51.740 297.150 52.235 ;
+        RECT 298.300 52.220 298.620 52.280 ;
+        RECT 307.055 52.235 307.345 52.465 ;
+        RECT 307.515 52.235 307.805 52.465 ;
+        RECT 308.050 52.420 308.190 52.620 ;
+        RECT 308.440 52.620 311.510 52.760 ;
+        RECT 308.440 52.575 308.730 52.620 ;
+        RECT 311.220 52.575 311.510 52.620 ;
+        RECT 315.320 52.760 315.640 52.820 ;
+        RECT 320.840 52.760 321.160 52.820 ;
+        RECT 326.820 52.760 327.140 52.820 ;
+        RECT 329.580 52.760 329.900 52.820 ;
+        RECT 315.320 52.620 321.160 52.760 ;
+        RECT 315.320 52.560 315.640 52.620 ;
+        RECT 320.840 52.560 321.160 52.620 ;
+        RECT 321.390 52.620 324.290 52.760 ;
+        RECT 308.880 52.420 309.200 52.480 ;
+        RECT 308.050 52.280 309.200 52.420 ;
+        RECT 307.590 52.080 307.730 52.235 ;
+        RECT 308.880 52.220 309.200 52.280 ;
+        RECT 309.800 52.420 310.120 52.480 ;
+        RECT 310.735 52.420 311.025 52.465 ;
+        RECT 311.640 52.420 311.960 52.480 ;
+        RECT 317.160 52.420 317.480 52.480 ;
+        RECT 309.800 52.280 310.315 52.420 ;
+        RECT 310.735 52.280 311.960 52.420 ;
+        RECT 316.965 52.280 317.480 52.420 ;
+        RECT 309.800 52.220 310.120 52.280 ;
+        RECT 310.735 52.235 311.025 52.280 ;
+        RECT 311.640 52.220 311.960 52.280 ;
+        RECT 317.160 52.220 317.480 52.280 ;
+        RECT 318.080 52.420 318.400 52.480 ;
+        RECT 321.390 52.420 321.530 52.620 ;
+        RECT 323.600 52.420 323.920 52.480 ;
+        RECT 318.080 52.280 321.530 52.420 ;
+        RECT 323.405 52.280 323.920 52.420 ;
+        RECT 324.150 52.420 324.290 52.620 ;
+        RECT 326.820 52.620 329.900 52.760 ;
+        RECT 326.820 52.560 327.140 52.620 ;
+        RECT 329.580 52.560 329.900 52.620 ;
+        RECT 331.440 52.760 331.730 52.805 ;
+        RECT 334.220 52.760 334.510 52.805 ;
+        RECT 335.100 52.760 335.420 52.820 ;
+        RECT 331.440 52.620 334.510 52.760 ;
+        RECT 334.905 52.620 335.420 52.760 ;
+        RECT 331.440 52.575 331.730 52.620 ;
+        RECT 334.220 52.575 334.510 52.620 ;
+        RECT 335.100 52.560 335.420 52.620 ;
+        RECT 340.635 52.760 340.925 52.805 ;
+        RECT 342.090 52.760 342.230 52.960 ;
+        RECT 342.920 52.900 343.240 52.960 ;
+        RECT 340.635 52.620 342.230 52.760 ;
+        RECT 342.480 52.760 342.770 52.805 ;
+        RECT 345.260 52.760 345.550 52.805 ;
+        RECT 346.140 52.760 346.460 52.820 ;
+        RECT 352.210 52.805 352.350 53.300 ;
+        RECT 352.580 53.100 352.900 53.160 ;
+        RECT 371.530 53.100 371.670 53.300 ;
+        RECT 371.900 53.300 382.340 53.440 ;
+        RECT 371.900 53.240 372.220 53.300 ;
+        RECT 382.020 53.240 382.340 53.300 ;
+        RECT 377.420 53.100 377.740 53.160 ;
+        RECT 385.715 53.100 386.005 53.145 ;
+        RECT 352.580 52.960 365.690 53.100 ;
+        RECT 371.530 52.960 373.510 53.100 ;
+        RECT 352.580 52.900 352.900 52.960 ;
+        RECT 342.480 52.620 345.550 52.760 ;
+        RECT 345.945 52.620 346.460 52.760 ;
+        RECT 340.635 52.575 340.925 52.620 ;
+        RECT 342.480 52.575 342.770 52.620 ;
+        RECT 345.260 52.575 345.550 52.620 ;
+        RECT 346.140 52.560 346.460 52.620 ;
+        RECT 352.135 52.575 352.425 52.805 ;
+        RECT 353.980 52.760 354.270 52.805 ;
+        RECT 356.760 52.760 357.050 52.805 ;
+        RECT 357.640 52.760 357.960 52.820 ;
+        RECT 353.980 52.620 357.050 52.760 ;
+        RECT 357.445 52.620 357.960 52.760 ;
+        RECT 353.980 52.575 354.270 52.620 ;
+        RECT 356.760 52.575 357.050 52.620 ;
+        RECT 357.640 52.560 357.960 52.620 ;
+        RECT 358.560 52.760 358.880 52.820 ;
+        RECT 365.550 52.805 365.690 52.960 ;
+        RECT 358.560 52.620 365.230 52.760 ;
+        RECT 358.560 52.560 358.880 52.620 ;
+        RECT 327.740 52.420 328.060 52.480 ;
+        RECT 329.120 52.420 329.440 52.480 ;
+        RECT 330.040 52.420 330.360 52.480 ;
+        RECT 332.340 52.420 332.660 52.480 ;
+        RECT 324.150 52.280 328.060 52.420 ;
+        RECT 328.925 52.280 329.440 52.420 ;
+        RECT 329.845 52.280 330.360 52.420 ;
+        RECT 332.145 52.280 332.660 52.420 ;
+        RECT 318.080 52.220 318.400 52.280 ;
+        RECT 323.600 52.220 323.920 52.280 ;
+        RECT 327.740 52.220 328.060 52.280 ;
+        RECT 329.120 52.220 329.440 52.280 ;
+        RECT 330.040 52.220 330.360 52.280 ;
+        RECT 332.340 52.220 332.660 52.280 ;
+        RECT 332.800 52.420 333.120 52.480 ;
+        RECT 341.020 52.420 341.310 52.465 ;
+        RECT 343.380 52.420 343.700 52.480 ;
+        RECT 332.800 52.280 333.315 52.420 ;
+        RECT 341.020 52.280 342.690 52.420 ;
+        RECT 343.185 52.280 343.700 52.420 ;
+        RECT 332.800 52.220 333.120 52.280 ;
+        RECT 341.020 52.235 341.310 52.280 ;
+        RECT 334.180 52.080 334.500 52.140 ;
+        RECT 307.590 51.940 334.500 52.080 ;
+        RECT 342.550 52.080 342.690 52.280 ;
+        RECT 343.380 52.220 343.700 52.280 ;
+        RECT 343.855 52.420 344.145 52.465 ;
+        RECT 351.660 52.420 351.980 52.480 ;
+        RECT 343.855 52.280 351.980 52.420 ;
+        RECT 343.855 52.235 344.145 52.280 ;
+        RECT 343.930 52.080 344.070 52.235 ;
+        RECT 351.660 52.220 351.980 52.280 ;
+        RECT 352.595 52.235 352.885 52.465 ;
+        RECT 353.500 52.420 353.820 52.480 ;
+        RECT 354.895 52.420 355.185 52.465 ;
+        RECT 353.500 52.280 355.185 52.420 ;
+        RECT 342.550 51.940 344.070 52.080 ;
+        RECT 352.670 52.080 352.810 52.235 ;
+        RECT 353.500 52.220 353.820 52.280 ;
+        RECT 354.895 52.235 355.185 52.280 ;
+        RECT 355.355 52.420 355.645 52.465 ;
+        RECT 358.100 52.420 358.420 52.480 ;
+        RECT 365.090 52.465 365.230 52.620 ;
+        RECT 365.475 52.575 365.765 52.805 ;
+        RECT 355.355 52.280 358.420 52.420 ;
+        RECT 355.355 52.235 355.645 52.280 ;
+        RECT 355.430 52.080 355.570 52.235 ;
+        RECT 358.100 52.220 358.420 52.280 ;
+        RECT 364.095 52.235 364.385 52.465 ;
+        RECT 365.015 52.420 365.305 52.465 ;
+        RECT 368.680 52.420 369.000 52.480 ;
+        RECT 365.015 52.280 369.000 52.420 ;
+        RECT 365.015 52.235 365.305 52.280 ;
+        RECT 352.670 51.940 355.570 52.080 ;
+        RECT 359.480 52.080 359.800 52.140 ;
+        RECT 364.170 52.080 364.310 52.235 ;
+        RECT 368.680 52.220 369.000 52.280 ;
+        RECT 371.915 52.235 372.205 52.465 ;
+        RECT 372.820 52.420 373.140 52.480 ;
+        RECT 372.625 52.280 373.140 52.420 ;
+        RECT 373.370 52.420 373.510 52.960 ;
+        RECT 377.420 52.960 386.005 53.100 ;
+        RECT 377.420 52.900 377.740 52.960 ;
+        RECT 385.715 52.915 386.005 52.960 ;
+        RECT 375.120 52.760 375.440 52.820 ;
+        RECT 380.655 52.760 380.945 52.805 ;
+        RECT 375.120 52.620 380.945 52.760 ;
+        RECT 375.120 52.560 375.440 52.620 ;
+        RECT 380.655 52.575 380.945 52.620 ;
+        RECT 387.540 52.420 387.860 52.480 ;
+        RECT 373.370 52.280 387.860 52.420 ;
+        RECT 371.990 52.080 372.130 52.235 ;
+        RECT 372.820 52.220 373.140 52.280 ;
+        RECT 387.540 52.220 387.860 52.280 ;
+        RECT 388.460 52.420 388.780 52.480 ;
+        RECT 390.775 52.420 391.065 52.465 ;
+        RECT 388.460 52.280 391.065 52.420 ;
+        RECT 388.460 52.220 388.780 52.280 ;
+        RECT 390.775 52.235 391.065 52.280 ;
+        RECT 624.915 52.420 625.205 52.465 ;
+        RECT 630.880 52.420 631.200 52.480 ;
+        RECT 624.915 52.280 631.200 52.420 ;
+        RECT 624.915 52.235 625.205 52.280 ;
+        RECT 630.880 52.220 631.200 52.280 ;
+        RECT 393.520 52.080 393.840 52.140 ;
+        RECT 359.480 51.940 363.850 52.080 ;
+        RECT 364.170 51.940 371.670 52.080 ;
+        RECT 371.990 51.940 393.840 52.080 ;
+        RECT 334.180 51.880 334.500 51.940 ;
+        RECT 359.480 51.880 359.800 51.940 ;
+        RECT 308.880 51.740 309.200 51.800 ;
+        RECT 312.100 51.740 312.420 51.800 ;
+        RECT 318.080 51.740 318.400 51.800 ;
+        RECT 297.010 51.600 309.200 51.740 ;
+        RECT 311.905 51.600 312.420 51.740 ;
+        RECT 317.885 51.600 318.400 51.740 ;
+        RECT 287.720 51.540 288.040 51.600 ;
+        RECT 296.015 51.555 296.305 51.600 ;
+        RECT 308.880 51.540 309.200 51.600 ;
+        RECT 312.100 51.540 312.420 51.600 ;
+        RECT 318.080 51.540 318.400 51.600 ;
+        RECT 318.540 51.740 318.860 51.800 ;
+        RECT 324.535 51.740 324.825 51.785 ;
+        RECT 318.540 51.600 324.825 51.740 ;
+        RECT 318.540 51.540 318.860 51.600 ;
+        RECT 324.535 51.555 324.825 51.600 ;
+        RECT 327.280 51.740 327.600 51.800 ;
+        RECT 328.200 51.740 328.520 51.800 ;
+        RECT 327.280 51.600 328.520 51.740 ;
+        RECT 327.280 51.540 327.600 51.600 ;
+        RECT 328.200 51.540 328.520 51.600 ;
+        RECT 330.040 51.740 330.360 51.800 ;
+        RECT 332.800 51.740 333.120 51.800 ;
+        RECT 330.040 51.600 333.120 51.740 ;
+        RECT 330.040 51.540 330.360 51.600 ;
+        RECT 332.800 51.540 333.120 51.600 ;
+        RECT 336.020 51.740 336.340 51.800 ;
+        RECT 354.880 51.740 355.200 51.800 ;
+        RECT 336.020 51.600 355.200 51.740 ;
+        RECT 363.710 51.740 363.850 51.940 ;
+        RECT 370.995 51.740 371.285 51.785 ;
+        RECT 363.710 51.600 371.285 51.740 ;
+        RECT 371.530 51.740 371.670 51.940 ;
+        RECT 393.520 51.880 393.840 51.940 ;
+        RECT 385.700 51.740 386.020 51.800 ;
+        RECT 371.530 51.600 386.020 51.740 ;
+        RECT 336.020 51.540 336.340 51.600 ;
+        RECT 354.880 51.540 355.200 51.600 ;
+        RECT 370.995 51.555 371.285 51.600 ;
+        RECT 385.700 51.540 386.020 51.600 ;
+        RECT 42.470 50.920 631.270 51.400 ;
+        RECT 56.800 50.720 57.120 50.780 ;
+        RECT 72.440 50.720 72.760 50.780 ;
+        RECT 56.800 50.580 72.760 50.720 ;
+        RECT 56.800 50.520 57.120 50.580 ;
+        RECT 72.440 50.520 72.760 50.580 ;
+        RECT 73.375 50.720 73.665 50.765 ;
+        RECT 98.660 50.720 98.980 50.780 ;
+        RECT 73.375 50.580 98.980 50.720 ;
+        RECT 73.375 50.535 73.665 50.580 ;
+        RECT 98.660 50.520 98.980 50.580 ;
+        RECT 100.500 50.720 100.820 50.780 ;
+        RECT 133.160 50.720 133.480 50.780 ;
+        RECT 133.635 50.720 133.925 50.765 ;
+        RECT 100.500 50.580 113.150 50.720 ;
+        RECT 100.500 50.520 100.820 50.580 ;
+        RECT 60.020 50.380 60.340 50.440 ;
+        RECT 86.700 50.380 87.020 50.440 ;
+        RECT 60.020 50.240 87.020 50.380 ;
+        RECT 60.020 50.180 60.340 50.240 ;
+        RECT 86.700 50.180 87.020 50.240 ;
+        RECT 92.240 50.380 92.530 50.425 ;
+        RECT 93.640 50.380 93.930 50.425 ;
+        RECT 95.480 50.380 95.770 50.425 ;
+        RECT 106.020 50.380 106.340 50.440 ;
+        RECT 92.240 50.240 95.770 50.380 ;
+        RECT 92.240 50.195 92.530 50.240 ;
+        RECT 93.640 50.195 93.930 50.240 ;
+        RECT 95.480 50.195 95.770 50.240 ;
+        RECT 95.990 50.240 106.340 50.380 ;
+        RECT 72.915 49.855 73.205 50.085 ;
+        RECT 77.960 50.040 78.280 50.100 ;
+        RECT 78.880 50.040 79.200 50.100 ;
+        RECT 80.720 50.040 81.040 50.100 ;
+        RECT 77.960 49.900 78.475 50.040 ;
+        RECT 78.880 49.900 79.395 50.040 ;
+        RECT 80.525 49.900 81.040 50.040 ;
+        RECT 61.860 49.700 62.180 49.760 ;
+        RECT 72.990 49.700 73.130 49.855 ;
+        RECT 77.960 49.840 78.280 49.900 ;
+        RECT 78.880 49.840 79.200 49.900 ;
+        RECT 80.720 49.840 81.040 49.900 ;
+        RECT 85.795 50.040 86.085 50.085 ;
+        RECT 91.760 50.040 92.080 50.100 ;
+        RECT 85.795 49.900 92.080 50.040 ;
+        RECT 85.795 49.855 86.085 49.900 ;
+        RECT 91.760 49.840 92.080 49.900 ;
+        RECT 92.695 50.040 92.985 50.085 ;
+        RECT 95.990 50.040 96.130 50.240 ;
+        RECT 106.020 50.180 106.340 50.240 ;
+        RECT 92.695 49.900 96.130 50.040 ;
+        RECT 99.580 50.040 99.900 50.100 ;
+        RECT 105.115 50.040 105.405 50.085 ;
+        RECT 99.580 49.900 105.405 50.040 ;
+        RECT 92.695 49.855 92.985 49.900 ;
+        RECT 99.580 49.840 99.900 49.900 ;
+        RECT 105.115 49.855 105.405 49.900 ;
+        RECT 107.875 49.855 108.165 50.085 ;
+        RECT 108.795 50.040 109.085 50.085 ;
+        RECT 112.000 50.040 112.320 50.100 ;
+        RECT 108.795 49.900 112.320 50.040 ;
+        RECT 113.010 50.040 113.150 50.580 ;
+        RECT 133.160 50.580 133.925 50.720 ;
+        RECT 133.160 50.520 133.480 50.580 ;
+        RECT 133.635 50.535 133.925 50.580 ;
+        RECT 157.080 50.720 157.400 50.780 ;
+        RECT 162.600 50.720 162.920 50.780 ;
+        RECT 194.800 50.720 195.120 50.780 ;
+        RECT 213.660 50.720 213.980 50.780 ;
+        RECT 249.540 50.720 249.860 50.780 ;
+        RECT 157.080 50.580 162.920 50.720 ;
+        RECT 157.080 50.520 157.400 50.580 ;
+        RECT 162.600 50.520 162.920 50.580 ;
+        RECT 163.150 50.580 195.120 50.720 ;
+        RECT 114.320 50.380 114.610 50.425 ;
+        RECT 115.720 50.380 116.010 50.425 ;
+        RECT 117.560 50.380 117.850 50.425 ;
+        RECT 114.320 50.240 117.850 50.380 ;
+        RECT 114.320 50.195 114.610 50.240 ;
+        RECT 115.720 50.195 116.010 50.240 ;
+        RECT 117.560 50.195 117.850 50.240 ;
+        RECT 126.740 50.380 127.030 50.425 ;
+        RECT 128.140 50.380 128.430 50.425 ;
+        RECT 129.980 50.380 130.270 50.425 ;
+        RECT 126.740 50.240 130.270 50.380 ;
+        RECT 126.740 50.195 127.030 50.240 ;
+        RECT 128.140 50.195 128.430 50.240 ;
+        RECT 129.980 50.195 130.270 50.240 ;
+        RECT 130.860 50.380 131.180 50.440 ;
+        RECT 141.900 50.380 142.220 50.440 ;
+        RECT 130.860 50.240 142.220 50.380 ;
+        RECT 130.860 50.180 131.180 50.240 ;
+        RECT 141.900 50.180 142.220 50.240 ;
+        RECT 142.380 50.380 142.670 50.425 ;
+        RECT 143.780 50.380 144.070 50.425 ;
+        RECT 145.620 50.380 145.910 50.425 ;
+        RECT 148.800 50.380 149.120 50.440 ;
+        RECT 142.380 50.240 145.910 50.380 ;
+        RECT 142.380 50.195 142.670 50.240 ;
+        RECT 143.780 50.195 144.070 50.240 ;
+        RECT 145.620 50.195 145.910 50.240 ;
+        RECT 146.130 50.240 149.120 50.380 ;
+        RECT 113.395 50.040 113.685 50.085 ;
+        RECT 113.010 49.900 113.685 50.040 ;
+        RECT 108.795 49.855 109.085 49.900 ;
+        RECT 89.920 49.700 90.240 49.760 ;
+        RECT 91.300 49.700 91.620 49.760 ;
+        RECT 61.860 49.560 90.240 49.700 ;
+        RECT 91.105 49.560 91.620 49.700 ;
+        RECT 61.860 49.500 62.180 49.560 ;
+        RECT 89.920 49.500 90.240 49.560 ;
+        RECT 91.300 49.500 91.620 49.560 ;
+        RECT 106.020 49.700 106.340 49.760 ;
+        RECT 107.950 49.700 108.090 49.855 ;
+        RECT 112.000 49.840 112.320 49.900 ;
+        RECT 113.395 49.855 113.685 49.900 ;
+        RECT 114.775 50.040 115.065 50.085 ;
+        RECT 120.280 50.040 120.600 50.100 ;
+        RECT 114.775 49.900 120.600 50.040 ;
+        RECT 114.775 49.855 115.065 49.900 ;
+        RECT 112.460 49.700 112.780 49.760 ;
+        RECT 106.020 49.560 112.780 49.700 ;
+        RECT 113.470 49.700 113.610 49.855 ;
+        RECT 120.280 49.840 120.600 49.900 ;
+        RECT 126.260 49.840 126.580 50.100 ;
+        RECT 127.195 50.040 127.485 50.085 ;
+        RECT 133.620 50.040 133.940 50.100 ;
+        RECT 127.195 49.900 133.940 50.040 ;
+        RECT 127.195 49.855 127.485 49.900 ;
+        RECT 133.620 49.840 133.940 49.900 ;
+        RECT 139.600 50.040 139.920 50.100 ;
+        RECT 141.455 50.040 141.745 50.085 ;
+        RECT 139.600 49.900 141.745 50.040 ;
+        RECT 139.600 49.840 139.920 49.900 ;
+        RECT 141.455 49.855 141.745 49.900 ;
+        RECT 142.835 50.040 143.125 50.085 ;
+        RECT 146.130 50.040 146.270 50.240 ;
+        RECT 148.800 50.180 149.120 50.240 ;
+        RECT 154.800 50.380 155.090 50.425 ;
+        RECT 156.200 50.380 156.490 50.425 ;
+        RECT 158.040 50.380 158.330 50.425 ;
+        RECT 154.800 50.240 158.330 50.380 ;
+        RECT 154.800 50.195 155.090 50.240 ;
+        RECT 156.200 50.195 156.490 50.240 ;
+        RECT 158.040 50.195 158.330 50.240 ;
+        RECT 162.140 50.380 162.460 50.440 ;
+        RECT 163.150 50.380 163.290 50.580 ;
+        RECT 194.800 50.520 195.120 50.580 ;
+        RECT 195.350 50.580 202.850 50.720 ;
+        RECT 162.140 50.240 163.290 50.380 ;
+        RECT 170.440 50.380 170.730 50.425 ;
+        RECT 171.840 50.380 172.130 50.425 ;
+        RECT 173.680 50.380 173.970 50.425 ;
+        RECT 170.440 50.240 173.970 50.380 ;
+        RECT 162.140 50.180 162.460 50.240 ;
+        RECT 170.440 50.195 170.730 50.240 ;
+        RECT 171.840 50.195 172.130 50.240 ;
+        RECT 173.680 50.195 173.970 50.240 ;
+        RECT 182.860 50.380 183.150 50.425 ;
+        RECT 184.260 50.380 184.550 50.425 ;
+        RECT 186.100 50.380 186.390 50.425 ;
+        RECT 182.860 50.240 186.390 50.380 ;
+        RECT 182.860 50.195 183.150 50.240 ;
+        RECT 184.260 50.195 184.550 50.240 ;
+        RECT 186.100 50.195 186.390 50.240 ;
+        RECT 191.580 50.380 191.900 50.440 ;
+        RECT 195.350 50.380 195.490 50.580 ;
+        RECT 191.580 50.240 195.490 50.380 ;
+        RECT 198.500 50.380 198.790 50.425 ;
+        RECT 199.900 50.380 200.190 50.425 ;
+        RECT 201.740 50.380 202.030 50.425 ;
+        RECT 198.500 50.240 202.030 50.380 ;
+        RECT 202.710 50.380 202.850 50.580 ;
+        RECT 213.660 50.580 249.860 50.720 ;
+        RECT 213.660 50.520 213.980 50.580 ;
+        RECT 249.540 50.520 249.860 50.580 ;
+        RECT 250.000 50.720 250.320 50.780 ;
+        RECT 256.900 50.720 257.220 50.780 ;
+        RECT 259.660 50.720 259.980 50.780 ;
+        RECT 250.000 50.580 257.220 50.720 ;
+        RECT 259.465 50.580 259.980 50.720 ;
+        RECT 250.000 50.520 250.320 50.580 ;
+        RECT 256.900 50.520 257.220 50.580 ;
+        RECT 259.660 50.520 259.980 50.580 ;
+        RECT 272.540 50.720 272.860 50.780 ;
+        RECT 282.660 50.720 282.980 50.780 ;
+        RECT 291.860 50.720 292.180 50.780 ;
+        RECT 272.540 50.580 282.980 50.720 ;
+        RECT 272.540 50.520 272.860 50.580 ;
+        RECT 282.660 50.520 282.980 50.580 ;
+        RECT 291.490 50.580 292.180 50.720 ;
+        RECT 230.680 50.380 231.000 50.440 ;
+        RECT 238.960 50.380 239.280 50.440 ;
+        RECT 257.820 50.380 258.140 50.440 ;
+        RECT 258.740 50.380 259.060 50.440 ;
+        RECT 275.760 50.380 276.080 50.440 ;
+        RECT 291.490 50.380 291.630 50.580 ;
+        RECT 291.860 50.520 292.180 50.580 ;
+        RECT 292.320 50.720 292.640 50.780 ;
+        RECT 314.875 50.720 315.165 50.765 ;
+        RECT 318.080 50.720 318.400 50.780 ;
+        RECT 331.880 50.720 332.200 50.780 ;
+        RECT 347.980 50.720 348.300 50.780 ;
+        RECT 349.375 50.720 349.665 50.765 ;
+        RECT 354.880 50.720 355.200 50.780 ;
+        RECT 374.660 50.720 374.980 50.780 ;
+        RECT 292.320 50.580 315.165 50.720 ;
+        RECT 292.320 50.520 292.640 50.580 ;
+        RECT 314.875 50.535 315.165 50.580 ;
+        RECT 317.250 50.580 332.200 50.720 ;
+        RECT 202.710 50.240 225.390 50.380 ;
+        RECT 230.485 50.240 231.000 50.380 ;
+        RECT 191.580 50.180 191.900 50.240 ;
+        RECT 198.500 50.195 198.790 50.240 ;
+        RECT 199.900 50.195 200.190 50.240 ;
+        RECT 201.740 50.195 202.030 50.240 ;
+        RECT 142.835 49.900 146.270 50.040 ;
+        RECT 147.880 50.040 148.200 50.100 ;
+        RECT 155.240 50.040 155.560 50.100 ;
+        RECT 147.880 49.900 154.550 50.040 ;
+        RECT 155.045 49.900 155.560 50.040 ;
+        RECT 142.835 49.855 143.125 49.900 ;
+        RECT 147.880 49.840 148.200 49.900 ;
+        RECT 125.800 49.700 126.120 49.760 ;
+        RECT 113.470 49.560 126.120 49.700 ;
+        RECT 126.350 49.700 126.490 49.840 ;
+        RECT 130.400 49.700 130.720 49.760 ;
+        RECT 134.540 49.700 134.860 49.760 ;
+        RECT 153.860 49.700 154.180 49.760 ;
+        RECT 126.350 49.560 134.860 49.700 ;
+        RECT 153.665 49.560 154.180 49.700 ;
+        RECT 154.410 49.700 154.550 49.900 ;
+        RECT 155.240 49.840 155.560 49.900 ;
+        RECT 163.060 50.040 163.380 50.100 ;
+        RECT 169.515 50.040 169.805 50.085 ;
+        RECT 170.880 50.040 171.200 50.100 ;
+        RECT 181.920 50.040 182.240 50.100 ;
+        RECT 183.315 50.040 183.605 50.085 ;
+        RECT 192.040 50.040 192.360 50.100 ;
+        RECT 163.060 49.900 169.805 50.040 ;
+        RECT 170.685 49.900 171.200 50.040 ;
+        RECT 163.060 49.840 163.380 49.900 ;
+        RECT 169.515 49.855 169.805 49.900 ;
+        RECT 169.590 49.700 169.730 49.855 ;
+        RECT 170.880 49.840 171.200 49.900 ;
+        RECT 171.430 49.900 182.435 50.040 ;
+        RECT 183.315 49.900 192.360 50.040 ;
+        RECT 171.430 49.700 171.570 49.900 ;
+        RECT 181.920 49.840 182.240 49.900 ;
+        RECT 183.315 49.855 183.605 49.900 ;
+        RECT 192.040 49.840 192.360 49.900 ;
+        RECT 194.340 50.040 194.660 50.100 ;
+        RECT 195.275 50.040 195.565 50.085 ;
+        RECT 194.340 49.900 195.565 50.040 ;
+        RECT 194.340 49.840 194.660 49.900 ;
+        RECT 195.275 49.855 195.565 49.900 ;
+        RECT 198.955 50.040 199.245 50.085 ;
+        RECT 205.380 50.040 205.700 50.100 ;
+        RECT 198.955 49.900 205.700 50.040 ;
+        RECT 198.955 49.855 199.245 49.900 ;
+        RECT 205.380 49.840 205.700 49.900 ;
+        RECT 210.915 50.040 211.205 50.085 ;
+        RECT 213.200 50.040 213.520 50.100 ;
+        RECT 213.675 50.040 213.965 50.085 ;
+        RECT 214.580 50.040 214.900 50.100 ;
+        RECT 210.915 49.900 213.965 50.040 ;
+        RECT 214.385 49.900 214.900 50.040 ;
+        RECT 210.915 49.855 211.205 49.900 ;
+        RECT 213.200 49.840 213.520 49.900 ;
+        RECT 213.675 49.855 213.965 49.900 ;
+        RECT 214.580 49.840 214.900 49.900 ;
+        RECT 219.640 50.040 219.960 50.100 ;
+        RECT 224.700 50.040 225.020 50.100 ;
+        RECT 219.640 49.900 225.020 50.040 ;
+        RECT 219.640 49.840 219.960 49.900 ;
+        RECT 224.700 49.840 225.020 49.900 ;
+        RECT 154.410 49.560 161.450 49.700 ;
+        RECT 169.590 49.560 171.570 49.700 ;
+        RECT 175.940 49.700 176.260 49.760 ;
+        RECT 191.120 49.700 191.440 49.760 ;
+        RECT 197.560 49.700 197.880 49.760 ;
+        RECT 210.440 49.700 210.760 49.760 ;
+        RECT 175.940 49.560 191.440 49.700 ;
+        RECT 106.020 49.500 106.340 49.560 ;
+        RECT 112.460 49.500 112.780 49.560 ;
+        RECT 125.800 49.500 126.120 49.560 ;
+        RECT 130.400 49.500 130.720 49.560 ;
+        RECT 134.540 49.500 134.860 49.560 ;
+        RECT 153.860 49.500 154.180 49.560 ;
+        RECT 66.460 49.360 66.780 49.420 ;
+        RECT 90.840 49.360 91.160 49.420 ;
+        RECT 66.460 49.220 91.160 49.360 ;
+        RECT 66.460 49.160 66.780 49.220 ;
+        RECT 90.840 49.160 91.160 49.220 ;
+        RECT 91.780 49.360 92.070 49.405 ;
+        RECT 94.100 49.360 94.390 49.405 ;
+        RECT 95.480 49.360 95.770 49.405 ;
+        RECT 91.780 49.220 95.770 49.360 ;
+        RECT 91.780 49.175 92.070 49.220 ;
+        RECT 94.100 49.175 94.390 49.220 ;
+        RECT 95.480 49.175 95.770 49.220 ;
+        RECT 113.860 49.360 114.150 49.405 ;
+        RECT 116.180 49.360 116.470 49.405 ;
+        RECT 117.560 49.360 117.850 49.405 ;
+        RECT 113.860 49.220 117.850 49.360 ;
+        RECT 113.860 49.175 114.150 49.220 ;
+        RECT 116.180 49.175 116.470 49.220 ;
+        RECT 117.560 49.175 117.850 49.220 ;
+        RECT 126.280 49.360 126.570 49.405 ;
+        RECT 128.600 49.360 128.890 49.405 ;
+        RECT 129.980 49.360 130.270 49.405 ;
+        RECT 126.280 49.220 130.270 49.360 ;
+        RECT 126.280 49.175 126.570 49.220 ;
+        RECT 128.600 49.175 128.890 49.220 ;
+        RECT 129.980 49.175 130.270 49.220 ;
+        RECT 141.920 49.360 142.210 49.405 ;
+        RECT 144.240 49.360 144.530 49.405 ;
+        RECT 145.620 49.360 145.910 49.405 ;
+        RECT 141.920 49.220 145.910 49.360 ;
+        RECT 141.920 49.175 142.210 49.220 ;
+        RECT 144.240 49.175 144.530 49.220 ;
+        RECT 145.620 49.175 145.910 49.220 ;
+        RECT 154.340 49.360 154.630 49.405 ;
+        RECT 156.660 49.360 156.950 49.405 ;
+        RECT 158.040 49.360 158.330 49.405 ;
+        RECT 154.340 49.220 158.330 49.360 ;
+        RECT 154.340 49.175 154.630 49.220 ;
+        RECT 156.660 49.175 156.950 49.220 ;
+        RECT 158.040 49.175 158.330 49.220 ;
+        RECT 70.140 49.020 70.460 49.080 ;
+        RECT 86.240 49.020 86.560 49.080 ;
+        RECT 70.140 48.880 86.560 49.020 ;
+        RECT 70.140 48.820 70.460 48.880 ;
+        RECT 86.240 48.820 86.560 48.880 ;
+        RECT 86.715 49.020 87.005 49.065 ;
+        RECT 87.160 49.020 87.480 49.080 ;
+        RECT 86.715 48.880 87.480 49.020 ;
+        RECT 86.715 48.835 87.005 48.880 ;
+        RECT 87.160 48.820 87.480 48.880 ;
+        RECT 96.820 49.020 97.140 49.080 ;
+        RECT 99.135 49.020 99.425 49.065 ;
+        RECT 96.820 48.880 99.425 49.020 ;
+        RECT 96.820 48.820 97.140 48.880 ;
+        RECT 99.135 48.835 99.425 48.880 ;
+        RECT 108.780 49.020 109.100 49.080 ;
+        RECT 120.295 49.020 120.585 49.065 ;
+        RECT 108.780 48.880 120.585 49.020 ;
+        RECT 108.780 48.820 109.100 48.880 ;
+        RECT 120.295 48.835 120.585 48.880 ;
+        RECT 150.640 49.020 150.960 49.080 ;
+        RECT 160.775 49.020 161.065 49.065 ;
+        RECT 150.640 48.880 161.065 49.020 ;
+        RECT 161.310 49.020 161.450 49.560 ;
+        RECT 175.940 49.500 176.260 49.560 ;
+        RECT 191.120 49.500 191.440 49.560 ;
+        RECT 196.730 49.560 197.880 49.700 ;
+        RECT 210.245 49.560 210.760 49.700 ;
+        RECT 169.980 49.360 170.270 49.405 ;
+        RECT 172.300 49.360 172.590 49.405 ;
+        RECT 173.680 49.360 173.970 49.405 ;
+        RECT 169.980 49.220 173.970 49.360 ;
+        RECT 169.980 49.175 170.270 49.220 ;
+        RECT 172.300 49.175 172.590 49.220 ;
+        RECT 173.680 49.175 173.970 49.220 ;
+        RECT 182.400 49.360 182.690 49.405 ;
+        RECT 184.720 49.360 185.010 49.405 ;
+        RECT 186.100 49.360 186.390 49.405 ;
+        RECT 193.420 49.360 193.740 49.420 ;
+        RECT 194.355 49.360 194.645 49.405 ;
+        RECT 182.400 49.220 186.390 49.360 ;
+        RECT 182.400 49.175 182.690 49.220 ;
+        RECT 184.720 49.175 185.010 49.220 ;
+        RECT 186.100 49.175 186.390 49.220 ;
+        RECT 187.070 49.220 194.645 49.360 ;
+        RECT 176.415 49.020 176.705 49.065 ;
+        RECT 161.310 48.880 176.705 49.020 ;
+        RECT 150.640 48.820 150.960 48.880 ;
+        RECT 160.775 48.835 161.065 48.880 ;
+        RECT 176.415 48.835 176.705 48.880 ;
+        RECT 185.140 49.020 185.460 49.080 ;
+        RECT 187.070 49.020 187.210 49.220 ;
+        RECT 193.420 49.160 193.740 49.220 ;
+        RECT 194.355 49.175 194.645 49.220 ;
+        RECT 188.820 49.020 189.140 49.080 ;
+        RECT 185.140 48.880 187.210 49.020 ;
+        RECT 188.625 48.880 189.140 49.020 ;
+        RECT 194.430 49.020 194.570 49.175 ;
+        RECT 196.730 49.020 196.870 49.560 ;
+        RECT 197.560 49.500 197.880 49.560 ;
+        RECT 210.440 49.500 210.760 49.560 ;
+        RECT 212.300 49.700 212.590 49.745 ;
+        RECT 215.080 49.700 215.370 49.745 ;
+        RECT 212.300 49.560 215.370 49.700 ;
+        RECT 212.300 49.515 212.590 49.560 ;
+        RECT 215.080 49.515 215.370 49.560 ;
+        RECT 198.040 49.360 198.330 49.405 ;
+        RECT 200.360 49.360 200.650 49.405 ;
+        RECT 201.740 49.360 202.030 49.405 ;
+        RECT 198.040 49.220 202.030 49.360 ;
+        RECT 198.040 49.175 198.330 49.220 ;
+        RECT 200.360 49.175 200.650 49.220 ;
+        RECT 201.740 49.175 202.030 49.220 ;
+        RECT 202.620 49.360 202.940 49.420 ;
+        RECT 223.320 49.360 223.640 49.420 ;
+        RECT 202.620 49.220 223.640 49.360 ;
+        RECT 225.250 49.360 225.390 50.240 ;
+        RECT 230.680 50.180 231.000 50.240 ;
+        RECT 231.230 50.240 239.280 50.380 ;
+        RECT 228.840 50.040 229.160 50.100 ;
+        RECT 231.230 50.085 231.370 50.240 ;
+        RECT 238.960 50.180 239.280 50.240 ;
+        RECT 254.690 50.240 257.590 50.380 ;
+        RECT 230.235 50.040 230.525 50.085 ;
+        RECT 228.840 49.900 230.525 50.040 ;
+        RECT 228.840 49.840 229.160 49.900 ;
+        RECT 230.235 49.855 230.525 49.900 ;
+        RECT 231.155 49.855 231.445 50.085 ;
+        RECT 238.515 50.040 238.805 50.085 ;
+        RECT 241.260 50.040 241.580 50.100 ;
+        RECT 242.180 50.040 242.500 50.100 ;
+        RECT 238.515 49.900 241.580 50.040 ;
+        RECT 241.985 49.900 242.500 50.040 ;
+        RECT 238.515 49.855 238.805 49.900 ;
+        RECT 241.260 49.840 241.580 49.900 ;
+        RECT 242.180 49.840 242.500 49.900 ;
+        RECT 252.760 50.040 253.080 50.100 ;
+        RECT 254.690 50.085 254.830 50.240 ;
+        RECT 253.695 50.040 253.985 50.085 ;
+        RECT 252.760 49.900 253.985 50.040 ;
+        RECT 252.760 49.840 253.080 49.900 ;
+        RECT 253.695 49.855 253.985 49.900 ;
+        RECT 254.615 49.855 254.905 50.085 ;
+        RECT 256.900 50.040 257.220 50.100 ;
+        RECT 257.450 50.085 257.590 50.240 ;
+        RECT 257.820 50.240 259.060 50.380 ;
+        RECT 257.820 50.180 258.140 50.240 ;
+        RECT 258.740 50.180 259.060 50.240 ;
+        RECT 271.250 50.240 274.150 50.380 ;
+        RECT 256.705 49.900 257.220 50.040 ;
+        RECT 256.900 49.840 257.220 49.900 ;
+        RECT 257.375 50.040 257.665 50.085 ;
+        RECT 260.580 50.040 260.900 50.100 ;
+        RECT 257.375 49.900 260.900 50.040 ;
+        RECT 257.375 49.855 257.665 49.900 ;
+        RECT 260.580 49.840 260.900 49.900 ;
+        RECT 264.735 50.040 265.025 50.085 ;
+        RECT 265.640 50.040 265.960 50.100 ;
+        RECT 271.250 50.085 271.390 50.240 ;
+        RECT 264.735 49.900 265.960 50.040 ;
+        RECT 264.735 49.855 265.025 49.900 ;
+        RECT 265.640 49.840 265.960 49.900 ;
+        RECT 271.175 49.855 271.465 50.085 ;
+        RECT 272.080 50.040 272.400 50.100 ;
+        RECT 274.010 50.085 274.150 50.240 ;
+        RECT 275.760 50.240 291.630 50.380 ;
+        RECT 295.080 50.380 295.400 50.440 ;
+        RECT 296.015 50.380 296.305 50.425 ;
+        RECT 295.080 50.240 296.305 50.380 ;
+        RECT 275.760 50.180 276.080 50.240 ;
+        RECT 273.475 50.040 273.765 50.085 ;
+        RECT 272.080 49.900 273.765 50.040 ;
+        RECT 272.080 49.840 272.400 49.900 ;
+        RECT 273.475 49.855 273.765 49.900 ;
+        RECT 273.935 50.040 274.225 50.085 ;
+        RECT 280.360 50.040 280.680 50.100 ;
+        RECT 282.200 50.040 282.520 50.100 ;
+        RECT 283.120 50.040 283.440 50.100 ;
+        RECT 284.130 50.085 284.270 50.240 ;
+        RECT 295.080 50.180 295.400 50.240 ;
+        RECT 296.015 50.195 296.305 50.240 ;
+        RECT 273.935 49.900 282.520 50.040 ;
+        RECT 282.925 49.900 283.440 50.040 ;
+        RECT 273.935 49.855 274.225 49.900 ;
+        RECT 280.360 49.840 280.680 49.900 ;
+        RECT 282.200 49.840 282.520 49.900 ;
+        RECT 283.120 49.840 283.440 49.900 ;
+        RECT 284.055 49.855 284.345 50.085 ;
+        RECT 284.960 50.040 285.280 50.100 ;
+        RECT 287.720 50.040 288.040 50.100 ;
+        RECT 284.960 49.900 288.040 50.040 ;
+        RECT 284.960 49.840 285.280 49.900 ;
+        RECT 287.720 49.840 288.040 49.900 ;
+        RECT 290.495 50.040 290.785 50.085 ;
+        RECT 292.780 50.040 293.100 50.100 ;
+        RECT 293.255 50.040 293.545 50.085 ;
+        RECT 294.160 50.040 294.480 50.100 ;
+        RECT 290.495 49.900 293.545 50.040 ;
+        RECT 293.965 49.900 294.480 50.040 ;
+        RECT 290.495 49.855 290.785 49.900 ;
+        RECT 292.780 49.840 293.100 49.900 ;
+        RECT 293.255 49.855 293.545 49.900 ;
+        RECT 294.160 49.840 294.480 49.900 ;
+        RECT 299.220 50.040 299.540 50.100 ;
+        RECT 300.615 50.040 300.905 50.085 ;
+        RECT 303.360 50.040 303.680 50.100 ;
+        RECT 317.250 50.085 317.390 50.580 ;
+        RECT 318.080 50.520 318.400 50.580 ;
+        RECT 331.880 50.520 332.200 50.580 ;
+        RECT 344.390 50.580 347.290 50.720 ;
+        RECT 319.000 50.380 319.320 50.440 ;
+        RECT 325.440 50.380 325.760 50.440 ;
+        RECT 319.000 50.240 325.760 50.380 ;
+        RECT 319.000 50.180 319.320 50.240 ;
+        RECT 325.440 50.180 325.760 50.240 ;
+        RECT 325.900 50.380 326.220 50.440 ;
+        RECT 328.200 50.380 328.520 50.440 ;
+        RECT 329.595 50.380 329.885 50.425 ;
+        RECT 325.900 50.240 327.970 50.380 ;
+        RECT 325.900 50.180 326.220 50.240 ;
+        RECT 299.220 49.900 300.905 50.040 ;
+        RECT 303.165 49.900 303.680 50.040 ;
+        RECT 299.220 49.840 299.540 49.900 ;
+        RECT 300.615 49.855 300.905 49.900 ;
+        RECT 303.360 49.840 303.680 49.900 ;
+        RECT 315.795 49.855 316.085 50.085 ;
+        RECT 317.175 49.855 317.465 50.085 ;
+        RECT 324.075 50.040 324.365 50.085 ;
+        RECT 326.820 50.040 327.140 50.100 ;
+        RECT 327.830 50.085 327.970 50.240 ;
+        RECT 328.200 50.240 329.885 50.380 ;
+        RECT 328.200 50.180 328.520 50.240 ;
+        RECT 329.595 50.195 329.885 50.240 ;
+        RECT 324.075 49.900 327.335 50.040 ;
+        RECT 324.075 49.855 324.365 49.900 ;
+        RECT 227.000 49.700 227.320 49.760 ;
+        RECT 234.820 49.700 235.140 49.760 ;
+        RECT 227.000 49.560 235.140 49.700 ;
+        RECT 227.000 49.500 227.320 49.560 ;
+        RECT 234.820 49.500 235.140 49.560 ;
+        RECT 238.055 49.515 238.345 49.745 ;
+        RECT 239.900 49.700 240.190 49.745 ;
+        RECT 242.680 49.700 242.970 49.745 ;
+        RECT 239.900 49.560 242.970 49.700 ;
+        RECT 239.900 49.515 240.190 49.560 ;
+        RECT 242.680 49.515 242.970 49.560 ;
+        RECT 256.000 49.700 256.290 49.745 ;
+        RECT 258.780 49.700 259.070 49.745 ;
+        RECT 256.000 49.560 259.070 49.700 ;
+        RECT 256.000 49.515 256.290 49.560 ;
+        RECT 258.780 49.515 259.070 49.560 ;
+        RECT 259.660 49.700 259.980 49.760 ;
+        RECT 270.240 49.700 270.560 49.760 ;
+        RECT 259.660 49.560 266.330 49.700 ;
+        RECT 270.045 49.560 270.560 49.700 ;
+        RECT 235.740 49.360 236.060 49.420 ;
+        RECT 225.250 49.220 236.060 49.360 ;
+        RECT 238.130 49.360 238.270 49.515 ;
+        RECT 259.660 49.500 259.980 49.560 ;
+        RECT 246.320 49.360 246.640 49.420 ;
+        RECT 265.655 49.360 265.945 49.405 ;
+        RECT 238.130 49.220 244.710 49.360 ;
+        RECT 202.620 49.160 202.940 49.220 ;
+        RECT 223.320 49.160 223.640 49.220 ;
+        RECT 235.740 49.160 236.060 49.220 ;
+        RECT 197.100 49.020 197.420 49.080 ;
+        RECT 194.430 48.880 197.420 49.020 ;
+        RECT 185.140 48.820 185.460 48.880 ;
+        RECT 188.820 48.820 189.140 48.880 ;
+        RECT 197.100 48.820 197.420 48.880 ;
+        RECT 197.560 49.020 197.880 49.080 ;
+        RECT 202.160 49.020 202.480 49.080 ;
+        RECT 197.560 48.880 202.480 49.020 ;
+        RECT 197.560 48.820 197.880 48.880 ;
+        RECT 202.160 48.820 202.480 48.880 ;
+        RECT 203.080 49.020 203.400 49.080 ;
+        RECT 204.475 49.020 204.765 49.065 ;
+        RECT 203.080 48.880 204.765 49.020 ;
+        RECT 203.080 48.820 203.400 48.880 ;
+        RECT 204.475 48.835 204.765 48.880 ;
+        RECT 205.380 49.020 205.700 49.080 ;
+        RECT 215.975 49.020 216.265 49.065 ;
+        RECT 205.380 48.880 216.265 49.020 ;
+        RECT 205.380 48.820 205.700 48.880 ;
+        RECT 215.975 48.835 216.265 48.880 ;
+        RECT 217.800 49.020 218.120 49.080 ;
+        RECT 228.380 49.020 228.700 49.080 ;
+        RECT 217.800 48.880 228.700 49.020 ;
+        RECT 217.800 48.820 218.120 48.880 ;
+        RECT 228.380 48.820 228.700 48.880 ;
+        RECT 232.075 49.020 232.365 49.065 ;
+        RECT 241.720 49.020 242.040 49.080 ;
+        RECT 232.075 48.880 242.040 49.020 ;
+        RECT 232.075 48.835 232.365 48.880 ;
+        RECT 241.720 48.820 242.040 48.880 ;
+        RECT 243.100 49.020 243.420 49.080 ;
+        RECT 243.575 49.020 243.865 49.065 ;
+        RECT 243.100 48.880 243.865 49.020 ;
+        RECT 244.570 49.020 244.710 49.220 ;
+        RECT 246.320 49.220 265.945 49.360 ;
+        RECT 266.190 49.360 266.330 49.560 ;
+        RECT 270.240 49.500 270.560 49.560 ;
+        RECT 272.560 49.700 272.850 49.745 ;
+        RECT 275.340 49.700 275.630 49.745 ;
+        RECT 272.560 49.560 275.630 49.700 ;
+        RECT 272.560 49.515 272.850 49.560 ;
+        RECT 275.340 49.515 275.630 49.560 ;
+        RECT 276.220 49.700 276.540 49.760 ;
+        RECT 284.515 49.700 284.805 49.745 ;
+        RECT 276.220 49.560 284.805 49.700 ;
+        RECT 276.220 49.500 276.540 49.560 ;
+        RECT 284.515 49.515 284.805 49.560 ;
+        RECT 290.035 49.515 290.325 49.745 ;
+        RECT 291.880 49.700 292.170 49.745 ;
+        RECT 294.660 49.700 294.950 49.745 ;
+        RECT 291.880 49.560 294.950 49.700 ;
+        RECT 291.880 49.515 292.170 49.560 ;
+        RECT 294.660 49.515 294.950 49.560 ;
+        RECT 296.460 49.700 296.780 49.760 ;
+        RECT 302.915 49.700 303.205 49.745 ;
+        RECT 296.460 49.560 303.205 49.700 ;
+        RECT 287.720 49.360 288.040 49.420 ;
+        RECT 266.190 49.220 288.040 49.360 ;
+        RECT 290.110 49.360 290.250 49.515 ;
+        RECT 296.460 49.500 296.780 49.560 ;
+        RECT 302.915 49.515 303.205 49.560 ;
+        RECT 304.280 49.700 304.600 49.760 ;
+        RECT 310.720 49.700 311.040 49.760 ;
+        RECT 304.280 49.560 311.040 49.700 ;
+        RECT 304.280 49.500 304.600 49.560 ;
+        RECT 310.720 49.500 311.040 49.560 ;
+        RECT 295.080 49.360 295.400 49.420 ;
+        RECT 290.110 49.220 295.400 49.360 ;
+        RECT 246.320 49.160 246.640 49.220 ;
+        RECT 265.655 49.175 265.945 49.220 ;
+        RECT 287.720 49.160 288.040 49.220 ;
+        RECT 295.080 49.160 295.400 49.220 ;
+        RECT 259.660 49.020 259.980 49.080 ;
+        RECT 244.570 48.880 259.980 49.020 ;
+        RECT 243.100 48.820 243.420 48.880 ;
+        RECT 243.575 48.835 243.865 48.880 ;
+        RECT 259.660 48.820 259.980 48.880 ;
+        RECT 270.240 49.020 270.560 49.080 ;
+        RECT 274.380 49.020 274.700 49.080 ;
+        RECT 270.240 48.880 274.700 49.020 ;
+        RECT 270.240 48.820 270.560 48.880 ;
+        RECT 274.380 48.820 274.700 48.880 ;
+        RECT 276.220 49.020 276.540 49.080 ;
+        RECT 283.120 49.020 283.440 49.080 ;
+        RECT 290.480 49.020 290.800 49.080 ;
+        RECT 276.220 48.880 276.735 49.020 ;
+        RECT 283.120 48.880 290.800 49.020 ;
+        RECT 276.220 48.820 276.540 48.880 ;
+        RECT 283.120 48.820 283.440 48.880 ;
+        RECT 290.480 48.820 290.800 48.880 ;
+        RECT 292.320 49.020 292.640 49.080 ;
+        RECT 314.860 49.020 315.180 49.080 ;
+        RECT 292.320 48.880 315.180 49.020 ;
+        RECT 315.870 49.020 316.010 49.855 ;
+        RECT 326.820 49.840 327.140 49.900 ;
+        RECT 327.755 49.855 328.045 50.085 ;
+        RECT 331.420 50.040 331.740 50.100 ;
+        RECT 336.020 50.040 336.340 50.100 ;
+        RECT 331.420 49.900 336.340 50.040 ;
+        RECT 331.420 49.840 331.740 49.900 ;
+        RECT 336.020 49.840 336.340 49.900 ;
+        RECT 337.400 50.040 337.720 50.100 ;
+        RECT 344.390 50.085 344.530 50.580 ;
+        RECT 345.220 50.380 345.540 50.440 ;
+        RECT 345.220 50.240 346.830 50.380 ;
+        RECT 345.220 50.180 345.540 50.240 ;
+        RECT 346.690 50.085 346.830 50.240 ;
+        RECT 347.150 50.085 347.290 50.580 ;
+        RECT 347.980 50.580 349.665 50.720 ;
+        RECT 354.685 50.580 355.200 50.720 ;
+        RECT 347.980 50.520 348.300 50.580 ;
+        RECT 349.375 50.535 349.665 50.580 ;
+        RECT 354.880 50.520 355.200 50.580 ;
+        RECT 367.390 50.580 374.980 50.720 ;
+        RECT 347.520 50.380 347.840 50.440 ;
+        RECT 359.020 50.380 359.340 50.440 ;
+        RECT 367.390 50.380 367.530 50.580 ;
+        RECT 374.660 50.520 374.980 50.580 ;
+        RECT 375.135 50.720 375.425 50.765 ;
+        RECT 376.040 50.720 376.360 50.780 ;
+        RECT 375.135 50.580 376.360 50.720 ;
+        RECT 375.135 50.535 375.425 50.580 ;
+        RECT 376.040 50.520 376.360 50.580 ;
+        RECT 382.020 50.720 382.340 50.780 ;
+        RECT 394.900 50.720 395.220 50.780 ;
+        RECT 382.020 50.580 395.220 50.720 ;
+        RECT 382.020 50.520 382.340 50.580 ;
+        RECT 394.900 50.520 395.220 50.580 ;
+        RECT 382.480 50.380 382.800 50.440 ;
+        RECT 347.520 50.240 356.950 50.380 ;
+        RECT 347.520 50.180 347.840 50.240 ;
+        RECT 337.875 50.040 338.165 50.085 ;
+        RECT 337.400 49.900 338.165 50.040 ;
+        RECT 337.400 49.840 337.720 49.900 ;
+        RECT 337.875 49.855 338.165 49.900 ;
+        RECT 344.315 49.855 344.605 50.085 ;
+        RECT 346.645 49.855 346.935 50.085 ;
+        RECT 347.075 50.040 347.365 50.085 ;
+        RECT 353.040 50.040 353.360 50.100 ;
+        RECT 356.810 50.085 356.950 50.240 ;
+        RECT 359.020 50.240 367.530 50.380 ;
+        RECT 367.850 50.240 382.800 50.380 ;
+        RECT 359.020 50.180 359.340 50.240 ;
+        RECT 347.075 49.900 353.730 50.040 ;
+        RECT 347.075 49.855 347.365 49.900 ;
+        RECT 353.040 49.840 353.360 49.900 ;
+        RECT 323.615 49.515 323.905 49.745 ;
+        RECT 325.460 49.700 325.750 49.745 ;
+        RECT 328.240 49.700 328.530 49.745 ;
+        RECT 325.460 49.560 328.530 49.700 ;
+        RECT 325.460 49.515 325.750 49.560 ;
+        RECT 328.240 49.515 328.530 49.560 ;
+        RECT 343.380 49.700 343.700 49.760 ;
+        RECT 345.700 49.700 345.990 49.745 ;
+        RECT 348.480 49.700 348.770 49.745 ;
+        RECT 343.380 49.560 343.895 49.700 ;
+        RECT 345.700 49.560 348.770 49.700 ;
+        RECT 323.690 49.360 323.830 49.515 ;
+        RECT 343.380 49.500 343.700 49.560 ;
+        RECT 345.700 49.515 345.990 49.560 ;
+        RECT 348.480 49.515 348.770 49.560 ;
+        RECT 344.300 49.360 344.620 49.420 ;
+        RECT 353.040 49.360 353.360 49.420 ;
+        RECT 323.690 49.220 339.470 49.360 ;
+        RECT 327.280 49.020 327.600 49.080 ;
+        RECT 315.870 48.880 327.600 49.020 ;
+        RECT 339.330 49.020 339.470 49.220 ;
+        RECT 344.300 49.220 353.360 49.360 ;
+        RECT 353.590 49.360 353.730 49.900 ;
+        RECT 355.815 49.855 356.105 50.085 ;
+        RECT 356.735 49.855 357.025 50.085 ;
+        RECT 367.315 50.040 367.605 50.085 ;
+        RECT 367.850 50.040 367.990 50.240 ;
+        RECT 382.480 50.180 382.800 50.240 ;
+        RECT 382.940 50.380 383.260 50.440 ;
+        RECT 391.220 50.380 391.540 50.440 ;
+        RECT 382.940 50.240 391.540 50.380 ;
+        RECT 382.940 50.180 383.260 50.240 ;
+        RECT 391.220 50.180 391.540 50.240 ;
+        RECT 367.315 49.900 367.990 50.040 ;
+        RECT 368.680 50.085 369.000 50.100 ;
+        RECT 367.315 49.855 367.605 49.900 ;
+        RECT 368.680 49.855 369.215 50.085 ;
+        RECT 374.675 49.855 374.965 50.085 ;
+        RECT 375.120 50.040 375.440 50.100 ;
+        RECT 376.055 50.040 376.345 50.085 ;
+        RECT 400.880 50.040 401.200 50.100 ;
+        RECT 375.120 49.900 376.345 50.040 ;
+        RECT 355.890 49.700 356.030 49.855 ;
+        RECT 368.680 49.840 369.000 49.855 ;
+        RECT 360.400 49.700 360.720 49.760 ;
+        RECT 368.235 49.700 368.525 49.745 ;
+        RECT 355.890 49.560 360.720 49.700 ;
+        RECT 360.400 49.500 360.720 49.560 ;
+        RECT 360.950 49.560 368.525 49.700 ;
+        RECT 374.750 49.700 374.890 49.855 ;
+        RECT 375.120 49.840 375.440 49.900 ;
+        RECT 376.055 49.855 376.345 49.900 ;
+        RECT 377.050 49.900 401.200 50.040 ;
+        RECT 377.050 49.700 377.190 49.900 ;
+        RECT 400.880 49.840 401.200 49.900 ;
+        RECT 374.750 49.560 377.190 49.700 ;
+        RECT 360.950 49.360 361.090 49.560 ;
+        RECT 368.235 49.515 368.525 49.560 ;
+        RECT 353.590 49.220 361.090 49.360 ;
+        RECT 366.840 49.360 367.160 49.420 ;
+        RECT 387.555 49.360 387.845 49.405 ;
+        RECT 366.840 49.220 387.845 49.360 ;
+        RECT 344.300 49.160 344.620 49.220 ;
+        RECT 353.040 49.160 353.360 49.220 ;
+        RECT 366.840 49.160 367.160 49.220 ;
+        RECT 387.555 49.175 387.845 49.220 ;
+        RECT 342.920 49.020 343.240 49.080 ;
+        RECT 339.330 48.880 343.240 49.020 ;
+        RECT 292.320 48.820 292.640 48.880 ;
+        RECT 314.860 48.820 315.180 48.880 ;
+        RECT 327.280 48.820 327.600 48.880 ;
+        RECT 342.920 48.820 343.240 48.880 ;
+        RECT 350.280 49.020 350.600 49.080 ;
+        RECT 357.640 49.020 357.960 49.080 ;
+        RECT 350.280 48.880 357.960 49.020 ;
+        RECT 350.280 48.820 350.600 48.880 ;
+        RECT 357.640 48.820 357.960 48.880 ;
+        RECT 359.480 49.020 359.800 49.080 ;
+        RECT 382.495 49.020 382.785 49.065 ;
+        RECT 359.480 48.880 382.785 49.020 ;
+        RECT 359.480 48.820 359.800 48.880 ;
+        RECT 382.495 48.835 382.785 48.880 ;
+        RECT 392.140 49.020 392.460 49.080 ;
+        RECT 394.915 49.020 395.205 49.065 ;
+        RECT 392.140 48.880 395.205 49.020 ;
+        RECT 392.140 48.820 392.460 48.880 ;
+        RECT 394.915 48.835 395.205 48.880 ;
+        RECT 395.820 49.020 396.140 49.080 ;
+        RECT 399.975 49.020 400.265 49.065 ;
+        RECT 395.820 48.880 400.265 49.020 ;
+        RECT 395.820 48.820 396.140 48.880 ;
+        RECT 399.975 48.835 400.265 48.880 ;
+        RECT 403.180 49.020 403.500 49.080 ;
+        RECT 405.035 49.020 405.325 49.065 ;
+        RECT 414.220 49.020 414.540 49.080 ;
+        RECT 428.940 49.020 429.260 49.080 ;
+        RECT 436.300 49.020 436.620 49.080 ;
+        RECT 403.180 48.880 405.325 49.020 ;
+        RECT 414.025 48.880 414.540 49.020 ;
+        RECT 428.745 48.880 429.260 49.020 ;
+        RECT 436.105 48.880 436.620 49.020 ;
+        RECT 403.180 48.820 403.500 48.880 ;
+        RECT 405.035 48.835 405.325 48.880 ;
+        RECT 414.220 48.820 414.540 48.880 ;
+        RECT 428.940 48.820 429.260 48.880 ;
+        RECT 436.300 48.820 436.620 48.880 ;
+        RECT 447.340 49.020 447.660 49.080 ;
+        RECT 451.035 49.020 451.325 49.065 ;
+        RECT 458.380 49.020 458.700 49.080 ;
+        RECT 469.420 49.020 469.740 49.080 ;
+        RECT 480.460 49.020 480.780 49.080 ;
+        RECT 487.820 49.020 488.140 49.080 ;
+        RECT 513.580 49.020 513.900 49.080 ;
+        RECT 520.940 49.020 521.260 49.080 ;
+        RECT 535.660 49.020 535.980 49.080 ;
+        RECT 543.020 49.020 543.340 49.080 ;
+        RECT 554.060 49.020 554.380 49.080 ;
+        RECT 564.640 49.020 564.960 49.080 ;
+        RECT 572.000 49.020 572.320 49.080 ;
+        RECT 597.760 49.020 598.080 49.080 ;
+        RECT 619.840 49.020 620.160 49.080 ;
+        RECT 447.340 48.880 451.325 49.020 ;
+        RECT 458.185 48.880 458.700 49.020 ;
+        RECT 469.225 48.880 469.740 49.020 ;
+        RECT 480.265 48.880 480.780 49.020 ;
+        RECT 487.625 48.880 488.140 49.020 ;
+        RECT 513.385 48.880 513.900 49.020 ;
+        RECT 520.745 48.880 521.260 49.020 ;
+        RECT 535.465 48.880 535.980 49.020 ;
+        RECT 542.825 48.880 543.340 49.020 ;
+        RECT 553.865 48.880 554.380 49.020 ;
+        RECT 564.445 48.880 564.960 49.020 ;
+        RECT 571.805 48.880 572.320 49.020 ;
+        RECT 597.565 48.880 598.080 49.020 ;
+        RECT 619.645 48.880 620.160 49.020 ;
+        RECT 447.340 48.820 447.660 48.880 ;
+        RECT 451.035 48.835 451.325 48.880 ;
+        RECT 458.380 48.820 458.700 48.880 ;
+        RECT 469.420 48.820 469.740 48.880 ;
+        RECT 480.460 48.820 480.780 48.880 ;
+        RECT 487.820 48.820 488.140 48.880 ;
+        RECT 513.580 48.820 513.900 48.880 ;
+        RECT 520.940 48.820 521.260 48.880 ;
+        RECT 535.660 48.820 535.980 48.880 ;
+        RECT 543.020 48.820 543.340 48.880 ;
+        RECT 554.060 48.820 554.380 48.880 ;
+        RECT 564.640 48.820 564.960 48.880 ;
+        RECT 572.000 48.820 572.320 48.880 ;
+        RECT 597.760 48.820 598.080 48.880 ;
+        RECT 619.840 48.820 620.160 48.880 ;
+        RECT 624.915 49.020 625.205 49.065 ;
+        RECT 627.200 49.020 627.520 49.080 ;
+        RECT 624.915 48.880 627.520 49.020 ;
+        RECT 624.915 48.835 625.205 48.880 ;
+        RECT 627.200 48.820 627.520 48.880 ;
+        RECT 42.470 48.200 631.270 48.680 ;
+        RECT 83.940 48.000 84.260 48.060 ;
+        RECT 99.120 48.000 99.440 48.060 ;
+        RECT 83.940 47.860 99.440 48.000 ;
+        RECT 83.940 47.800 84.260 47.860 ;
+        RECT 99.120 47.800 99.440 47.860 ;
+        RECT 106.940 48.000 107.260 48.060 ;
+        RECT 146.500 48.000 146.820 48.060 ;
+        RECT 149.720 48.000 150.040 48.060 ;
+        RECT 106.940 47.860 146.820 48.000 ;
+        RECT 149.525 47.860 150.040 48.000 ;
+        RECT 106.940 47.800 107.260 47.860 ;
+        RECT 146.500 47.800 146.820 47.860 ;
+        RECT 149.720 47.800 150.040 47.860 ;
+        RECT 153.400 48.000 153.720 48.060 ;
+        RECT 176.860 48.000 177.180 48.060 ;
+        RECT 153.400 47.860 177.180 48.000 ;
+        RECT 153.400 47.800 153.720 47.860 ;
+        RECT 176.860 47.800 177.180 47.860 ;
+        RECT 184.680 48.000 185.000 48.060 ;
+        RECT 212.755 48.000 213.045 48.045 ;
+        RECT 213.660 48.000 213.980 48.060 ;
+        RECT 184.680 47.860 213.980 48.000 ;
+        RECT 184.680 47.800 185.000 47.860 ;
+        RECT 212.755 47.815 213.045 47.860 ;
+        RECT 213.660 47.800 213.980 47.860 ;
+        RECT 219.640 48.000 219.960 48.060 ;
+        RECT 223.780 48.000 224.100 48.060 ;
+        RECT 219.640 47.860 224.100 48.000 ;
+        RECT 219.640 47.800 219.960 47.860 ;
+        RECT 223.780 47.800 224.100 47.860 ;
+        RECT 224.700 48.000 225.020 48.060 ;
+        RECT 230.680 48.000 231.000 48.060 ;
+        RECT 224.700 47.860 231.000 48.000 ;
+        RECT 224.700 47.800 225.020 47.860 ;
+        RECT 230.680 47.800 231.000 47.860 ;
+        RECT 232.075 48.000 232.365 48.045 ;
+        RECT 233.900 48.000 234.220 48.060 ;
+        RECT 232.075 47.860 234.220 48.000 ;
+        RECT 232.075 47.815 232.365 47.860 ;
+        RECT 233.900 47.800 234.220 47.860 ;
+        RECT 234.360 48.000 234.680 48.060 ;
+        RECT 240.340 48.000 240.660 48.060 ;
+        RECT 246.780 48.000 247.100 48.060 ;
+        RECT 234.360 47.860 240.660 48.000 ;
+        RECT 234.360 47.800 234.680 47.860 ;
+        RECT 240.340 47.800 240.660 47.860 ;
+        RECT 242.270 47.860 247.100 48.000 ;
+        RECT 55.420 47.660 55.740 47.720 ;
+        RECT 91.760 47.660 92.080 47.720 ;
+        RECT 105.560 47.660 105.880 47.720 ;
+        RECT 119.360 47.660 119.680 47.720 ;
+        RECT 120.280 47.660 120.600 47.720 ;
+        RECT 55.420 47.520 91.070 47.660 ;
+        RECT 55.420 47.460 55.740 47.520 ;
+        RECT 42.080 47.320 42.400 47.380 ;
+        RECT 77.515 47.320 77.805 47.365 ;
+        RECT 42.080 47.180 77.805 47.320 ;
+        RECT 42.080 47.120 42.400 47.180 ;
+        RECT 77.515 47.135 77.805 47.180 ;
+        RECT 78.420 47.320 78.740 47.380 ;
+        RECT 89.000 47.320 89.320 47.380 ;
+        RECT 78.420 47.180 89.320 47.320 ;
+        RECT 78.420 47.120 78.740 47.180 ;
+        RECT 89.000 47.120 89.320 47.180 ;
+        RECT 43.460 46.980 43.780 47.040 ;
+        RECT 72.455 46.980 72.745 47.025 ;
+        RECT 43.460 46.840 72.745 46.980 ;
+        RECT 43.460 46.780 43.780 46.840 ;
+        RECT 72.455 46.795 72.745 46.840 ;
+        RECT 77.975 46.795 78.265 47.025 ;
+        RECT 85.335 46.980 85.625 47.025 ;
+        RECT 90.380 46.980 90.700 47.040 ;
+        RECT 90.930 47.025 91.070 47.520 ;
+        RECT 91.760 47.520 105.880 47.660 ;
+        RECT 91.760 47.460 92.080 47.520 ;
+        RECT 105.560 47.460 105.880 47.520 ;
+        RECT 113.930 47.520 119.680 47.660 ;
+        RECT 120.085 47.520 120.600 47.660 ;
+        RECT 91.300 47.320 91.620 47.380 ;
+        RECT 94.535 47.320 94.825 47.365 ;
+        RECT 113.930 47.320 114.070 47.520 ;
+        RECT 119.360 47.460 119.680 47.520 ;
+        RECT 120.280 47.460 120.600 47.520 ;
+        RECT 125.800 47.660 126.120 47.720 ;
+        RECT 128.120 47.660 128.410 47.705 ;
+        RECT 130.440 47.660 130.730 47.705 ;
+        RECT 131.820 47.660 132.110 47.705 ;
+        RECT 134.540 47.660 134.860 47.720 ;
+        RECT 147.420 47.660 147.740 47.720 ;
+        RECT 125.800 47.520 127.870 47.660 ;
+        RECT 125.800 47.460 126.120 47.520 ;
+        RECT 114.760 47.320 115.080 47.380 ;
+        RECT 117.980 47.320 118.300 47.380 ;
+        RECT 91.300 47.180 93.830 47.320 ;
+        RECT 91.300 47.120 91.620 47.180 ;
+        RECT 85.335 46.840 90.700 46.980 ;
+        RECT 85.335 46.795 85.625 46.840 ;
+        RECT 48.060 46.640 48.380 46.700 ;
+        RECT 63.700 46.640 64.020 46.700 ;
+        RECT 78.050 46.640 78.190 46.795 ;
+        RECT 90.380 46.780 90.700 46.840 ;
+        RECT 90.855 46.795 91.145 47.025 ;
+        RECT 93.140 46.980 93.460 47.040 ;
+        RECT 92.945 46.840 93.460 46.980 ;
+        RECT 93.690 46.980 93.830 47.180 ;
+        RECT 94.535 47.180 114.070 47.320 ;
+        RECT 114.565 47.180 115.080 47.320 ;
+        RECT 94.535 47.135 94.825 47.180 ;
+        RECT 114.760 47.120 115.080 47.180 ;
+        RECT 115.770 47.180 118.300 47.320 ;
+        RECT 103.275 46.980 103.565 47.025 ;
+        RECT 106.020 46.980 106.340 47.040 ;
+        RECT 93.690 46.840 103.565 46.980 ;
+        RECT 105.825 46.840 106.340 46.980 ;
+        RECT 93.140 46.780 93.460 46.840 ;
+        RECT 103.275 46.795 103.565 46.840 ;
+        RECT 106.020 46.780 106.340 46.840 ;
+        RECT 111.555 46.795 111.845 47.025 ;
+        RECT 112.460 46.980 112.780 47.040 ;
+        RECT 113.855 46.980 114.145 47.025 ;
+        RECT 115.770 46.980 115.910 47.180 ;
+        RECT 117.980 47.120 118.300 47.180 ;
+        RECT 118.440 47.320 118.760 47.380 ;
+        RECT 127.730 47.365 127.870 47.520 ;
+        RECT 128.120 47.520 132.110 47.660 ;
+        RECT 134.345 47.520 134.860 47.660 ;
+        RECT 128.120 47.475 128.410 47.520 ;
+        RECT 130.440 47.475 130.730 47.520 ;
+        RECT 131.820 47.475 132.110 47.520 ;
+        RECT 134.540 47.460 134.860 47.520 ;
+        RECT 144.750 47.520 147.740 47.660 ;
+        RECT 120.755 47.320 121.045 47.365 ;
+        RECT 118.440 47.180 121.045 47.320 ;
+        RECT 118.440 47.120 118.760 47.180 ;
+        RECT 120.755 47.135 121.045 47.180 ;
+        RECT 127.690 47.135 127.980 47.365 ;
+        RECT 128.560 47.320 128.880 47.380 ;
+        RECT 129.035 47.320 129.325 47.365 ;
+        RECT 136.840 47.320 137.160 47.380 ;
+        RECT 128.560 47.180 129.325 47.320 ;
+        RECT 128.560 47.120 128.880 47.180 ;
+        RECT 129.035 47.135 129.325 47.180 ;
+        RECT 129.570 47.180 137.160 47.320 ;
+        RECT 112.460 46.840 115.910 46.980 ;
+        RECT 119.360 47.025 119.680 47.040 ;
+        RECT 48.060 46.500 64.020 46.640 ;
+        RECT 48.060 46.440 48.380 46.500 ;
+        RECT 63.700 46.440 64.020 46.500 ;
+        RECT 64.250 46.500 78.190 46.640 ;
+        RECT 80.275 46.640 80.565 46.685 ;
+        RECT 84.860 46.640 85.180 46.700 ;
+        RECT 80.275 46.500 85.180 46.640 ;
+        RECT 40.700 46.300 41.020 46.360 ;
+        RECT 64.250 46.300 64.390 46.500 ;
+        RECT 80.275 46.455 80.565 46.500 ;
+        RECT 84.860 46.440 85.180 46.500 ;
+        RECT 102.340 46.640 102.660 46.700 ;
+        RECT 111.630 46.640 111.770 46.795 ;
+        RECT 112.460 46.780 112.780 46.840 ;
+        RECT 113.855 46.795 114.145 46.840 ;
+        RECT 119.360 46.795 119.940 47.025 ;
+        RECT 122.595 46.980 122.885 47.025 ;
+        RECT 129.570 46.980 129.710 47.180 ;
+        RECT 136.840 47.120 137.160 47.180 ;
+        RECT 122.595 46.840 129.710 46.980 ;
+        RECT 143.740 46.980 144.060 47.040 ;
+        RECT 144.750 47.025 144.890 47.520 ;
+        RECT 147.420 47.460 147.740 47.520 ;
+        RECT 155.720 47.660 156.010 47.705 ;
+        RECT 158.040 47.660 158.330 47.705 ;
+        RECT 159.420 47.660 159.710 47.705 ;
+        RECT 155.720 47.520 159.710 47.660 ;
+        RECT 155.720 47.475 156.010 47.520 ;
+        RECT 158.040 47.475 158.330 47.520 ;
+        RECT 159.420 47.475 159.710 47.520 ;
+        RECT 167.200 47.660 167.520 47.720 ;
+        RECT 169.520 47.660 169.810 47.705 ;
+        RECT 171.840 47.660 172.130 47.705 ;
+        RECT 173.220 47.660 173.510 47.705 ;
+        RECT 167.200 47.520 169.270 47.660 ;
+        RECT 167.200 47.460 167.520 47.520 ;
+        RECT 146.060 47.320 146.350 47.365 ;
+        RECT 148.840 47.320 149.130 47.365 ;
+        RECT 146.060 47.180 149.130 47.320 ;
+        RECT 146.060 47.135 146.350 47.180 ;
+        RECT 148.840 47.135 149.130 47.180 ;
+        RECT 153.860 47.320 154.180 47.380 ;
+        RECT 155.255 47.320 155.545 47.365 ;
+        RECT 153.860 47.180 155.545 47.320 ;
+        RECT 169.130 47.320 169.270 47.520 ;
+        RECT 169.520 47.520 173.510 47.660 ;
+        RECT 169.520 47.475 169.810 47.520 ;
+        RECT 171.840 47.475 172.130 47.520 ;
+        RECT 173.220 47.475 173.510 47.520 ;
+        RECT 185.160 47.660 185.450 47.705 ;
+        RECT 187.480 47.660 187.770 47.705 ;
+        RECT 188.860 47.660 189.150 47.705 ;
+        RECT 185.160 47.520 189.150 47.660 ;
+        RECT 185.160 47.475 185.450 47.520 ;
+        RECT 187.480 47.475 187.770 47.520 ;
+        RECT 188.860 47.475 189.150 47.520 ;
+        RECT 189.740 47.660 190.060 47.720 ;
+        RECT 191.595 47.660 191.885 47.705 ;
+        RECT 189.740 47.520 191.885 47.660 ;
+        RECT 189.740 47.460 190.060 47.520 ;
+        RECT 191.595 47.475 191.885 47.520 ;
+        RECT 197.580 47.660 197.870 47.705 ;
+        RECT 199.900 47.660 200.190 47.705 ;
+        RECT 201.280 47.660 201.570 47.705 ;
+        RECT 197.580 47.520 201.570 47.660 ;
+        RECT 197.580 47.475 197.870 47.520 ;
+        RECT 199.900 47.475 200.190 47.520 ;
+        RECT 201.280 47.475 201.570 47.520 ;
+        RECT 214.595 47.660 214.885 47.705 ;
+        RECT 217.800 47.660 218.120 47.720 ;
+        RECT 219.180 47.660 219.500 47.720 ;
+        RECT 226.540 47.660 226.860 47.720 ;
+        RECT 214.595 47.520 218.120 47.660 ;
+        RECT 218.745 47.520 226.860 47.660 ;
+        RECT 214.595 47.475 214.885 47.520 ;
+        RECT 217.800 47.460 218.120 47.520 ;
+        RECT 219.180 47.460 219.500 47.520 ;
+        RECT 226.540 47.460 226.860 47.520 ;
+        RECT 228.380 47.660 228.700 47.720 ;
+        RECT 238.960 47.660 239.280 47.720 ;
+        RECT 242.270 47.660 242.410 47.860 ;
+        RECT 246.780 47.800 247.100 47.860 ;
+        RECT 247.240 48.000 247.560 48.060 ;
+        RECT 252.775 48.000 253.065 48.045 ;
+        RECT 268.400 48.000 268.720 48.060 ;
+        RECT 276.220 48.000 276.540 48.060 ;
+        RECT 247.240 47.860 253.065 48.000 ;
+        RECT 268.205 47.860 268.720 48.000 ;
+        RECT 247.240 47.800 247.560 47.860 ;
+        RECT 252.775 47.815 253.065 47.860 ;
+        RECT 268.400 47.800 268.720 47.860 ;
+        RECT 271.250 47.860 276.540 48.000 ;
+        RECT 228.380 47.520 239.280 47.660 ;
+        RECT 228.380 47.460 228.700 47.520 ;
+        RECT 238.960 47.460 239.280 47.520 ;
+        RECT 239.510 47.520 242.410 47.660 ;
+        RECT 242.640 47.660 242.960 47.720 ;
+        RECT 251.165 47.660 251.455 47.705 ;
+        RECT 242.640 47.520 251.455 47.660 ;
+        RECT 170.405 47.320 170.695 47.365 ;
+        RECT 169.130 47.180 170.695 47.320 ;
+        RECT 153.860 47.120 154.180 47.180 ;
+        RECT 155.255 47.135 155.545 47.180 ;
+        RECT 170.405 47.135 170.695 47.180 ;
+        RECT 170.880 47.320 171.200 47.380 ;
+        RECT 186.075 47.320 186.365 47.365 ;
+        RECT 205.380 47.320 205.700 47.380 ;
+        RECT 218.260 47.320 218.580 47.380 ;
+        RECT 170.880 47.180 185.370 47.320 ;
+        RECT 170.880 47.120 171.200 47.180 ;
+        RECT 144.675 46.980 144.965 47.025 ;
+        RECT 143.740 46.840 144.965 46.980 ;
+        RECT 122.595 46.795 122.885 46.840 ;
+        RECT 119.360 46.780 119.680 46.795 ;
+        RECT 143.740 46.780 144.060 46.840 ;
+        RECT 144.675 46.795 144.965 46.840 ;
+        RECT 145.120 46.980 145.440 47.040 ;
+        RECT 145.120 46.840 145.635 46.980 ;
+        RECT 145.120 46.780 145.440 46.840 ;
+        RECT 146.975 46.795 147.265 47.025 ;
+        RECT 147.420 46.980 147.740 47.040 ;
+        RECT 156.635 46.980 156.925 47.025 ;
+        RECT 160.300 46.980 160.620 47.040 ;
+        RECT 147.420 46.840 147.935 46.980 ;
+        RECT 156.635 46.840 160.620 46.980 ;
+        RECT 102.340 46.500 111.770 46.640 ;
+        RECT 112.920 46.640 113.240 46.700 ;
+        RECT 115.680 46.640 116.000 46.700 ;
+        RECT 118.900 46.640 119.220 46.700 ;
+        RECT 112.920 46.500 116.000 46.640 ;
+        RECT 118.705 46.500 119.220 46.640 ;
+        RECT 102.340 46.440 102.660 46.500 ;
+        RECT 112.920 46.440 113.240 46.500 ;
+        RECT 115.680 46.440 116.000 46.500 ;
+        RECT 118.900 46.440 119.220 46.500 ;
+        RECT 120.740 46.640 121.060 46.700 ;
+        RECT 128.580 46.640 128.870 46.685 ;
+        RECT 129.980 46.640 130.270 46.685 ;
+        RECT 131.820 46.640 132.110 46.685 ;
+        RECT 120.740 46.500 122.810 46.640 ;
+        RECT 120.740 46.440 121.060 46.500 ;
+        RECT 40.700 46.160 64.390 46.300 ;
+        RECT 72.915 46.300 73.205 46.345 ;
+        RECT 85.320 46.300 85.640 46.360 ;
+        RECT 86.240 46.300 86.560 46.360 ;
+        RECT 72.915 46.160 85.640 46.300 ;
+        RECT 86.045 46.160 86.560 46.300 ;
+        RECT 40.700 46.100 41.020 46.160 ;
+        RECT 72.915 46.115 73.205 46.160 ;
+        RECT 85.320 46.100 85.640 46.160 ;
+        RECT 86.240 46.100 86.560 46.160 ;
+        RECT 92.680 46.300 93.000 46.360 ;
+        RECT 98.200 46.300 98.520 46.360 ;
+        RECT 104.640 46.300 104.960 46.360 ;
+        RECT 92.680 46.160 98.520 46.300 ;
+        RECT 104.445 46.160 104.960 46.300 ;
+        RECT 122.670 46.300 122.810 46.500 ;
+        RECT 128.580 46.500 132.110 46.640 ;
+        RECT 128.580 46.455 128.870 46.500 ;
+        RECT 129.980 46.455 130.270 46.500 ;
+        RECT 131.820 46.455 132.110 46.500 ;
+        RECT 137.760 46.640 138.080 46.700 ;
+        RECT 147.050 46.640 147.190 46.795 ;
+        RECT 147.420 46.780 147.740 46.840 ;
+        RECT 156.635 46.795 156.925 46.840 ;
+        RECT 160.300 46.780 160.620 46.840 ;
+        RECT 169.055 46.980 169.345 47.025 ;
+        RECT 177.780 46.980 178.100 47.040 ;
+        RECT 184.680 46.980 185.000 47.040 ;
+        RECT 169.055 46.840 185.000 46.980 ;
+        RECT 185.230 46.980 185.370 47.180 ;
+        RECT 186.075 47.180 205.700 47.320 ;
+        RECT 186.075 47.135 186.365 47.180 ;
+        RECT 205.380 47.120 205.700 47.180 ;
+        RECT 209.150 47.180 218.580 47.320 ;
+        RECT 194.800 46.980 195.120 47.040 ;
+        RECT 197.100 46.980 197.420 47.040 ;
+        RECT 185.230 46.840 195.120 46.980 ;
+        RECT 196.905 46.840 197.420 46.980 ;
+        RECT 169.055 46.795 169.345 46.840 ;
+        RECT 177.780 46.780 178.100 46.840 ;
+        RECT 184.680 46.780 185.000 46.840 ;
+        RECT 194.800 46.780 195.120 46.840 ;
+        RECT 197.100 46.780 197.420 46.840 ;
+        RECT 198.495 46.980 198.785 47.025 ;
+        RECT 209.150 46.980 209.290 47.180 ;
+        RECT 218.260 47.120 218.580 47.180 ;
+        RECT 222.875 47.320 223.165 47.365 ;
+        RECT 230.220 47.320 230.540 47.380 ;
+        RECT 222.875 47.180 230.540 47.320 ;
+        RECT 222.875 47.135 223.165 47.180 ;
+        RECT 230.220 47.120 230.540 47.180 ;
+        RECT 230.680 47.120 231.000 47.380 ;
+        RECT 231.600 47.365 231.920 47.380 ;
+        RECT 231.430 47.135 231.920 47.365 ;
+        RECT 232.535 47.320 232.825 47.365 ;
+        RECT 232.980 47.320 233.300 47.380 ;
+        RECT 232.535 47.180 233.300 47.320 ;
+        RECT 232.535 47.135 232.825 47.180 ;
+        RECT 231.600 47.120 231.920 47.135 ;
+        RECT 232.980 47.120 233.300 47.180 ;
+        RECT 234.375 47.320 234.665 47.365 ;
+        RECT 234.820 47.320 235.140 47.380 ;
+        RECT 239.510 47.365 239.650 47.520 ;
+        RECT 242.640 47.460 242.960 47.520 ;
+        RECT 251.165 47.475 251.455 47.520 ;
+        RECT 251.855 47.660 252.145 47.705 ;
+        RECT 253.680 47.660 254.000 47.720 ;
+        RECT 251.855 47.520 254.000 47.660 ;
+        RECT 251.855 47.475 252.145 47.520 ;
+        RECT 253.680 47.460 254.000 47.520 ;
+        RECT 264.260 47.660 264.580 47.720 ;
+        RECT 271.250 47.660 271.390 47.860 ;
+        RECT 276.220 47.800 276.540 47.860 ;
+        RECT 283.580 48.000 283.900 48.060 ;
+        RECT 311.640 48.000 311.960 48.060 ;
+        RECT 317.620 48.000 317.940 48.060 ;
+        RECT 283.580 47.860 311.410 48.000 ;
+        RECT 283.580 47.800 283.900 47.860 ;
+        RECT 264.260 47.520 271.390 47.660 ;
+        RECT 274.380 47.660 274.700 47.720 ;
+        RECT 309.800 47.660 310.120 47.720 ;
+        RECT 274.380 47.520 310.120 47.660 ;
+        RECT 311.270 47.660 311.410 47.860 ;
+        RECT 311.640 47.860 317.940 48.000 ;
+        RECT 311.640 47.800 311.960 47.860 ;
+        RECT 317.620 47.800 317.940 47.860 ;
+        RECT 325.900 48.000 326.220 48.060 ;
+        RECT 333.720 48.000 334.040 48.060 ;
+        RECT 325.900 47.860 334.040 48.000 ;
+        RECT 325.900 47.800 326.220 47.860 ;
+        RECT 333.720 47.800 334.040 47.860 ;
+        RECT 334.180 48.000 334.500 48.060 ;
+        RECT 342.920 48.000 343.240 48.060 ;
+        RECT 361.780 48.000 362.100 48.060 ;
+        RECT 334.180 47.860 339.010 48.000 ;
+        RECT 334.180 47.800 334.500 47.860 ;
+        RECT 332.340 47.660 332.660 47.720 ;
+        RECT 311.270 47.520 332.660 47.660 ;
+        RECT 264.260 47.460 264.580 47.520 ;
+        RECT 274.380 47.460 274.700 47.520 ;
+        RECT 309.800 47.460 310.120 47.520 ;
+        RECT 332.340 47.460 332.660 47.520 ;
+        RECT 234.375 47.180 235.140 47.320 ;
+        RECT 234.375 47.135 234.665 47.180 ;
+        RECT 234.820 47.120 235.140 47.180 ;
+        RECT 239.435 47.135 239.725 47.365 ;
+        RECT 241.740 47.320 242.030 47.365 ;
+        RECT 244.520 47.320 244.810 47.365 ;
+        RECT 245.400 47.320 245.720 47.380 ;
+        RECT 252.300 47.320 252.620 47.380 ;
+        RECT 292.320 47.320 292.640 47.380 ;
+        RECT 239.970 47.180 241.490 47.320 ;
+        RECT 198.495 46.840 209.290 46.980 ;
+        RECT 198.495 46.795 198.785 46.840 ;
+        RECT 212.110 46.795 212.400 47.025 ;
+        RECT 213.070 46.980 213.360 47.025 ;
+        RECT 214.120 46.980 214.440 47.040 ;
+        RECT 220.100 46.980 220.420 47.040 ;
+        RECT 213.070 46.840 214.440 46.980 ;
+        RECT 219.905 46.840 220.420 46.980 ;
+        RECT 213.070 46.795 213.360 46.840 ;
+        RECT 137.760 46.500 147.190 46.640 ;
+        RECT 156.180 46.640 156.470 46.685 ;
+        RECT 157.580 46.640 157.870 46.685 ;
+        RECT 159.420 46.640 159.710 46.685 ;
+        RECT 156.180 46.500 159.710 46.640 ;
+        RECT 137.760 46.440 138.080 46.500 ;
+        RECT 156.180 46.455 156.470 46.500 ;
+        RECT 157.580 46.455 157.870 46.500 ;
+        RECT 159.420 46.455 159.710 46.500 ;
+        RECT 169.980 46.640 170.270 46.685 ;
+        RECT 171.380 46.640 171.670 46.685 ;
+        RECT 173.220 46.640 173.510 46.685 ;
+        RECT 185.620 46.640 185.910 46.685 ;
+        RECT 187.020 46.640 187.310 46.685 ;
+        RECT 188.860 46.640 189.150 46.685 ;
+        RECT 196.640 46.640 196.960 46.700 ;
+        RECT 169.980 46.500 173.510 46.640 ;
+        RECT 169.980 46.455 170.270 46.500 ;
+        RECT 171.380 46.455 171.670 46.500 ;
+        RECT 173.220 46.455 173.510 46.500 ;
+        RECT 173.730 46.500 176.630 46.640 ;
+        RECT 140.980 46.300 141.300 46.360 ;
+        RECT 122.670 46.160 141.300 46.300 ;
+        RECT 92.680 46.100 93.000 46.160 ;
+        RECT 98.200 46.100 98.520 46.160 ;
+        RECT 104.640 46.100 104.960 46.160 ;
+        RECT 140.980 46.100 141.300 46.160 ;
+        RECT 142.360 46.300 142.680 46.360 ;
+        RECT 156.620 46.300 156.940 46.360 ;
+        RECT 142.360 46.160 156.940 46.300 ;
+        RECT 142.360 46.100 142.680 46.160 ;
+        RECT 156.620 46.100 156.940 46.160 ;
+        RECT 160.300 46.300 160.620 46.360 ;
+        RECT 162.155 46.300 162.445 46.345 ;
+        RECT 160.300 46.160 162.445 46.300 ;
+        RECT 160.300 46.100 160.620 46.160 ;
+        RECT 162.155 46.115 162.445 46.160 ;
+        RECT 165.820 46.300 166.140 46.360 ;
+        RECT 173.730 46.300 173.870 46.500 ;
+        RECT 165.820 46.160 173.870 46.300 ;
+        RECT 176.490 46.300 176.630 46.500 ;
+        RECT 185.620 46.500 189.150 46.640 ;
+        RECT 185.620 46.455 185.910 46.500 ;
+        RECT 187.020 46.455 187.310 46.500 ;
+        RECT 188.860 46.455 189.150 46.500 ;
+        RECT 189.370 46.500 196.960 46.640 ;
+        RECT 189.370 46.300 189.510 46.500 ;
+        RECT 196.640 46.440 196.960 46.500 ;
+        RECT 198.040 46.640 198.330 46.685 ;
+        RECT 199.440 46.640 199.730 46.685 ;
+        RECT 201.280 46.640 201.570 46.685 ;
+        RECT 198.040 46.500 201.570 46.640 ;
+        RECT 198.040 46.455 198.330 46.500 ;
+        RECT 199.440 46.455 199.730 46.500 ;
+        RECT 201.280 46.455 201.570 46.500 ;
+        RECT 202.160 46.640 202.480 46.700 ;
+        RECT 205.395 46.640 205.685 46.685 ;
+        RECT 202.160 46.500 205.685 46.640 ;
+        RECT 202.160 46.440 202.480 46.500 ;
+        RECT 205.395 46.455 205.685 46.500 ;
+        RECT 211.375 46.455 211.665 46.685 ;
+        RECT 212.185 46.640 212.325 46.795 ;
+        RECT 214.120 46.780 214.440 46.840 ;
+        RECT 220.100 46.780 220.420 46.840 ;
+        RECT 220.575 46.980 220.865 47.025 ;
+        RECT 228.380 46.980 228.700 47.040 ;
+        RECT 220.575 46.840 228.700 46.980 ;
+        RECT 230.770 46.980 230.910 47.120 ;
+        RECT 239.970 46.980 240.110 47.180 ;
+        RECT 230.770 46.840 240.110 46.980 ;
+        RECT 240.340 46.980 240.660 47.040 ;
+        RECT 240.340 46.840 240.855 46.980 ;
+        RECT 220.575 46.795 220.865 46.840 ;
+        RECT 228.380 46.780 228.700 46.840 ;
+        RECT 240.340 46.780 240.660 46.840 ;
+        RECT 227.460 46.640 227.780 46.700 ;
+        RECT 212.185 46.500 227.780 46.640 ;
+        RECT 176.490 46.160 189.510 46.300 ;
+        RECT 211.450 46.300 211.590 46.455 ;
+        RECT 227.460 46.440 227.780 46.500 ;
+        RECT 230.695 46.640 230.985 46.685 ;
+        RECT 231.140 46.640 231.460 46.700 ;
+        RECT 230.695 46.500 231.460 46.640 ;
+        RECT 230.695 46.455 230.985 46.500 ;
+        RECT 231.140 46.440 231.460 46.500 ;
+        RECT 231.600 46.640 231.920 46.700 ;
+        RECT 233.440 46.640 233.760 46.700 ;
+        RECT 231.600 46.500 233.760 46.640 ;
+        RECT 231.600 46.440 231.920 46.500 ;
+        RECT 233.440 46.440 233.760 46.500 ;
+        RECT 233.900 46.640 234.220 46.700 ;
+        RECT 238.040 46.640 238.360 46.700 ;
+        RECT 238.960 46.640 239.280 46.700 ;
+        RECT 233.900 46.500 239.280 46.640 ;
+        RECT 241.350 46.640 241.490 47.180 ;
+        RECT 241.740 47.180 244.810 47.320 ;
+        RECT 245.205 47.180 245.720 47.320 ;
+        RECT 252.105 47.180 252.620 47.320 ;
+        RECT 241.740 47.135 242.030 47.180 ;
+        RECT 244.520 47.135 244.810 47.180 ;
+        RECT 245.400 47.120 245.720 47.180 ;
+        RECT 252.300 47.120 252.620 47.180 ;
+        RECT 254.230 47.180 287.950 47.320 ;
+        RECT 242.685 46.795 242.975 47.025 ;
+        RECT 243.115 46.980 243.405 47.025 ;
+        RECT 243.560 46.980 243.880 47.040 ;
+        RECT 243.115 46.840 243.880 46.980 ;
+        RECT 243.115 46.795 243.405 46.840 ;
+        RECT 242.730 46.640 242.870 46.795 ;
+        RECT 243.560 46.780 243.880 46.840 ;
+        RECT 244.020 46.980 244.340 47.040 ;
+        RECT 250.475 46.980 250.765 47.025 ;
+        RECT 251.840 46.980 252.160 47.040 ;
+        RECT 244.020 46.840 246.550 46.980 ;
+        RECT 244.020 46.780 244.340 46.840 ;
+        RECT 241.350 46.500 242.870 46.640 ;
+        RECT 246.410 46.640 246.550 46.840 ;
+        RECT 250.475 46.840 252.160 46.980 ;
+        RECT 250.475 46.795 250.765 46.840 ;
+        RECT 251.840 46.780 252.160 46.840 ;
+        RECT 253.220 46.980 253.540 47.040 ;
+        RECT 254.230 46.980 254.370 47.180 ;
+        RECT 253.220 46.840 254.370 46.980 ;
+        RECT 255.980 46.980 256.300 47.040 ;
+        RECT 259.215 46.980 259.505 47.025 ;
+        RECT 261.040 46.980 261.360 47.040 ;
+        RECT 255.980 46.840 259.505 46.980 ;
+        RECT 260.845 46.840 261.360 46.980 ;
+        RECT 253.220 46.780 253.540 46.840 ;
+        RECT 255.980 46.780 256.300 46.840 ;
+        RECT 259.215 46.795 259.505 46.840 ;
+        RECT 261.040 46.780 261.360 46.840 ;
+        RECT 267.495 46.980 267.785 47.025 ;
+        RECT 270.700 46.980 271.020 47.040 ;
+        RECT 267.495 46.840 271.020 46.980 ;
+        RECT 267.495 46.795 267.785 46.840 ;
+        RECT 270.700 46.780 271.020 46.840 ;
+        RECT 274.395 46.795 274.685 47.025 ;
+        RECT 275.760 46.980 276.080 47.040 ;
+        RECT 284.040 46.980 284.360 47.040 ;
+        RECT 287.275 46.980 287.565 47.025 ;
+        RECT 275.565 46.840 276.080 46.980 ;
+        RECT 283.845 46.840 287.565 46.980 ;
+        RECT 254.600 46.640 254.920 46.700 ;
+        RECT 246.410 46.500 254.920 46.640 ;
+        RECT 233.900 46.440 234.220 46.500 ;
+        RECT 238.040 46.440 238.360 46.500 ;
+        RECT 238.960 46.440 239.280 46.500 ;
+        RECT 254.600 46.440 254.920 46.500 ;
+        RECT 256.440 46.640 256.760 46.700 ;
+        RECT 258.295 46.640 258.585 46.685 ;
+        RECT 274.470 46.640 274.610 46.795 ;
+        RECT 275.760 46.780 276.080 46.840 ;
+        RECT 284.040 46.780 284.360 46.840 ;
+        RECT 287.275 46.795 287.565 46.840 ;
+        RECT 286.800 46.640 287.120 46.700 ;
+        RECT 256.440 46.500 258.585 46.640 ;
+        RECT 256.440 46.440 256.760 46.500 ;
+        RECT 258.295 46.455 258.585 46.500 ;
+        RECT 262.050 46.500 274.150 46.640 ;
+        RECT 274.470 46.500 287.120 46.640 ;
+        RECT 214.580 46.300 214.900 46.360 ;
+        RECT 211.450 46.160 214.900 46.300 ;
+        RECT 165.820 46.100 166.140 46.160 ;
+        RECT 214.580 46.100 214.900 46.160 ;
+        RECT 228.380 46.300 228.700 46.360 ;
+        RECT 246.320 46.300 246.640 46.360 ;
+        RECT 228.380 46.160 246.640 46.300 ;
+        RECT 228.380 46.100 228.700 46.160 ;
+        RECT 246.320 46.100 246.640 46.160 ;
+        RECT 246.780 46.300 247.100 46.360 ;
+        RECT 262.050 46.300 262.190 46.500 ;
+        RECT 246.780 46.160 262.190 46.300 ;
+        RECT 262.420 46.300 262.740 46.360 ;
+        RECT 273.475 46.300 273.765 46.345 ;
+        RECT 262.420 46.160 273.765 46.300 ;
+        RECT 274.010 46.300 274.150 46.500 ;
+        RECT 286.800 46.440 287.120 46.500 ;
+        RECT 284.500 46.300 284.820 46.360 ;
+        RECT 285.420 46.300 285.740 46.360 ;
+        RECT 274.010 46.160 284.820 46.300 ;
+        RECT 285.225 46.160 285.740 46.300 ;
+        RECT 287.810 46.300 287.950 47.180 ;
+        RECT 288.270 47.180 292.640 47.320 ;
+        RECT 288.270 47.025 288.410 47.180 ;
+        RECT 292.320 47.120 292.640 47.180 ;
+        RECT 293.240 47.320 293.560 47.380 ;
+        RECT 297.855 47.320 298.145 47.365 ;
+        RECT 316.240 47.320 316.560 47.380 ;
+        RECT 338.320 47.320 338.640 47.380 ;
+        RECT 293.240 47.180 298.145 47.320 ;
+        RECT 293.240 47.120 293.560 47.180 ;
+        RECT 297.855 47.135 298.145 47.180 ;
+        RECT 304.830 47.180 316.560 47.320 ;
+        RECT 288.195 46.795 288.485 47.025 ;
+        RECT 289.100 46.980 289.420 47.040 ;
+        RECT 290.035 46.980 290.325 47.025 ;
+        RECT 290.940 46.980 291.260 47.040 ;
+        RECT 298.300 46.980 298.620 47.040 ;
+        RECT 289.100 46.840 290.325 46.980 ;
+        RECT 290.745 46.840 291.260 46.980 ;
+        RECT 298.105 46.840 298.620 46.980 ;
+        RECT 289.100 46.780 289.420 46.840 ;
+        RECT 290.035 46.795 290.325 46.840 ;
+        RECT 290.940 46.780 291.260 46.840 ;
+        RECT 298.300 46.780 298.620 46.840 ;
+        RECT 299.680 46.980 300.000 47.040 ;
+        RECT 304.830 47.025 304.970 47.180 ;
+        RECT 316.240 47.120 316.560 47.180 ;
+        RECT 316.790 47.180 338.640 47.320 ;
+        RECT 338.870 47.320 339.010 47.860 ;
+        RECT 342.920 47.860 362.100 48.000 ;
+        RECT 342.920 47.800 343.240 47.860 ;
+        RECT 361.780 47.800 362.100 47.860 ;
+        RECT 362.240 48.000 362.560 48.060 ;
+        RECT 380.180 48.000 380.500 48.060 ;
+        RECT 362.240 47.860 380.500 48.000 ;
+        RECT 362.240 47.800 362.560 47.860 ;
+        RECT 380.180 47.800 380.500 47.860 ;
+        RECT 390.775 47.660 391.065 47.705 ;
+        RECT 381.650 47.520 391.065 47.660 ;
+        RECT 350.740 47.320 351.060 47.380 ;
+        RECT 370.520 47.320 370.840 47.380 ;
+        RECT 373.740 47.320 374.060 47.380 ;
+        RECT 381.650 47.320 381.790 47.520 ;
+        RECT 390.775 47.475 391.065 47.520 ;
+        RECT 338.870 47.180 351.060 47.320 ;
+        RECT 299.680 46.840 304.050 46.980 ;
+        RECT 299.680 46.780 300.000 46.840 ;
+        RECT 295.630 46.500 296.690 46.640 ;
+        RECT 295.630 46.300 295.770 46.500 ;
+        RECT 287.810 46.160 295.770 46.300 ;
+        RECT 296.550 46.300 296.690 46.500 ;
+        RECT 302.900 46.300 303.220 46.360 ;
+        RECT 303.910 46.345 304.050 46.840 ;
+        RECT 304.755 46.795 305.045 47.025 ;
+        RECT 306.120 46.980 306.440 47.040 ;
+        RECT 305.925 46.840 306.440 46.980 ;
+        RECT 306.120 46.780 306.440 46.840 ;
+        RECT 311.195 46.795 311.485 47.025 ;
+        RECT 313.955 46.980 314.245 47.025 ;
+        RECT 314.400 46.980 314.720 47.040 ;
+        RECT 313.955 46.840 314.720 46.980 ;
+        RECT 313.955 46.795 314.245 46.840 ;
+        RECT 311.270 46.360 311.410 46.795 ;
+        RECT 314.400 46.780 314.720 46.840 ;
+        RECT 314.860 46.980 315.180 47.040 ;
+        RECT 316.790 46.980 316.930 47.180 ;
+        RECT 338.320 47.120 338.640 47.180 ;
+        RECT 350.740 47.120 351.060 47.180 ;
+        RECT 354.050 47.180 362.010 47.320 ;
+        RECT 314.860 46.840 316.930 46.980 ;
+        RECT 314.860 46.780 315.180 46.840 ;
+        RECT 324.995 46.795 325.285 47.025 ;
+        RECT 326.360 46.980 326.680 47.040 ;
+        RECT 332.800 46.980 333.120 47.040 ;
+        RECT 326.165 46.840 326.680 46.980 ;
+        RECT 332.605 46.840 333.120 46.980 ;
+        RECT 325.070 46.640 325.210 46.795 ;
+        RECT 326.360 46.780 326.680 46.840 ;
+        RECT 332.800 46.780 333.120 46.840 ;
+        RECT 333.260 46.980 333.580 47.040 ;
+        RECT 333.735 46.980 334.025 47.025 ;
+        RECT 340.160 46.980 340.480 47.040 ;
+        RECT 333.260 46.840 340.480 46.980 ;
+        RECT 333.260 46.780 333.580 46.840 ;
+        RECT 333.735 46.795 334.025 46.840 ;
+        RECT 340.160 46.780 340.480 46.840 ;
+        RECT 340.635 46.980 340.925 47.025 ;
+        RECT 341.540 46.980 341.860 47.040 ;
+        RECT 342.015 46.980 342.305 47.025 ;
+        RECT 347.520 46.980 347.840 47.040 ;
+        RECT 340.635 46.840 341.235 46.980 ;
+        RECT 340.635 46.795 340.925 46.840 ;
+        RECT 330.960 46.640 331.280 46.700 ;
+        RECT 325.070 46.500 331.280 46.640 ;
+        RECT 330.960 46.440 331.280 46.500 ;
+        RECT 334.180 46.640 334.500 46.700 ;
+        RECT 335.115 46.640 335.405 46.685 ;
+        RECT 334.180 46.500 335.405 46.640 ;
+        RECT 334.180 46.440 334.500 46.500 ;
+        RECT 335.115 46.455 335.405 46.500 ;
+        RECT 296.550 46.160 303.220 46.300 ;
+        RECT 246.780 46.100 247.100 46.160 ;
+        RECT 262.420 46.100 262.740 46.160 ;
+        RECT 273.475 46.115 273.765 46.160 ;
+        RECT 284.500 46.100 284.820 46.160 ;
+        RECT 285.420 46.100 285.740 46.160 ;
+        RECT 302.900 46.100 303.220 46.160 ;
+        RECT 303.835 46.115 304.125 46.345 ;
+        RECT 311.180 46.100 311.500 46.360 ;
+        RECT 312.560 46.300 312.880 46.360 ;
+        RECT 324.060 46.300 324.380 46.360 ;
+        RECT 312.365 46.160 312.880 46.300 ;
+        RECT 323.865 46.160 324.380 46.300 ;
+        RECT 312.560 46.100 312.880 46.160 ;
+        RECT 324.060 46.100 324.380 46.160 ;
+        RECT 325.440 46.300 325.760 46.360 ;
+        RECT 338.780 46.300 339.100 46.360 ;
+        RECT 339.700 46.300 340.020 46.360 ;
+        RECT 325.440 46.160 339.100 46.300 ;
+        RECT 339.505 46.160 340.020 46.300 ;
+        RECT 341.095 46.300 341.235 46.840 ;
+        RECT 341.540 46.840 347.840 46.980 ;
+        RECT 341.540 46.780 341.860 46.840 ;
+        RECT 342.015 46.795 342.305 46.840 ;
+        RECT 347.520 46.780 347.840 46.840 ;
+        RECT 353.055 46.795 353.345 47.025 ;
+        RECT 353.500 46.980 353.820 47.040 ;
+        RECT 354.050 47.025 354.190 47.180 ;
+        RECT 361.870 47.025 362.010 47.180 ;
+        RECT 368.310 47.180 370.290 47.320 ;
+        RECT 353.975 46.980 354.265 47.025 ;
+        RECT 353.500 46.840 354.265 46.980 ;
+        RECT 342.460 46.640 342.780 46.700 ;
+        RECT 353.130 46.640 353.270 46.795 ;
+        RECT 353.500 46.780 353.820 46.840 ;
+        RECT 353.975 46.795 354.265 46.840 ;
+        RECT 360.875 46.795 361.165 47.025 ;
+        RECT 361.795 46.795 362.085 47.025 ;
+        RECT 356.720 46.640 357.040 46.700 ;
+        RECT 360.950 46.640 361.090 46.795 ;
+        RECT 367.760 46.640 368.080 46.700 ;
+        RECT 342.460 46.500 352.350 46.640 ;
+        RECT 353.130 46.500 357.040 46.640 ;
+        RECT 342.460 46.440 342.780 46.500 ;
+        RECT 345.680 46.300 346.000 46.360 ;
+        RECT 352.210 46.345 352.350 46.500 ;
+        RECT 356.720 46.440 357.040 46.500 ;
+        RECT 357.270 46.500 360.630 46.640 ;
+        RECT 360.950 46.500 368.080 46.640 ;
+        RECT 341.095 46.160 346.000 46.300 ;
+        RECT 325.440 46.100 325.760 46.160 ;
+        RECT 338.780 46.100 339.100 46.160 ;
+        RECT 339.700 46.100 340.020 46.160 ;
+        RECT 345.680 46.100 346.000 46.160 ;
+        RECT 352.135 46.115 352.425 46.345 ;
+        RECT 352.580 46.300 352.900 46.360 ;
+        RECT 357.270 46.300 357.410 46.500 ;
+        RECT 352.580 46.160 357.410 46.300 ;
+        RECT 357.640 46.300 357.960 46.360 ;
+        RECT 359.955 46.300 360.245 46.345 ;
+        RECT 357.640 46.160 360.245 46.300 ;
+        RECT 360.490 46.300 360.630 46.500 ;
+        RECT 367.760 46.440 368.080 46.500 ;
+        RECT 368.310 46.300 368.450 47.180 ;
+        RECT 368.695 46.795 368.985 47.025 ;
+        RECT 369.140 46.980 369.460 47.040 ;
+        RECT 369.615 46.980 369.905 47.025 ;
+        RECT 369.140 46.840 369.905 46.980 ;
+        RECT 370.150 46.980 370.290 47.180 ;
+        RECT 370.520 47.180 371.035 47.320 ;
+        RECT 373.740 47.180 381.790 47.320 ;
+        RECT 370.520 47.120 370.840 47.180 ;
+        RECT 373.740 47.120 374.060 47.180 ;
+        RECT 385.715 46.980 386.005 47.025 ;
+        RECT 370.150 46.840 386.005 46.980 ;
+        RECT 368.770 46.640 368.910 46.795 ;
+        RECT 369.140 46.780 369.460 46.840 ;
+        RECT 369.615 46.795 369.905 46.840 ;
+        RECT 385.715 46.795 386.005 46.840 ;
+        RECT 399.500 46.980 399.820 47.040 ;
+        RECT 400.895 46.980 401.185 47.025 ;
+        RECT 399.500 46.840 401.185 46.980 ;
+        RECT 399.500 46.780 399.820 46.840 ;
+        RECT 400.895 46.795 401.185 46.840 ;
+        RECT 406.860 46.980 407.180 47.040 ;
+        RECT 408.715 46.980 409.005 47.025 ;
+        RECT 406.860 46.840 409.005 46.980 ;
+        RECT 406.860 46.780 407.180 46.840 ;
+        RECT 408.715 46.795 409.005 46.840 ;
+        RECT 410.540 46.980 410.860 47.040 ;
+        RECT 413.775 46.980 414.065 47.025 ;
+        RECT 410.540 46.840 414.065 46.980 ;
+        RECT 410.540 46.780 410.860 46.840 ;
+        RECT 413.775 46.795 414.065 46.840 ;
+        RECT 417.900 46.980 418.220 47.040 ;
+        RECT 418.835 46.980 419.125 47.025 ;
+        RECT 417.900 46.840 419.125 46.980 ;
+        RECT 417.900 46.780 418.220 46.840 ;
+        RECT 418.835 46.795 419.125 46.840 ;
+        RECT 421.580 46.980 421.900 47.040 ;
+        RECT 423.895 46.980 424.185 47.025 ;
+        RECT 421.580 46.840 424.185 46.980 ;
+        RECT 421.580 46.780 421.900 46.840 ;
+        RECT 423.895 46.795 424.185 46.840 ;
+        RECT 425.260 46.980 425.580 47.040 ;
+        RECT 428.955 46.980 429.245 47.025 ;
+        RECT 425.260 46.840 429.245 46.980 ;
+        RECT 425.260 46.780 425.580 46.840 ;
+        RECT 428.955 46.795 429.245 46.840 ;
+        RECT 439.980 46.980 440.300 47.040 ;
+        RECT 441.835 46.980 442.125 47.025 ;
+        RECT 439.980 46.840 442.125 46.980 ;
+        RECT 439.980 46.780 440.300 46.840 ;
+        RECT 441.835 46.795 442.125 46.840 ;
+        RECT 443.660 46.980 443.980 47.040 ;
+        RECT 446.895 46.980 447.185 47.025 ;
+        RECT 443.660 46.840 447.185 46.980 ;
+        RECT 443.660 46.780 443.980 46.840 ;
+        RECT 446.895 46.795 447.185 46.840 ;
+        RECT 451.020 46.980 451.340 47.040 ;
+        RECT 451.955 46.980 452.245 47.025 ;
+        RECT 451.020 46.840 452.245 46.980 ;
+        RECT 451.020 46.780 451.340 46.840 ;
+        RECT 451.955 46.795 452.245 46.840 ;
+        RECT 454.700 46.980 455.020 47.040 ;
+        RECT 457.015 46.980 457.305 47.025 ;
+        RECT 454.700 46.840 457.305 46.980 ;
+        RECT 454.700 46.780 455.020 46.840 ;
+        RECT 457.015 46.795 457.305 46.840 ;
+        RECT 465.740 46.980 466.060 47.040 ;
+        RECT 469.895 46.980 470.185 47.025 ;
+        RECT 465.740 46.840 470.185 46.980 ;
+        RECT 465.740 46.780 466.060 46.840 ;
+        RECT 469.895 46.795 470.185 46.840 ;
+        RECT 473.100 46.980 473.420 47.040 ;
+        RECT 474.955 46.980 475.245 47.025 ;
+        RECT 473.100 46.840 475.245 46.980 ;
+        RECT 473.100 46.780 473.420 46.840 ;
+        RECT 474.955 46.795 475.245 46.840 ;
+        RECT 476.780 46.980 477.100 47.040 ;
+        RECT 480.015 46.980 480.305 47.025 ;
+        RECT 476.780 46.840 480.305 46.980 ;
+        RECT 476.780 46.780 477.100 46.840 ;
+        RECT 480.015 46.795 480.305 46.840 ;
+        RECT 484.140 46.980 484.460 47.040 ;
+        RECT 485.075 46.980 485.365 47.025 ;
+        RECT 484.140 46.840 485.365 46.980 ;
+        RECT 484.140 46.780 484.460 46.840 ;
+        RECT 485.075 46.795 485.365 46.840 ;
+        RECT 491.500 46.980 491.820 47.040 ;
+        RECT 492.895 46.980 493.185 47.025 ;
+        RECT 491.500 46.840 493.185 46.980 ;
+        RECT 491.500 46.780 491.820 46.840 ;
+        RECT 492.895 46.795 493.185 46.840 ;
+        RECT 495.180 46.980 495.500 47.040 ;
+        RECT 497.955 46.980 498.245 47.025 ;
+        RECT 495.180 46.840 498.245 46.980 ;
+        RECT 495.180 46.780 495.500 46.840 ;
+        RECT 497.955 46.795 498.245 46.840 ;
+        RECT 498.860 46.980 499.180 47.040 ;
+        RECT 503.015 46.980 503.305 47.025 ;
+        RECT 498.860 46.840 503.305 46.980 ;
+        RECT 498.860 46.780 499.180 46.840 ;
+        RECT 503.015 46.795 503.305 46.840 ;
+        RECT 506.220 46.980 506.540 47.040 ;
+        RECT 508.075 46.980 508.365 47.025 ;
+        RECT 506.220 46.840 508.365 46.980 ;
+        RECT 506.220 46.780 506.540 46.840 ;
+        RECT 508.075 46.795 508.365 46.840 ;
+        RECT 517.260 46.980 517.580 47.040 ;
+        RECT 520.955 46.980 521.245 47.025 ;
+        RECT 517.260 46.840 521.245 46.980 ;
+        RECT 517.260 46.780 517.580 46.840 ;
+        RECT 520.955 46.795 521.245 46.840 ;
+        RECT 524.620 46.980 524.940 47.040 ;
+        RECT 526.015 46.980 526.305 47.025 ;
+        RECT 524.620 46.840 526.305 46.980 ;
+        RECT 524.620 46.780 524.940 46.840 ;
+        RECT 526.015 46.795 526.305 46.840 ;
+        RECT 528.300 46.980 528.620 47.040 ;
+        RECT 531.075 46.980 531.365 47.025 ;
+        RECT 528.300 46.840 531.365 46.980 ;
+        RECT 528.300 46.780 528.620 46.840 ;
+        RECT 531.075 46.795 531.365 46.840 ;
+        RECT 531.980 46.980 532.300 47.040 ;
+        RECT 536.135 46.980 536.425 47.025 ;
+        RECT 531.980 46.840 536.425 46.980 ;
+        RECT 531.980 46.780 532.300 46.840 ;
+        RECT 536.135 46.795 536.425 46.840 ;
+        RECT 539.340 46.980 539.660 47.040 ;
+        RECT 541.195 46.980 541.485 47.025 ;
+        RECT 539.340 46.840 541.485 46.980 ;
+        RECT 539.340 46.780 539.660 46.840 ;
+        RECT 541.195 46.795 541.485 46.840 ;
+        RECT 546.700 46.980 547.020 47.040 ;
+        RECT 549.015 46.980 549.305 47.025 ;
+        RECT 546.700 46.840 549.305 46.980 ;
+        RECT 546.700 46.780 547.020 46.840 ;
+        RECT 549.015 46.795 549.305 46.840 ;
+        RECT 550.380 46.980 550.700 47.040 ;
+        RECT 554.075 46.980 554.365 47.025 ;
+        RECT 550.380 46.840 554.365 46.980 ;
+        RECT 550.380 46.780 550.700 46.840 ;
+        RECT 554.075 46.795 554.365 46.840 ;
+        RECT 557.740 46.980 558.060 47.040 ;
+        RECT 559.135 46.980 559.425 47.025 ;
+        RECT 557.740 46.840 559.425 46.980 ;
+        RECT 557.740 46.780 558.060 46.840 ;
+        RECT 559.135 46.795 559.425 46.840 ;
+        RECT 561.420 46.980 561.740 47.040 ;
+        RECT 564.195 46.980 564.485 47.025 ;
+        RECT 561.420 46.840 564.485 46.980 ;
+        RECT 561.420 46.780 561.740 46.840 ;
+        RECT 564.195 46.795 564.485 46.840 ;
+        RECT 568.320 46.980 568.640 47.040 ;
+        RECT 569.255 46.980 569.545 47.025 ;
+        RECT 568.320 46.840 569.545 46.980 ;
+        RECT 568.320 46.780 568.640 46.840 ;
+        RECT 569.255 46.795 569.545 46.840 ;
+        RECT 575.680 46.980 576.000 47.040 ;
+        RECT 577.075 46.980 577.365 47.025 ;
+        RECT 575.680 46.840 577.365 46.980 ;
+        RECT 575.680 46.780 576.000 46.840 ;
+        RECT 577.075 46.795 577.365 46.840 ;
+        RECT 579.360 46.980 579.680 47.040 ;
+        RECT 582.135 46.980 582.425 47.025 ;
+        RECT 579.360 46.840 582.425 46.980 ;
+        RECT 579.360 46.780 579.680 46.840 ;
+        RECT 582.135 46.795 582.425 46.840 ;
+        RECT 583.040 46.980 583.360 47.040 ;
+        RECT 587.195 46.980 587.485 47.025 ;
+        RECT 583.040 46.840 587.485 46.980 ;
+        RECT 583.040 46.780 583.360 46.840 ;
+        RECT 587.195 46.795 587.485 46.840 ;
+        RECT 590.400 46.980 590.720 47.040 ;
+        RECT 592.255 46.980 592.545 47.025 ;
+        RECT 590.400 46.840 592.545 46.980 ;
+        RECT 590.400 46.780 590.720 46.840 ;
+        RECT 592.255 46.795 592.545 46.840 ;
+        RECT 594.080 46.980 594.400 47.040 ;
+        RECT 597.315 46.980 597.605 47.025 ;
+        RECT 594.080 46.840 597.605 46.980 ;
+        RECT 594.080 46.780 594.400 46.840 ;
+        RECT 597.315 46.795 597.605 46.840 ;
+        RECT 601.440 46.980 601.760 47.040 ;
+        RECT 605.135 46.980 605.425 47.025 ;
+        RECT 601.440 46.840 605.425 46.980 ;
+        RECT 601.440 46.780 601.760 46.840 ;
+        RECT 605.135 46.795 605.425 46.840 ;
+        RECT 608.800 46.980 609.120 47.040 ;
+        RECT 610.195 46.980 610.485 47.025 ;
+        RECT 608.800 46.840 610.485 46.980 ;
+        RECT 608.800 46.780 609.120 46.840 ;
+        RECT 610.195 46.795 610.485 46.840 ;
+        RECT 612.480 46.980 612.800 47.040 ;
+        RECT 615.255 46.980 615.545 47.025 ;
+        RECT 612.480 46.840 615.545 46.980 ;
+        RECT 612.480 46.780 612.800 46.840 ;
+        RECT 615.255 46.795 615.545 46.840 ;
+        RECT 616.160 46.980 616.480 47.040 ;
+        RECT 620.315 46.980 620.605 47.025 ;
+        RECT 616.160 46.840 620.605 46.980 ;
+        RECT 616.160 46.780 616.480 46.840 ;
+        RECT 620.315 46.795 620.605 46.840 ;
+        RECT 623.520 46.980 623.840 47.040 ;
+        RECT 625.375 46.980 625.665 47.025 ;
+        RECT 623.520 46.840 625.665 46.980 ;
+        RECT 623.520 46.780 623.840 46.840 ;
+        RECT 625.375 46.795 625.665 46.840 ;
+        RECT 375.120 46.640 375.440 46.700 ;
+        RECT 368.770 46.500 375.440 46.640 ;
+        RECT 375.120 46.440 375.440 46.500 ;
+        RECT 381.100 46.640 381.420 46.700 ;
+        RECT 389.840 46.640 390.160 46.700 ;
+        RECT 381.100 46.500 390.160 46.640 ;
+        RECT 381.100 46.440 381.420 46.500 ;
+        RECT 389.840 46.440 390.160 46.500 ;
+        RECT 360.490 46.160 368.450 46.300 ;
+        RECT 370.060 46.300 370.380 46.360 ;
+        RECT 386.160 46.300 386.480 46.360 ;
+        RECT 370.060 46.160 386.480 46.300 ;
+        RECT 352.580 46.100 352.900 46.160 ;
+        RECT 357.640 46.100 357.960 46.160 ;
+        RECT 359.955 46.115 360.245 46.160 ;
+        RECT 370.060 46.100 370.380 46.160 ;
+        RECT 386.160 46.100 386.480 46.160 ;
+        RECT 386.620 46.300 386.940 46.360 ;
+        RECT 398.580 46.300 398.900 46.360 ;
+        RECT 386.620 46.160 398.900 46.300 ;
+        RECT 386.620 46.100 386.940 46.160 ;
+        RECT 398.580 46.100 398.900 46.160 ;
+        RECT 42.470 45.480 631.270 45.960 ;
+        RECT 101.435 45.280 101.725 45.325 ;
+        RECT 118.455 45.280 118.745 45.325 ;
+        RECT 128.100 45.280 128.420 45.340 ;
+        RECT 64.710 45.140 101.190 45.280 ;
+        RECT 39.780 44.260 40.100 44.320 ;
+        RECT 64.710 44.260 64.850 45.140 ;
+        RECT 78.420 44.940 78.740 45.000 ;
+        RECT 78.225 44.800 78.740 44.940 ;
+        RECT 78.420 44.740 78.740 44.800 ;
+        RECT 81.195 44.940 81.485 44.985 ;
+        RECT 89.460 44.940 89.780 45.000 ;
+        RECT 81.195 44.800 89.780 44.940 ;
+        RECT 81.195 44.755 81.485 44.800 ;
+        RECT 89.460 44.740 89.780 44.800 ;
+        RECT 95.455 44.940 95.745 44.985 ;
+        RECT 97.280 44.940 97.600 45.000 ;
+        RECT 95.455 44.800 97.600 44.940 ;
+        RECT 95.455 44.755 95.745 44.800 ;
+        RECT 97.280 44.740 97.600 44.800 ;
+        RECT 65.540 44.600 65.860 44.660 ;
+        RECT 79.355 44.600 79.645 44.645 ;
+        RECT 86.675 44.600 86.965 44.645 ;
+        RECT 65.540 44.460 79.645 44.600 ;
+        RECT 65.540 44.400 65.860 44.460 ;
+        RECT 79.355 44.415 79.645 44.460 ;
+        RECT 79.890 44.460 86.965 44.600 ;
+        RECT 79.890 44.260 80.030 44.460 ;
+        RECT 86.675 44.415 86.965 44.460 ;
+        RECT 87.175 44.600 87.465 44.645 ;
+        RECT 90.840 44.600 91.160 44.660 ;
+        RECT 94.060 44.600 94.380 44.660 ;
+        RECT 100.500 44.600 100.820 44.660 ;
+        RECT 87.175 44.460 91.160 44.600 ;
+        RECT 93.865 44.460 94.380 44.600 ;
+        RECT 100.305 44.460 100.820 44.600 ;
+        RECT 101.050 44.600 101.190 45.140 ;
+        RECT 101.435 45.140 117.750 45.280 ;
+        RECT 101.435 45.095 101.725 45.140 ;
+        RECT 101.880 44.940 102.200 45.000 ;
+        RECT 106.035 44.940 106.325 44.985 ;
+        RECT 101.880 44.800 106.325 44.940 ;
+        RECT 101.880 44.740 102.200 44.800 ;
+        RECT 106.035 44.755 106.325 44.800 ;
+        RECT 109.715 44.940 110.005 44.985 ;
+        RECT 110.160 44.940 110.480 45.000 ;
+        RECT 109.715 44.800 110.480 44.940 ;
+        RECT 117.610 44.940 117.750 45.140 ;
+        RECT 118.455 45.140 128.420 45.280 ;
+        RECT 118.455 45.095 118.745 45.140 ;
+        RECT 128.100 45.080 128.420 45.140 ;
+        RECT 140.980 45.280 141.300 45.340 ;
+        RECT 150.195 45.280 150.485 45.325 ;
+        RECT 186.060 45.280 186.380 45.340 ;
+        RECT 140.980 45.140 149.030 45.280 ;
+        RECT 140.980 45.080 141.300 45.140 ;
+        RECT 123.500 44.940 123.820 45.000 ;
+        RECT 117.610 44.800 119.130 44.940 ;
+        RECT 109.715 44.755 110.005 44.800 ;
+        RECT 110.160 44.740 110.480 44.800 ;
+        RECT 115.220 44.600 115.540 44.660 ;
+        RECT 101.050 44.460 108.090 44.600 ;
+        RECT 115.025 44.460 115.540 44.600 ;
+        RECT 87.175 44.415 87.465 44.460 ;
+        RECT 90.840 44.400 91.160 44.460 ;
+        RECT 94.060 44.400 94.380 44.460 ;
+        RECT 100.500 44.400 100.820 44.460 ;
+        RECT 107.950 44.305 108.090 44.460 ;
+        RECT 115.220 44.400 115.540 44.460 ;
+        RECT 39.780 44.120 64.850 44.260 ;
+        RECT 65.170 44.120 80.030 44.260 ;
+        RECT 107.875 44.260 108.165 44.305 ;
+        RECT 117.075 44.260 117.365 44.305 ;
+        RECT 118.440 44.260 118.760 44.320 ;
+        RECT 107.875 44.120 118.760 44.260 ;
+        RECT 118.990 44.260 119.130 44.800 ;
+        RECT 123.130 44.800 123.820 44.940 ;
+        RECT 123.130 44.645 123.270 44.800 ;
+        RECT 123.500 44.740 123.820 44.800 ;
+        RECT 127.640 44.940 127.960 45.000 ;
+        RECT 128.575 44.940 128.865 44.985 ;
+        RECT 127.640 44.800 128.865 44.940 ;
+        RECT 127.640 44.740 127.960 44.800 ;
+        RECT 128.575 44.755 128.865 44.800 ;
+        RECT 123.055 44.415 123.345 44.645 ;
+        RECT 135.920 44.600 136.240 44.660 ;
+        RECT 136.840 44.600 137.160 44.660 ;
+        RECT 123.590 44.460 136.240 44.600 ;
+        RECT 136.645 44.460 137.160 44.600 ;
+        RECT 123.590 44.260 123.730 44.460 ;
+        RECT 135.920 44.400 136.240 44.460 ;
+        RECT 136.840 44.400 137.160 44.460 ;
+        RECT 137.300 44.600 137.620 44.660 ;
+        RECT 148.890 44.645 149.030 45.140 ;
+        RECT 150.195 45.140 186.380 45.280 ;
+        RECT 150.195 45.095 150.485 45.140 ;
+        RECT 186.060 45.080 186.380 45.140 ;
+        RECT 191.120 45.280 191.440 45.340 ;
+        RECT 192.975 45.280 193.265 45.325 ;
+        RECT 191.120 45.140 193.265 45.280 ;
+        RECT 191.120 45.080 191.440 45.140 ;
+        RECT 192.975 45.095 193.265 45.140 ;
+        RECT 216.420 45.280 216.740 45.340 ;
+        RECT 222.875 45.280 223.165 45.325 ;
+        RECT 216.420 45.140 223.165 45.280 ;
+        RECT 216.420 45.080 216.740 45.140 ;
+        RECT 222.875 45.095 223.165 45.140 ;
+        RECT 226.080 45.280 226.400 45.340 ;
+        RECT 232.060 45.280 232.380 45.340 ;
+        RECT 237.135 45.280 237.425 45.325 ;
+        RECT 258.295 45.280 258.585 45.325 ;
+        RECT 226.080 45.140 229.530 45.280 ;
+        RECT 226.080 45.080 226.400 45.140 ;
+        RECT 158.020 44.940 158.310 44.985 ;
+        RECT 159.420 44.940 159.710 44.985 ;
+        RECT 161.260 44.940 161.550 44.985 ;
+        RECT 158.020 44.800 161.550 44.940 ;
+        RECT 158.020 44.755 158.310 44.800 ;
+        RECT 159.420 44.755 159.710 44.800 ;
+        RECT 161.260 44.755 161.550 44.800 ;
+        RECT 162.600 44.940 162.920 45.000 ;
+        RECT 172.260 44.940 172.580 45.000 ;
+        RECT 185.140 44.940 185.460 45.000 ;
+        RECT 162.600 44.800 185.460 44.940 ;
+        RECT 162.600 44.740 162.920 44.800 ;
+        RECT 172.260 44.740 172.580 44.800 ;
+        RECT 185.140 44.740 185.460 44.800 ;
+        RECT 187.000 44.940 187.290 44.985 ;
+        RECT 188.400 44.940 188.690 44.985 ;
+        RECT 190.240 44.940 190.530 44.985 ;
+        RECT 209.980 44.940 210.300 45.000 ;
+        RECT 219.180 44.940 219.500 45.000 ;
+        RECT 187.000 44.800 190.530 44.940 ;
+        RECT 187.000 44.755 187.290 44.800 ;
+        RECT 188.400 44.755 188.690 44.800 ;
+        RECT 190.240 44.755 190.530 44.800 ;
+        RECT 206.390 44.800 210.300 44.940 ;
+        RECT 143.295 44.600 143.585 44.645 ;
+        RECT 137.300 44.460 143.585 44.600 ;
+        RECT 137.300 44.400 137.620 44.460 ;
+        RECT 143.295 44.415 143.585 44.460 ;
+        RECT 148.815 44.415 149.105 44.645 ;
+        RECT 151.115 44.415 151.405 44.645 ;
+        RECT 153.860 44.600 154.180 44.660 ;
+        RECT 157.095 44.600 157.385 44.645 ;
+        RECT 174.560 44.600 174.880 44.660 ;
+        RECT 177.335 44.600 177.625 44.645 ;
+        RECT 178.240 44.600 178.560 44.660 ;
+        RECT 153.860 44.460 157.385 44.600 ;
+        RECT 174.365 44.460 177.625 44.600 ;
+        RECT 178.045 44.460 178.560 44.600 ;
+        RECT 118.990 44.120 123.730 44.260 ;
+        RECT 129.020 44.305 129.340 44.320 ;
+        RECT 39.780 44.060 40.100 44.120 ;
+        RECT 62.780 43.920 63.100 43.980 ;
+        RECT 65.170 43.920 65.310 44.120 ;
+        RECT 107.875 44.075 108.165 44.120 ;
+        RECT 117.075 44.075 117.365 44.120 ;
+        RECT 118.440 44.060 118.760 44.120 ;
+        RECT 129.020 44.075 129.600 44.305 ;
+        RECT 130.415 44.260 130.705 44.305 ;
+        RECT 151.190 44.260 151.330 44.415 ;
+        RECT 153.860 44.400 154.180 44.460 ;
+        RECT 157.095 44.415 157.385 44.460 ;
+        RECT 174.560 44.400 174.880 44.460 ;
+        RECT 177.335 44.415 177.625 44.460 ;
+        RECT 178.240 44.400 178.560 44.460 ;
+        RECT 184.680 44.600 185.000 44.660 ;
+        RECT 186.075 44.600 186.365 44.645 ;
+        RECT 184.680 44.460 186.365 44.600 ;
+        RECT 184.680 44.400 185.000 44.460 ;
+        RECT 186.075 44.415 186.365 44.460 ;
+        RECT 187.455 44.600 187.745 44.645 ;
+        RECT 205.840 44.600 206.160 44.660 ;
+        RECT 206.390 44.645 206.530 44.800 ;
+        RECT 209.980 44.740 210.300 44.800 ;
+        RECT 214.670 44.800 219.500 44.940 ;
+        RECT 229.390 44.940 229.530 45.140 ;
+        RECT 232.060 45.140 237.425 45.280 ;
+        RECT 232.060 45.080 232.380 45.140 ;
+        RECT 237.135 45.095 237.425 45.140 ;
+        RECT 238.590 45.140 258.585 45.280 ;
+        RECT 238.590 44.940 238.730 45.140 ;
+        RECT 258.295 45.095 258.585 45.140 ;
+        RECT 259.200 45.280 259.520 45.340 ;
+        RECT 277.155 45.280 277.445 45.325 ;
+        RECT 293.700 45.280 294.020 45.340 ;
+        RECT 259.200 45.140 277.445 45.280 ;
+        RECT 259.200 45.080 259.520 45.140 ;
+        RECT 277.155 45.095 277.445 45.140 ;
+        RECT 278.150 45.140 294.020 45.280 ;
+        RECT 229.390 44.800 238.730 44.940 ;
+        RECT 240.800 44.940 241.120 45.000 ;
+        RECT 247.240 44.940 247.560 45.000 ;
+        RECT 249.555 44.940 249.845 44.985 ;
+        RECT 256.915 44.940 257.205 44.985 ;
+        RECT 240.800 44.800 244.250 44.940 ;
+        RECT 187.455 44.460 206.160 44.600 ;
+        RECT 187.455 44.415 187.745 44.460 ;
+        RECT 205.840 44.400 206.160 44.460 ;
+        RECT 206.315 44.415 206.605 44.645 ;
+        RECT 208.140 44.600 208.460 44.660 ;
+        RECT 214.670 44.645 214.810 44.800 ;
+        RECT 219.180 44.740 219.500 44.800 ;
+        RECT 240.800 44.740 241.120 44.800 ;
+        RECT 207.945 44.460 208.460 44.600 ;
+        RECT 208.140 44.400 208.460 44.460 ;
+        RECT 214.595 44.415 214.885 44.645 ;
+        RECT 215.040 44.600 215.360 44.660 ;
+        RECT 215.720 44.600 216.010 44.645 ;
+        RECT 216.420 44.600 216.740 44.660 ;
+        RECT 215.040 44.460 215.555 44.600 ;
+        RECT 215.720 44.460 216.740 44.600 ;
+        RECT 215.040 44.400 215.360 44.460 ;
+        RECT 215.720 44.415 216.010 44.460 ;
+        RECT 216.420 44.400 216.740 44.460 ;
+        RECT 217.815 44.600 218.105 44.645 ;
+        RECT 221.020 44.600 221.340 44.660 ;
+        RECT 217.815 44.460 221.340 44.600 ;
+        RECT 217.815 44.415 218.105 44.460 ;
+        RECT 221.020 44.400 221.340 44.460 ;
+        RECT 221.480 44.600 221.800 44.660 ;
+        RECT 221.985 44.600 222.275 44.645 ;
+        RECT 228.380 44.600 228.700 44.660 ;
+        RECT 229.760 44.600 230.080 44.660 ;
+        RECT 221.480 44.460 222.275 44.600 ;
+        RECT 228.185 44.460 228.700 44.600 ;
+        RECT 229.565 44.460 230.080 44.600 ;
+        RECT 221.480 44.400 221.800 44.460 ;
+        RECT 221.985 44.415 222.275 44.460 ;
+        RECT 228.380 44.400 228.700 44.460 ;
+        RECT 229.760 44.400 230.080 44.460 ;
+        RECT 235.740 44.600 236.060 44.660 ;
+        RECT 236.215 44.600 236.505 44.645 ;
+        RECT 235.740 44.460 236.505 44.600 ;
+        RECT 235.740 44.400 236.060 44.460 ;
+        RECT 236.215 44.415 236.505 44.460 ;
+        RECT 238.960 44.600 239.280 44.660 ;
+        RECT 242.655 44.600 242.945 44.645 ;
+        RECT 243.560 44.600 243.880 44.660 ;
+        RECT 238.960 44.460 242.945 44.600 ;
+        RECT 243.365 44.460 243.880 44.600 ;
+        RECT 244.110 44.600 244.250 44.800 ;
+        RECT 247.240 44.800 249.845 44.940 ;
+        RECT 247.240 44.740 247.560 44.800 ;
+        RECT 249.555 44.755 249.845 44.800 ;
+        RECT 250.090 44.800 257.205 44.940 ;
+        RECT 250.090 44.600 250.230 44.800 ;
+        RECT 256.915 44.755 257.205 44.800 ;
+        RECT 244.110 44.460 250.230 44.600 ;
+        RECT 238.960 44.400 239.280 44.460 ;
+        RECT 242.655 44.415 242.945 44.460 ;
+        RECT 243.560 44.400 243.880 44.460 ;
+        RECT 250.475 44.415 250.765 44.645 ;
+        RECT 257.820 44.600 258.140 44.660 ;
+        RECT 257.625 44.460 258.140 44.600 ;
+        RECT 156.160 44.260 156.480 44.320 ;
+        RECT 130.415 44.120 137.070 44.260 ;
+        RECT 130.415 44.075 130.705 44.120 ;
+        RECT 129.020 44.060 129.340 44.075 ;
+        RECT 136.930 43.980 137.070 44.120 ;
+        RECT 137.850 44.120 156.480 44.260 ;
+        RECT 115.925 43.920 116.215 43.965 ;
+        RECT 119.360 43.920 119.680 43.980 ;
+        RECT 129.955 43.920 130.245 43.965 ;
+        RECT 62.780 43.780 65.310 43.920 ;
+        RECT 106.800 43.780 119.680 43.920 ;
+        RECT 62.780 43.720 63.100 43.780 ;
+        RECT 85.320 43.580 85.640 43.640 ;
+        RECT 106.800 43.625 106.940 43.780 ;
+        RECT 115.925 43.735 116.215 43.780 ;
+        RECT 119.360 43.720 119.680 43.780 ;
+        RECT 120.370 43.780 132.440 43.920 ;
+        RECT 120.370 43.640 120.510 43.780 ;
+        RECT 129.955 43.735 130.245 43.780 ;
+        RECT 106.725 43.580 107.015 43.625 ;
+        RECT 85.320 43.440 107.015 43.580 ;
+        RECT 85.320 43.380 85.640 43.440 ;
+        RECT 106.725 43.395 107.015 43.440 ;
+        RECT 107.415 43.580 107.705 43.625 ;
+        RECT 116.615 43.580 116.905 43.625 ;
+        RECT 120.280 43.580 120.600 43.640 ;
+        RECT 123.500 43.580 123.820 43.640 ;
+        RECT 131.780 43.580 132.100 43.640 ;
+        RECT 107.415 43.440 120.600 43.580 ;
+        RECT 123.305 43.440 123.820 43.580 ;
+        RECT 131.585 43.440 132.100 43.580 ;
+        RECT 132.300 43.580 132.440 43.780 ;
+        RECT 136.840 43.720 137.160 43.980 ;
+        RECT 137.850 43.965 137.990 44.120 ;
+        RECT 156.160 44.060 156.480 44.120 ;
+        RECT 158.475 44.260 158.765 44.305 ;
+        RECT 174.100 44.260 174.420 44.320 ;
+        RECT 158.475 44.120 169.270 44.260 ;
+        RECT 173.905 44.120 174.420 44.260 ;
+        RECT 158.475 44.075 158.765 44.120 ;
+        RECT 137.775 43.735 138.065 43.965 ;
+        RECT 156.620 43.920 156.940 43.980 ;
+        RECT 138.310 43.780 156.940 43.920 ;
+        RECT 138.310 43.580 138.450 43.780 ;
+        RECT 156.620 43.720 156.940 43.780 ;
+        RECT 157.560 43.920 157.850 43.965 ;
+        RECT 159.880 43.920 160.170 43.965 ;
+        RECT 161.260 43.920 161.550 43.965 ;
+        RECT 157.560 43.780 161.550 43.920 ;
+        RECT 169.130 43.920 169.270 44.120 ;
+        RECT 174.100 44.060 174.420 44.120 ;
+        RECT 175.960 44.260 176.250 44.305 ;
+        RECT 178.740 44.260 179.030 44.305 ;
+        RECT 175.960 44.120 179.030 44.260 ;
+        RECT 175.960 44.075 176.250 44.120 ;
+        RECT 178.740 44.075 179.030 44.120 ;
+        RECT 179.620 44.260 179.940 44.320 ;
+        RECT 204.015 44.260 204.305 44.305 ;
+        RECT 179.620 44.120 204.305 44.260 ;
+        RECT 179.620 44.060 179.940 44.120 ;
+        RECT 204.015 44.075 204.305 44.120 ;
+        RECT 207.680 44.260 208.000 44.320 ;
+        RECT 208.615 44.260 208.905 44.305 ;
+        RECT 230.680 44.260 231.000 44.320 ;
+        RECT 207.680 44.120 208.905 44.260 ;
+        RECT 230.485 44.120 231.000 44.260 ;
+        RECT 207.680 44.060 208.000 44.120 ;
+        RECT 208.615 44.075 208.905 44.120 ;
+        RECT 230.680 44.060 231.000 44.120 ;
+        RECT 232.980 44.260 233.300 44.320 ;
+        RECT 243.650 44.260 243.790 44.400 ;
+        RECT 232.980 44.120 243.790 44.260 ;
+        RECT 232.980 44.060 233.300 44.120 ;
+        RECT 245.415 44.075 245.705 44.305 ;
+        RECT 250.550 44.260 250.690 44.415 ;
+        RECT 257.820 44.400 258.140 44.460 ;
+        RECT 258.740 44.600 259.060 44.660 ;
+        RECT 263.815 44.600 264.105 44.645 ;
+        RECT 258.740 44.460 264.105 44.600 ;
+        RECT 258.740 44.400 259.060 44.460 ;
+        RECT 263.815 44.415 264.105 44.460 ;
+        RECT 270.700 44.600 271.020 44.660 ;
+        RECT 278.150 44.645 278.290 45.140 ;
+        RECT 293.700 45.080 294.020 45.140 ;
+        RECT 294.175 45.280 294.465 45.325 ;
+        RECT 297.840 45.280 298.160 45.340 ;
+        RECT 294.175 45.140 298.160 45.280 ;
+        RECT 294.175 45.095 294.465 45.140 ;
+        RECT 292.320 44.940 292.640 45.000 ;
+        RECT 286.890 44.800 292.640 44.940 ;
+        RECT 271.175 44.600 271.465 44.645 ;
+        RECT 270.700 44.460 271.465 44.600 ;
+        RECT 270.700 44.400 271.020 44.460 ;
+        RECT 271.175 44.415 271.465 44.460 ;
+        RECT 278.075 44.415 278.365 44.645 ;
+        RECT 278.995 44.415 279.285 44.645 ;
+        RECT 279.440 44.600 279.760 44.660 ;
+        RECT 286.890 44.645 287.030 44.800 ;
+        RECT 292.320 44.740 292.640 44.800 ;
+        RECT 292.780 44.940 293.100 45.000 ;
+        RECT 294.250 44.940 294.390 45.095 ;
+        RECT 297.840 45.080 298.160 45.140 ;
+        RECT 299.220 45.280 299.540 45.340 ;
+        RECT 305.200 45.280 305.520 45.340 ;
+        RECT 299.220 45.140 305.520 45.280 ;
+        RECT 299.220 45.080 299.540 45.140 ;
+        RECT 305.200 45.080 305.520 45.140 ;
+        RECT 305.660 45.280 305.980 45.340 ;
+        RECT 328.675 45.280 328.965 45.325 ;
+        RECT 357.180 45.280 357.500 45.340 ;
+        RECT 305.660 45.140 328.965 45.280 ;
+        RECT 356.985 45.140 357.500 45.280 ;
+        RECT 305.660 45.080 305.980 45.140 ;
+        RECT 328.675 45.095 328.965 45.140 ;
+        RECT 357.180 45.080 357.500 45.140 ;
+        RECT 358.100 45.280 358.420 45.340 ;
+        RECT 371.455 45.280 371.745 45.325 ;
+        RECT 358.100 45.140 371.745 45.280 ;
+        RECT 358.100 45.080 358.420 45.140 ;
+        RECT 371.455 45.095 371.745 45.140 ;
+        RECT 312.560 44.940 312.880 45.000 ;
+        RECT 326.360 44.940 326.680 45.000 ;
+        RECT 292.780 44.800 294.390 44.940 ;
+        RECT 301.150 44.800 312.880 44.940 ;
+        RECT 292.780 44.740 293.100 44.800 ;
+        RECT 288.640 44.645 288.960 44.660 ;
+        RECT 279.440 44.460 284.730 44.600 ;
+        RECT 267.940 44.260 268.260 44.320 ;
+        RECT 275.760 44.260 276.080 44.320 ;
+        RECT 279.070 44.260 279.210 44.415 ;
+        RECT 279.440 44.400 279.760 44.460 ;
+        RECT 250.550 44.120 268.260 44.260 ;
+        RECT 179.175 43.920 179.465 43.965 ;
+        RECT 169.130 43.780 179.465 43.920 ;
+        RECT 157.560 43.735 157.850 43.780 ;
+        RECT 159.880 43.735 160.170 43.780 ;
+        RECT 161.260 43.735 161.550 43.780 ;
+        RECT 179.175 43.735 179.465 43.780 ;
+        RECT 186.540 43.920 186.830 43.965 ;
+        RECT 188.860 43.920 189.150 43.965 ;
+        RECT 190.240 43.920 190.530 43.965 ;
+        RECT 186.540 43.780 190.530 43.920 ;
+        RECT 186.540 43.735 186.830 43.780 ;
+        RECT 188.860 43.735 189.150 43.780 ;
+        RECT 190.240 43.735 190.530 43.780 ;
+        RECT 213.660 43.920 213.980 43.980 ;
+        RECT 228.855 43.920 229.145 43.965 ;
+        RECT 232.520 43.920 232.840 43.980 ;
+        RECT 213.660 43.780 232.840 43.920 ;
+        RECT 213.660 43.720 213.980 43.780 ;
+        RECT 228.855 43.735 229.145 43.780 ;
+        RECT 232.520 43.720 232.840 43.780 ;
+        RECT 237.580 43.920 237.900 43.980 ;
+        RECT 244.940 43.920 245.260 43.980 ;
+        RECT 237.580 43.780 245.260 43.920 ;
+        RECT 245.490 43.920 245.630 44.075 ;
+        RECT 267.940 44.060 268.260 44.120 ;
+        RECT 272.170 44.120 279.210 44.260 ;
+        RECT 284.590 44.260 284.730 44.460 ;
+        RECT 286.815 44.415 287.105 44.645 ;
+        RECT 288.425 44.415 288.960 44.645 ;
+        RECT 293.255 44.600 293.545 44.645 ;
+        RECT 298.760 44.600 299.080 44.660 ;
+        RECT 301.150 44.645 301.290 44.800 ;
+        RECT 312.560 44.740 312.880 44.800 ;
+        RECT 313.110 44.800 321.990 44.940 ;
+        RECT 288.640 44.400 288.960 44.415 ;
+        RECT 292.870 44.460 299.080 44.600 ;
+        RECT 292.870 44.320 293.010 44.460 ;
+        RECT 293.255 44.415 293.545 44.460 ;
+        RECT 298.760 44.400 299.080 44.460 ;
+        RECT 301.075 44.415 301.365 44.645 ;
+        RECT 302.455 44.600 302.745 44.645 ;
+        RECT 306.120 44.600 306.440 44.660 ;
+        RECT 302.455 44.460 306.440 44.600 ;
+        RECT 302.455 44.415 302.745 44.460 ;
+        RECT 306.120 44.400 306.440 44.460 ;
+        RECT 307.515 44.600 307.805 44.645 ;
+        RECT 313.110 44.600 313.250 44.800 ;
+        RECT 321.850 44.645 321.990 44.800 ;
+        RECT 326.360 44.800 373.510 44.940 ;
+        RECT 326.360 44.740 326.680 44.800 ;
+        RECT 330.590 44.645 330.730 44.800 ;
+        RECT 307.515 44.460 313.250 44.600 ;
+        RECT 307.515 44.415 307.805 44.460 ;
+        RECT 315.335 44.415 315.625 44.645 ;
+        RECT 316.715 44.415 317.005 44.645 ;
+        RECT 321.775 44.415 322.065 44.645 ;
+        RECT 329.595 44.415 329.885 44.645 ;
+        RECT 330.515 44.415 330.805 44.645 ;
+        RECT 336.035 44.600 336.325 44.645 ;
+        RECT 336.480 44.600 336.800 44.660 ;
+        RECT 345.220 44.600 345.540 44.660 ;
+        RECT 347.995 44.600 348.285 44.645 ;
+        RECT 336.035 44.460 336.800 44.600 ;
+        RECT 344.785 44.460 348.285 44.600 ;
+        RECT 336.035 44.415 336.325 44.460 ;
+        RECT 287.735 44.260 288.025 44.305 ;
+        RECT 284.590 44.120 288.025 44.260 ;
+        RECT 258.280 43.920 258.600 43.980 ;
+        RECT 272.170 43.965 272.310 44.120 ;
+        RECT 275.760 44.060 276.080 44.120 ;
+        RECT 245.490 43.780 258.600 43.920 ;
+        RECT 237.580 43.720 237.900 43.780 ;
+        RECT 244.940 43.720 245.260 43.780 ;
+        RECT 258.280 43.720 258.600 43.780 ;
+        RECT 272.095 43.735 272.385 43.965 ;
+        RECT 279.070 43.920 279.210 44.120 ;
+        RECT 287.735 44.075 288.025 44.120 ;
+        RECT 292.780 44.060 293.100 44.320 ;
+        RECT 298.300 44.260 298.620 44.320 ;
+        RECT 301.980 44.260 302.300 44.320 ;
+        RECT 298.300 44.120 300.370 44.260 ;
+        RECT 301.785 44.120 302.300 44.260 ;
+        RECT 298.300 44.060 298.620 44.120 ;
+        RECT 300.230 43.920 300.370 44.120 ;
+        RECT 301.980 44.060 302.300 44.120 ;
+        RECT 307.590 43.920 307.730 44.415 ;
+        RECT 313.480 43.920 313.800 43.980 ;
+        RECT 279.070 43.780 293.010 43.920 ;
+        RECT 300.230 43.780 307.730 43.920 ;
+        RECT 308.510 43.780 313.800 43.920 ;
+        RECT 315.410 43.920 315.550 44.415 ;
+        RECT 315.780 44.260 316.100 44.320 ;
+        RECT 316.255 44.260 316.545 44.305 ;
+        RECT 315.780 44.120 316.545 44.260 ;
+        RECT 316.790 44.260 316.930 44.415 ;
+        RECT 316.790 44.120 322.910 44.260 ;
+        RECT 315.780 44.060 316.100 44.120 ;
+        RECT 316.255 44.075 316.545 44.120 ;
+        RECT 319.920 43.920 320.240 43.980 ;
+        RECT 315.410 43.780 320.240 43.920 ;
+        RECT 132.300 43.440 138.450 43.580 ;
+        RECT 144.215 43.580 144.505 43.625 ;
+        RECT 163.060 43.580 163.380 43.640 ;
+        RECT 163.980 43.580 164.300 43.640 ;
+        RECT 144.215 43.440 163.380 43.580 ;
+        RECT 163.785 43.440 164.300 43.580 ;
+        RECT 107.415 43.395 107.705 43.440 ;
+        RECT 116.615 43.395 116.905 43.440 ;
+        RECT 120.280 43.380 120.600 43.440 ;
+        RECT 123.500 43.380 123.820 43.440 ;
+        RECT 131.780 43.380 132.100 43.440 ;
+        RECT 144.215 43.395 144.505 43.440 ;
+        RECT 163.060 43.380 163.380 43.440 ;
+        RECT 163.980 43.380 164.300 43.440 ;
+        RECT 216.420 43.580 216.740 43.640 ;
+        RECT 221.940 43.580 222.260 43.640 ;
+        RECT 216.420 43.440 222.260 43.580 ;
+        RECT 216.420 43.380 216.740 43.440 ;
+        RECT 221.940 43.380 222.260 43.440 ;
+        RECT 236.660 43.580 236.980 43.640 ;
+        RECT 250.935 43.580 251.225 43.625 ;
+        RECT 264.720 43.580 265.040 43.640 ;
+        RECT 236.660 43.440 251.225 43.580 ;
+        RECT 264.525 43.440 265.040 43.580 ;
+        RECT 292.870 43.580 293.010 43.780 ;
+        RECT 308.510 43.640 308.650 43.780 ;
+        RECT 313.480 43.720 313.800 43.780 ;
+        RECT 319.920 43.720 320.240 43.780 ;
+        RECT 298.300 43.580 298.620 43.640 ;
+        RECT 308.420 43.580 308.740 43.640 ;
+        RECT 292.870 43.440 298.620 43.580 ;
+        RECT 308.225 43.440 308.740 43.580 ;
+        RECT 236.660 43.380 236.980 43.440 ;
+        RECT 250.935 43.395 251.225 43.440 ;
+        RECT 264.720 43.380 265.040 43.440 ;
+        RECT 298.300 43.380 298.620 43.440 ;
+        RECT 308.420 43.380 308.740 43.440 ;
+        RECT 309.800 43.580 310.120 43.640 ;
+        RECT 317.620 43.580 317.940 43.640 ;
+        RECT 322.770 43.625 322.910 44.120 ;
+        RECT 329.670 43.920 329.810 44.415 ;
+        RECT 336.480 44.400 336.800 44.460 ;
+        RECT 345.220 44.400 345.540 44.460 ;
+        RECT 347.995 44.415 348.285 44.460 ;
+        RECT 348.915 44.415 349.205 44.645 ;
+        RECT 350.755 44.415 351.045 44.645 ;
+        RECT 351.675 44.600 351.965 44.645 ;
+        RECT 352.120 44.600 352.440 44.660 ;
+        RECT 358.100 44.600 358.420 44.660 ;
+        RECT 351.675 44.460 352.440 44.600 ;
+        RECT 357.905 44.460 358.420 44.600 ;
+        RECT 351.675 44.415 351.965 44.460 ;
+        RECT 342.920 44.260 343.240 44.320 ;
+        RECT 345.695 44.260 345.985 44.305 ;
+        RECT 342.920 44.120 345.985 44.260 ;
+        RECT 342.920 44.060 343.240 44.120 ;
+        RECT 345.695 44.075 345.985 44.120 ;
+        RECT 338.320 43.920 338.640 43.980 ;
+        RECT 329.670 43.780 338.640 43.920 ;
+        RECT 348.990 43.920 349.130 44.415 ;
+        RECT 350.830 44.260 350.970 44.415 ;
+        RECT 352.120 44.400 352.440 44.460 ;
+        RECT 358.100 44.400 358.420 44.460 ;
+        RECT 358.560 44.600 358.880 44.660 ;
+        RECT 373.370 44.645 373.510 44.800 ;
+        RECT 359.035 44.600 359.325 44.645 ;
+        RECT 358.560 44.460 359.325 44.600 ;
+        RECT 358.560 44.400 358.880 44.460 ;
+        RECT 359.035 44.415 359.325 44.460 ;
+        RECT 364.555 44.600 364.845 44.645 ;
+        RECT 364.555 44.460 365.690 44.600 ;
+        RECT 364.555 44.415 364.845 44.460 ;
+        RECT 365.550 44.260 365.690 44.460 ;
+        RECT 372.375 44.415 372.665 44.645 ;
+        RECT 373.295 44.415 373.585 44.645 ;
+        RECT 381.100 44.600 381.420 44.660 ;
+        RECT 386.160 44.600 386.480 44.660 ;
+        RECT 373.830 44.460 381.420 44.600 ;
+        RECT 385.965 44.460 386.480 44.600 ;
+        RECT 350.830 44.120 365.690 44.260 ;
+        RECT 372.450 44.260 372.590 44.415 ;
+        RECT 373.830 44.260 373.970 44.460 ;
+        RECT 381.100 44.400 381.420 44.460 ;
+        RECT 386.160 44.400 386.480 44.460 ;
+        RECT 372.450 44.120 373.970 44.260 ;
+        RECT 365.015 43.920 365.305 43.965 ;
+        RECT 348.990 43.780 365.305 43.920 ;
+        RECT 365.550 43.920 365.690 44.120 ;
+        RECT 381.100 43.920 381.420 43.980 ;
+        RECT 391.235 43.920 391.525 43.965 ;
+        RECT 365.550 43.780 380.410 43.920 ;
+        RECT 338.320 43.720 338.640 43.780 ;
+        RECT 365.015 43.735 365.305 43.780 ;
+        RECT 309.800 43.440 317.940 43.580 ;
+        RECT 309.800 43.380 310.120 43.440 ;
+        RECT 317.620 43.380 317.940 43.440 ;
+        RECT 322.695 43.580 322.985 43.625 ;
+        RECT 333.260 43.580 333.580 43.640 ;
+        RECT 336.940 43.580 337.260 43.640 ;
+        RECT 322.695 43.440 333.580 43.580 ;
+        RECT 336.745 43.440 337.260 43.580 ;
+        RECT 322.695 43.395 322.985 43.440 ;
+        RECT 333.260 43.380 333.580 43.440 ;
+        RECT 336.940 43.380 337.260 43.440 ;
+        RECT 358.100 43.580 358.420 43.640 ;
+        RECT 371.440 43.580 371.760 43.640 ;
+        RECT 379.720 43.580 380.040 43.640 ;
+        RECT 358.100 43.440 371.760 43.580 ;
+        RECT 379.525 43.440 380.040 43.580 ;
+        RECT 380.270 43.580 380.410 43.780 ;
+        RECT 381.100 43.780 391.525 43.920 ;
+        RECT 381.100 43.720 381.420 43.780 ;
+        RECT 391.235 43.735 391.525 43.780 ;
+        RECT 404.560 43.580 404.880 43.640 ;
+        RECT 502.540 43.580 502.860 43.640 ;
+        RECT 586.720 43.580 587.040 43.640 ;
+        RECT 380.270 43.440 404.880 43.580 ;
+        RECT 502.345 43.440 502.860 43.580 ;
+        RECT 586.525 43.440 587.040 43.580 ;
+        RECT 358.100 43.380 358.420 43.440 ;
+        RECT 371.440 43.380 371.760 43.440 ;
+        RECT 379.720 43.380 380.040 43.440 ;
+        RECT 404.560 43.380 404.880 43.440 ;
+        RECT 502.540 43.380 502.860 43.440 ;
+        RECT 586.720 43.380 587.040 43.440 ;
+        RECT 42.470 42.760 631.270 43.240 ;
+        RECT 77.500 42.560 77.820 42.620 ;
+        RECT 115.220 42.560 115.540 42.620 ;
+        RECT 77.500 42.420 115.540 42.560 ;
+        RECT 77.500 42.360 77.820 42.420 ;
+        RECT 115.220 42.360 115.540 42.420 ;
+        RECT 117.520 42.560 117.840 42.620 ;
+        RECT 156.620 42.560 156.940 42.620 ;
+        RECT 213.660 42.560 213.980 42.620 ;
+        RECT 215.040 42.560 215.360 42.620 ;
+        RECT 221.480 42.560 221.800 42.620 ;
+        RECT 117.520 42.420 156.390 42.560 ;
+        RECT 117.520 42.360 117.840 42.420 ;
+        RECT 84.860 42.220 85.180 42.280 ;
+        RECT 137.300 42.220 137.620 42.280 ;
+        RECT 84.860 42.080 137.620 42.220 ;
+        RECT 84.860 42.020 85.180 42.080 ;
+        RECT 137.300 42.020 137.620 42.080 ;
+        RECT 137.760 42.220 138.080 42.280 ;
+        RECT 155.715 42.220 156.005 42.265 ;
+        RECT 137.760 42.080 156.005 42.220 ;
+        RECT 156.250 42.220 156.390 42.420 ;
+        RECT 156.620 42.420 213.980 42.560 ;
+        RECT 214.845 42.420 215.360 42.560 ;
+        RECT 221.285 42.420 221.800 42.560 ;
+        RECT 156.620 42.360 156.940 42.420 ;
+        RECT 213.660 42.360 213.980 42.420 ;
+        RECT 215.040 42.360 215.360 42.420 ;
+        RECT 221.480 42.360 221.800 42.420 ;
+        RECT 221.940 42.560 222.260 42.620 ;
+        RECT 237.120 42.560 237.440 42.620 ;
+        RECT 221.940 42.420 237.440 42.560 ;
+        RECT 221.940 42.360 222.260 42.420 ;
+        RECT 237.120 42.360 237.440 42.420 ;
+        RECT 243.560 42.560 243.880 42.620 ;
+        RECT 282.200 42.560 282.520 42.620 ;
+        RECT 336.940 42.560 337.260 42.620 ;
+        RECT 357.180 42.560 357.500 42.620 ;
+        RECT 243.560 42.420 281.970 42.560 ;
+        RECT 243.560 42.360 243.880 42.420 ;
+        RECT 178.240 42.220 178.560 42.280 ;
+        RECT 156.250 42.080 178.560 42.220 ;
+        RECT 137.760 42.020 138.080 42.080 ;
+        RECT 155.715 42.035 156.005 42.080 ;
+        RECT 178.240 42.020 178.560 42.080 ;
+        RECT 224.715 42.220 225.005 42.265 ;
+        RECT 232.980 42.220 233.300 42.280 ;
+        RECT 224.715 42.080 233.300 42.220 ;
+        RECT 281.830 42.220 281.970 42.420 ;
+        RECT 282.200 42.420 337.260 42.560 ;
+        RECT 282.200 42.360 282.520 42.420 ;
+        RECT 336.940 42.360 337.260 42.420 ;
+        RECT 337.490 42.420 357.500 42.560 ;
+        RECT 287.260 42.220 287.580 42.280 ;
+        RECT 281.830 42.080 287.580 42.220 ;
+        RECT 224.715 42.035 225.005 42.080 ;
+        RECT 232.980 42.020 233.300 42.080 ;
+        RECT 287.260 42.020 287.580 42.080 ;
+        RECT 289.560 42.220 289.880 42.280 ;
+        RECT 301.060 42.220 301.380 42.280 ;
+        RECT 289.560 42.080 301.380 42.220 ;
+        RECT 289.560 42.020 289.880 42.080 ;
+        RECT 301.060 42.020 301.380 42.080 ;
+        RECT 329.580 42.220 329.900 42.280 ;
+        RECT 337.490 42.220 337.630 42.420 ;
+        RECT 357.180 42.360 357.500 42.420 ;
+        RECT 329.580 42.080 337.630 42.220 ;
+        RECT 352.120 42.220 352.440 42.280 ;
+        RECT 402.260 42.220 402.580 42.280 ;
+        RECT 352.120 42.080 402.580 42.220 ;
+        RECT 329.580 42.020 329.900 42.080 ;
+        RECT 352.120 42.020 352.440 42.080 ;
+        RECT 402.260 42.020 402.580 42.080 ;
+        RECT 86.240 41.880 86.560 41.940 ;
+        RECT 163.075 41.880 163.365 41.925 ;
+        RECT 86.240 41.740 163.365 41.880 ;
+        RECT 86.240 41.680 86.560 41.740 ;
+        RECT 163.075 41.695 163.365 41.740 ;
+        RECT 164.440 41.880 164.760 41.940 ;
+        RECT 175.035 41.880 175.325 41.925 ;
+        RECT 164.440 41.740 175.325 41.880 ;
+        RECT 164.440 41.680 164.760 41.740 ;
+        RECT 175.035 41.695 175.325 41.740 ;
+        RECT 201.240 41.880 201.560 41.940 ;
+        RECT 223.795 41.880 224.085 41.925 ;
+        RECT 201.240 41.740 224.085 41.880 ;
+        RECT 201.240 41.680 201.560 41.740 ;
+        RECT 223.795 41.695 224.085 41.740 ;
+        RECT 224.255 41.880 224.545 41.925 ;
+        RECT 264.720 41.880 265.040 41.940 ;
+        RECT 224.255 41.740 265.040 41.880 ;
+        RECT 224.255 41.695 224.545 41.740 ;
+        RECT 264.720 41.680 265.040 41.740 ;
+        RECT 273.920 41.880 274.240 41.940 ;
+        RECT 301.980 41.880 302.300 41.940 ;
+        RECT 273.920 41.740 302.300 41.880 ;
+        RECT 273.920 41.680 274.240 41.740 ;
+        RECT 301.980 41.680 302.300 41.740 ;
+        RECT 344.300 41.880 344.620 41.940 ;
+        RECT 379.720 41.880 380.040 41.940 ;
+        RECT 344.300 41.740 380.040 41.880 ;
+        RECT 344.300 41.680 344.620 41.740 ;
+        RECT 379.720 41.680 380.040 41.740 ;
+        RECT 37.480 41.540 37.800 41.600 ;
+        RECT 345.220 41.540 345.540 41.600 ;
+        RECT 37.480 41.400 345.540 41.540 ;
+        RECT 37.480 41.340 37.800 41.400 ;
+        RECT 345.220 41.340 345.540 41.400 ;
+        RECT 87.160 41.200 87.480 41.260 ;
+        RECT 175.480 41.200 175.800 41.260 ;
+        RECT 87.160 41.060 175.800 41.200 ;
+        RECT 87.160 41.000 87.480 41.060 ;
+        RECT 175.480 41.000 175.800 41.060 ;
+        RECT 208.140 41.200 208.460 41.260 ;
+        RECT 223.335 41.200 223.625 41.245 ;
+        RECT 208.140 41.060 223.625 41.200 ;
+        RECT 208.140 41.000 208.460 41.060 ;
+        RECT 223.335 41.015 223.625 41.060 ;
+        RECT 239.880 41.200 240.200 41.260 ;
+        RECT 342.920 41.200 343.240 41.260 ;
+        RECT 239.880 41.060 343.240 41.200 ;
+        RECT 239.880 41.000 240.200 41.060 ;
+        RECT 342.920 41.000 343.240 41.060 ;
+        RECT 118.440 40.860 118.760 40.920 ;
+        RECT 136.840 40.860 137.160 40.920 ;
+        RECT 118.440 40.720 137.160 40.860 ;
+        RECT 118.440 40.660 118.760 40.720 ;
+        RECT 136.840 40.660 137.160 40.720 ;
+        RECT 143.740 40.860 144.060 40.920 ;
+        RECT 154.780 40.860 155.100 40.920 ;
+        RECT 143.740 40.720 155.100 40.860 ;
+        RECT 143.740 40.660 144.060 40.720 ;
+        RECT 154.780 40.660 155.100 40.720 ;
+        RECT 155.715 40.860 156.005 40.905 ;
+        RECT 162.600 40.860 162.920 40.920 ;
+        RECT 155.715 40.720 162.920 40.860 ;
+        RECT 155.715 40.675 156.005 40.720 ;
+        RECT 162.600 40.660 162.920 40.720 ;
+        RECT 163.060 40.860 163.380 40.920 ;
+        RECT 258.295 40.860 258.585 40.905 ;
+        RECT 163.060 40.720 258.585 40.860 ;
+        RECT 163.060 40.660 163.380 40.720 ;
+        RECT 258.295 40.675 258.585 40.720 ;
+        RECT 280.360 40.860 280.680 40.920 ;
+        RECT 315.780 40.860 316.100 40.920 ;
+        RECT 280.360 40.720 316.100 40.860 ;
+        RECT 280.360 40.660 280.680 40.720 ;
+        RECT 315.780 40.660 316.100 40.720 ;
+        RECT 336.480 40.860 336.800 40.920 ;
+        RECT 358.100 40.860 358.420 40.920 ;
+        RECT 336.480 40.720 358.420 40.860 ;
+        RECT 336.480 40.660 336.800 40.720 ;
+        RECT 358.100 40.660 358.420 40.720 ;
+        RECT 111.540 40.520 111.860 40.580 ;
+        RECT 230.680 40.520 231.000 40.580 ;
+        RECT 111.540 40.380 231.000 40.520 ;
+        RECT 111.540 40.320 111.860 40.380 ;
+        RECT 230.680 40.320 231.000 40.380 ;
+        RECT 244.940 40.520 245.260 40.580 ;
+        RECT 308.420 40.520 308.740 40.580 ;
+        RECT 244.940 40.380 308.740 40.520 ;
+        RECT 244.940 40.320 245.260 40.380 ;
+        RECT 308.420 40.320 308.740 40.380 ;
+        RECT 311.180 40.520 311.500 40.580 ;
+        RECT 323.600 40.520 323.920 40.580 ;
+        RECT 311.180 40.380 323.920 40.520 ;
+        RECT 311.180 40.320 311.500 40.380 ;
+        RECT 323.600 40.320 323.920 40.380 ;
+        RECT 329.120 40.520 329.440 40.580 ;
+        RECT 369.140 40.520 369.460 40.580 ;
+        RECT 329.120 40.380 369.460 40.520 ;
+        RECT 329.120 40.320 329.440 40.380 ;
+        RECT 369.140 40.320 369.460 40.380 ;
+        RECT 123.500 40.180 123.820 40.240 ;
+        RECT 169.040 40.180 169.360 40.240 ;
+        RECT 123.500 40.040 169.360 40.180 ;
+        RECT 123.500 39.980 123.820 40.040 ;
+        RECT 169.040 39.980 169.360 40.040 ;
+        RECT 174.100 40.180 174.420 40.240 ;
+        RECT 220.560 40.180 220.880 40.240 ;
+        RECT 174.100 40.040 220.880 40.180 ;
+        RECT 174.100 39.980 174.420 40.040 ;
+        RECT 220.560 39.980 220.880 40.040 ;
+        RECT 228.380 40.180 228.700 40.240 ;
+        RECT 285.420 40.180 285.740 40.240 ;
+        RECT 228.380 40.040 285.740 40.180 ;
+        RECT 228.380 39.980 228.700 40.040 ;
+        RECT 285.420 39.980 285.740 40.040 ;
+        RECT 341.080 40.180 341.400 40.240 ;
+        RECT 372.820 40.180 373.140 40.240 ;
+        RECT 341.080 40.040 373.140 40.180 ;
+        RECT 341.080 39.980 341.400 40.040 ;
+        RECT 372.820 39.980 373.140 40.040 ;
+        RECT 88.540 39.840 88.860 39.900 ;
+        RECT 130.400 39.840 130.720 39.900 ;
+        RECT 88.540 39.700 130.720 39.840 ;
+        RECT 88.540 39.640 88.860 39.700 ;
+        RECT 130.400 39.640 130.720 39.700 ;
+        RECT 131.780 39.840 132.100 39.900 ;
+        RECT 155.700 39.840 156.020 39.900 ;
+        RECT 131.780 39.700 156.020 39.840 ;
+        RECT 131.780 39.640 132.100 39.700 ;
+        RECT 155.700 39.640 156.020 39.700 ;
+        RECT 163.075 39.840 163.365 39.885 ;
+        RECT 179.160 39.840 179.480 39.900 ;
+        RECT 163.075 39.700 179.480 39.840 ;
+        RECT 163.075 39.655 163.365 39.700 ;
+        RECT 179.160 39.640 179.480 39.700 ;
+        RECT 230.680 39.840 231.000 39.900 ;
+        RECT 255.060 39.840 255.380 39.900 ;
+        RECT 230.680 39.700 255.380 39.840 ;
+        RECT 230.680 39.640 231.000 39.700 ;
+        RECT 255.060 39.640 255.380 39.700 ;
+        RECT 258.295 39.840 258.585 39.885 ;
+        RECT 270.700 39.840 271.020 39.900 ;
+        RECT 292.780 39.840 293.100 39.900 ;
+        RECT 258.295 39.700 293.100 39.840 ;
+        RECT 258.295 39.655 258.585 39.700 ;
+        RECT 270.700 39.640 271.020 39.700 ;
+        RECT 292.780 39.640 293.100 39.700 ;
+        RECT 293.240 39.840 293.560 39.900 ;
+        RECT 303.820 39.840 304.140 39.900 ;
+        RECT 293.240 39.700 304.140 39.840 ;
+        RECT 293.240 39.640 293.560 39.700 ;
+        RECT 303.820 39.640 304.140 39.700 ;
+        RECT 332.800 39.840 333.120 39.900 ;
+        RECT 342.000 39.840 342.320 39.900 ;
+        RECT 332.800 39.700 342.320 39.840 ;
+        RECT 332.800 39.640 333.120 39.700 ;
+        RECT 342.000 39.640 342.320 39.700 ;
+        RECT 117.060 39.500 117.380 39.560 ;
+        RECT 117.980 39.500 118.300 39.560 ;
+        RECT 163.980 39.500 164.300 39.560 ;
+        RECT 117.060 39.360 164.300 39.500 ;
+        RECT 117.060 39.300 117.380 39.360 ;
+        RECT 117.980 39.300 118.300 39.360 ;
+        RECT 163.980 39.300 164.300 39.360 ;
+        RECT 165.360 39.500 165.680 39.560 ;
+        RECT 221.495 39.500 221.785 39.545 ;
+        RECT 165.360 39.360 221.785 39.500 ;
+        RECT 165.360 39.300 165.680 39.360 ;
+        RECT 221.495 39.315 221.785 39.360 ;
+        RECT 238.040 39.500 238.360 39.560 ;
+        RECT 245.860 39.500 246.180 39.560 ;
+        RECT 238.040 39.360 246.180 39.500 ;
+        RECT 238.040 39.300 238.360 39.360 ;
+        RECT 245.860 39.300 246.180 39.360 ;
+        RECT 256.440 39.500 256.760 39.560 ;
+        RECT 276.680 39.500 277.000 39.560 ;
+        RECT 256.440 39.360 277.000 39.500 ;
+        RECT 256.440 39.300 256.760 39.360 ;
+        RECT 276.680 39.300 277.000 39.360 ;
+        RECT 281.740 39.500 282.060 39.560 ;
+        RECT 299.220 39.500 299.540 39.560 ;
+        RECT 281.740 39.360 299.540 39.500 ;
+        RECT 281.740 39.300 282.060 39.360 ;
+        RECT 299.220 39.300 299.540 39.360 ;
+        RECT 106.020 39.160 106.340 39.220 ;
+        RECT 126.720 39.160 127.040 39.220 ;
+        RECT 106.020 39.020 127.040 39.160 ;
+        RECT 106.020 38.960 106.340 39.020 ;
+        RECT 126.720 38.960 127.040 39.020 ;
+        RECT 158.460 39.160 158.780 39.220 ;
+        RECT 170.880 39.160 171.200 39.220 ;
+        RECT 158.460 39.020 171.200 39.160 ;
+        RECT 158.460 38.960 158.780 39.020 ;
+        RECT 170.880 38.960 171.200 39.020 ;
+        RECT 172.720 39.160 173.040 39.220 ;
+        RECT 215.055 39.160 215.345 39.205 ;
+        RECT 172.720 39.020 215.345 39.160 ;
+        RECT 172.720 38.960 173.040 39.020 ;
+        RECT 215.055 38.975 215.345 39.020 ;
+        RECT 245.400 39.160 245.720 39.220 ;
+        RECT 250.460 39.160 250.780 39.220 ;
+        RECT 245.400 39.020 250.780 39.160 ;
+        RECT 245.400 38.960 245.720 39.020 ;
+        RECT 250.460 38.960 250.780 39.020 ;
+        RECT 286.340 39.160 286.660 39.220 ;
+        RECT 291.860 39.160 292.180 39.220 ;
+        RECT 286.340 39.020 292.180 39.160 ;
+        RECT 286.340 38.960 286.660 39.020 ;
+        RECT 291.860 38.960 292.180 39.020 ;
+        RECT 292.320 39.160 292.640 39.220 ;
+        RECT 297.840 39.160 298.160 39.220 ;
+        RECT 292.320 39.020 298.160 39.160 ;
+        RECT 292.320 38.960 292.640 39.020 ;
+        RECT 297.840 38.960 298.160 39.020 ;
+        RECT 135.920 38.820 136.240 38.880 ;
+        RECT 171.800 38.820 172.120 38.880 ;
+        RECT 135.920 38.680 172.120 38.820 ;
+        RECT 135.920 38.620 136.240 38.680 ;
+        RECT 171.800 38.620 172.120 38.680 ;
+        RECT 175.035 38.820 175.325 38.865 ;
+        RECT 222.860 38.820 223.180 38.880 ;
+        RECT 175.035 38.680 223.180 38.820 ;
+        RECT 175.035 38.635 175.325 38.680 ;
+        RECT 222.860 38.620 223.180 38.680 ;
+        RECT 127.640 38.480 127.960 38.540 ;
+        RECT 129.940 38.480 130.260 38.540 ;
+        RECT 127.640 38.340 130.260 38.480 ;
+        RECT 127.640 38.280 127.960 38.340 ;
+        RECT 129.940 38.280 130.260 38.340 ;
+        RECT 147.420 38.480 147.740 38.540 ;
+        RECT 175.940 38.480 176.260 38.540 ;
+        RECT 147.420 38.340 176.260 38.480 ;
+        RECT 147.420 38.280 147.740 38.340 ;
+        RECT 175.940 38.280 176.260 38.340 ;
+        RECT 282.660 38.480 282.980 38.540 ;
+        RECT 324.980 38.480 325.300 38.540 ;
+        RECT 282.660 38.340 325.300 38.480 ;
+        RECT 282.660 38.280 282.980 38.340 ;
+        RECT 324.980 38.280 325.300 38.340 ;
+        RECT 154.780 38.140 155.100 38.200 ;
+        RECT 175.020 38.140 175.340 38.200 ;
+        RECT 154.780 38.000 175.340 38.140 ;
+        RECT 154.780 37.940 155.100 38.000 ;
+        RECT 175.020 37.940 175.340 38.000 ;
+        RECT 347.980 37.800 348.300 37.860 ;
+        RECT 359.480 37.800 359.800 37.860 ;
+        RECT 347.980 37.660 359.800 37.800 ;
+        RECT 347.980 37.600 348.300 37.660 ;
+        RECT 359.480 37.600 359.800 37.660 ;
+        RECT 149.720 37.460 150.040 37.520 ;
+        RECT 178.700 37.460 179.020 37.520 ;
+        RECT 149.720 37.320 179.020 37.460 ;
+        RECT 149.720 37.260 150.040 37.320 ;
+        RECT 178.700 37.260 179.020 37.320 ;
+      LAYER via ;
+        RECT 58.180 53.750 58.440 54.010 ;
+        RECT 58.500 53.750 58.760 54.010 ;
+        RECT 58.820 53.750 59.080 54.010 ;
+        RECT 59.140 53.750 59.400 54.010 ;
+        RECT 211.780 53.750 212.040 54.010 ;
+        RECT 212.100 53.750 212.360 54.010 ;
+        RECT 212.420 53.750 212.680 54.010 ;
+        RECT 212.740 53.750 213.000 54.010 ;
+        RECT 365.380 53.750 365.640 54.010 ;
+        RECT 365.700 53.750 365.960 54.010 ;
+        RECT 366.020 53.750 366.280 54.010 ;
+        RECT 366.340 53.750 366.600 54.010 ;
+        RECT 518.980 53.750 519.240 54.010 ;
+        RECT 519.300 53.750 519.560 54.010 ;
+        RECT 519.620 53.750 519.880 54.010 ;
+        RECT 519.940 53.750 520.200 54.010 ;
+        RECT 50.850 52.560 51.110 52.820 ;
+        RECT 65.110 52.560 65.370 52.820 ;
+        RECT 72.930 52.560 73.190 52.820 ;
+        RECT 92.710 53.240 92.970 53.500 ;
+        RECT 88.110 52.900 88.370 53.160 ;
+        RECT 138.710 53.240 138.970 53.500 ;
+        RECT 53.150 52.220 53.410 52.480 ;
+        RECT 85.350 52.220 85.610 52.480 ;
+        RECT 91.790 52.560 92.050 52.820 ;
+        RECT 99.150 52.900 99.410 53.160 ;
+        RECT 133.190 52.900 133.450 53.160 ;
+        RECT 139.170 52.900 139.430 53.160 ;
+        RECT 145.150 53.240 145.410 53.500 ;
+        RECT 152.050 53.240 152.310 53.500 ;
+        RECT 152.510 53.240 152.770 53.500 ;
+        RECT 163.550 53.240 163.810 53.500 ;
+        RECT 184.250 53.240 184.510 53.500 ;
+        RECT 186.550 53.240 186.810 53.500 ;
+        RECT 188.390 53.240 188.650 53.500 ;
+        RECT 148.370 52.900 148.630 53.160 ;
+        RECT 210.930 53.240 211.190 53.500 ;
+        RECT 219.210 53.240 219.470 53.500 ;
+        RECT 248.190 53.240 248.450 53.500 ;
+        RECT 249.110 53.240 249.370 53.500 ;
+        RECT 260.150 53.240 260.410 53.500 ;
+        RECT 98.690 52.560 98.950 52.820 ;
+        RECT 100.070 52.560 100.330 52.820 ;
+        RECT 107.430 52.560 107.690 52.820 ;
+        RECT 121.690 52.560 121.950 52.820 ;
+        RECT 113.410 52.220 113.670 52.480 ;
+        RECT 114.330 52.220 114.590 52.480 ;
+        RECT 141.930 52.560 142.190 52.820 ;
+        RECT 153.890 52.560 154.150 52.820 ;
+        RECT 125.830 52.220 126.090 52.480 ;
+        RECT 128.590 52.220 128.850 52.480 ;
+        RECT 132.270 52.220 132.530 52.480 ;
+        RECT 139.630 52.220 139.890 52.480 ;
+        RECT 149.750 52.220 150.010 52.480 ;
+        RECT 156.650 52.560 156.910 52.820 ;
+        RECT 158.950 52.560 159.210 52.820 ;
+        RECT 170.450 52.220 170.710 52.480 ;
+        RECT 171.830 52.560 172.090 52.820 ;
+        RECT 178.730 52.560 178.990 52.820 ;
+        RECT 181.950 52.220 182.210 52.480 ;
+        RECT 183.790 52.220 184.050 52.480 ;
+        RECT 190.690 52.560 190.950 52.820 ;
+        RECT 212.310 52.560 212.570 52.820 ;
+        RECT 197.590 52.220 197.850 52.480 ;
+        RECT 202.650 52.220 202.910 52.480 ;
+        RECT 47.170 51.540 47.430 51.800 ;
+        RECT 81.670 51.880 81.930 52.140 ;
+        RECT 125.370 51.880 125.630 52.140 ;
+        RECT 127.670 51.880 127.930 52.140 ;
+        RECT 136.870 51.880 137.130 52.140 ;
+        RECT 140.090 51.880 140.350 52.140 ;
+        RECT 77.530 51.540 77.790 51.800 ;
+        RECT 89.950 51.540 90.210 51.800 ;
+        RECT 108.350 51.540 108.610 51.800 ;
+        RECT 119.390 51.540 119.650 51.800 ;
+        RECT 133.190 51.540 133.450 51.800 ;
+        RECT 138.710 51.540 138.970 51.800 ;
+        RECT 154.810 51.880 155.070 52.140 ;
+        RECT 178.270 51.880 178.530 52.140 ;
+        RECT 190.690 51.880 190.950 52.140 ;
+        RECT 191.610 51.540 191.870 51.800 ;
+        RECT 197.130 51.540 197.390 51.800 ;
+        RECT 213.230 52.220 213.490 52.480 ;
+        RECT 220.130 52.220 220.390 52.480 ;
+        RECT 228.870 52.900 229.130 53.160 ;
+        RECT 238.070 52.900 238.330 53.160 ;
+        RECT 238.990 52.900 239.250 53.160 ;
+        RECT 252.790 52.900 253.050 53.160 ;
+        RECT 204.030 51.540 204.290 51.800 ;
+        RECT 205.410 51.540 205.670 51.800 ;
+        RECT 225.190 51.540 225.450 51.800 ;
+        RECT 226.570 52.220 226.830 52.480 ;
+        RECT 228.870 52.220 229.130 52.480 ;
+        RECT 243.130 52.560 243.390 52.820 ;
+        RECT 256.470 52.560 256.730 52.820 ;
+        RECT 235.310 52.220 235.570 52.480 ;
+        RECT 238.070 52.220 238.330 52.480 ;
+        RECT 230.250 51.880 230.510 52.140 ;
+        RECT 235.770 51.880 236.030 52.140 ;
+        RECT 249.110 52.220 249.370 52.480 ;
+        RECT 249.570 52.220 249.830 52.480 ;
+        RECT 258.770 52.220 259.030 52.480 ;
+        RECT 261.990 53.240 262.250 53.500 ;
+        RECT 279.470 53.240 279.730 53.500 ;
+        RECT 279.930 53.240 280.190 53.500 ;
+        RECT 290.510 53.240 290.770 53.500 ;
+        RECT 328.690 53.240 328.950 53.500 ;
+        RECT 350.310 53.240 350.570 53.500 ;
+        RECT 268.430 52.900 268.690 53.160 ;
+        RECT 302.930 52.900 303.190 53.160 ;
+        RECT 261.990 52.560 262.250 52.820 ;
+        RECT 273.030 52.560 273.290 52.820 ;
+        RECT 277.170 52.560 277.430 52.820 ;
+        RECT 277.630 52.560 277.890 52.820 ;
+        RECT 284.070 52.560 284.330 52.820 ;
+        RECT 288.210 52.560 288.470 52.820 ;
+        RECT 327.770 52.900 328.030 53.160 ;
+        RECT 270.270 52.220 270.530 52.480 ;
+        RECT 272.570 52.220 272.830 52.480 ;
+        RECT 274.410 52.220 274.670 52.480 ;
+        RECT 275.330 52.220 275.590 52.480 ;
+        RECT 282.690 52.220 282.950 52.480 ;
+        RECT 227.030 51.540 227.290 51.800 ;
+        RECT 241.750 51.540 242.010 51.800 ;
+        RECT 244.970 51.540 245.230 51.800 ;
+        RECT 251.410 51.540 251.670 51.800 ;
+        RECT 251.870 51.540 252.130 51.800 ;
+        RECT 253.710 51.540 253.970 51.800 ;
+        RECT 256.470 51.540 256.730 51.800 ;
+        RECT 281.770 51.880 282.030 52.140 ;
+        RECT 283.610 52.220 283.870 52.480 ;
+        RECT 285.450 52.220 285.710 52.480 ;
+        RECT 295.570 52.220 295.830 52.480 ;
+        RECT 286.370 51.880 286.630 52.140 ;
+        RECT 274.870 51.540 275.130 51.800 ;
+        RECT 280.390 51.540 280.650 51.800 ;
+        RECT 287.750 51.540 288.010 51.800 ;
+        RECT 298.330 52.220 298.590 52.480 ;
+        RECT 315.350 52.560 315.610 52.820 ;
+        RECT 320.870 52.560 321.130 52.820 ;
+        RECT 308.910 52.220 309.170 52.480 ;
+        RECT 309.830 52.220 310.090 52.480 ;
+        RECT 311.670 52.220 311.930 52.480 ;
+        RECT 317.190 52.220 317.450 52.480 ;
+        RECT 318.110 52.220 318.370 52.480 ;
+        RECT 323.630 52.220 323.890 52.480 ;
+        RECT 326.850 52.560 327.110 52.820 ;
+        RECT 329.610 52.560 329.870 52.820 ;
+        RECT 335.130 52.560 335.390 52.820 ;
+        RECT 342.950 52.900 343.210 53.160 ;
+        RECT 346.170 52.560 346.430 52.820 ;
+        RECT 352.610 52.900 352.870 53.160 ;
+        RECT 371.930 53.240 372.190 53.500 ;
+        RECT 382.050 53.240 382.310 53.500 ;
+        RECT 357.670 52.560 357.930 52.820 ;
+        RECT 358.590 52.560 358.850 52.820 ;
+        RECT 327.770 52.220 328.030 52.480 ;
+        RECT 329.150 52.220 329.410 52.480 ;
+        RECT 330.070 52.220 330.330 52.480 ;
+        RECT 332.370 52.220 332.630 52.480 ;
+        RECT 332.830 52.220 333.090 52.480 ;
+        RECT 334.210 51.880 334.470 52.140 ;
+        RECT 343.410 52.220 343.670 52.480 ;
+        RECT 351.690 52.220 351.950 52.480 ;
+        RECT 353.530 52.220 353.790 52.480 ;
+        RECT 358.130 52.220 358.390 52.480 ;
+        RECT 359.510 51.880 359.770 52.140 ;
+        RECT 368.710 52.220 368.970 52.480 ;
+        RECT 372.850 52.220 373.110 52.480 ;
+        RECT 377.450 52.900 377.710 53.160 ;
+        RECT 375.150 52.560 375.410 52.820 ;
+        RECT 387.570 52.220 387.830 52.480 ;
+        RECT 388.490 52.220 388.750 52.480 ;
+        RECT 630.910 52.220 631.170 52.480 ;
+        RECT 308.910 51.540 309.170 51.800 ;
+        RECT 312.130 51.540 312.390 51.800 ;
+        RECT 318.110 51.540 318.370 51.800 ;
+        RECT 318.570 51.540 318.830 51.800 ;
+        RECT 327.310 51.540 327.570 51.800 ;
+        RECT 328.230 51.540 328.490 51.800 ;
+        RECT 330.070 51.540 330.330 51.800 ;
+        RECT 332.830 51.540 333.090 51.800 ;
+        RECT 336.050 51.540 336.310 51.800 ;
+        RECT 354.910 51.540 355.170 51.800 ;
+        RECT 393.550 51.880 393.810 52.140 ;
+        RECT 385.730 51.540 385.990 51.800 ;
+        RECT 134.980 51.030 135.240 51.290 ;
+        RECT 135.300 51.030 135.560 51.290 ;
+        RECT 135.620 51.030 135.880 51.290 ;
+        RECT 135.940 51.030 136.200 51.290 ;
+        RECT 288.580 51.030 288.840 51.290 ;
+        RECT 288.900 51.030 289.160 51.290 ;
+        RECT 289.220 51.030 289.480 51.290 ;
+        RECT 289.540 51.030 289.800 51.290 ;
+        RECT 442.180 51.030 442.440 51.290 ;
+        RECT 442.500 51.030 442.760 51.290 ;
+        RECT 442.820 51.030 443.080 51.290 ;
+        RECT 443.140 51.030 443.400 51.290 ;
+        RECT 595.780 51.030 596.040 51.290 ;
+        RECT 596.100 51.030 596.360 51.290 ;
+        RECT 596.420 51.030 596.680 51.290 ;
+        RECT 596.740 51.030 597.000 51.290 ;
+        RECT 56.830 50.520 57.090 50.780 ;
+        RECT 72.470 50.520 72.730 50.780 ;
+        RECT 98.690 50.520 98.950 50.780 ;
+        RECT 100.530 50.520 100.790 50.780 ;
+        RECT 60.050 50.180 60.310 50.440 ;
+        RECT 86.730 50.180 86.990 50.440 ;
+        RECT 61.890 49.500 62.150 49.760 ;
+        RECT 77.990 49.840 78.250 50.100 ;
+        RECT 78.910 49.840 79.170 50.100 ;
+        RECT 80.750 49.840 81.010 50.100 ;
+        RECT 91.790 49.840 92.050 50.100 ;
+        RECT 106.050 50.180 106.310 50.440 ;
+        RECT 99.610 49.840 99.870 50.100 ;
+        RECT 89.950 49.500 90.210 49.760 ;
+        RECT 91.330 49.500 91.590 49.760 ;
+        RECT 106.050 49.500 106.310 49.760 ;
+        RECT 112.030 49.840 112.290 50.100 ;
+        RECT 133.190 50.520 133.450 50.780 ;
+        RECT 157.110 50.520 157.370 50.780 ;
+        RECT 162.630 50.520 162.890 50.780 ;
+        RECT 130.890 50.180 131.150 50.440 ;
+        RECT 141.930 50.180 142.190 50.440 ;
+        RECT 112.490 49.500 112.750 49.760 ;
+        RECT 120.310 49.840 120.570 50.100 ;
+        RECT 126.290 49.840 126.550 50.100 ;
+        RECT 133.650 49.840 133.910 50.100 ;
+        RECT 139.630 49.840 139.890 50.100 ;
+        RECT 148.830 50.180 149.090 50.440 ;
+        RECT 162.170 50.180 162.430 50.440 ;
+        RECT 194.830 50.520 195.090 50.780 ;
+        RECT 191.610 50.180 191.870 50.440 ;
+        RECT 213.690 50.520 213.950 50.780 ;
+        RECT 249.570 50.520 249.830 50.780 ;
+        RECT 250.030 50.520 250.290 50.780 ;
+        RECT 256.930 50.520 257.190 50.780 ;
+        RECT 259.690 50.520 259.950 50.780 ;
+        RECT 272.570 50.520 272.830 50.780 ;
+        RECT 282.690 50.520 282.950 50.780 ;
+        RECT 147.910 49.840 148.170 50.100 ;
+        RECT 125.830 49.500 126.090 49.760 ;
+        RECT 130.430 49.500 130.690 49.760 ;
+        RECT 134.570 49.500 134.830 49.760 ;
+        RECT 153.890 49.500 154.150 49.760 ;
+        RECT 155.270 49.840 155.530 50.100 ;
+        RECT 163.090 49.840 163.350 50.100 ;
+        RECT 170.910 49.840 171.170 50.100 ;
+        RECT 181.950 49.840 182.210 50.100 ;
+        RECT 192.070 49.840 192.330 50.100 ;
+        RECT 194.370 49.840 194.630 50.100 ;
+        RECT 205.410 49.840 205.670 50.100 ;
+        RECT 213.230 49.840 213.490 50.100 ;
+        RECT 214.610 49.840 214.870 50.100 ;
+        RECT 219.670 49.840 219.930 50.100 ;
+        RECT 224.730 49.840 224.990 50.100 ;
+        RECT 66.490 49.160 66.750 49.420 ;
+        RECT 90.870 49.160 91.130 49.420 ;
+        RECT 70.170 48.820 70.430 49.080 ;
+        RECT 86.270 48.820 86.530 49.080 ;
+        RECT 87.190 48.820 87.450 49.080 ;
+        RECT 96.850 48.820 97.110 49.080 ;
+        RECT 108.810 48.820 109.070 49.080 ;
+        RECT 150.670 48.820 150.930 49.080 ;
+        RECT 175.970 49.500 176.230 49.760 ;
+        RECT 191.150 49.500 191.410 49.760 ;
+        RECT 185.170 48.820 185.430 49.080 ;
+        RECT 193.450 49.160 193.710 49.420 ;
+        RECT 188.850 48.820 189.110 49.080 ;
+        RECT 197.590 49.500 197.850 49.760 ;
+        RECT 210.470 49.500 210.730 49.760 ;
+        RECT 202.650 49.160 202.910 49.420 ;
+        RECT 223.350 49.160 223.610 49.420 ;
+        RECT 230.710 50.180 230.970 50.440 ;
+        RECT 228.870 49.840 229.130 50.100 ;
+        RECT 238.990 50.180 239.250 50.440 ;
+        RECT 241.290 49.840 241.550 50.100 ;
+        RECT 242.210 49.840 242.470 50.100 ;
+        RECT 252.790 49.840 253.050 50.100 ;
+        RECT 256.930 49.840 257.190 50.100 ;
+        RECT 257.850 50.180 258.110 50.440 ;
+        RECT 258.770 50.180 259.030 50.440 ;
+        RECT 260.610 49.840 260.870 50.100 ;
+        RECT 265.670 49.840 265.930 50.100 ;
+        RECT 272.110 49.840 272.370 50.100 ;
+        RECT 275.790 50.180 276.050 50.440 ;
+        RECT 291.890 50.520 292.150 50.780 ;
+        RECT 292.350 50.520 292.610 50.780 ;
+        RECT 280.390 49.840 280.650 50.100 ;
+        RECT 282.230 49.840 282.490 50.100 ;
+        RECT 283.150 49.840 283.410 50.100 ;
+        RECT 295.110 50.180 295.370 50.440 ;
+        RECT 284.990 49.840 285.250 50.100 ;
+        RECT 287.750 49.840 288.010 50.100 ;
+        RECT 292.810 49.840 293.070 50.100 ;
+        RECT 294.190 49.840 294.450 50.100 ;
+        RECT 299.250 49.840 299.510 50.100 ;
+        RECT 303.390 49.840 303.650 50.100 ;
+        RECT 318.110 50.520 318.370 50.780 ;
+        RECT 331.910 50.520 332.170 50.780 ;
+        RECT 319.030 50.180 319.290 50.440 ;
+        RECT 325.470 50.180 325.730 50.440 ;
+        RECT 325.930 50.180 326.190 50.440 ;
+        RECT 227.030 49.500 227.290 49.760 ;
+        RECT 234.850 49.500 235.110 49.760 ;
+        RECT 235.770 49.160 236.030 49.420 ;
+        RECT 259.690 49.500 259.950 49.760 ;
+        RECT 197.130 48.820 197.390 49.080 ;
+        RECT 197.590 48.820 197.850 49.080 ;
+        RECT 202.190 48.820 202.450 49.080 ;
+        RECT 203.110 48.820 203.370 49.080 ;
+        RECT 205.410 48.820 205.670 49.080 ;
+        RECT 217.830 48.820 218.090 49.080 ;
+        RECT 228.410 48.820 228.670 49.080 ;
+        RECT 241.750 48.820 242.010 49.080 ;
+        RECT 243.130 48.820 243.390 49.080 ;
+        RECT 246.350 49.160 246.610 49.420 ;
+        RECT 270.270 49.500 270.530 49.760 ;
+        RECT 276.250 49.500 276.510 49.760 ;
+        RECT 287.750 49.160 288.010 49.420 ;
+        RECT 296.490 49.500 296.750 49.760 ;
+        RECT 304.310 49.500 304.570 49.760 ;
+        RECT 310.750 49.500 311.010 49.760 ;
+        RECT 295.110 49.160 295.370 49.420 ;
+        RECT 259.690 48.820 259.950 49.080 ;
+        RECT 270.270 48.820 270.530 49.080 ;
+        RECT 274.410 48.820 274.670 49.080 ;
+        RECT 276.250 48.820 276.510 49.080 ;
+        RECT 283.150 48.820 283.410 49.080 ;
+        RECT 290.510 48.820 290.770 49.080 ;
+        RECT 292.350 48.820 292.610 49.080 ;
+        RECT 314.890 48.820 315.150 49.080 ;
+        RECT 326.850 49.840 327.110 50.100 ;
+        RECT 328.230 50.180 328.490 50.440 ;
+        RECT 331.450 49.840 331.710 50.100 ;
+        RECT 336.050 49.840 336.310 50.100 ;
+        RECT 337.430 49.840 337.690 50.100 ;
+        RECT 345.250 50.180 345.510 50.440 ;
+        RECT 348.010 50.520 348.270 50.780 ;
+        RECT 354.910 50.520 355.170 50.780 ;
+        RECT 347.550 50.180 347.810 50.440 ;
+        RECT 353.070 49.840 353.330 50.100 ;
+        RECT 359.050 50.180 359.310 50.440 ;
+        RECT 374.690 50.520 374.950 50.780 ;
+        RECT 376.070 50.520 376.330 50.780 ;
+        RECT 382.050 50.520 382.310 50.780 ;
+        RECT 394.930 50.520 395.190 50.780 ;
+        RECT 343.410 49.500 343.670 49.760 ;
+        RECT 327.310 48.820 327.570 49.080 ;
+        RECT 344.330 49.160 344.590 49.420 ;
+        RECT 353.070 49.160 353.330 49.420 ;
+        RECT 382.510 50.180 382.770 50.440 ;
+        RECT 382.970 50.180 383.230 50.440 ;
+        RECT 391.250 50.180 391.510 50.440 ;
+        RECT 368.710 49.840 368.970 50.100 ;
+        RECT 360.430 49.500 360.690 49.760 ;
+        RECT 375.150 49.840 375.410 50.100 ;
+        RECT 400.910 49.840 401.170 50.100 ;
+        RECT 366.870 49.160 367.130 49.420 ;
+        RECT 342.950 48.820 343.210 49.080 ;
+        RECT 350.310 48.820 350.570 49.080 ;
+        RECT 357.670 48.820 357.930 49.080 ;
+        RECT 359.510 48.820 359.770 49.080 ;
+        RECT 392.170 48.820 392.430 49.080 ;
+        RECT 395.850 48.820 396.110 49.080 ;
+        RECT 403.210 48.820 403.470 49.080 ;
+        RECT 414.250 48.820 414.510 49.080 ;
+        RECT 428.970 48.820 429.230 49.080 ;
+        RECT 436.330 48.820 436.590 49.080 ;
+        RECT 447.370 48.820 447.630 49.080 ;
+        RECT 458.410 48.820 458.670 49.080 ;
+        RECT 469.450 48.820 469.710 49.080 ;
+        RECT 480.490 48.820 480.750 49.080 ;
+        RECT 487.850 48.820 488.110 49.080 ;
+        RECT 513.610 48.820 513.870 49.080 ;
+        RECT 520.970 48.820 521.230 49.080 ;
+        RECT 535.690 48.820 535.950 49.080 ;
+        RECT 543.050 48.820 543.310 49.080 ;
+        RECT 554.090 48.820 554.350 49.080 ;
+        RECT 564.670 48.820 564.930 49.080 ;
+        RECT 572.030 48.820 572.290 49.080 ;
+        RECT 597.790 48.820 598.050 49.080 ;
+        RECT 619.870 48.820 620.130 49.080 ;
+        RECT 627.230 48.820 627.490 49.080 ;
+        RECT 58.180 48.310 58.440 48.570 ;
+        RECT 58.500 48.310 58.760 48.570 ;
+        RECT 58.820 48.310 59.080 48.570 ;
+        RECT 59.140 48.310 59.400 48.570 ;
+        RECT 211.780 48.310 212.040 48.570 ;
+        RECT 212.100 48.310 212.360 48.570 ;
+        RECT 212.420 48.310 212.680 48.570 ;
+        RECT 212.740 48.310 213.000 48.570 ;
+        RECT 365.380 48.310 365.640 48.570 ;
+        RECT 365.700 48.310 365.960 48.570 ;
+        RECT 366.020 48.310 366.280 48.570 ;
+        RECT 366.340 48.310 366.600 48.570 ;
+        RECT 518.980 48.310 519.240 48.570 ;
+        RECT 519.300 48.310 519.560 48.570 ;
+        RECT 519.620 48.310 519.880 48.570 ;
+        RECT 519.940 48.310 520.200 48.570 ;
+        RECT 83.970 47.800 84.230 48.060 ;
+        RECT 99.150 47.800 99.410 48.060 ;
+        RECT 106.970 47.800 107.230 48.060 ;
+        RECT 146.530 47.800 146.790 48.060 ;
+        RECT 149.750 47.800 150.010 48.060 ;
+        RECT 153.430 47.800 153.690 48.060 ;
+        RECT 176.890 47.800 177.150 48.060 ;
+        RECT 184.710 47.800 184.970 48.060 ;
+        RECT 213.690 47.800 213.950 48.060 ;
+        RECT 219.670 47.800 219.930 48.060 ;
+        RECT 223.810 47.800 224.070 48.060 ;
+        RECT 224.730 47.800 224.990 48.060 ;
+        RECT 230.710 47.800 230.970 48.060 ;
+        RECT 233.930 47.800 234.190 48.060 ;
+        RECT 234.390 47.800 234.650 48.060 ;
+        RECT 240.370 47.800 240.630 48.060 ;
+        RECT 55.450 47.460 55.710 47.720 ;
+        RECT 42.110 47.120 42.370 47.380 ;
+        RECT 78.450 47.120 78.710 47.380 ;
+        RECT 89.030 47.120 89.290 47.380 ;
+        RECT 43.490 46.780 43.750 47.040 ;
+        RECT 48.090 46.440 48.350 46.700 ;
+        RECT 63.730 46.440 63.990 46.700 ;
+        RECT 90.410 46.780 90.670 47.040 ;
+        RECT 91.790 47.460 92.050 47.720 ;
+        RECT 105.590 47.460 105.850 47.720 ;
+        RECT 91.330 47.120 91.590 47.380 ;
+        RECT 119.390 47.460 119.650 47.720 ;
+        RECT 120.310 47.460 120.570 47.720 ;
+        RECT 125.830 47.460 126.090 47.720 ;
+        RECT 93.170 46.780 93.430 47.040 ;
+        RECT 114.790 47.120 115.050 47.380 ;
+        RECT 106.050 46.780 106.310 47.040 ;
+        RECT 40.730 46.100 40.990 46.360 ;
+        RECT 84.890 46.440 85.150 46.700 ;
+        RECT 102.370 46.440 102.630 46.700 ;
+        RECT 112.490 46.780 112.750 47.040 ;
+        RECT 118.010 47.120 118.270 47.380 ;
+        RECT 118.470 47.120 118.730 47.380 ;
+        RECT 134.570 47.460 134.830 47.720 ;
+        RECT 128.590 47.120 128.850 47.380 ;
+        RECT 119.390 46.780 119.650 47.040 ;
+        RECT 136.870 47.120 137.130 47.380 ;
+        RECT 143.770 46.780 144.030 47.040 ;
+        RECT 147.450 47.460 147.710 47.720 ;
+        RECT 167.230 47.460 167.490 47.720 ;
+        RECT 153.890 47.120 154.150 47.380 ;
+        RECT 189.770 47.460 190.030 47.720 ;
+        RECT 217.830 47.460 218.090 47.720 ;
+        RECT 219.210 47.460 219.470 47.720 ;
+        RECT 226.570 47.460 226.830 47.720 ;
+        RECT 228.410 47.460 228.670 47.720 ;
+        RECT 238.990 47.460 239.250 47.720 ;
+        RECT 246.810 47.800 247.070 48.060 ;
+        RECT 247.270 47.800 247.530 48.060 ;
+        RECT 268.430 47.800 268.690 48.060 ;
+        RECT 170.910 47.120 171.170 47.380 ;
+        RECT 145.150 46.780 145.410 47.040 ;
+        RECT 112.950 46.440 113.210 46.700 ;
+        RECT 115.710 46.440 115.970 46.700 ;
+        RECT 118.930 46.440 119.190 46.700 ;
+        RECT 120.770 46.440 121.030 46.700 ;
+        RECT 85.350 46.100 85.610 46.360 ;
+        RECT 86.270 46.100 86.530 46.360 ;
+        RECT 92.710 46.100 92.970 46.360 ;
+        RECT 98.230 46.100 98.490 46.360 ;
+        RECT 104.670 46.100 104.930 46.360 ;
+        RECT 137.790 46.440 138.050 46.700 ;
+        RECT 147.450 46.780 147.710 47.040 ;
+        RECT 160.330 46.780 160.590 47.040 ;
+        RECT 177.810 46.780 178.070 47.040 ;
+        RECT 184.710 46.780 184.970 47.040 ;
+        RECT 205.410 47.120 205.670 47.380 ;
+        RECT 194.830 46.780 195.090 47.040 ;
+        RECT 197.130 46.780 197.390 47.040 ;
+        RECT 218.290 47.120 218.550 47.380 ;
+        RECT 230.250 47.120 230.510 47.380 ;
+        RECT 230.710 47.120 230.970 47.380 ;
+        RECT 231.630 47.120 231.890 47.380 ;
+        RECT 233.010 47.120 233.270 47.380 ;
+        RECT 234.850 47.120 235.110 47.380 ;
+        RECT 242.670 47.460 242.930 47.720 ;
+        RECT 253.710 47.460 253.970 47.720 ;
+        RECT 264.290 47.460 264.550 47.720 ;
+        RECT 276.250 47.800 276.510 48.060 ;
+        RECT 283.610 47.800 283.870 48.060 ;
+        RECT 274.410 47.460 274.670 47.720 ;
+        RECT 309.830 47.460 310.090 47.720 ;
+        RECT 311.670 47.800 311.930 48.060 ;
+        RECT 317.650 47.800 317.910 48.060 ;
+        RECT 325.930 47.800 326.190 48.060 ;
+        RECT 333.750 47.800 334.010 48.060 ;
+        RECT 334.210 47.800 334.470 48.060 ;
+        RECT 332.370 47.460 332.630 47.720 ;
+        RECT 141.010 46.100 141.270 46.360 ;
+        RECT 142.390 46.100 142.650 46.360 ;
+        RECT 156.650 46.100 156.910 46.360 ;
+        RECT 160.330 46.100 160.590 46.360 ;
+        RECT 165.850 46.100 166.110 46.360 ;
+        RECT 196.670 46.440 196.930 46.700 ;
+        RECT 202.190 46.440 202.450 46.700 ;
+        RECT 214.150 46.780 214.410 47.040 ;
+        RECT 220.130 46.780 220.390 47.040 ;
+        RECT 228.410 46.780 228.670 47.040 ;
+        RECT 240.370 46.780 240.630 47.040 ;
+        RECT 227.490 46.440 227.750 46.700 ;
+        RECT 231.170 46.440 231.430 46.700 ;
+        RECT 231.630 46.440 231.890 46.700 ;
+        RECT 233.470 46.440 233.730 46.700 ;
+        RECT 233.930 46.440 234.190 46.700 ;
+        RECT 238.070 46.440 238.330 46.700 ;
+        RECT 238.990 46.440 239.250 46.700 ;
+        RECT 245.430 47.120 245.690 47.380 ;
+        RECT 252.330 47.120 252.590 47.380 ;
+        RECT 243.590 46.780 243.850 47.040 ;
+        RECT 244.050 46.780 244.310 47.040 ;
+        RECT 251.870 46.780 252.130 47.040 ;
+        RECT 253.250 46.780 253.510 47.040 ;
+        RECT 256.010 46.780 256.270 47.040 ;
+        RECT 261.070 46.780 261.330 47.040 ;
+        RECT 270.730 46.780 270.990 47.040 ;
+        RECT 254.630 46.440 254.890 46.700 ;
+        RECT 256.470 46.440 256.730 46.700 ;
+        RECT 275.790 46.780 276.050 47.040 ;
+        RECT 284.070 46.780 284.330 47.040 ;
+        RECT 214.610 46.100 214.870 46.360 ;
+        RECT 228.410 46.100 228.670 46.360 ;
+        RECT 246.350 46.100 246.610 46.360 ;
+        RECT 246.810 46.100 247.070 46.360 ;
+        RECT 262.450 46.100 262.710 46.360 ;
+        RECT 286.830 46.440 287.090 46.700 ;
+        RECT 284.530 46.100 284.790 46.360 ;
+        RECT 285.450 46.100 285.710 46.360 ;
+        RECT 292.350 47.120 292.610 47.380 ;
+        RECT 293.270 47.120 293.530 47.380 ;
+        RECT 289.130 46.780 289.390 47.040 ;
+        RECT 290.970 46.780 291.230 47.040 ;
+        RECT 298.330 46.780 298.590 47.040 ;
+        RECT 299.710 46.780 299.970 47.040 ;
+        RECT 316.270 47.120 316.530 47.380 ;
+        RECT 302.930 46.100 303.190 46.360 ;
+        RECT 306.150 46.780 306.410 47.040 ;
+        RECT 314.430 46.780 314.690 47.040 ;
+        RECT 314.890 46.780 315.150 47.040 ;
+        RECT 338.350 47.120 338.610 47.380 ;
+        RECT 342.950 47.800 343.210 48.060 ;
+        RECT 361.810 47.800 362.070 48.060 ;
+        RECT 362.270 47.800 362.530 48.060 ;
+        RECT 380.210 47.800 380.470 48.060 ;
+        RECT 350.770 47.120 351.030 47.380 ;
+        RECT 326.390 46.780 326.650 47.040 ;
+        RECT 332.830 46.780 333.090 47.040 ;
+        RECT 333.290 46.780 333.550 47.040 ;
+        RECT 340.190 46.780 340.450 47.040 ;
+        RECT 330.990 46.440 331.250 46.700 ;
+        RECT 334.210 46.440 334.470 46.700 ;
+        RECT 311.210 46.100 311.470 46.360 ;
+        RECT 312.590 46.100 312.850 46.360 ;
+        RECT 324.090 46.100 324.350 46.360 ;
+        RECT 325.470 46.100 325.730 46.360 ;
+        RECT 338.810 46.100 339.070 46.360 ;
+        RECT 339.730 46.100 339.990 46.360 ;
+        RECT 341.570 46.780 341.830 47.040 ;
+        RECT 347.550 46.780 347.810 47.040 ;
+        RECT 342.490 46.440 342.750 46.700 ;
+        RECT 353.530 46.780 353.790 47.040 ;
+        RECT 345.710 46.100 345.970 46.360 ;
+        RECT 356.750 46.440 357.010 46.700 ;
+        RECT 352.610 46.100 352.870 46.360 ;
+        RECT 357.670 46.100 357.930 46.360 ;
+        RECT 367.790 46.440 368.050 46.700 ;
+        RECT 369.170 46.780 369.430 47.040 ;
+        RECT 370.550 47.120 370.810 47.380 ;
+        RECT 373.770 47.120 374.030 47.380 ;
+        RECT 399.530 46.780 399.790 47.040 ;
+        RECT 406.890 46.780 407.150 47.040 ;
+        RECT 410.570 46.780 410.830 47.040 ;
+        RECT 417.930 46.780 418.190 47.040 ;
+        RECT 421.610 46.780 421.870 47.040 ;
+        RECT 425.290 46.780 425.550 47.040 ;
+        RECT 440.010 46.780 440.270 47.040 ;
+        RECT 443.690 46.780 443.950 47.040 ;
+        RECT 451.050 46.780 451.310 47.040 ;
+        RECT 454.730 46.780 454.990 47.040 ;
+        RECT 465.770 46.780 466.030 47.040 ;
+        RECT 473.130 46.780 473.390 47.040 ;
+        RECT 476.810 46.780 477.070 47.040 ;
+        RECT 484.170 46.780 484.430 47.040 ;
+        RECT 491.530 46.780 491.790 47.040 ;
+        RECT 495.210 46.780 495.470 47.040 ;
+        RECT 498.890 46.780 499.150 47.040 ;
+        RECT 506.250 46.780 506.510 47.040 ;
+        RECT 517.290 46.780 517.550 47.040 ;
+        RECT 524.650 46.780 524.910 47.040 ;
+        RECT 528.330 46.780 528.590 47.040 ;
+        RECT 532.010 46.780 532.270 47.040 ;
+        RECT 539.370 46.780 539.630 47.040 ;
+        RECT 546.730 46.780 546.990 47.040 ;
+        RECT 550.410 46.780 550.670 47.040 ;
+        RECT 557.770 46.780 558.030 47.040 ;
+        RECT 561.450 46.780 561.710 47.040 ;
+        RECT 568.350 46.780 568.610 47.040 ;
+        RECT 575.710 46.780 575.970 47.040 ;
+        RECT 579.390 46.780 579.650 47.040 ;
+        RECT 583.070 46.780 583.330 47.040 ;
+        RECT 590.430 46.780 590.690 47.040 ;
+        RECT 594.110 46.780 594.370 47.040 ;
+        RECT 601.470 46.780 601.730 47.040 ;
+        RECT 608.830 46.780 609.090 47.040 ;
+        RECT 612.510 46.780 612.770 47.040 ;
+        RECT 616.190 46.780 616.450 47.040 ;
+        RECT 623.550 46.780 623.810 47.040 ;
+        RECT 375.150 46.440 375.410 46.700 ;
+        RECT 381.130 46.440 381.390 46.700 ;
+        RECT 389.870 46.440 390.130 46.700 ;
+        RECT 370.090 46.100 370.350 46.360 ;
+        RECT 386.190 46.100 386.450 46.360 ;
+        RECT 386.650 46.100 386.910 46.360 ;
+        RECT 398.610 46.100 398.870 46.360 ;
+        RECT 134.980 45.590 135.240 45.850 ;
+        RECT 135.300 45.590 135.560 45.850 ;
+        RECT 135.620 45.590 135.880 45.850 ;
+        RECT 135.940 45.590 136.200 45.850 ;
+        RECT 288.580 45.590 288.840 45.850 ;
+        RECT 288.900 45.590 289.160 45.850 ;
+        RECT 289.220 45.590 289.480 45.850 ;
+        RECT 289.540 45.590 289.800 45.850 ;
+        RECT 442.180 45.590 442.440 45.850 ;
+        RECT 442.500 45.590 442.760 45.850 ;
+        RECT 442.820 45.590 443.080 45.850 ;
+        RECT 443.140 45.590 443.400 45.850 ;
+        RECT 595.780 45.590 596.040 45.850 ;
+        RECT 596.100 45.590 596.360 45.850 ;
+        RECT 596.420 45.590 596.680 45.850 ;
+        RECT 596.740 45.590 597.000 45.850 ;
+        RECT 39.810 44.060 40.070 44.320 ;
+        RECT 78.450 44.740 78.710 45.000 ;
+        RECT 89.490 44.740 89.750 45.000 ;
+        RECT 97.310 44.740 97.570 45.000 ;
+        RECT 65.570 44.400 65.830 44.660 ;
+        RECT 90.870 44.400 91.130 44.660 ;
+        RECT 94.090 44.400 94.350 44.660 ;
+        RECT 100.530 44.400 100.790 44.660 ;
+        RECT 101.910 44.740 102.170 45.000 ;
+        RECT 110.190 44.740 110.450 45.000 ;
+        RECT 128.130 45.080 128.390 45.340 ;
+        RECT 141.010 45.080 141.270 45.340 ;
+        RECT 115.250 44.400 115.510 44.660 ;
+        RECT 62.810 43.720 63.070 43.980 ;
+        RECT 118.470 44.060 118.730 44.320 ;
+        RECT 123.530 44.740 123.790 45.000 ;
+        RECT 127.670 44.740 127.930 45.000 ;
+        RECT 135.950 44.400 136.210 44.660 ;
+        RECT 136.870 44.400 137.130 44.660 ;
+        RECT 137.330 44.400 137.590 44.660 ;
+        RECT 186.090 45.080 186.350 45.340 ;
+        RECT 191.150 45.080 191.410 45.340 ;
+        RECT 216.450 45.080 216.710 45.340 ;
+        RECT 226.110 45.080 226.370 45.340 ;
+        RECT 162.630 44.740 162.890 45.000 ;
+        RECT 172.290 44.740 172.550 45.000 ;
+        RECT 185.170 44.740 185.430 45.000 ;
+        RECT 129.050 44.060 129.310 44.320 ;
+        RECT 153.890 44.400 154.150 44.660 ;
+        RECT 174.590 44.400 174.850 44.660 ;
+        RECT 178.270 44.400 178.530 44.660 ;
+        RECT 184.710 44.400 184.970 44.660 ;
+        RECT 205.870 44.400 206.130 44.660 ;
+        RECT 210.010 44.740 210.270 45.000 ;
+        RECT 208.170 44.400 208.430 44.660 ;
+        RECT 219.210 44.740 219.470 45.000 ;
+        RECT 232.090 45.080 232.350 45.340 ;
+        RECT 259.230 45.080 259.490 45.340 ;
+        RECT 240.830 44.740 241.090 45.000 ;
+        RECT 215.070 44.400 215.330 44.660 ;
+        RECT 216.450 44.400 216.710 44.660 ;
+        RECT 221.050 44.400 221.310 44.660 ;
+        RECT 221.510 44.400 221.770 44.660 ;
+        RECT 228.410 44.400 228.670 44.660 ;
+        RECT 229.790 44.400 230.050 44.660 ;
+        RECT 235.770 44.400 236.030 44.660 ;
+        RECT 238.990 44.400 239.250 44.660 ;
+        RECT 243.590 44.400 243.850 44.660 ;
+        RECT 247.270 44.740 247.530 45.000 ;
+        RECT 85.350 43.380 85.610 43.640 ;
+        RECT 119.390 43.720 119.650 43.980 ;
+        RECT 120.310 43.380 120.570 43.640 ;
+        RECT 123.530 43.380 123.790 43.640 ;
+        RECT 131.810 43.380 132.070 43.640 ;
+        RECT 136.870 43.720 137.130 43.980 ;
+        RECT 156.190 44.060 156.450 44.320 ;
+        RECT 156.650 43.720 156.910 43.980 ;
+        RECT 174.130 44.060 174.390 44.320 ;
+        RECT 179.650 44.060 179.910 44.320 ;
+        RECT 207.710 44.060 207.970 44.320 ;
+        RECT 230.710 44.060 230.970 44.320 ;
+        RECT 233.010 44.060 233.270 44.320 ;
+        RECT 257.850 44.400 258.110 44.660 ;
+        RECT 258.770 44.400 259.030 44.660 ;
+        RECT 270.730 44.400 270.990 44.660 ;
+        RECT 293.730 45.080 293.990 45.340 ;
+        RECT 213.690 43.720 213.950 43.980 ;
+        RECT 232.550 43.720 232.810 43.980 ;
+        RECT 237.610 43.720 237.870 43.980 ;
+        RECT 244.970 43.720 245.230 43.980 ;
+        RECT 267.970 44.060 268.230 44.320 ;
+        RECT 258.310 43.720 258.570 43.980 ;
+        RECT 275.790 44.060 276.050 44.320 ;
+        RECT 279.470 44.400 279.730 44.660 ;
+        RECT 292.350 44.740 292.610 45.000 ;
+        RECT 292.810 44.740 293.070 45.000 ;
+        RECT 297.870 45.080 298.130 45.340 ;
+        RECT 299.250 45.080 299.510 45.340 ;
+        RECT 305.230 45.080 305.490 45.340 ;
+        RECT 305.690 45.080 305.950 45.340 ;
+        RECT 357.210 45.080 357.470 45.340 ;
+        RECT 358.130 45.080 358.390 45.340 ;
+        RECT 288.670 44.400 288.930 44.660 ;
+        RECT 298.790 44.400 299.050 44.660 ;
+        RECT 312.590 44.740 312.850 45.000 ;
+        RECT 306.150 44.400 306.410 44.660 ;
+        RECT 326.390 44.740 326.650 45.000 ;
+        RECT 292.810 44.060 293.070 44.320 ;
+        RECT 298.330 44.060 298.590 44.320 ;
+        RECT 302.010 44.060 302.270 44.320 ;
+        RECT 163.090 43.380 163.350 43.640 ;
+        RECT 164.010 43.380 164.270 43.640 ;
+        RECT 216.450 43.380 216.710 43.640 ;
+        RECT 221.970 43.380 222.230 43.640 ;
+        RECT 236.690 43.380 236.950 43.640 ;
+        RECT 264.750 43.380 265.010 43.640 ;
+        RECT 313.510 43.720 313.770 43.980 ;
+        RECT 315.810 44.060 316.070 44.320 ;
+        RECT 319.950 43.720 320.210 43.980 ;
+        RECT 298.330 43.380 298.590 43.640 ;
+        RECT 308.450 43.380 308.710 43.640 ;
+        RECT 309.830 43.380 310.090 43.640 ;
+        RECT 317.650 43.380 317.910 43.640 ;
+        RECT 336.510 44.400 336.770 44.660 ;
+        RECT 345.250 44.400 345.510 44.660 ;
+        RECT 342.950 44.060 343.210 44.320 ;
+        RECT 338.350 43.720 338.610 43.980 ;
+        RECT 352.150 44.400 352.410 44.660 ;
+        RECT 358.130 44.400 358.390 44.660 ;
+        RECT 358.590 44.400 358.850 44.660 ;
+        RECT 381.130 44.400 381.390 44.660 ;
+        RECT 386.190 44.400 386.450 44.660 ;
+        RECT 333.290 43.380 333.550 43.640 ;
+        RECT 336.970 43.380 337.230 43.640 ;
+        RECT 358.130 43.380 358.390 43.640 ;
+        RECT 371.470 43.380 371.730 43.640 ;
+        RECT 379.750 43.380 380.010 43.640 ;
+        RECT 381.130 43.720 381.390 43.980 ;
+        RECT 404.590 43.380 404.850 43.640 ;
+        RECT 502.570 43.380 502.830 43.640 ;
+        RECT 586.750 43.380 587.010 43.640 ;
+        RECT 58.180 42.870 58.440 43.130 ;
+        RECT 58.500 42.870 58.760 43.130 ;
+        RECT 58.820 42.870 59.080 43.130 ;
+        RECT 59.140 42.870 59.400 43.130 ;
+        RECT 211.780 42.870 212.040 43.130 ;
+        RECT 212.100 42.870 212.360 43.130 ;
+        RECT 212.420 42.870 212.680 43.130 ;
+        RECT 212.740 42.870 213.000 43.130 ;
+        RECT 365.380 42.870 365.640 43.130 ;
+        RECT 365.700 42.870 365.960 43.130 ;
+        RECT 366.020 42.870 366.280 43.130 ;
+        RECT 366.340 42.870 366.600 43.130 ;
+        RECT 518.980 42.870 519.240 43.130 ;
+        RECT 519.300 42.870 519.560 43.130 ;
+        RECT 519.620 42.870 519.880 43.130 ;
+        RECT 519.940 42.870 520.200 43.130 ;
+        RECT 77.530 42.360 77.790 42.620 ;
+        RECT 115.250 42.360 115.510 42.620 ;
+        RECT 117.550 42.360 117.810 42.620 ;
+        RECT 84.890 42.020 85.150 42.280 ;
+        RECT 137.330 42.020 137.590 42.280 ;
+        RECT 137.790 42.020 138.050 42.280 ;
+        RECT 156.650 42.360 156.910 42.620 ;
+        RECT 213.690 42.360 213.950 42.620 ;
+        RECT 215.070 42.360 215.330 42.620 ;
+        RECT 221.510 42.360 221.770 42.620 ;
+        RECT 221.970 42.360 222.230 42.620 ;
+        RECT 237.150 42.360 237.410 42.620 ;
+        RECT 243.590 42.360 243.850 42.620 ;
+        RECT 178.270 42.020 178.530 42.280 ;
+        RECT 233.010 42.020 233.270 42.280 ;
+        RECT 282.230 42.360 282.490 42.620 ;
+        RECT 336.970 42.360 337.230 42.620 ;
+        RECT 287.290 42.020 287.550 42.280 ;
+        RECT 289.590 42.020 289.850 42.280 ;
+        RECT 301.090 42.020 301.350 42.280 ;
+        RECT 329.610 42.020 329.870 42.280 ;
+        RECT 357.210 42.360 357.470 42.620 ;
+        RECT 352.150 42.020 352.410 42.280 ;
+        RECT 402.290 42.020 402.550 42.280 ;
+        RECT 86.270 41.680 86.530 41.940 ;
+        RECT 164.470 41.680 164.730 41.940 ;
+        RECT 201.270 41.680 201.530 41.940 ;
+        RECT 264.750 41.680 265.010 41.940 ;
+        RECT 273.950 41.680 274.210 41.940 ;
+        RECT 302.010 41.680 302.270 41.940 ;
+        RECT 344.330 41.680 344.590 41.940 ;
+        RECT 379.750 41.680 380.010 41.940 ;
+        RECT 37.510 41.340 37.770 41.600 ;
+        RECT 345.250 41.340 345.510 41.600 ;
+        RECT 87.190 41.000 87.450 41.260 ;
+        RECT 175.510 41.000 175.770 41.260 ;
+        RECT 208.170 41.000 208.430 41.260 ;
+        RECT 239.910 41.000 240.170 41.260 ;
+        RECT 342.950 41.000 343.210 41.260 ;
+        RECT 118.470 40.660 118.730 40.920 ;
+        RECT 136.870 40.660 137.130 40.920 ;
+        RECT 143.770 40.660 144.030 40.920 ;
+        RECT 154.810 40.660 155.070 40.920 ;
+        RECT 162.630 40.660 162.890 40.920 ;
+        RECT 163.090 40.660 163.350 40.920 ;
+        RECT 280.390 40.660 280.650 40.920 ;
+        RECT 315.810 40.660 316.070 40.920 ;
+        RECT 336.510 40.660 336.770 40.920 ;
+        RECT 358.130 40.660 358.390 40.920 ;
+        RECT 111.570 40.320 111.830 40.580 ;
+        RECT 230.710 40.320 230.970 40.580 ;
+        RECT 244.970 40.320 245.230 40.580 ;
+        RECT 308.450 40.320 308.710 40.580 ;
+        RECT 311.210 40.320 311.470 40.580 ;
+        RECT 323.630 40.320 323.890 40.580 ;
+        RECT 329.150 40.320 329.410 40.580 ;
+        RECT 369.170 40.320 369.430 40.580 ;
+        RECT 123.530 39.980 123.790 40.240 ;
+        RECT 169.070 39.980 169.330 40.240 ;
+        RECT 174.130 39.980 174.390 40.240 ;
+        RECT 220.590 39.980 220.850 40.240 ;
+        RECT 228.410 39.980 228.670 40.240 ;
+        RECT 285.450 39.980 285.710 40.240 ;
+        RECT 341.110 39.980 341.370 40.240 ;
+        RECT 372.850 39.980 373.110 40.240 ;
+        RECT 88.570 39.640 88.830 39.900 ;
+        RECT 130.430 39.640 130.690 39.900 ;
+        RECT 131.810 39.640 132.070 39.900 ;
+        RECT 155.730 39.640 155.990 39.900 ;
+        RECT 179.190 39.640 179.450 39.900 ;
+        RECT 230.710 39.640 230.970 39.900 ;
+        RECT 255.090 39.640 255.350 39.900 ;
+        RECT 270.730 39.640 270.990 39.900 ;
+        RECT 292.810 39.640 293.070 39.900 ;
+        RECT 293.270 39.640 293.530 39.900 ;
+        RECT 303.850 39.640 304.110 39.900 ;
+        RECT 332.830 39.640 333.090 39.900 ;
+        RECT 342.030 39.640 342.290 39.900 ;
+        RECT 117.090 39.300 117.350 39.560 ;
+        RECT 118.010 39.300 118.270 39.560 ;
+        RECT 164.010 39.300 164.270 39.560 ;
+        RECT 165.390 39.300 165.650 39.560 ;
+        RECT 238.070 39.300 238.330 39.560 ;
+        RECT 245.890 39.300 246.150 39.560 ;
+        RECT 256.470 39.300 256.730 39.560 ;
+        RECT 276.710 39.300 276.970 39.560 ;
+        RECT 281.770 39.300 282.030 39.560 ;
+        RECT 299.250 39.300 299.510 39.560 ;
+        RECT 106.050 38.960 106.310 39.220 ;
+        RECT 126.750 38.960 127.010 39.220 ;
+        RECT 158.490 38.960 158.750 39.220 ;
+        RECT 170.910 38.960 171.170 39.220 ;
+        RECT 172.750 38.960 173.010 39.220 ;
+        RECT 245.430 38.960 245.690 39.220 ;
+        RECT 250.490 38.960 250.750 39.220 ;
+        RECT 286.370 38.960 286.630 39.220 ;
+        RECT 291.890 38.960 292.150 39.220 ;
+        RECT 292.350 38.960 292.610 39.220 ;
+        RECT 297.870 38.960 298.130 39.220 ;
+        RECT 135.950 38.620 136.210 38.880 ;
+        RECT 171.830 38.620 172.090 38.880 ;
+        RECT 222.890 38.620 223.150 38.880 ;
+        RECT 127.670 38.280 127.930 38.540 ;
+        RECT 129.970 38.280 130.230 38.540 ;
+        RECT 147.450 38.280 147.710 38.540 ;
+        RECT 175.970 38.280 176.230 38.540 ;
+        RECT 282.690 38.280 282.950 38.540 ;
+        RECT 325.010 38.280 325.270 38.540 ;
+        RECT 154.810 37.940 155.070 38.200 ;
+        RECT 175.050 37.940 175.310 38.200 ;
+        RECT 348.010 37.600 348.270 37.860 ;
+        RECT 359.510 37.600 359.770 37.860 ;
+        RECT 149.750 37.260 150.010 37.520 ;
+        RECT 178.730 37.260 178.990 37.520 ;
+        RECT 44.410 610.840 44.670 611.100 ;
+        RECT 49.470 609.140 49.730 609.400 ;
+      LAYER met2 ;
+        RECT 39.340 629.720 39.620 632.120 ;
+        RECT 44.400 629.720 44.680 632.120 ;
+        RECT 49.460 629.720 49.740 632.120 ;
+        RECT 44.470 611.130 44.610 629.720 ;
+        RECT 44.410 610.810 44.670 611.130 ;
+        RECT 49.530 609.430 49.670 629.720 ;
+        RECT 49.470 609.110 49.730 609.430 ;
+        RECT 54.000 54.000 636.240 632.120 ;
+        RECT 58.050 53.640 59.530 54.000 ;
+        RECT 50.850 52.530 51.110 52.850 ;
+        RECT 47.170 51.510 47.430 51.830 ;
+        RECT 42.110 47.090 42.370 47.410 ;
+        RECT 38.420 46.555 38.700 46.925 ;
+        RECT 37.510 41.310 37.770 41.630 ;
+        RECT 37.570 34.520 37.710 41.310 ;
+        RECT 38.490 34.520 38.630 46.555 ;
+        RECT 40.730 46.070 40.990 46.390 ;
+        RECT 39.810 44.030 40.070 44.350 ;
+        RECT 39.870 34.520 40.010 44.030 ;
+        RECT 40.790 34.520 40.930 46.070 ;
+        RECT 42.170 34.520 42.310 47.090 ;
+        RECT 43.490 46.750 43.750 47.070 ;
+        RECT 43.550 34.520 43.690 46.750 ;
+        RECT 47.230 34.520 47.370 51.510 ;
+        RECT 48.090 46.410 48.350 46.730 ;
+        RECT 48.150 34.520 48.290 46.410 ;
+        RECT 50.910 34.520 51.050 52.530 ;
+        RECT 53.150 52.190 53.410 52.510 ;
+        RECT 53.210 34.520 53.350 52.190 ;
+        RECT 56.830 50.490 57.090 50.810 ;
+        RECT 55.450 47.430 55.710 47.750 ;
+        RECT 55.510 34.520 55.650 47.430 ;
+        RECT 56.890 34.520 57.030 50.490 ;
+        RECT 60.050 50.150 60.310 50.470 ;
+        RECT 58.050 48.200 59.530 48.680 ;
+        RECT 58.050 42.760 59.530 43.240 ;
+        RECT 60.110 39.330 60.250 50.150 ;
+        RECT 58.270 39.190 60.250 39.330 ;
+        RECT 58.270 34.520 58.410 39.190 ;
+        RECT 60.570 34.520 60.710 54.000 ;
+        RECT 61.890 49.470 62.150 49.790 ;
+        RECT 61.950 34.520 62.090 49.470 ;
+        RECT 64.710 46.810 64.850 54.000 ;
+        RECT 65.170 52.850 65.310 54.000 ;
+        RECT 65.110 52.530 65.370 52.850 ;
+        RECT 72.930 52.530 73.190 52.850 ;
+        RECT 72.470 50.490 72.730 50.810 ;
+        RECT 72.530 50.325 72.670 50.490 ;
+        RECT 72.460 49.955 72.740 50.325 ;
+        RECT 66.490 49.130 66.750 49.450 ;
+        RECT 69.240 49.275 69.520 49.645 ;
+        RECT 63.790 46.730 64.850 46.810 ;
+        RECT 63.730 46.670 64.850 46.730 ;
+        RECT 63.730 46.410 63.990 46.670 ;
+        RECT 65.570 44.370 65.830 44.690 ;
+        RECT 62.810 43.690 63.070 44.010 ;
+        RECT 62.870 34.520 63.010 43.690 ;
+        RECT 65.630 34.520 65.770 44.370 ;
+        RECT 66.550 34.520 66.690 49.130 ;
+        RECT 69.310 34.520 69.450 49.275 ;
+        RECT 70.170 48.790 70.430 49.110 ;
+        RECT 70.230 34.520 70.370 48.790 ;
+        RECT 72.990 34.520 73.130 52.530 ;
+        RECT 73.910 34.520 74.050 54.000 ;
+        RECT 76.670 34.520 76.810 54.000 ;
+        RECT 77.530 51.510 77.790 51.830 ;
+        RECT 77.060 50.635 77.340 51.005 ;
+        RECT 77.130 37.290 77.270 50.635 ;
+        RECT 77.590 42.650 77.730 51.510 ;
+        RECT 77.990 49.810 78.250 50.130 ;
+        RECT 78.910 49.810 79.170 50.130 ;
+        RECT 78.050 49.530 78.190 49.810 ;
+        RECT 78.970 49.645 79.110 49.810 ;
+        RECT 78.050 49.390 78.650 49.530 ;
+        RECT 78.510 47.410 78.650 49.390 ;
+        RECT 78.900 49.275 79.180 49.645 ;
+        RECT 78.450 47.090 78.710 47.410 ;
+        RECT 78.510 45.030 78.650 47.090 ;
+        RECT 78.450 44.710 78.710 45.030 ;
+        RECT 77.530 42.330 77.790 42.650 ;
+        RECT 77.130 37.150 77.730 37.290 ;
+        RECT 77.590 34.520 77.730 37.150 ;
+        RECT 80.350 34.520 80.490 54.000 ;
+        RECT 80.810 50.130 80.950 54.000 ;
+        RECT 81.730 52.170 81.870 54.000 ;
+        RECT 85.410 52.510 85.550 54.000 ;
+        RECT 85.350 52.190 85.610 52.510 ;
+        RECT 81.670 51.850 81.930 52.170 ;
+        RECT 86.790 50.470 86.930 54.000 ;
+        RECT 86.730 50.150 86.990 50.470 ;
+        RECT 80.750 49.810 81.010 50.130 ;
+        RECT 87.250 49.700 87.390 54.000 ;
+        RECT 88.170 53.190 88.310 54.000 ;
+        RECT 88.110 52.870 88.370 53.190 ;
+        RECT 84.420 49.275 84.700 49.645 ;
+        RECT 86.330 49.560 87.390 49.700 ;
+        RECT 81.200 48.595 81.480 48.965 ;
+        RECT 81.270 34.520 81.410 48.595 ;
+        RECT 83.970 47.770 84.230 48.090 ;
+        RECT 84.030 34.520 84.170 47.770 ;
+        RECT 84.490 37.290 84.630 49.275 ;
+        RECT 86.330 49.110 86.470 49.560 ;
+        RECT 86.270 48.790 86.530 49.110 ;
+        RECT 87.190 48.790 87.450 49.110 ;
+        RECT 84.890 46.410 85.150 46.730 ;
+        RECT 84.950 42.310 85.090 46.410 ;
+        RECT 85.350 46.070 85.610 46.390 ;
+        RECT 86.270 46.070 86.530 46.390 ;
+        RECT 85.410 43.670 85.550 46.070 ;
+        RECT 85.350 43.350 85.610 43.670 ;
+        RECT 84.890 41.990 85.150 42.310 ;
+        RECT 86.330 41.970 86.470 46.070 ;
+        RECT 86.270 41.650 86.530 41.970 ;
+        RECT 87.250 41.290 87.390 48.790 ;
+        RECT 89.090 47.410 89.230 54.000 ;
+        RECT 89.030 47.090 89.290 47.410 ;
+        RECT 89.550 45.030 89.690 54.000 ;
+        RECT 89.950 51.510 90.210 51.830 ;
+        RECT 90.010 49.790 90.150 51.510 ;
+        RECT 89.950 49.470 90.210 49.790 ;
+        RECT 90.470 47.070 90.610 54.000 ;
+        RECT 91.390 49.790 91.530 54.000 ;
+        RECT 92.770 53.530 92.910 54.000 ;
+        RECT 92.710 53.210 92.970 53.530 ;
+        RECT 91.790 52.530 92.050 52.850 ;
+        RECT 91.850 50.130 91.990 52.530 ;
+        RECT 91.790 49.810 92.050 50.130 ;
+        RECT 91.330 49.470 91.590 49.790 ;
+        RECT 90.870 49.130 91.130 49.450 ;
+        RECT 90.930 48.170 91.070 49.130 ;
+        RECT 90.930 48.030 91.990 48.170 ;
+        RECT 91.850 47.750 91.990 48.030 ;
+        RECT 91.790 47.430 92.050 47.750 ;
+        RECT 91.330 47.090 91.590 47.410 ;
+        RECT 90.410 46.750 90.670 47.070 ;
+        RECT 89.490 44.710 89.750 45.030 ;
+        RECT 90.860 44.515 91.140 44.885 ;
+        RECT 90.870 44.370 91.130 44.515 ;
+        RECT 87.190 40.970 87.450 41.290 ;
+        RECT 88.570 39.610 88.830 39.930 ;
+        RECT 84.490 37.150 85.090 37.290 ;
+        RECT 84.950 34.520 85.090 37.150 ;
+        RECT 88.630 34.520 88.770 39.610 ;
+        RECT 91.390 34.520 91.530 47.090 ;
+        RECT 93.230 47.070 93.370 54.000 ;
+        RECT 93.690 50.325 93.830 54.000 ;
+        RECT 93.620 49.955 93.900 50.325 ;
+        RECT 93.170 46.810 93.430 47.070 ;
+        RECT 93.170 46.750 94.290 46.810 ;
+        RECT 93.230 46.670 94.290 46.750 ;
+        RECT 92.710 46.070 92.970 46.390 ;
+        RECT 92.770 37.290 92.910 46.070 ;
+        RECT 94.150 44.690 94.290 46.670 ;
+        RECT 94.090 44.370 94.350 44.690 ;
+        RECT 92.310 37.150 92.910 37.290 ;
+        RECT 92.310 34.520 92.450 37.150 ;
+        RECT 95.070 34.520 95.210 54.000 ;
+        RECT 95.990 34.520 96.130 54.000 ;
+        RECT 96.840 49.955 97.120 50.325 ;
+        RECT 96.910 49.110 97.050 49.955 ;
+        RECT 96.850 48.790 97.110 49.110 ;
+        RECT 97.370 45.030 97.510 54.000 ;
+        RECT 97.310 44.710 97.570 45.030 ;
+        RECT 97.830 39.330 97.970 54.000 ;
+        RECT 99.140 53.355 99.420 53.725 ;
+        RECT 99.210 53.190 99.350 53.355 ;
+        RECT 99.150 52.870 99.410 53.190 ;
+        RECT 100.130 52.850 100.270 54.000 ;
+        RECT 98.690 52.530 98.950 52.850 ;
+        RECT 100.070 52.530 100.330 52.850 ;
+        RECT 98.750 50.810 98.890 52.530 ;
+        RECT 100.590 50.810 100.730 54.000 ;
+        RECT 98.690 50.490 98.950 50.810 ;
+        RECT 100.530 50.490 100.790 50.810 ;
+        RECT 99.610 50.040 99.870 50.130 ;
+        RECT 99.210 49.900 99.870 50.040 ;
+        RECT 99.210 48.090 99.350 49.900 ;
+        RECT 99.610 49.810 99.870 49.900 ;
+        RECT 99.150 47.770 99.410 48.090 ;
+        RECT 98.220 47.235 98.500 47.605 ;
+        RECT 98.290 46.390 98.430 47.235 ;
+        RECT 98.230 46.070 98.490 46.390 ;
+        RECT 100.530 44.600 100.790 44.690 ;
+        RECT 101.050 44.600 101.190 54.000 ;
+        RECT 101.970 45.030 102.110 54.000 ;
+        RECT 103.350 53.725 103.490 54.000 ;
+        RECT 103.280 53.355 103.560 53.725 ;
+        RECT 103.280 52.675 103.560 53.045 ;
+        RECT 102.370 46.410 102.630 46.730 ;
+        RECT 101.910 44.710 102.170 45.030 ;
+        RECT 100.530 44.460 101.190 44.600 ;
+        RECT 100.530 44.370 100.790 44.460 ;
+        RECT 97.830 39.190 98.890 39.330 ;
+        RECT 98.750 34.520 98.890 39.190 ;
+        RECT 102.430 34.520 102.570 46.410 ;
+        RECT 103.350 34.520 103.490 52.675 ;
+        RECT 104.730 46.390 104.870 54.000 ;
+        RECT 105.650 47.750 105.790 54.000 ;
+        RECT 106.110 50.470 106.250 54.000 ;
+        RECT 107.490 52.850 107.630 54.000 ;
+        RECT 107.430 52.530 107.690 52.850 ;
+        RECT 108.410 51.830 108.550 54.000 ;
+        RECT 108.350 51.510 108.610 51.830 ;
+        RECT 108.870 51.005 109.010 54.000 ;
+        RECT 108.800 50.635 109.080 51.005 ;
+        RECT 106.050 50.150 106.310 50.470 ;
+        RECT 106.050 49.470 106.310 49.790 ;
+        RECT 105.590 47.430 105.850 47.750 ;
+        RECT 106.110 47.070 106.250 49.470 ;
+        RECT 108.870 49.110 109.010 50.635 ;
+        RECT 108.810 48.790 109.070 49.110 ;
+        RECT 109.330 48.170 109.470 54.000 ;
+        RECT 109.790 51.685 109.930 54.000 ;
+        RECT 109.720 51.315 110.000 51.685 ;
+        RECT 109.790 48.965 109.930 51.315 ;
+        RECT 109.720 48.595 110.000 48.965 ;
+        RECT 106.970 47.770 107.230 48.090 ;
+        RECT 109.330 48.030 109.930 48.170 ;
+        RECT 106.050 46.750 106.310 47.070 ;
+        RECT 104.670 46.070 104.930 46.390 ;
+        RECT 106.050 38.930 106.310 39.250 ;
+        RECT 106.110 34.520 106.250 38.930 ;
+        RECT 107.030 34.520 107.170 47.770 ;
+        RECT 109.790 34.520 109.930 48.030 ;
+        RECT 110.250 45.030 110.390 54.000 ;
+        RECT 110.640 51.995 110.920 52.365 ;
+        RECT 110.190 44.710 110.450 45.030 ;
+        RECT 110.710 34.520 110.850 51.995 ;
+        RECT 111.630 40.610 111.770 54.000 ;
+        RECT 112.090 50.130 112.230 54.000 ;
+        RECT 113.470 52.510 113.610 54.000 ;
+        RECT 113.410 52.190 113.670 52.510 ;
+        RECT 114.330 52.190 114.590 52.510 ;
+        RECT 114.390 51.685 114.530 52.190 ;
+        RECT 114.320 51.315 114.600 51.685 ;
+        RECT 112.030 49.810 112.290 50.130 ;
+        RECT 114.780 49.955 115.060 50.325 ;
+        RECT 112.490 49.470 112.750 49.790 ;
+        RECT 112.550 47.070 112.690 49.470 ;
+        RECT 114.320 49.275 114.600 49.645 ;
+        RECT 112.490 46.750 112.750 47.070 ;
+        RECT 112.950 46.410 113.210 46.730 ;
+        RECT 111.570 40.290 111.830 40.610 ;
+        RECT 113.010 34.520 113.150 46.410 ;
+        RECT 114.390 34.520 114.530 49.275 ;
+        RECT 114.850 47.410 114.990 49.955 ;
+        RECT 114.790 47.090 115.050 47.410 ;
+        RECT 115.770 46.730 115.910 54.000 ;
+        RECT 116.230 48.965 116.370 54.000 ;
+        RECT 116.160 48.595 116.440 48.965 ;
+        RECT 115.710 46.410 115.970 46.730 ;
+        RECT 115.250 44.370 115.510 44.690 ;
+        RECT 115.310 42.650 115.450 44.370 ;
+        RECT 115.250 42.330 115.510 42.650 ;
+        RECT 116.690 34.520 116.830 54.000 ;
+        RECT 117.150 39.590 117.290 54.000 ;
+        RECT 117.610 42.650 117.750 54.000 ;
+        RECT 118.070 47.410 118.210 54.000 ;
+        RECT 118.010 47.090 118.270 47.410 ;
+        RECT 118.470 47.090 118.730 47.410 ;
+        RECT 118.530 44.350 118.670 47.090 ;
+        RECT 118.990 46.730 119.130 54.000 ;
+        RECT 119.390 51.510 119.650 51.830 ;
+        RECT 119.450 48.965 119.590 51.510 ;
+        RECT 119.380 48.595 119.660 48.965 ;
+        RECT 119.390 47.660 119.650 47.750 ;
+        RECT 119.910 47.660 120.050 54.000 ;
+        RECT 120.370 50.130 120.510 54.000 ;
+        RECT 121.750 52.850 121.890 54.000 ;
+        RECT 121.690 52.530 121.950 52.850 ;
+        RECT 120.310 49.810 120.570 50.130 ;
+        RECT 119.390 47.520 120.050 47.660 ;
+        RECT 119.390 47.430 119.650 47.520 ;
+        RECT 120.310 47.430 120.570 47.750 ;
+        RECT 119.390 46.750 119.650 47.070 ;
+        RECT 118.930 46.410 119.190 46.730 ;
+        RECT 118.470 44.030 118.730 44.350 ;
+        RECT 119.450 44.205 119.590 46.750 ;
+        RECT 117.550 42.330 117.810 42.650 ;
+        RECT 118.530 40.950 118.670 44.030 ;
+        RECT 119.380 43.835 119.660 44.205 ;
+        RECT 119.390 43.690 119.650 43.835 ;
+        RECT 119.450 43.535 119.590 43.690 ;
+        RECT 120.370 43.670 120.510 47.430 ;
+        RECT 120.770 46.410 121.030 46.730 ;
+        RECT 120.310 43.350 120.570 43.670 ;
+        RECT 118.470 40.630 118.730 40.950 ;
+        RECT 117.090 39.270 117.350 39.590 ;
+        RECT 118.010 39.270 118.270 39.590 ;
+        RECT 120.830 39.330 120.970 46.410 ;
+        RECT 123.130 46.300 123.270 54.000 ;
+        RECT 118.070 34.520 118.210 39.270 ;
+        RECT 120.370 39.190 120.970 39.330 ;
+        RECT 121.750 46.160 123.270 46.300 ;
+        RECT 120.370 34.520 120.510 39.190 ;
+        RECT 121.750 34.520 121.890 46.160 ;
+        RECT 123.590 45.030 123.730 54.000 ;
+        RECT 123.530 44.710 123.790 45.030 ;
+        RECT 123.530 43.350 123.790 43.670 ;
+        RECT 123.590 40.270 123.730 43.350 ;
+        RECT 123.530 39.950 123.790 40.270 ;
+        RECT 124.050 34.520 124.190 54.000 ;
+        RECT 125.830 52.190 126.090 52.510 ;
+        RECT 125.370 51.850 125.630 52.170 ;
+        RECT 125.430 34.520 125.570 51.850 ;
+        RECT 125.890 51.685 126.030 52.190 ;
+        RECT 125.820 51.315 126.100 51.685 ;
+        RECT 125.890 49.790 126.030 51.315 ;
+        RECT 126.350 50.130 126.490 54.000 ;
+        RECT 126.290 49.810 126.550 50.130 ;
+        RECT 125.830 49.470 126.090 49.790 ;
+        RECT 125.890 47.750 126.030 49.470 ;
+        RECT 125.830 47.430 126.090 47.750 ;
+        RECT 126.810 39.250 126.950 54.000 ;
+        RECT 127.730 53.045 127.870 54.000 ;
+        RECT 127.660 52.675 127.940 53.045 ;
+        RECT 127.730 52.170 127.870 52.675 ;
+        RECT 127.670 51.850 127.930 52.170 ;
+        RECT 128.190 45.370 128.330 54.000 ;
+        RECT 128.650 52.510 128.790 54.000 ;
+        RECT 128.590 52.190 128.850 52.510 ;
+        RECT 128.590 47.320 128.850 47.410 ;
+        RECT 129.110 47.320 129.250 54.000 ;
+        RECT 128.590 47.180 129.250 47.320 ;
+        RECT 128.590 47.090 128.850 47.180 ;
+        RECT 128.130 45.050 128.390 45.370 ;
+        RECT 127.670 44.885 127.930 45.030 ;
+        RECT 127.660 44.515 127.940 44.885 ;
+        RECT 129.050 44.205 129.310 44.350 ;
+        RECT 129.040 43.835 129.320 44.205 ;
+        RECT 126.750 38.930 127.010 39.250 ;
+        RECT 130.030 38.570 130.170 54.000 ;
+        RECT 130.950 50.470 131.090 54.000 ;
+        RECT 130.890 50.150 131.150 50.470 ;
+        RECT 130.430 49.470 130.690 49.790 ;
+        RECT 130.490 39.930 130.630 49.470 ;
+        RECT 130.950 47.605 131.090 50.150 ;
+        RECT 130.880 47.235 131.160 47.605 ;
+        RECT 130.430 39.610 130.690 39.930 ;
+        RECT 127.670 38.250 127.930 38.570 ;
+        RECT 129.970 38.250 130.230 38.570 ;
+        RECT 127.730 34.520 127.870 38.250 ;
+        RECT 131.410 34.520 131.550 54.000 ;
+        RECT 132.270 52.190 132.530 52.510 ;
+        RECT 132.330 51.685 132.470 52.190 ;
+        RECT 132.260 51.315 132.540 51.685 ;
+        RECT 131.810 43.350 132.070 43.670 ;
+        RECT 131.870 39.930 132.010 43.350 ;
+        RECT 131.810 39.610 132.070 39.930 ;
+        RECT 132.790 34.520 132.930 54.000 ;
+        RECT 133.250 53.190 133.390 54.000 ;
+        RECT 133.190 52.870 133.450 53.190 ;
+        RECT 133.190 51.510 133.450 51.830 ;
+        RECT 133.250 50.810 133.390 51.510 ;
+        RECT 133.190 50.490 133.450 50.810 ;
+        RECT 133.710 50.130 133.850 54.000 ;
+        RECT 136.870 51.850 137.130 52.170 ;
+        RECT 134.850 50.920 136.330 51.400 ;
+        RECT 133.650 49.810 133.910 50.130 ;
+        RECT 134.570 49.470 134.830 49.790 ;
+        RECT 134.630 47.750 134.770 49.470 ;
+        RECT 136.400 48.595 136.680 48.965 ;
+        RECT 134.570 47.430 134.830 47.750 ;
+        RECT 134.850 45.480 136.330 45.960 ;
+        RECT 135.950 44.370 136.210 44.690 ;
+        RECT 136.010 38.910 136.150 44.370 ;
+        RECT 135.950 38.590 136.210 38.910 ;
+        RECT 136.470 34.520 136.610 48.595 ;
+        RECT 136.930 47.410 137.070 51.850 ;
+        RECT 136.870 47.090 137.130 47.410 ;
+        RECT 136.930 44.690 137.070 47.090 ;
+        RECT 137.850 46.730 137.990 54.000 ;
+        RECT 138.770 53.530 138.910 54.000 ;
+        RECT 138.710 53.210 138.970 53.530 ;
+        RECT 139.230 53.190 139.370 54.000 ;
+        RECT 139.170 52.870 139.430 53.190 ;
+        RECT 139.690 52.510 139.830 54.000 ;
+        RECT 139.630 52.190 139.890 52.510 ;
+        RECT 138.710 51.510 138.970 51.830 ;
+        RECT 137.790 46.410 138.050 46.730 ;
+        RECT 136.870 44.370 137.130 44.690 ;
+        RECT 137.330 44.370 137.590 44.690 ;
+        RECT 136.870 43.690 137.130 44.010 ;
+        RECT 136.930 41.370 137.070 43.690 ;
+        RECT 137.390 42.310 137.530 44.370 ;
+        RECT 137.330 41.990 137.590 42.310 ;
+        RECT 137.790 41.990 138.050 42.310 ;
+        RECT 137.850 41.370 137.990 41.990 ;
+        RECT 136.930 41.230 137.990 41.370 ;
+        RECT 136.930 40.950 137.070 41.230 ;
+        RECT 136.870 40.630 137.130 40.950 ;
+        RECT 138.770 34.520 138.910 51.510 ;
+        RECT 139.690 50.130 139.830 52.190 ;
+        RECT 140.150 52.170 140.290 54.000 ;
+        RECT 141.930 52.530 142.190 52.850 ;
+        RECT 140.090 51.850 140.350 52.170 ;
+        RECT 141.990 50.470 142.130 52.530 ;
+        RECT 141.930 50.150 142.190 50.470 ;
+        RECT 139.630 49.810 139.890 50.130 ;
+        RECT 140.080 47.235 140.360 47.605 ;
+        RECT 140.150 34.520 140.290 47.235 ;
+        RECT 143.830 47.070 143.970 54.000 ;
+        RECT 145.670 53.725 145.810 54.000 ;
+        RECT 145.150 53.210 145.410 53.530 ;
+        RECT 145.600 53.355 145.880 53.725 ;
+        RECT 145.210 47.070 145.350 53.210 ;
+        RECT 143.770 46.750 144.030 47.070 ;
+        RECT 145.150 46.750 145.410 47.070 ;
+        RECT 141.010 46.070 141.270 46.390 ;
+        RECT 142.390 46.070 142.650 46.390 ;
+        RECT 141.070 45.370 141.210 46.070 ;
+        RECT 141.010 45.050 141.270 45.370 ;
+        RECT 142.450 34.520 142.590 46.070 ;
+        RECT 143.770 40.630 144.030 40.950 ;
+        RECT 143.830 34.520 143.970 40.630 ;
+        RECT 146.130 34.520 146.270 54.000 ;
+        RECT 146.520 51.995 146.800 52.365 ;
+        RECT 146.590 48.090 146.730 51.995 ;
+        RECT 147.970 50.130 148.110 54.000 ;
+        RECT 148.430 53.190 148.570 54.000 ;
+        RECT 148.370 52.870 148.630 53.190 ;
+        RECT 148.890 50.470 149.030 54.000 ;
+        RECT 149.750 52.190 150.010 52.510 ;
+        RECT 150.730 52.365 150.870 54.000 ;
+        RECT 152.110 53.530 152.250 54.000 ;
+        RECT 152.570 53.530 152.710 54.000 ;
+        RECT 152.050 53.210 152.310 53.530 ;
+        RECT 152.510 53.210 152.770 53.530 ;
+        RECT 148.830 50.150 149.090 50.470 ;
+        RECT 147.910 49.810 148.170 50.130 ;
+        RECT 149.810 48.090 149.950 52.190 ;
+        RECT 150.660 51.995 150.940 52.365 ;
+        RECT 150.730 49.110 150.870 51.995 ;
+        RECT 152.570 51.685 152.710 53.210 ;
+        RECT 152.500 51.315 152.780 51.685 ;
+        RECT 150.670 48.790 150.930 49.110 ;
+        RECT 153.030 49.020 153.170 54.000 ;
+        RECT 153.950 52.850 154.090 54.000 ;
+        RECT 153.890 52.530 154.150 52.850 ;
+        RECT 154.870 52.170 155.010 54.000 ;
+        RECT 154.810 51.850 155.070 52.170 ;
+        RECT 154.800 51.315 155.080 51.685 ;
+        RECT 153.890 49.470 154.150 49.790 ;
+        RECT 153.950 49.020 154.090 49.470 ;
+        RECT 151.120 48.595 151.400 48.965 ;
+        RECT 153.030 48.880 154.090 49.020 ;
+        RECT 146.530 47.770 146.790 48.090 ;
+        RECT 149.750 47.770 150.010 48.090 ;
+        RECT 147.450 47.430 147.710 47.750 ;
+        RECT 147.510 47.070 147.650 47.430 ;
+        RECT 147.450 46.750 147.710 47.070 ;
+        RECT 147.450 38.250 147.710 38.570 ;
+        RECT 147.510 34.520 147.650 38.250 ;
+        RECT 149.750 37.230 150.010 37.550 ;
+        RECT 149.810 34.520 149.950 37.230 ;
+        RECT 151.190 34.520 151.330 48.595 ;
+        RECT 153.430 47.770 153.690 48.090 ;
+        RECT 153.490 34.520 153.630 47.770 ;
+        RECT 153.950 47.410 154.090 48.880 ;
+        RECT 153.890 47.090 154.150 47.410 ;
+        RECT 153.950 44.690 154.090 47.090 ;
+        RECT 153.890 44.370 154.150 44.690 ;
+        RECT 154.870 40.950 155.010 51.315 ;
+        RECT 155.330 50.130 155.470 54.000 ;
+        RECT 155.270 49.810 155.530 50.130 ;
+        RECT 154.810 40.630 155.070 40.950 ;
+        RECT 155.790 39.930 155.930 54.000 ;
+        RECT 156.710 52.850 156.850 54.000 ;
+        RECT 156.650 52.530 156.910 52.850 ;
+        RECT 157.630 52.250 157.770 54.000 ;
+        RECT 156.250 52.110 157.770 52.250 ;
+        RECT 156.250 44.350 156.390 52.110 ;
+        RECT 158.020 51.995 158.300 52.365 ;
+        RECT 157.110 50.490 157.370 50.810 ;
+        RECT 156.640 49.275 156.920 49.645 ;
+        RECT 156.710 46.390 156.850 49.275 ;
+        RECT 156.650 46.070 156.910 46.390 ;
+        RECT 156.190 44.030 156.450 44.350 ;
+        RECT 156.650 43.690 156.910 44.010 ;
+        RECT 156.710 42.650 156.850 43.690 ;
+        RECT 156.650 42.330 156.910 42.650 ;
+        RECT 155.730 39.610 155.990 39.930 ;
+        RECT 154.810 37.910 155.070 38.230 ;
+        RECT 154.870 34.520 155.010 37.910 ;
+        RECT 157.170 34.520 157.310 50.490 ;
+        RECT 158.090 47.605 158.230 51.995 ;
+        RECT 158.550 48.000 158.690 54.000 ;
+        RECT 158.940 52.675 159.220 53.045 ;
+        RECT 158.950 52.530 159.210 52.675 ;
+        RECT 159.470 50.325 159.610 54.000 ;
+        RECT 159.400 49.955 159.680 50.325 ;
+        RECT 158.550 47.860 159.150 48.000 ;
+        RECT 159.010 47.605 159.150 47.860 ;
+        RECT 158.020 47.235 158.300 47.605 ;
+        RECT 158.940 47.235 159.220 47.605 ;
+        RECT 159.470 46.130 159.610 49.955 ;
+        RECT 160.390 47.070 160.530 54.000 ;
+        RECT 160.330 46.750 160.590 47.070 ;
+        RECT 160.330 46.130 160.590 46.390 ;
+        RECT 159.470 46.070 160.590 46.130 ;
+        RECT 159.470 45.990 160.530 46.070 ;
+        RECT 158.490 38.930 158.750 39.250 ;
+        RECT 158.550 34.520 158.690 38.930 ;
+        RECT 160.850 34.520 160.990 54.000 ;
+        RECT 162.230 51.005 162.370 54.000 ;
+        RECT 162.160 50.635 162.440 51.005 ;
+        RECT 162.690 50.810 162.830 54.000 ;
+        RECT 162.630 50.490 162.890 50.810 ;
+        RECT 162.170 50.150 162.430 50.470 ;
+        RECT 162.230 34.520 162.370 50.150 ;
+        RECT 163.150 50.130 163.290 54.000 ;
+        RECT 163.610 53.530 163.750 54.000 ;
+        RECT 163.550 53.210 163.810 53.530 ;
+        RECT 164.990 50.325 165.130 54.000 ;
+        RECT 163.090 49.810 163.350 50.130 ;
+        RECT 164.920 49.955 165.200 50.325 ;
+        RECT 162.630 44.710 162.890 45.030 ;
+        RECT 162.690 40.950 162.830 44.710 ;
+        RECT 163.090 43.350 163.350 43.670 ;
+        RECT 164.010 43.350 164.270 43.670 ;
+        RECT 163.150 40.950 163.290 43.350 ;
+        RECT 162.630 40.630 162.890 40.950 ;
+        RECT 163.090 40.630 163.350 40.950 ;
+        RECT 164.070 39.590 164.210 43.350 ;
+        RECT 164.470 41.650 164.730 41.970 ;
+        RECT 164.010 39.270 164.270 39.590 ;
+        RECT 164.530 34.520 164.670 41.650 ;
+        RECT 165.450 39.590 165.590 54.000 ;
+        RECT 167.290 47.750 167.430 54.000 ;
+        RECT 167.230 47.430 167.490 47.750 ;
+        RECT 165.850 46.070 166.110 46.390 ;
+        RECT 165.390 39.270 165.650 39.590 ;
+        RECT 165.910 34.520 166.050 46.070 ;
+        RECT 168.210 34.520 168.350 54.000 ;
+        RECT 169.130 40.270 169.270 54.000 ;
+        RECT 170.440 52.675 170.720 53.045 ;
+        RECT 170.510 52.510 170.650 52.675 ;
+        RECT 170.450 52.190 170.710 52.510 ;
+        RECT 170.970 50.130 171.110 54.000 ;
+        RECT 171.890 52.850 172.030 54.000 ;
+        RECT 171.830 52.530 172.090 52.850 ;
+        RECT 170.910 49.810 171.170 50.130 ;
+        RECT 170.910 47.090 171.170 47.410 ;
+        RECT 169.070 39.950 169.330 40.270 ;
+        RECT 170.970 39.250 171.110 47.090 ;
+        RECT 172.350 45.030 172.490 54.000 ;
+        RECT 172.290 44.710 172.550 45.030 ;
+        RECT 172.810 39.250 172.950 54.000 ;
+        RECT 174.650 44.690 174.790 54.000 ;
+        RECT 174.590 44.370 174.850 44.690 ;
+        RECT 174.130 44.030 174.390 44.350 ;
+        RECT 174.190 40.270 174.330 44.030 ;
+        RECT 174.130 39.950 174.390 40.270 ;
+        RECT 170.910 38.930 171.170 39.250 ;
+        RECT 172.750 38.930 173.010 39.250 ;
+        RECT 171.830 38.590 172.090 38.910 ;
+        RECT 171.890 34.520 172.030 38.590 ;
+        RECT 175.110 38.230 175.250 54.000 ;
+        RECT 175.970 49.470 176.230 49.790 ;
+        RECT 176.490 49.645 176.630 54.000 ;
+        RECT 175.510 40.970 175.770 41.290 ;
+        RECT 175.050 37.910 175.310 38.230 ;
+        RECT 175.570 34.520 175.710 40.970 ;
+        RECT 176.030 38.570 176.170 49.470 ;
+        RECT 176.420 49.275 176.700 49.645 ;
+        RECT 176.950 48.090 177.090 54.000 ;
+        RECT 177.870 53.045 178.010 54.000 ;
+        RECT 177.800 52.675 178.080 53.045 ;
+        RECT 176.890 47.770 177.150 48.090 ;
+        RECT 177.870 47.070 178.010 52.675 ;
+        RECT 178.330 52.170 178.470 54.000 ;
+        RECT 178.730 52.530 178.990 52.850 ;
+        RECT 178.270 51.850 178.530 52.170 ;
+        RECT 177.810 46.750 178.070 47.070 ;
+        RECT 178.270 44.370 178.530 44.690 ;
+        RECT 178.330 42.310 178.470 44.370 ;
+        RECT 178.270 41.990 178.530 42.310 ;
+        RECT 175.970 38.250 176.230 38.570 ;
+        RECT 178.790 37.550 178.930 52.530 ;
+        RECT 179.710 44.350 179.850 54.000 ;
+        RECT 183.850 52.510 183.990 54.000 ;
+        RECT 184.310 53.530 184.450 54.000 ;
+        RECT 184.250 53.210 184.510 53.530 ;
+        RECT 181.950 52.190 182.210 52.510 ;
+        RECT 183.790 52.190 184.050 52.510 ;
+        RECT 182.010 50.130 182.150 52.190 ;
+        RECT 181.950 49.810 182.210 50.130 ;
+        RECT 184.770 48.090 184.910 54.000 ;
+        RECT 185.230 52.365 185.370 54.000 ;
+        RECT 185.160 51.995 185.440 52.365 ;
+        RECT 185.170 48.790 185.430 49.110 ;
+        RECT 184.710 47.770 184.970 48.090 ;
+        RECT 184.710 46.980 184.970 47.070 ;
+        RECT 185.230 46.980 185.370 48.790 ;
+        RECT 184.710 46.840 185.370 46.980 ;
+        RECT 184.710 46.750 184.970 46.840 ;
+        RECT 184.770 44.690 184.910 46.750 ;
+        RECT 186.150 45.370 186.290 54.000 ;
+        RECT 186.610 53.530 186.750 54.000 ;
+        RECT 186.550 53.210 186.810 53.530 ;
+        RECT 187.070 50.040 187.210 54.000 ;
+        RECT 188.450 53.530 188.590 54.000 ;
+        RECT 188.390 53.210 188.650 53.530 ;
+        RECT 188.910 51.685 189.050 54.000 ;
+        RECT 188.840 51.315 189.120 51.685 ;
+        RECT 189.760 51.315 190.040 51.685 ;
+        RECT 186.610 49.900 187.210 50.040 ;
+        RECT 186.090 45.050 186.350 45.370 ;
+        RECT 185.170 44.885 185.430 45.030 ;
+        RECT 184.710 44.370 184.970 44.690 ;
+        RECT 185.160 44.515 185.440 44.885 ;
+        RECT 179.650 44.030 179.910 44.350 ;
+        RECT 179.190 39.610 179.450 39.930 ;
+        RECT 178.730 37.230 178.990 37.550 ;
+        RECT 179.250 34.520 179.390 39.610 ;
+        RECT 186.610 34.520 186.750 49.900 ;
+        RECT 188.850 48.790 189.110 49.110 ;
+        RECT 188.910 48.285 189.050 48.790 ;
+        RECT 188.840 47.915 189.120 48.285 ;
+        RECT 189.830 47.750 189.970 51.315 ;
+        RECT 189.770 47.430 190.030 47.750 ;
+        RECT 190.290 34.520 190.430 54.000 ;
+        RECT 190.750 52.850 190.890 54.000 ;
+        RECT 190.690 52.530 190.950 52.850 ;
+        RECT 190.680 51.995 190.960 52.365 ;
+        RECT 190.690 51.850 190.950 51.995 ;
+        RECT 191.210 49.790 191.350 54.000 ;
+        RECT 191.610 51.510 191.870 51.830 ;
+        RECT 191.670 50.470 191.810 51.510 ;
+        RECT 191.610 50.150 191.870 50.470 ;
+        RECT 192.130 50.130 192.270 54.000 ;
+        RECT 192.070 49.810 192.330 50.130 ;
+        RECT 191.150 49.470 191.410 49.790 ;
+        RECT 191.210 45.370 191.350 49.470 ;
+        RECT 193.510 49.450 193.650 54.000 ;
+        RECT 194.430 50.130 194.570 54.000 ;
+        RECT 194.820 51.995 195.100 52.365 ;
+        RECT 194.890 50.810 195.030 51.995 ;
+        RECT 194.830 50.490 195.090 50.810 ;
+        RECT 194.370 49.810 194.630 50.130 ;
+        RECT 195.350 49.645 195.490 54.000 ;
+        RECT 196.270 52.365 196.410 54.000 ;
+        RECT 196.200 51.995 196.480 52.365 ;
+        RECT 193.450 49.130 193.710 49.450 ;
+        RECT 195.280 49.275 195.560 49.645 ;
+        RECT 194.830 46.750 195.090 47.070 ;
+        RECT 194.890 46.245 195.030 46.750 ;
+        RECT 196.730 46.730 196.870 54.000 ;
+        RECT 197.190 51.830 197.330 54.000 ;
+        RECT 197.590 52.190 197.850 52.510 ;
+        RECT 197.130 51.510 197.390 51.830 ;
+        RECT 197.650 49.790 197.790 52.190 ;
+        RECT 199.490 51.685 199.630 54.000 ;
+        RECT 201.260 51.995 201.540 52.365 ;
+        RECT 199.420 51.315 199.700 51.685 ;
+        RECT 197.590 49.470 197.850 49.790 ;
+        RECT 197.130 48.790 197.390 49.110 ;
+        RECT 197.590 48.790 197.850 49.110 ;
+        RECT 197.190 47.070 197.330 48.790 ;
+        RECT 197.130 46.750 197.390 47.070 ;
+        RECT 196.670 46.410 196.930 46.730 ;
+        RECT 194.820 45.875 195.100 46.245 ;
+        RECT 191.150 45.050 191.410 45.370 ;
+        RECT 193.900 45.195 194.180 45.565 ;
+        RECT 193.970 34.520 194.110 45.195 ;
+        RECT 197.650 34.520 197.790 48.790 ;
+        RECT 199.490 46.245 199.630 51.315 ;
+        RECT 201.330 47.490 201.470 51.995 ;
+        RECT 201.790 48.965 201.930 54.000 ;
+        RECT 202.250 49.110 202.390 54.000 ;
+        RECT 202.650 52.190 202.910 52.510 ;
+        RECT 202.710 49.450 202.850 52.190 ;
+        RECT 202.650 49.130 202.910 49.450 ;
+        RECT 201.720 48.595 202.000 48.965 ;
+        RECT 202.190 48.790 202.450 49.110 ;
+        RECT 203.110 48.790 203.370 49.110 ;
+        RECT 201.790 48.170 201.930 48.595 ;
+        RECT 203.170 48.170 203.310 48.790 ;
+        RECT 201.790 48.030 203.310 48.170 ;
+        RECT 203.630 48.170 203.770 54.000 ;
+        RECT 204.030 51.685 204.290 51.830 ;
+        RECT 204.020 51.315 204.300 51.685 ;
+        RECT 205.410 51.510 205.670 51.830 ;
+        RECT 205.470 50.130 205.610 51.510 ;
+        RECT 205.410 49.810 205.670 50.130 ;
+        RECT 205.410 48.790 205.670 49.110 ;
+        RECT 203.630 48.030 205.150 48.170 ;
+        RECT 201.330 47.350 202.390 47.490 ;
+        RECT 202.250 46.730 202.390 47.350 ;
+        RECT 202.190 46.410 202.450 46.730 ;
+        RECT 199.420 45.875 199.700 46.245 ;
+        RECT 201.270 41.650 201.530 41.970 ;
+        RECT 201.330 34.520 201.470 41.650 ;
+        RECT 205.010 34.520 205.150 48.030 ;
+        RECT 205.470 47.410 205.610 48.790 ;
+        RECT 205.410 47.090 205.670 47.410 ;
+        RECT 205.930 44.690 206.070 54.000 ;
+        RECT 205.870 44.370 206.130 44.690 ;
+        RECT 207.770 44.350 207.910 54.000 ;
+        RECT 208.620 51.995 208.900 52.365 ;
+        RECT 208.170 44.370 208.430 44.690 ;
+        RECT 207.710 44.030 207.970 44.350 ;
+        RECT 208.230 41.290 208.370 44.370 ;
+        RECT 208.170 40.970 208.430 41.290 ;
+        RECT 208.690 34.520 208.830 51.995 ;
+        RECT 210.070 45.030 210.210 54.000 ;
+        RECT 210.990 53.530 211.130 54.000 ;
+        RECT 211.650 53.640 213.130 54.000 ;
+        RECT 210.930 53.210 211.190 53.530 ;
+        RECT 212.310 52.530 212.570 52.850 ;
+        RECT 212.370 51.685 212.510 52.530 ;
+        RECT 213.290 52.510 213.430 54.000 ;
+        RECT 214.140 52.675 214.420 53.045 ;
+        RECT 213.230 52.190 213.490 52.510 ;
+        RECT 212.300 51.315 212.580 51.685 ;
+        RECT 210.460 50.635 210.740 51.005 ;
+        RECT 210.530 49.790 210.670 50.635 ;
+        RECT 213.290 50.130 213.430 52.190 ;
+        RECT 214.210 51.005 214.350 52.675 ;
+        RECT 213.690 50.490 213.950 50.810 ;
+        RECT 214.140 50.635 214.420 51.005 ;
+        RECT 213.230 49.810 213.490 50.130 ;
+        RECT 210.470 49.470 210.730 49.790 ;
+        RECT 211.650 48.200 213.130 48.680 ;
+        RECT 213.750 48.090 213.890 50.490 ;
+        RECT 213.690 47.770 213.950 48.090 ;
+        RECT 214.210 47.070 214.350 50.635 ;
+        RECT 214.610 49.810 214.870 50.130 ;
+        RECT 214.670 49.645 214.810 49.810 ;
+        RECT 214.600 49.275 214.880 49.645 ;
+        RECT 214.150 46.750 214.410 47.070 ;
+        RECT 214.610 46.300 214.870 46.390 ;
+        RECT 215.130 46.300 215.270 54.000 ;
+        RECT 215.590 49.020 215.730 54.000 ;
+        RECT 216.050 51.685 216.190 54.000 ;
+        RECT 215.980 51.315 216.260 51.685 ;
+        RECT 215.590 48.880 216.190 49.020 ;
+        RECT 214.610 46.160 215.270 46.300 ;
+        RECT 214.610 46.070 214.870 46.160 ;
+        RECT 210.010 44.710 210.270 45.030 ;
+        RECT 215.070 44.370 215.330 44.690 ;
+        RECT 213.690 43.690 213.950 44.010 ;
+        RECT 211.650 42.760 213.130 43.240 ;
+        RECT 213.750 42.650 213.890 43.690 ;
+        RECT 215.130 42.650 215.270 44.370 ;
+        RECT 213.690 42.330 213.950 42.650 ;
+        RECT 215.070 42.330 215.330 42.650 ;
+        RECT 216.050 34.520 216.190 48.880 ;
+        RECT 216.510 45.370 216.650 54.000 ;
+        RECT 217.830 48.790 218.090 49.110 ;
+        RECT 217.890 47.750 218.030 48.790 ;
+        RECT 217.830 47.430 218.090 47.750 ;
+        RECT 218.350 47.410 218.490 54.000 ;
+        RECT 219.270 53.530 219.410 54.000 ;
+        RECT 219.210 53.210 219.470 53.530 ;
+        RECT 219.730 50.130 219.870 54.000 ;
+        RECT 220.190 52.510 220.330 54.000 ;
+        RECT 220.130 52.190 220.390 52.510 ;
+        RECT 219.670 49.810 219.930 50.130 ;
+        RECT 219.670 47.770 219.930 48.090 ;
+        RECT 219.210 47.430 219.470 47.750 ;
+        RECT 218.290 47.090 218.550 47.410 ;
+        RECT 216.450 45.050 216.710 45.370 ;
+        RECT 219.270 45.030 219.410 47.430 ;
+        RECT 219.210 44.710 219.470 45.030 ;
+        RECT 216.450 44.370 216.710 44.690 ;
+        RECT 216.510 43.670 216.650 44.370 ;
+        RECT 216.450 43.350 216.710 43.670 ;
+        RECT 219.730 34.520 219.870 47.770 ;
+        RECT 220.120 47.235 220.400 47.605 ;
+        RECT 220.190 47.070 220.330 47.235 ;
+        RECT 220.130 46.750 220.390 47.070 ;
+        RECT 220.650 40.270 220.790 54.000 ;
+        RECT 221.570 45.280 221.710 54.000 ;
+        RECT 223.410 49.450 223.550 54.000 ;
+        RECT 223.350 49.130 223.610 49.450 ;
+        RECT 223.870 48.090 224.010 54.000 ;
+        RECT 223.810 47.770 224.070 48.090 ;
+        RECT 221.110 45.140 221.710 45.280 ;
+        RECT 221.110 44.690 221.250 45.140 ;
+        RECT 221.050 44.370 221.310 44.690 ;
+        RECT 221.510 44.370 221.770 44.690 ;
+        RECT 221.570 42.650 221.710 44.370 ;
+        RECT 221.970 43.350 222.230 43.670 ;
+        RECT 222.030 42.650 222.170 43.350 ;
+        RECT 221.510 42.330 221.770 42.650 ;
+        RECT 221.970 42.330 222.230 42.650 ;
+        RECT 222.950 42.165 224.010 42.220 ;
+        RECT 222.950 42.080 224.080 42.165 ;
+        RECT 220.590 39.950 220.850 40.270 ;
+        RECT 222.950 38.910 223.090 42.080 ;
+        RECT 223.800 41.795 224.080 42.080 ;
+        RECT 224.330 41.370 224.470 54.000 ;
+        RECT 225.180 52.675 225.460 53.045 ;
+        RECT 225.250 51.830 225.390 52.675 ;
+        RECT 225.190 51.510 225.450 51.830 ;
+        RECT 224.730 49.810 224.990 50.130 ;
+        RECT 224.790 48.090 224.930 49.810 ;
+        RECT 225.710 49.645 225.850 54.000 ;
+        RECT 225.640 49.275 225.920 49.645 ;
+        RECT 224.730 47.770 224.990 48.090 ;
+        RECT 226.170 45.370 226.310 54.000 ;
+        RECT 226.630 52.510 226.770 54.000 ;
+        RECT 226.570 52.190 226.830 52.510 ;
+        RECT 226.630 47.750 226.770 52.190 ;
+        RECT 227.090 51.830 227.230 54.000 ;
+        RECT 227.030 51.510 227.290 51.830 ;
+        RECT 227.030 49.470 227.290 49.790 ;
+        RECT 226.570 47.430 226.830 47.750 ;
+        RECT 226.110 45.050 226.370 45.370 ;
+        RECT 223.410 41.230 224.470 41.370 ;
+        RECT 222.890 38.590 223.150 38.910 ;
+        RECT 223.410 34.520 223.550 41.230 ;
+        RECT 227.090 34.520 227.230 49.470 ;
+        RECT 227.550 46.730 227.690 54.000 ;
+        RECT 227.490 46.410 227.750 46.730 ;
+        RECT 228.010 44.770 228.150 54.000 ;
+        RECT 228.470 49.110 228.610 54.000 ;
+        RECT 228.870 53.045 229.130 53.190 ;
+        RECT 228.860 52.675 229.140 53.045 ;
+        RECT 228.870 52.190 229.130 52.510 ;
+        RECT 228.930 50.130 229.070 52.190 ;
+        RECT 230.250 51.850 230.510 52.170 ;
+        RECT 228.870 49.810 229.130 50.130 ;
+        RECT 228.410 48.790 228.670 49.110 ;
+        RECT 228.410 47.430 228.670 47.750 ;
+        RECT 228.470 47.070 228.610 47.430 ;
+        RECT 230.310 47.410 230.450 51.850 ;
+        RECT 230.710 50.325 230.970 50.470 ;
+        RECT 230.700 49.955 230.980 50.325 ;
+        RECT 230.710 47.770 230.970 48.090 ;
+        RECT 231.160 47.915 231.440 48.285 ;
+        RECT 230.770 47.410 230.910 47.770 ;
+        RECT 230.250 47.090 230.510 47.410 ;
+        RECT 230.710 47.090 230.970 47.410 ;
+        RECT 228.410 46.750 228.670 47.070 ;
+        RECT 231.230 46.730 231.370 47.915 ;
+        RECT 231.630 47.090 231.890 47.410 ;
+        RECT 231.690 46.730 231.830 47.090 ;
+        RECT 231.170 46.410 231.430 46.730 ;
+        RECT 231.630 46.410 231.890 46.730 ;
+        RECT 228.410 46.070 228.670 46.390 ;
+        RECT 228.470 45.565 228.610 46.070 ;
+        RECT 228.400 45.195 228.680 45.565 ;
+        RECT 232.150 45.370 232.290 54.000 ;
+        RECT 232.090 45.050 232.350 45.370 ;
+        RECT 228.010 44.690 228.610 44.770 ;
+        RECT 228.010 44.630 228.670 44.690 ;
+        RECT 228.410 44.370 228.670 44.630 ;
+        RECT 229.780 44.515 230.060 44.885 ;
+        RECT 229.790 44.370 230.050 44.515 ;
+        RECT 228.470 40.270 228.610 44.370 ;
+        RECT 230.710 44.030 230.970 44.350 ;
+        RECT 230.770 40.610 230.910 44.030 ;
+        RECT 232.610 44.010 232.750 54.000 ;
+        RECT 233.070 47.410 233.210 54.000 ;
+        RECT 233.010 47.090 233.270 47.410 ;
+        RECT 233.070 44.350 233.210 47.090 ;
+        RECT 233.530 46.730 233.670 54.000 ;
+        RECT 234.450 48.850 234.590 54.000 ;
+        RECT 234.910 49.790 235.050 54.000 ;
+        RECT 235.370 52.510 235.510 54.000 ;
+        RECT 235.310 52.190 235.570 52.510 ;
+        RECT 235.830 52.170 235.970 54.000 ;
+        RECT 235.770 51.850 236.030 52.170 ;
+        RECT 236.220 51.995 236.500 52.365 ;
+        RECT 236.290 50.720 236.430 51.995 ;
+        RECT 235.830 50.580 236.430 50.720 ;
+        RECT 234.850 49.470 235.110 49.790 ;
+        RECT 235.830 49.450 235.970 50.580 ;
+        RECT 235.770 49.130 236.030 49.450 ;
+        RECT 233.990 48.710 234.590 48.850 ;
+        RECT 233.990 48.090 234.130 48.710 ;
+        RECT 233.930 47.770 234.190 48.090 ;
+        RECT 234.390 47.770 234.650 48.090 ;
+        RECT 234.840 47.915 235.120 48.285 ;
+        RECT 233.990 46.730 234.130 47.770 ;
+        RECT 233.470 46.410 233.730 46.730 ;
+        RECT 233.930 46.410 234.190 46.730 ;
+        RECT 233.010 44.030 233.270 44.350 ;
+        RECT 232.550 43.690 232.810 44.010 ;
+        RECT 233.070 42.310 233.210 44.030 ;
+        RECT 233.010 41.990 233.270 42.310 ;
+        RECT 230.710 40.290 230.970 40.610 ;
+        RECT 228.410 39.950 228.670 40.270 ;
+        RECT 230.710 39.610 230.970 39.930 ;
+        RECT 230.770 34.520 230.910 39.610 ;
+        RECT 234.450 34.520 234.590 47.770 ;
+        RECT 234.910 47.410 235.050 47.915 ;
+        RECT 234.850 47.090 235.110 47.410 ;
+        RECT 235.830 44.690 235.970 49.130 ;
+        RECT 235.770 44.370 236.030 44.690 ;
+        RECT 236.750 43.670 236.890 54.000 ;
+        RECT 236.690 43.350 236.950 43.670 ;
+        RECT 237.210 42.650 237.350 54.000 ;
+        RECT 237.670 44.010 237.810 54.000 ;
+        RECT 238.130 53.190 238.270 54.000 ;
+        RECT 238.070 52.870 238.330 53.190 ;
+        RECT 238.990 52.870 239.250 53.190 ;
+        RECT 238.070 52.365 238.330 52.510 ;
+        RECT 238.060 51.995 238.340 52.365 ;
+        RECT 238.060 51.315 238.340 51.685 ;
+        RECT 238.130 46.730 238.270 51.315 ;
+        RECT 239.050 50.470 239.190 52.870 ;
+        RECT 238.990 50.150 239.250 50.470 ;
+        RECT 238.990 47.660 239.250 47.750 ;
+        RECT 239.510 47.660 239.650 54.000 ;
+        RECT 238.990 47.520 239.650 47.660 ;
+        RECT 238.990 47.430 239.250 47.520 ;
+        RECT 238.070 46.410 238.330 46.730 ;
+        RECT 238.990 46.410 239.250 46.730 ;
+        RECT 239.050 44.690 239.190 46.410 ;
+        RECT 238.990 44.370 239.250 44.690 ;
+        RECT 237.610 43.690 237.870 44.010 ;
+        RECT 237.150 42.330 237.410 42.650 ;
+        RECT 239.970 41.290 240.110 54.000 ;
+        RECT 240.430 48.090 240.570 54.000 ;
+        RECT 240.370 47.770 240.630 48.090 ;
+        RECT 240.360 47.235 240.640 47.605 ;
+        RECT 240.430 47.070 240.570 47.235 ;
+        RECT 240.370 46.750 240.630 47.070 ;
+        RECT 240.890 45.030 241.030 54.000 ;
+        RECT 241.750 51.510 242.010 51.830 ;
+        RECT 241.280 49.955 241.560 50.325 ;
+        RECT 241.290 49.810 241.550 49.955 ;
+        RECT 241.810 49.110 241.950 51.510 ;
+        RECT 242.270 50.130 242.410 54.000 ;
+        RECT 242.210 49.810 242.470 50.130 ;
+        RECT 241.750 48.790 242.010 49.110 ;
+        RECT 242.730 47.750 242.870 54.000 ;
+        RECT 243.120 52.675 243.400 53.045 ;
+        RECT 243.130 52.530 243.390 52.675 ;
+        RECT 245.030 51.830 245.170 54.000 ;
+        RECT 244.970 51.510 245.230 51.830 ;
+        RECT 243.120 49.275 243.400 49.645 ;
+        RECT 243.190 49.110 243.330 49.275 ;
+        RECT 243.130 48.790 243.390 49.110 ;
+        RECT 244.500 48.170 244.780 48.285 ;
+        RECT 243.650 48.030 244.780 48.170 ;
+        RECT 242.670 47.430 242.930 47.750 ;
+        RECT 243.650 47.605 243.790 48.030 ;
+        RECT 244.500 47.915 244.780 48.030 ;
+        RECT 243.580 47.235 243.860 47.605 ;
+        RECT 245.490 47.410 245.630 54.000 ;
+        RECT 243.650 47.070 243.790 47.235 ;
+        RECT 245.430 47.090 245.690 47.410 ;
+        RECT 243.590 46.750 243.850 47.070 ;
+        RECT 244.050 46.750 244.310 47.070 ;
+        RECT 244.110 46.130 244.250 46.750 ;
+        RECT 241.810 45.990 244.250 46.130 ;
+        RECT 240.830 44.710 241.090 45.030 ;
+        RECT 239.910 40.970 240.170 41.290 ;
+        RECT 238.070 39.270 238.330 39.590 ;
+        RECT 238.130 34.520 238.270 39.270 ;
+        RECT 241.810 34.520 241.950 45.990 ;
+        RECT 243.590 44.370 243.850 44.690 ;
+        RECT 243.650 42.650 243.790 44.370 ;
+        RECT 244.970 43.690 245.230 44.010 ;
+        RECT 243.590 42.330 243.850 42.650 ;
+        RECT 245.030 40.610 245.170 43.690 ;
+        RECT 244.970 40.290 245.230 40.610 ;
+        RECT 245.950 39.590 246.090 54.000 ;
+        RECT 246.350 49.130 246.610 49.450 ;
+        RECT 246.410 46.390 246.550 49.130 ;
+        RECT 247.330 48.090 247.470 54.000 ;
+        RECT 248.250 53.530 248.390 54.000 ;
+        RECT 248.190 53.210 248.450 53.530 ;
+        RECT 246.810 47.770 247.070 48.090 ;
+        RECT 247.270 47.770 247.530 48.090 ;
+        RECT 246.870 46.390 247.010 47.770 ;
+        RECT 246.350 46.070 246.610 46.390 ;
+        RECT 246.810 46.070 247.070 46.390 ;
+        RECT 247.330 45.030 247.470 47.770 ;
+        RECT 247.270 44.710 247.530 45.030 ;
+        RECT 248.710 44.940 248.850 54.000 ;
+        RECT 249.110 53.210 249.370 53.530 ;
+        RECT 249.170 52.510 249.310 53.210 ;
+        RECT 249.110 52.190 249.370 52.510 ;
+        RECT 249.570 52.190 249.830 52.510 ;
+        RECT 249.630 50.810 249.770 52.190 ;
+        RECT 250.090 51.570 250.230 54.000 ;
+        RECT 250.090 51.430 250.690 51.570 ;
+        RECT 249.570 50.490 249.830 50.810 ;
+        RECT 250.020 50.635 250.300 51.005 ;
+        RECT 250.030 50.490 250.290 50.635 ;
+        RECT 248.710 44.800 249.310 44.940 ;
+        RECT 245.890 39.270 246.150 39.590 ;
+        RECT 245.430 38.930 245.690 39.250 ;
+        RECT 245.490 34.520 245.630 38.930 ;
+        RECT 249.170 34.520 249.310 44.800 ;
+        RECT 250.550 39.250 250.690 51.430 ;
+        RECT 251.010 51.005 251.150 54.000 ;
+        RECT 251.470 51.830 251.610 54.000 ;
+        RECT 251.930 53.045 252.070 54.000 ;
+        RECT 251.860 52.675 252.140 53.045 ;
+        RECT 251.410 51.510 251.670 51.830 ;
+        RECT 251.870 51.510 252.130 51.830 ;
+        RECT 250.940 50.635 251.220 51.005 ;
+        RECT 251.930 47.070 252.070 51.510 ;
+        RECT 252.390 48.965 252.530 54.000 ;
+        RECT 252.850 53.190 252.990 54.000 ;
+        RECT 252.790 52.870 253.050 53.190 ;
+        RECT 253.770 51.830 253.910 54.000 ;
+        RECT 254.230 53.045 254.370 54.000 ;
+        RECT 254.160 52.675 254.440 53.045 ;
+        RECT 253.710 51.510 253.970 51.830 ;
+        RECT 252.790 49.810 253.050 50.130 ;
+        RECT 252.320 48.595 252.600 48.965 ;
+        RECT 252.320 47.235 252.600 47.605 ;
+        RECT 252.330 47.090 252.590 47.235 ;
+        RECT 251.870 46.750 252.130 47.070 ;
+        RECT 252.850 46.980 252.990 49.810 ;
+        RECT 254.230 48.850 254.370 52.675 ;
+        RECT 253.770 48.710 254.370 48.850 ;
+        RECT 253.770 47.750 253.910 48.710 ;
+        RECT 253.710 47.430 253.970 47.750 ;
+        RECT 253.250 46.980 253.510 47.070 ;
+        RECT 252.850 46.840 253.510 46.980 ;
+        RECT 253.250 46.750 253.510 46.840 ;
+        RECT 254.690 46.730 254.830 54.000 ;
+        RECT 254.630 46.410 254.890 46.730 ;
+        RECT 252.780 45.875 253.060 46.245 ;
+        RECT 250.490 38.930 250.750 39.250 ;
+        RECT 252.850 34.520 252.990 45.875 ;
+        RECT 255.150 39.930 255.290 54.000 ;
+        RECT 256.070 47.070 256.210 54.000 ;
+        RECT 256.470 52.530 256.730 52.850 ;
+        RECT 256.530 52.365 256.670 52.530 ;
+        RECT 256.460 51.995 256.740 52.365 ;
+        RECT 256.470 51.510 256.730 51.830 ;
+        RECT 256.990 51.570 257.130 54.000 ;
+        RECT 256.010 46.750 256.270 47.070 ;
+        RECT 256.530 46.730 256.670 51.510 ;
+        RECT 256.990 51.430 258.050 51.570 ;
+        RECT 256.930 50.490 257.190 50.810 ;
+        RECT 256.990 50.130 257.130 50.490 ;
+        RECT 257.910 50.470 258.050 51.430 ;
+        RECT 257.850 50.150 258.110 50.470 ;
+        RECT 256.930 49.810 257.190 50.130 ;
+        RECT 256.470 46.410 256.730 46.730 ;
+        RECT 257.850 44.370 258.110 44.690 ;
+        RECT 257.910 42.165 258.050 44.370 ;
+        RECT 258.370 44.010 258.510 54.000 ;
+        RECT 258.830 52.510 258.970 54.000 ;
+        RECT 258.770 52.190 259.030 52.510 ;
+        RECT 258.770 50.150 259.030 50.470 ;
+        RECT 258.830 44.690 258.970 50.150 ;
+        RECT 259.290 45.370 259.430 54.000 ;
+        RECT 259.750 50.810 259.890 54.000 ;
+        RECT 260.210 53.530 260.350 54.000 ;
+        RECT 260.150 53.210 260.410 53.530 ;
+        RECT 259.690 50.490 259.950 50.810 ;
+        RECT 260.670 50.130 260.810 54.000 ;
+        RECT 260.610 49.810 260.870 50.130 ;
+        RECT 259.690 49.470 259.950 49.790 ;
+        RECT 259.750 49.110 259.890 49.470 ;
+        RECT 259.690 48.790 259.950 49.110 ;
+        RECT 261.130 47.070 261.270 54.000 ;
+        RECT 261.520 53.355 261.800 53.725 ;
+        RECT 262.050 53.530 262.190 54.000 ;
+        RECT 261.590 52.760 261.730 53.355 ;
+        RECT 261.990 53.210 262.250 53.530 ;
+        RECT 261.990 52.760 262.250 52.850 ;
+        RECT 261.590 52.620 262.250 52.760 ;
+        RECT 261.990 52.530 262.250 52.620 ;
+        RECT 262.510 48.285 262.650 54.000 ;
+        RECT 262.970 53.725 263.110 54.000 ;
+        RECT 262.900 53.355 263.180 53.725 ;
+        RECT 262.970 50.325 263.110 53.355 ;
+        RECT 262.900 49.955 263.180 50.325 ;
+        RECT 262.440 47.915 262.720 48.285 ;
+        RECT 261.070 46.750 261.330 47.070 ;
+        RECT 262.510 46.390 262.650 47.915 ;
+        RECT 262.450 46.070 262.710 46.390 ;
+        RECT 259.230 45.050 259.490 45.370 ;
+        RECT 258.770 44.370 259.030 44.690 ;
+        RECT 258.310 43.690 258.570 44.010 ;
+        RECT 257.840 41.795 258.120 42.165 ;
+        RECT 255.090 39.610 255.350 39.930 ;
+        RECT 256.470 39.270 256.730 39.590 ;
+        RECT 256.530 34.520 256.670 39.270 ;
+        RECT 263.890 34.520 264.030 54.000 ;
+        RECT 264.350 47.750 264.490 54.000 ;
+        RECT 265.730 50.130 265.870 54.000 ;
+        RECT 266.190 53.045 266.330 54.000 ;
+        RECT 266.120 52.675 266.400 53.045 ;
+        RECT 265.670 49.810 265.930 50.130 ;
+        RECT 264.290 47.430 264.550 47.750 ;
+        RECT 266.650 47.605 266.790 54.000 ;
+        RECT 266.580 47.235 266.860 47.605 ;
+        RECT 264.750 43.350 265.010 43.670 ;
+        RECT 264.810 41.970 264.950 43.350 ;
+        RECT 264.750 41.650 265.010 41.970 ;
+        RECT 267.570 34.520 267.710 54.000 ;
+        RECT 268.030 47.605 268.170 54.000 ;
+        RECT 268.490 53.190 268.630 54.000 ;
+        RECT 268.430 52.870 268.690 53.190 ;
+        RECT 270.260 52.675 270.540 53.045 ;
+        RECT 270.330 52.510 270.470 52.675 ;
+        RECT 270.270 52.190 270.530 52.510 ;
+        RECT 270.790 50.325 270.930 54.000 ;
+        RECT 270.720 49.955 271.000 50.325 ;
+        RECT 270.270 49.470 270.530 49.790 ;
+        RECT 270.330 49.110 270.470 49.470 ;
+        RECT 270.270 48.790 270.530 49.110 ;
+        RECT 268.420 47.915 268.700 48.285 ;
+        RECT 268.430 47.770 268.690 47.915 ;
+        RECT 267.960 47.235 268.240 47.605 ;
+        RECT 268.030 44.350 268.170 47.235 ;
+        RECT 270.730 46.750 270.990 47.070 ;
+        RECT 270.790 44.690 270.930 46.750 ;
+        RECT 270.730 44.370 270.990 44.690 ;
+        RECT 267.970 44.030 268.230 44.350 ;
+        RECT 270.790 39.930 270.930 44.370 ;
+        RECT 270.730 39.610 270.990 39.930 ;
+        RECT 271.250 34.520 271.390 54.000 ;
+        RECT 272.170 50.130 272.310 54.000 ;
+        RECT 273.030 52.760 273.290 52.850 ;
+        RECT 274.010 52.760 274.150 54.000 ;
+        RECT 273.030 52.620 274.150 52.760 ;
+        RECT 273.030 52.530 273.290 52.620 ;
+        RECT 272.570 52.190 272.830 52.510 ;
+        RECT 272.630 50.810 272.770 52.190 ;
+        RECT 272.570 50.490 272.830 50.810 ;
+        RECT 272.110 49.810 272.370 50.130 ;
+        RECT 274.010 41.970 274.150 52.620 ;
+        RECT 274.470 52.510 274.610 54.000 ;
+        RECT 276.240 53.355 276.520 53.725 ;
+        RECT 275.320 52.675 275.600 53.045 ;
+        RECT 275.390 52.510 275.530 52.675 ;
+        RECT 274.410 52.190 274.670 52.510 ;
+        RECT 275.330 52.190 275.590 52.510 ;
+        RECT 274.870 51.510 275.130 51.830 ;
+        RECT 274.410 48.790 274.670 49.110 ;
+        RECT 274.470 47.750 274.610 48.790 ;
+        RECT 274.410 47.430 274.670 47.750 ;
+        RECT 273.950 41.650 274.210 41.970 ;
+        RECT 274.930 34.520 275.070 51.510 ;
+        RECT 275.790 50.150 276.050 50.470 ;
+        RECT 275.850 48.285 275.990 50.150 ;
+        RECT 276.310 49.790 276.450 53.355 ;
+        RECT 276.250 49.470 276.510 49.790 ;
+        RECT 276.250 48.790 276.510 49.110 ;
+        RECT 275.780 47.915 276.060 48.285 ;
+        RECT 276.310 48.090 276.450 48.790 ;
+        RECT 276.250 47.770 276.510 48.090 ;
+        RECT 275.790 46.750 276.050 47.070 ;
+        RECT 275.850 44.350 275.990 46.750 ;
+        RECT 275.790 44.030 276.050 44.350 ;
+        RECT 276.770 39.590 276.910 54.000 ;
+        RECT 277.230 52.850 277.370 54.000 ;
+        RECT 277.690 52.850 277.830 54.000 ;
+        RECT 277.170 52.530 277.430 52.850 ;
+        RECT 277.630 52.530 277.890 52.850 ;
+        RECT 276.710 39.270 276.970 39.590 ;
+        RECT 278.610 34.520 278.750 54.000 ;
+        RECT 279.990 53.530 280.130 54.000 ;
+        RECT 279.470 53.210 279.730 53.530 ;
+        RECT 279.930 53.210 280.190 53.530 ;
+        RECT 279.530 44.690 279.670 53.210 ;
+        RECT 280.450 51.830 280.590 54.000 ;
+        RECT 281.770 51.850 282.030 52.170 ;
+        RECT 280.390 51.510 280.650 51.830 ;
+        RECT 280.390 49.810 280.650 50.130 ;
+        RECT 279.470 44.370 279.730 44.690 ;
+        RECT 280.450 40.950 280.590 49.810 ;
+        RECT 280.390 40.630 280.650 40.950 ;
+        RECT 281.830 39.590 281.970 51.850 ;
+        RECT 282.290 50.130 282.430 54.000 ;
+        RECT 282.750 53.045 282.890 54.000 ;
+        RECT 282.680 52.675 282.960 53.045 ;
+        RECT 284.070 52.760 284.330 52.850 ;
+        RECT 282.750 52.510 282.890 52.675 ;
+        RECT 284.070 52.620 285.190 52.760 ;
+        RECT 284.070 52.530 284.330 52.620 ;
+        RECT 282.690 52.190 282.950 52.510 ;
+        RECT 283.610 52.190 283.870 52.510 ;
+        RECT 282.690 50.490 282.950 50.810 ;
+        RECT 282.230 49.810 282.490 50.130 ;
+        RECT 282.230 42.330 282.490 42.650 ;
+        RECT 281.770 39.270 282.030 39.590 ;
+        RECT 282.290 34.520 282.430 42.330 ;
+        RECT 282.750 38.570 282.890 50.490 ;
+        RECT 283.150 49.810 283.410 50.130 ;
+        RECT 283.210 49.110 283.350 49.810 ;
+        RECT 283.150 48.790 283.410 49.110 ;
+        RECT 283.670 48.090 283.810 52.190 ;
+        RECT 285.050 50.130 285.190 52.620 ;
+        RECT 285.450 52.190 285.710 52.510 ;
+        RECT 285.510 51.685 285.650 52.190 ;
+        RECT 286.430 52.170 286.570 54.000 ;
+        RECT 286.370 51.850 286.630 52.170 ;
+        RECT 285.440 51.315 285.720 51.685 ;
+        RECT 286.890 51.570 287.030 54.000 ;
+        RECT 287.810 52.760 287.950 54.000 ;
+        RECT 288.210 52.760 288.470 52.850 ;
+        RECT 287.810 52.620 288.470 52.760 ;
+        RECT 288.210 52.530 288.470 52.620 ;
+        RECT 288.730 52.250 288.870 54.000 ;
+        RECT 290.570 53.530 290.710 54.000 ;
+        RECT 290.510 53.210 290.770 53.530 ;
+        RECT 285.970 51.430 287.030 51.570 ;
+        RECT 287.350 52.110 288.870 52.250 ;
+        RECT 284.990 49.810 285.250 50.130 ;
+        RECT 283.610 47.770 283.870 48.090 ;
+        RECT 284.070 46.925 284.330 47.070 ;
+        RECT 284.060 46.555 284.340 46.925 ;
+        RECT 284.530 46.070 284.790 46.390 ;
+        RECT 285.450 46.070 285.710 46.390 ;
+        RECT 282.690 38.250 282.950 38.570 ;
+        RECT 284.590 34.520 284.730 46.070 ;
+        RECT 285.510 40.270 285.650 46.070 ;
+        RECT 285.450 39.950 285.710 40.270 ;
+        RECT 285.970 34.520 286.110 51.430 ;
+        RECT 286.360 50.635 286.640 51.005 ;
+        RECT 286.430 39.250 286.570 50.635 ;
+        RECT 286.830 46.410 287.090 46.730 ;
+        RECT 286.370 38.930 286.630 39.250 ;
+        RECT 286.890 34.520 287.030 46.410 ;
+        RECT 287.350 42.310 287.490 52.110 ;
+        RECT 287.750 51.510 288.010 51.830 ;
+        RECT 287.810 50.130 287.950 51.510 ;
+        RECT 288.450 50.920 289.930 51.400 ;
+        RECT 290.500 50.890 290.780 51.005 ;
+        RECT 290.110 50.750 290.780 50.890 ;
+        RECT 291.950 50.810 292.090 54.000 ;
+        RECT 292.340 52.675 292.620 53.045 ;
+        RECT 292.410 50.810 292.550 52.675 ;
+        RECT 287.750 49.810 288.010 50.130 ;
+        RECT 287.750 49.130 288.010 49.450 ;
+        RECT 287.290 41.990 287.550 42.310 ;
+        RECT 287.810 38.650 287.950 49.130 ;
+        RECT 289.120 47.915 289.400 48.285 ;
+        RECT 289.190 47.070 289.330 47.915 ;
+        RECT 290.110 47.605 290.250 50.750 ;
+        RECT 290.500 50.635 290.780 50.750 ;
+        RECT 291.890 50.490 292.150 50.810 ;
+        RECT 292.350 50.490 292.610 50.810 ;
+        RECT 292.810 49.810 293.070 50.130 ;
+        RECT 290.510 48.790 290.770 49.110 ;
+        RECT 292.350 48.790 292.610 49.110 ;
+        RECT 290.040 47.235 290.320 47.605 ;
+        RECT 289.130 46.750 289.390 47.070 ;
+        RECT 288.450 45.480 289.930 45.960 ;
+        RECT 288.660 44.515 288.940 44.885 ;
+        RECT 288.670 44.370 288.930 44.515 ;
+        RECT 289.590 41.990 289.850 42.310 ;
+        RECT 287.810 38.510 288.410 38.650 ;
+        RECT 288.270 34.520 288.410 38.510 ;
+        RECT 289.650 34.520 289.790 41.990 ;
+        RECT 290.570 34.520 290.710 48.790 ;
+        RECT 290.960 47.235 291.240 47.605 ;
+        RECT 292.410 47.410 292.550 48.790 ;
+        RECT 291.030 47.070 291.170 47.235 ;
+        RECT 292.350 47.090 292.610 47.410 ;
+        RECT 290.970 46.750 291.230 47.070 ;
+        RECT 292.870 46.245 293.010 49.810 ;
+        RECT 293.330 47.410 293.470 54.000 ;
+        RECT 294.250 50.130 294.390 54.000 ;
+        RECT 295.170 50.470 295.310 54.000 ;
+        RECT 295.630 52.510 295.770 54.000 ;
+        RECT 295.570 52.190 295.830 52.510 ;
+        RECT 295.110 50.150 295.370 50.470 ;
+        RECT 294.190 49.810 294.450 50.130 ;
+        RECT 295.110 49.130 295.370 49.450 ;
+        RECT 293.270 47.090 293.530 47.410 ;
+        RECT 292.800 45.875 293.080 46.245 ;
+        RECT 293.730 45.050 293.990 45.370 ;
+        RECT 292.350 44.710 292.610 45.030 ;
+        RECT 292.810 44.885 293.070 45.030 ;
+        RECT 292.410 39.250 292.550 44.710 ;
+        RECT 292.800 44.515 293.080 44.885 ;
+        RECT 293.790 44.770 293.930 45.050 ;
+        RECT 295.170 44.885 295.310 49.130 ;
+        RECT 296.090 46.245 296.230 54.000 ;
+        RECT 296.550 49.790 296.690 54.000 ;
+        RECT 296.490 49.470 296.750 49.790 ;
+        RECT 296.020 45.875 296.300 46.245 ;
+        RECT 293.790 44.630 294.390 44.770 ;
+        RECT 292.810 44.030 293.070 44.350 ;
+        RECT 292.870 39.930 293.010 44.030 ;
+        RECT 292.810 39.610 293.070 39.930 ;
+        RECT 293.270 39.610 293.530 39.930 ;
+        RECT 291.890 38.930 292.150 39.250 ;
+        RECT 292.350 38.930 292.610 39.250 ;
+        RECT 291.950 34.520 292.090 38.930 ;
+        RECT 293.330 34.520 293.470 39.610 ;
+        RECT 294.250 34.520 294.390 44.630 ;
+        RECT 295.100 44.515 295.380 44.885 ;
+        RECT 297.010 34.520 297.150 54.000 ;
+        RECT 298.390 53.725 298.530 54.000 ;
+        RECT 298.320 53.355 298.600 53.725 ;
+        RECT 298.390 52.930 298.530 53.355 ;
+        RECT 298.390 52.790 298.990 52.930 ;
+        RECT 298.330 52.365 298.590 52.510 ;
+        RECT 298.320 52.250 298.600 52.365 ;
+        RECT 297.930 52.110 298.600 52.250 ;
+        RECT 297.930 45.370 298.070 52.110 ;
+        RECT 298.320 51.995 298.600 52.110 ;
+        RECT 298.330 46.750 298.590 47.070 ;
+        RECT 297.870 45.050 298.130 45.370 ;
+        RECT 298.390 44.350 298.530 46.750 ;
+        RECT 298.850 44.690 298.990 52.790 ;
+        RECT 299.250 49.810 299.510 50.130 ;
+        RECT 299.310 45.370 299.450 49.810 ;
+        RECT 299.770 47.070 299.910 54.000 ;
+        RECT 299.710 46.750 299.970 47.070 ;
+        RECT 299.250 45.050 299.510 45.370 ;
+        RECT 298.790 44.370 299.050 44.690 ;
+        RECT 298.330 44.030 298.590 44.350 ;
+        RECT 298.390 43.670 298.530 44.030 ;
+        RECT 298.330 43.350 298.590 43.670 ;
+        RECT 299.250 39.270 299.510 39.590 ;
+        RECT 297.870 38.930 298.130 39.250 ;
+        RECT 297.930 34.520 298.070 38.930 ;
+        RECT 299.310 34.520 299.450 39.270 ;
+        RECT 300.690 34.520 300.830 54.000 ;
+        RECT 301.150 42.310 301.290 54.000 ;
+        RECT 302.990 53.190 303.130 54.000 ;
+        RECT 302.930 52.870 303.190 53.190 ;
+        RECT 303.450 53.045 303.590 54.000 ;
+        RECT 303.380 52.675 303.660 53.045 ;
+        RECT 303.450 50.130 303.590 52.675 ;
+        RECT 303.390 49.810 303.650 50.130 ;
+        RECT 302.930 46.070 303.190 46.390 ;
+        RECT 302.010 44.030 302.270 44.350 ;
+        RECT 301.090 41.990 301.350 42.310 ;
+        RECT 302.070 41.970 302.210 44.030 ;
+        RECT 302.010 41.650 302.270 41.970 ;
+        RECT 302.990 34.520 303.130 46.070 ;
+        RECT 303.910 39.930 304.050 54.000 ;
+        RECT 304.310 49.470 304.570 49.790 ;
+        RECT 303.850 39.610 304.110 39.930 ;
+        RECT 304.370 34.520 304.510 49.470 ;
+        RECT 304.830 46.640 304.970 54.000 ;
+        RECT 305.290 46.980 305.430 54.000 ;
+        RECT 306.600 48.595 306.880 48.965 ;
+        RECT 306.150 46.980 306.410 47.070 ;
+        RECT 305.290 46.840 306.410 46.980 ;
+        RECT 306.150 46.750 306.410 46.840 ;
+        RECT 304.830 46.500 305.890 46.640 ;
+        RECT 305.750 45.370 305.890 46.500 ;
+        RECT 306.210 45.565 306.350 46.750 ;
+        RECT 305.230 45.050 305.490 45.370 ;
+        RECT 305.690 45.050 305.950 45.370 ;
+        RECT 306.140 45.195 306.420 45.565 ;
+        RECT 305.290 34.520 305.430 45.050 ;
+        RECT 306.210 44.690 306.350 45.195 ;
+        RECT 306.150 44.370 306.410 44.690 ;
+        RECT 306.670 34.520 306.810 48.595 ;
+        RECT 308.050 34.520 308.190 54.000 ;
+        RECT 309.890 52.510 310.030 54.000 ;
+        RECT 308.910 52.420 309.170 52.510 ;
+        RECT 309.830 52.420 310.090 52.510 ;
+        RECT 308.910 52.280 310.090 52.420 ;
+        RECT 308.910 52.190 309.170 52.280 ;
+        RECT 309.830 52.190 310.090 52.280 ;
+        RECT 308.910 51.510 309.170 51.830 ;
+        RECT 308.450 43.350 308.710 43.670 ;
+        RECT 308.510 40.610 308.650 43.350 ;
+        RECT 308.450 40.290 308.710 40.610 ;
+        RECT 308.970 34.520 309.110 51.510 ;
+        RECT 310.280 51.315 310.560 51.685 ;
+        RECT 309.830 47.430 310.090 47.750 ;
+        RECT 309.890 43.670 310.030 47.430 ;
+        RECT 309.830 43.350 310.090 43.670 ;
+        RECT 310.350 34.520 310.490 51.315 ;
+        RECT 310.810 49.790 310.950 54.000 ;
+        RECT 311.670 52.190 311.930 52.510 ;
+        RECT 311.730 51.005 311.870 52.190 ;
+        RECT 312.190 51.830 312.330 54.000 ;
+        RECT 312.130 51.510 312.390 51.830 ;
+        RECT 311.660 50.635 311.940 51.005 ;
+        RECT 310.750 49.470 311.010 49.790 ;
+        RECT 311.670 47.770 311.930 48.090 ;
+        RECT 311.210 46.070 311.470 46.390 ;
+        RECT 311.270 40.610 311.410 46.070 ;
+        RECT 311.210 40.290 311.470 40.610 ;
+        RECT 311.730 34.520 311.870 47.770 ;
+        RECT 312.650 46.390 312.790 54.000 ;
+        RECT 312.590 46.070 312.850 46.390 ;
+        RECT 312.590 44.710 312.850 45.030 ;
+        RECT 312.650 34.520 312.790 44.710 ;
+        RECT 313.570 44.010 313.710 54.000 ;
+        RECT 313.510 43.690 313.770 44.010 ;
+        RECT 314.030 34.520 314.170 54.000 ;
+        RECT 317.180 53.355 317.460 53.725 ;
+        RECT 315.350 52.530 315.610 52.850 ;
+        RECT 314.890 48.790 315.150 49.110 ;
+        RECT 314.950 47.070 315.090 48.790 ;
+        RECT 314.430 46.750 314.690 47.070 ;
+        RECT 314.890 46.750 315.150 47.070 ;
+        RECT 314.490 45.565 314.630 46.750 ;
+        RECT 314.420 45.195 314.700 45.565 ;
+        RECT 315.410 34.520 315.550 52.530 ;
+        RECT 317.250 52.510 317.390 53.355 ;
+        RECT 317.190 52.190 317.450 52.510 ;
+        RECT 317.710 48.090 317.850 54.000 ;
+        RECT 318.170 52.510 318.310 54.000 ;
+        RECT 320.930 52.850 321.070 54.000 ;
+        RECT 320.870 52.530 321.130 52.850 ;
+        RECT 318.110 52.190 318.370 52.510 ;
+        RECT 318.110 51.510 318.370 51.830 ;
+        RECT 318.570 51.510 318.830 51.830 ;
+        RECT 318.170 50.810 318.310 51.510 ;
+        RECT 318.110 50.490 318.370 50.810 ;
+        RECT 317.650 47.770 317.910 48.090 ;
+        RECT 316.270 47.090 316.530 47.410 ;
+        RECT 315.810 44.030 316.070 44.350 ;
+        RECT 315.870 40.950 316.010 44.030 ;
+        RECT 315.810 40.630 316.070 40.950 ;
+        RECT 316.330 34.520 316.470 47.090 ;
+        RECT 318.630 46.925 318.770 51.510 ;
+        RECT 319.030 50.150 319.290 50.470 ;
+        RECT 318.560 46.555 318.840 46.925 ;
+        RECT 317.650 43.350 317.910 43.670 ;
+        RECT 317.710 34.520 317.850 43.350 ;
+        RECT 319.090 34.520 319.230 50.150 ;
+        RECT 321.320 49.955 321.600 50.325 ;
+        RECT 319.950 43.690 320.210 44.010 ;
+        RECT 320.010 34.520 320.150 43.690 ;
+        RECT 321.390 34.520 321.530 49.955 ;
+        RECT 322.770 34.520 322.910 54.000 ;
+        RECT 323.690 52.510 323.830 54.000 ;
+        RECT 323.630 52.190 323.890 52.510 ;
+        RECT 324.150 46.390 324.290 54.000 ;
+        RECT 325.530 50.470 325.670 54.000 ;
+        RECT 325.990 50.470 326.130 54.000 ;
+        RECT 326.380 52.675 326.660 53.045 ;
+        RECT 325.470 50.150 325.730 50.470 ;
+        RECT 325.930 50.150 326.190 50.470 ;
+        RECT 325.930 47.770 326.190 48.090 ;
+        RECT 324.090 46.070 324.350 46.390 ;
+        RECT 325.470 46.070 325.730 46.390 ;
+        RECT 325.530 44.885 325.670 46.070 ;
+        RECT 325.460 44.515 325.740 44.885 ;
+        RECT 323.630 40.290 323.890 40.610 ;
+        RECT 323.690 34.520 323.830 40.290 ;
+        RECT 325.010 38.250 325.270 38.570 ;
+        RECT 325.070 34.520 325.210 38.250 ;
+        RECT 325.990 37.290 326.130 47.770 ;
+        RECT 326.450 47.070 326.590 52.675 ;
+        RECT 326.850 52.530 327.110 52.850 ;
+        RECT 326.910 50.130 327.050 52.530 ;
+        RECT 327.370 51.830 327.510 54.000 ;
+        RECT 327.830 53.610 327.970 54.000 ;
+        RECT 327.830 53.470 328.430 53.610 ;
+        RECT 328.750 53.530 328.890 54.000 ;
+        RECT 327.770 53.045 328.030 53.190 ;
+        RECT 327.760 52.675 328.040 53.045 ;
+        RECT 328.290 52.930 328.430 53.470 ;
+        RECT 328.690 53.210 328.950 53.530 ;
+        RECT 328.290 52.790 328.890 52.930 ;
+        RECT 329.670 52.850 329.810 54.000 ;
+        RECT 327.770 52.190 328.030 52.510 ;
+        RECT 327.310 51.510 327.570 51.830 ;
+        RECT 326.850 49.810 327.110 50.130 ;
+        RECT 327.310 48.790 327.570 49.110 ;
+        RECT 327.830 48.965 327.970 52.190 ;
+        RECT 328.230 51.510 328.490 51.830 ;
+        RECT 328.290 50.470 328.430 51.510 ;
+        RECT 328.230 50.150 328.490 50.470 ;
+        RECT 326.390 46.750 326.650 47.070 ;
+        RECT 326.450 45.030 326.590 46.750 ;
+        RECT 326.390 44.710 326.650 45.030 ;
+        RECT 325.990 37.150 326.590 37.290 ;
+        RECT 326.450 34.520 326.590 37.150 ;
+        RECT 327.370 34.520 327.510 48.790 ;
+        RECT 327.760 48.595 328.040 48.965 ;
+        RECT 328.750 34.520 328.890 52.790 ;
+        RECT 329.610 52.530 329.870 52.850 ;
+        RECT 330.130 52.510 330.270 54.000 ;
+        RECT 329.150 52.190 329.410 52.510 ;
+        RECT 330.070 52.190 330.330 52.510 ;
+        RECT 329.210 40.610 329.350 52.190 ;
+        RECT 330.130 51.830 330.270 52.190 ;
+        RECT 330.070 51.740 330.330 51.830 ;
+        RECT 329.670 51.600 330.330 51.740 ;
+        RECT 329.670 42.310 329.810 51.600 ;
+        RECT 330.070 51.510 330.330 51.600 ;
+        RECT 331.050 49.700 331.190 54.000 ;
+        RECT 331.440 52.675 331.720 53.045 ;
+        RECT 331.510 50.130 331.650 52.675 ;
+        RECT 331.970 52.365 332.110 54.000 ;
+        RECT 332.430 52.510 332.570 54.000 ;
+        RECT 331.900 51.995 332.180 52.365 ;
+        RECT 332.370 52.190 332.630 52.510 ;
+        RECT 332.830 52.190 333.090 52.510 ;
+        RECT 332.890 51.830 333.030 52.190 ;
+        RECT 332.830 51.510 333.090 51.830 ;
+        RECT 331.910 50.490 332.170 50.810 ;
+        RECT 331.450 49.810 331.710 50.130 ;
+        RECT 330.130 49.560 331.190 49.700 ;
+        RECT 329.610 41.990 329.870 42.310 ;
+        RECT 329.150 40.290 329.410 40.610 ;
+        RECT 330.130 34.520 330.270 49.560 ;
+        RECT 330.990 46.410 331.250 46.730 ;
+        RECT 331.050 34.520 331.190 46.410 ;
+        RECT 331.970 44.885 332.110 50.490 ;
+        RECT 333.810 48.090 333.950 54.000 ;
+        RECT 334.210 51.850 334.470 52.170 ;
+        RECT 334.270 48.090 334.410 51.850 ;
+        RECT 333.750 47.770 334.010 48.090 ;
+        RECT 334.210 47.770 334.470 48.090 ;
+        RECT 332.370 47.430 332.630 47.750 ;
+        RECT 334.730 47.490 334.870 54.000 ;
+        RECT 335.190 52.850 335.330 54.000 ;
+        RECT 335.130 52.530 335.390 52.850 ;
+        RECT 331.900 44.515 332.180 44.885 ;
+        RECT 332.430 34.520 332.570 47.430 ;
+        RECT 333.810 47.350 334.870 47.490 ;
+        RECT 332.830 46.750 333.090 47.070 ;
+        RECT 333.290 46.750 333.550 47.070 ;
+        RECT 332.890 39.930 333.030 46.750 ;
+        RECT 333.350 43.670 333.490 46.750 ;
+        RECT 333.290 43.350 333.550 43.670 ;
+        RECT 332.830 39.610 333.090 39.930 ;
+        RECT 333.810 34.520 333.950 47.350 ;
+        RECT 334.210 46.410 334.470 46.730 ;
+        RECT 334.270 46.245 334.410 46.410 ;
+        RECT 335.650 46.300 335.790 54.000 ;
+        RECT 336.110 51.830 336.250 54.000 ;
+        RECT 336.050 51.510 336.310 51.830 ;
+        RECT 336.050 49.810 336.310 50.130 ;
+        RECT 334.200 45.875 334.480 46.245 ;
+        RECT 334.730 46.160 335.790 46.300 ;
+        RECT 334.730 34.520 334.870 46.160 ;
+        RECT 336.110 34.520 336.250 49.810 ;
+        RECT 336.570 44.690 336.710 54.000 ;
+        RECT 336.510 44.370 336.770 44.690 ;
+        RECT 337.030 44.090 337.170 54.000 ;
+        RECT 337.490 50.130 337.630 54.000 ;
+        RECT 337.950 50.325 338.090 54.000 ;
+        RECT 337.430 49.810 337.690 50.130 ;
+        RECT 337.880 49.955 338.160 50.325 ;
+        RECT 337.950 48.285 338.090 49.955 ;
+        RECT 337.880 47.915 338.160 48.285 ;
+        RECT 338.410 47.410 338.550 54.000 ;
+        RECT 339.720 48.595 340.000 48.965 ;
+        RECT 338.350 47.090 338.610 47.410 ;
+        RECT 339.790 46.390 339.930 48.595 ;
+        RECT 340.190 46.980 340.450 47.070 ;
+        RECT 340.710 46.980 340.850 54.000 ;
+        RECT 340.190 46.840 340.850 46.980 ;
+        RECT 340.190 46.750 340.450 46.840 ;
+        RECT 338.810 46.070 339.070 46.390 ;
+        RECT 339.730 46.070 339.990 46.390 ;
+        RECT 338.870 45.450 339.010 46.070 ;
+        RECT 340.640 45.875 340.920 46.245 ;
+        RECT 338.870 45.310 339.930 45.450 ;
+        RECT 336.570 43.950 337.170 44.090 ;
+        RECT 336.570 40.950 336.710 43.950 ;
+        RECT 338.350 43.690 338.610 44.010 ;
+        RECT 336.970 43.350 337.230 43.670 ;
+        RECT 337.030 42.650 337.170 43.350 ;
+        RECT 336.970 42.330 337.230 42.650 ;
+        RECT 336.510 40.630 336.770 40.950 ;
+        RECT 338.410 34.520 338.550 43.690 ;
+        RECT 339.790 34.520 339.930 45.310 ;
+        RECT 340.710 34.520 340.850 45.875 ;
+        RECT 341.170 40.270 341.310 54.000 ;
+        RECT 341.560 51.995 341.840 52.365 ;
+        RECT 341.630 47.070 341.770 51.995 ;
+        RECT 341.570 46.750 341.830 47.070 ;
+        RECT 342.550 46.730 342.690 54.000 ;
+        RECT 342.950 52.870 343.210 53.190 ;
+        RECT 343.010 52.365 343.150 52.870 ;
+        RECT 343.470 52.510 343.610 54.000 ;
+        RECT 342.940 51.995 343.220 52.365 ;
+        RECT 343.410 52.190 343.670 52.510 ;
+        RECT 343.410 49.700 343.670 49.790 ;
+        RECT 343.410 49.560 344.070 49.700 ;
+        RECT 343.410 49.470 343.670 49.560 ;
+        RECT 342.950 48.790 343.210 49.110 ;
+        RECT 343.930 48.965 344.070 49.560 ;
+        RECT 344.390 49.450 344.530 54.000 ;
+        RECT 345.310 50.470 345.450 54.000 ;
+        RECT 346.230 52.850 346.370 54.000 ;
+        RECT 346.170 52.530 346.430 52.850 ;
+        RECT 345.250 50.150 345.510 50.470 ;
+        RECT 344.330 49.130 344.590 49.450 ;
+        RECT 343.010 48.090 343.150 48.790 ;
+        RECT 343.860 48.595 344.140 48.965 ;
+        RECT 342.950 47.770 343.210 48.090 ;
+        RECT 342.490 46.410 342.750 46.730 ;
+        RECT 345.710 46.070 345.970 46.390 ;
+        RECT 345.250 44.370 345.510 44.690 ;
+        RECT 342.950 44.030 343.210 44.350 ;
+        RECT 343.010 41.290 343.150 44.030 ;
+        RECT 344.330 41.650 344.590 41.970 ;
+        RECT 342.950 40.970 343.210 41.290 ;
+        RECT 341.110 39.950 341.370 40.270 ;
+        RECT 342.030 39.610 342.290 39.930 ;
+        RECT 342.090 34.520 342.230 39.610 ;
+        RECT 344.390 34.520 344.530 41.650 ;
+        RECT 345.310 41.630 345.450 44.370 ;
+        RECT 345.250 41.310 345.510 41.630 ;
+        RECT 345.770 34.520 345.910 46.070 ;
+        RECT 347.150 34.520 347.290 54.000 ;
+        RECT 348.070 50.810 348.210 54.000 ;
+        RECT 348.010 50.490 348.270 50.810 ;
+        RECT 347.550 50.150 347.810 50.470 ;
+        RECT 347.610 47.070 347.750 50.150 ;
+        RECT 347.550 46.750 347.810 47.070 ;
+        RECT 348.530 46.245 348.670 54.000 ;
+        RECT 350.310 53.210 350.570 53.530 ;
+        RECT 350.370 49.110 350.510 53.210 ;
+        RECT 351.750 52.510 351.890 54.000 ;
+        RECT 352.670 53.190 352.810 54.000 ;
+        RECT 352.610 52.870 352.870 53.190 ;
+        RECT 351.690 52.190 351.950 52.510 ;
+        RECT 353.130 50.130 353.270 54.000 ;
+        RECT 353.590 52.510 353.730 54.000 ;
+        RECT 353.530 52.190 353.790 52.510 ;
+        RECT 353.070 49.810 353.330 50.130 ;
+        RECT 353.070 49.130 353.330 49.450 ;
+        RECT 350.310 48.790 350.570 49.110 ;
+        RECT 350.770 47.090 351.030 47.410 ;
+        RECT 348.460 45.875 348.740 46.245 ;
+        RECT 348.010 37.570 348.270 37.890 ;
+        RECT 348.070 34.520 348.210 37.570 ;
+        RECT 350.830 34.520 350.970 47.090 ;
+        RECT 352.610 46.070 352.870 46.390 ;
+        RECT 352.150 44.370 352.410 44.690 ;
+        RECT 352.210 42.310 352.350 44.370 ;
+        RECT 352.150 41.990 352.410 42.310 ;
+        RECT 352.670 39.330 352.810 46.070 ;
+        RECT 351.750 39.190 352.810 39.330 ;
+        RECT 351.750 34.520 351.890 39.190 ;
+        RECT 353.130 34.520 353.270 49.130 ;
+        RECT 353.530 46.750 353.790 47.070 ;
+        RECT 353.590 45.565 353.730 46.750 ;
+        RECT 353.520 45.195 353.800 45.565 ;
+        RECT 354.510 34.520 354.650 54.000 ;
+        RECT 357.730 52.850 357.870 54.000 ;
+        RECT 357.670 52.530 357.930 52.850 ;
+        RECT 358.190 52.510 358.330 54.000 ;
+        RECT 358.590 52.530 358.850 52.850 ;
+        RECT 358.130 52.190 358.390 52.510 ;
+        RECT 354.910 51.510 355.170 51.830 ;
+        RECT 354.970 50.810 355.110 51.510 ;
+        RECT 354.910 50.490 355.170 50.810 ;
+        RECT 357.670 48.790 357.930 49.110 ;
+        RECT 356.750 46.410 357.010 46.730 ;
+        RECT 356.810 34.520 356.950 46.410 ;
+        RECT 357.730 46.390 357.870 48.790 ;
+        RECT 357.670 46.070 357.930 46.390 ;
+        RECT 358.190 45.370 358.330 52.190 ;
+        RECT 357.210 45.050 357.470 45.370 ;
+        RECT 358.130 45.050 358.390 45.370 ;
+        RECT 357.270 42.650 357.410 45.050 ;
+        RECT 358.650 44.885 358.790 52.530 ;
+        RECT 359.570 52.170 359.710 54.000 ;
+        RECT 359.510 51.850 359.770 52.170 ;
+        RECT 359.050 50.150 359.310 50.470 ;
+        RECT 358.130 44.370 358.390 44.690 ;
+        RECT 358.580 44.515 358.860 44.885 ;
+        RECT 358.590 44.370 358.850 44.515 ;
+        RECT 358.190 43.670 358.330 44.370 ;
+        RECT 358.130 43.350 358.390 43.670 ;
+        RECT 357.210 42.330 357.470 42.650 ;
+        RECT 358.130 40.630 358.390 40.950 ;
+        RECT 358.190 34.520 358.330 40.630 ;
+        RECT 359.110 34.520 359.250 50.150 ;
+        RECT 360.430 49.470 360.690 49.790 ;
+        RECT 359.510 48.790 359.770 49.110 ;
+        RECT 359.570 37.890 359.710 48.790 ;
+        RECT 359.510 37.570 359.770 37.890 ;
+        RECT 360.490 34.520 360.630 49.470 ;
+        RECT 362.260 48.595 362.540 48.965 ;
+        RECT 362.330 48.090 362.470 48.595 ;
+        RECT 361.810 47.770 362.070 48.090 ;
+        RECT 362.270 47.770 362.530 48.090 ;
+        RECT 361.870 34.520 362.010 47.770 ;
+        RECT 362.790 34.520 362.930 54.000 ;
+        RECT 364.170 34.520 364.310 54.000 ;
+        RECT 364.630 42.560 364.770 54.000 ;
+        RECT 365.250 53.640 366.730 54.000 ;
+        RECT 368.710 52.190 368.970 52.510 ;
+        RECT 368.770 50.130 368.910 52.190 ;
+        RECT 368.710 49.810 368.970 50.130 ;
+        RECT 366.870 49.130 367.130 49.450 ;
+        RECT 365.250 48.200 366.730 48.680 ;
+        RECT 365.250 42.760 366.730 43.240 ;
+        RECT 364.630 42.420 365.690 42.560 ;
+        RECT 365.550 34.520 365.690 42.420 ;
+        RECT 366.930 39.330 367.070 49.130 ;
+        RECT 368.770 46.980 368.910 49.810 ;
+        RECT 370.610 47.410 370.750 54.000 ;
+        RECT 371.990 53.530 372.130 54.000 ;
+        RECT 371.930 53.210 372.190 53.530 ;
+        RECT 372.910 52.510 373.050 54.000 ;
+        RECT 375.150 52.530 375.410 52.850 ;
+        RECT 372.850 52.420 373.110 52.510 ;
+        RECT 372.850 52.280 374.430 52.420 ;
+        RECT 372.850 52.190 373.110 52.280 ;
+        RECT 374.290 50.210 374.430 52.280 ;
+        RECT 374.690 50.720 374.950 50.810 ;
+        RECT 375.210 50.720 375.350 52.530 ;
+        RECT 376.130 50.810 376.270 54.000 ;
+        RECT 382.050 53.210 382.310 53.530 ;
+        RECT 377.450 52.870 377.710 53.190 ;
+        RECT 376.520 51.995 376.800 52.365 ;
+        RECT 374.690 50.580 375.350 50.720 ;
+        RECT 374.690 50.490 374.950 50.580 ;
+        RECT 376.070 50.490 376.330 50.810 ;
+        RECT 374.290 50.130 375.350 50.210 ;
+        RECT 374.290 50.070 375.410 50.130 ;
+        RECT 375.150 49.810 375.410 50.070 ;
+        RECT 370.550 47.090 370.810 47.410 ;
+        RECT 373.770 47.090 374.030 47.410 ;
+        RECT 369.170 46.980 369.430 47.070 ;
+        RECT 368.770 46.840 369.430 46.980 ;
+        RECT 369.170 46.750 369.430 46.840 ;
+        RECT 367.790 46.410 368.050 46.730 ;
+        RECT 366.470 39.190 367.070 39.330 ;
+        RECT 366.470 34.520 366.610 39.190 ;
+        RECT 367.850 34.520 367.990 46.410 ;
+        RECT 370.090 46.070 370.350 46.390 ;
+        RECT 369.170 40.290 369.430 40.610 ;
+        RECT 369.230 34.520 369.370 40.290 ;
+        RECT 370.150 34.520 370.290 46.070 ;
+        RECT 371.470 43.350 371.730 43.670 ;
+        RECT 371.530 34.520 371.670 43.350 ;
+        RECT 372.850 39.950 373.110 40.270 ;
+        RECT 372.910 34.520 373.050 39.950 ;
+        RECT 373.830 34.520 373.970 47.090 ;
+        RECT 375.150 46.410 375.410 46.730 ;
+        RECT 375.210 34.520 375.350 46.410 ;
+        RECT 376.590 34.520 376.730 51.995 ;
+        RECT 377.510 34.520 377.650 52.870 ;
+        RECT 382.110 50.810 382.250 53.210 ;
+        RECT 382.050 50.490 382.310 50.810 ;
+        RECT 383.030 50.470 383.170 54.000 ;
+        RECT 382.510 50.150 382.770 50.470 ;
+        RECT 382.970 50.150 383.230 50.470 ;
+        RECT 380.210 47.770 380.470 48.090 ;
+        RECT 379.750 43.350 380.010 43.670 ;
+        RECT 379.810 41.970 379.950 43.350 ;
+        RECT 379.750 41.650 380.010 41.970 ;
+        RECT 380.270 34.520 380.410 47.770 ;
+        RECT 381.130 46.410 381.390 46.730 ;
+        RECT 381.190 44.690 381.330 46.410 ;
+        RECT 381.130 44.370 381.390 44.690 ;
+        RECT 381.130 43.690 381.390 44.010 ;
+        RECT 381.190 34.520 381.330 43.690 ;
+        RECT 382.570 34.520 382.710 50.150 ;
+        RECT 383.950 34.520 384.090 54.000 ;
+        RECT 385.730 51.510 385.990 51.830 ;
+        RECT 385.790 37.290 385.930 51.510 ;
+        RECT 386.710 46.390 386.850 54.000 ;
+        RECT 387.570 52.190 387.830 52.510 ;
+        RECT 388.490 52.190 388.750 52.510 ;
+        RECT 395.450 52.420 395.590 54.000 ;
+        RECT 518.850 53.640 520.330 54.000 ;
+        RECT 395.450 52.280 397.430 52.420 ;
+        RECT 386.190 46.070 386.450 46.390 ;
+        RECT 386.650 46.070 386.910 46.390 ;
+        RECT 386.250 44.690 386.390 46.070 ;
+        RECT 386.190 44.370 386.450 44.690 ;
+        RECT 385.790 37.150 386.390 37.290 ;
+        RECT 386.250 34.520 386.390 37.150 ;
+        RECT 387.630 34.520 387.770 52.190 ;
+        RECT 388.550 34.520 388.690 52.190 ;
+        RECT 393.550 51.850 393.810 52.170 ;
+        RECT 391.250 50.150 391.510 50.470 ;
+        RECT 389.870 46.410 390.130 46.730 ;
+        RECT 389.930 34.520 390.070 46.410 ;
+        RECT 391.310 34.520 391.450 50.150 ;
+        RECT 392.170 48.790 392.430 49.110 ;
+        RECT 392.230 34.520 392.370 48.790 ;
+        RECT 393.610 34.520 393.750 51.850 ;
+        RECT 394.930 50.490 395.190 50.810 ;
+        RECT 394.990 34.520 395.130 50.490 ;
+        RECT 395.850 48.790 396.110 49.110 ;
+        RECT 395.910 34.520 396.050 48.790 ;
+        RECT 397.290 34.520 397.430 52.280 ;
+        RECT 630.910 52.190 631.170 52.510 ;
+        RECT 442.050 50.920 443.530 51.400 ;
+        RECT 595.650 50.920 597.130 51.400 ;
+        RECT 400.910 49.810 401.170 50.130 ;
+        RECT 408.260 49.955 408.540 50.325 ;
+        RECT 399.530 46.750 399.790 47.070 ;
+        RECT 398.610 46.070 398.870 46.390 ;
+        RECT 398.670 34.520 398.810 46.070 ;
+        RECT 399.590 34.520 399.730 46.750 ;
+        RECT 400.970 34.520 401.110 49.810 ;
+        RECT 403.210 48.790 403.470 49.110 ;
+        RECT 402.290 41.990 402.550 42.310 ;
+        RECT 402.350 34.520 402.490 41.990 ;
+        RECT 403.270 34.520 403.410 48.790 ;
+        RECT 405.960 47.235 406.240 47.605 ;
+        RECT 404.590 43.350 404.850 43.670 ;
+        RECT 404.650 34.520 404.790 43.350 ;
+        RECT 406.030 34.520 406.170 47.235 ;
+        RECT 406.890 46.750 407.150 47.070 ;
+        RECT 406.950 34.520 407.090 46.750 ;
+        RECT 408.330 34.520 408.470 49.955 ;
+        RECT 414.250 48.790 414.510 49.110 ;
+        RECT 428.970 48.790 429.230 49.110 ;
+        RECT 436.330 48.790 436.590 49.110 ;
+        RECT 447.370 48.790 447.630 49.110 ;
+        RECT 458.410 48.790 458.670 49.110 ;
+        RECT 469.450 48.790 469.710 49.110 ;
+        RECT 480.490 48.790 480.750 49.110 ;
+        RECT 487.850 48.790 488.110 49.110 ;
+        RECT 513.610 48.790 513.870 49.110 ;
+        RECT 520.970 48.790 521.230 49.110 ;
+        RECT 535.690 48.790 535.950 49.110 ;
+        RECT 543.050 48.790 543.310 49.110 ;
+        RECT 554.090 48.790 554.350 49.110 ;
+        RECT 564.670 48.790 564.930 49.110 ;
+        RECT 572.030 48.790 572.290 49.110 ;
+        RECT 597.790 48.790 598.050 49.110 ;
+        RECT 619.870 48.790 620.130 49.110 ;
+        RECT 627.230 48.790 627.490 49.110 ;
+        RECT 410.570 46.750 410.830 47.070 ;
+        RECT 410.630 34.520 410.770 46.750 ;
+        RECT 414.310 34.520 414.450 48.790 ;
+        RECT 417.930 46.750 418.190 47.070 ;
+        RECT 421.610 46.750 421.870 47.070 ;
+        RECT 425.290 46.750 425.550 47.070 ;
+        RECT 417.990 34.520 418.130 46.750 ;
+        RECT 421.670 34.520 421.810 46.750 ;
+        RECT 425.350 34.520 425.490 46.750 ;
+        RECT 429.030 34.520 429.170 48.790 ;
+        RECT 436.390 34.520 436.530 48.790 ;
+        RECT 440.010 46.750 440.270 47.070 ;
+        RECT 443.690 46.750 443.950 47.070 ;
+        RECT 440.070 34.520 440.210 46.750 ;
+        RECT 442.050 45.480 443.530 45.960 ;
+        RECT 443.750 34.520 443.890 46.750 ;
+        RECT 447.430 34.520 447.570 48.790 ;
+        RECT 451.050 46.750 451.310 47.070 ;
+        RECT 454.730 46.750 454.990 47.070 ;
+        RECT 451.110 34.520 451.250 46.750 ;
+        RECT 454.790 34.520 454.930 46.750 ;
+        RECT 458.470 34.520 458.610 48.790 ;
+        RECT 465.770 46.750 466.030 47.070 ;
+        RECT 465.830 34.520 465.970 46.750 ;
+        RECT 469.510 34.520 469.650 48.790 ;
+        RECT 473.130 46.750 473.390 47.070 ;
+        RECT 476.810 46.750 477.070 47.070 ;
+        RECT 473.190 34.520 473.330 46.750 ;
+        RECT 476.870 34.520 477.010 46.750 ;
+        RECT 480.550 34.520 480.690 48.790 ;
+        RECT 484.170 46.750 484.430 47.070 ;
+        RECT 484.230 34.520 484.370 46.750 ;
+        RECT 487.910 34.520 488.050 48.790 ;
+        RECT 491.530 46.750 491.790 47.070 ;
+        RECT 495.210 46.750 495.470 47.070 ;
+        RECT 498.890 46.750 499.150 47.070 ;
+        RECT 506.250 46.750 506.510 47.070 ;
+        RECT 491.590 34.520 491.730 46.750 ;
+        RECT 495.270 34.520 495.410 46.750 ;
+        RECT 498.950 34.520 499.090 46.750 ;
+        RECT 502.570 43.350 502.830 43.670 ;
+        RECT 502.630 34.520 502.770 43.350 ;
+        RECT 506.310 34.520 506.450 46.750 ;
+        RECT 513.670 34.520 513.810 48.790 ;
+        RECT 518.850 48.200 520.330 48.680 ;
+        RECT 517.290 46.750 517.550 47.070 ;
+        RECT 517.350 34.520 517.490 46.750 ;
+        RECT 518.850 42.760 520.330 43.240 ;
+        RECT 521.030 34.520 521.170 48.790 ;
+        RECT 524.650 46.750 524.910 47.070 ;
+        RECT 528.330 46.750 528.590 47.070 ;
+        RECT 532.010 46.750 532.270 47.070 ;
+        RECT 524.710 34.520 524.850 46.750 ;
+        RECT 528.390 34.520 528.530 46.750 ;
+        RECT 532.070 34.520 532.210 46.750 ;
+        RECT 535.750 34.520 535.890 48.790 ;
+        RECT 539.370 46.750 539.630 47.070 ;
+        RECT 539.430 34.520 539.570 46.750 ;
+        RECT 543.110 34.520 543.250 48.790 ;
+        RECT 546.730 46.750 546.990 47.070 ;
+        RECT 550.410 46.750 550.670 47.070 ;
+        RECT 546.790 34.520 546.930 46.750 ;
+        RECT 550.470 34.520 550.610 46.750 ;
+        RECT 554.150 34.520 554.290 48.790 ;
+        RECT 557.770 46.750 558.030 47.070 ;
+        RECT 561.450 46.750 561.710 47.070 ;
+        RECT 557.830 34.520 557.970 46.750 ;
+        RECT 561.510 34.520 561.650 46.750 ;
+        RECT 564.730 34.520 564.870 48.790 ;
+        RECT 568.350 46.750 568.610 47.070 ;
+        RECT 568.410 34.520 568.550 46.750 ;
+        RECT 572.090 34.520 572.230 48.790 ;
+        RECT 575.710 46.750 575.970 47.070 ;
+        RECT 579.390 46.750 579.650 47.070 ;
+        RECT 583.070 46.750 583.330 47.070 ;
+        RECT 590.430 46.750 590.690 47.070 ;
+        RECT 594.110 46.750 594.370 47.070 ;
+        RECT 575.770 34.520 575.910 46.750 ;
+        RECT 579.450 34.520 579.590 46.750 ;
+        RECT 583.130 34.520 583.270 46.750 ;
+        RECT 586.750 43.350 587.010 43.670 ;
+        RECT 586.810 34.520 586.950 43.350 ;
+        RECT 590.490 34.520 590.630 46.750 ;
+        RECT 594.170 34.520 594.310 46.750 ;
+        RECT 595.650 45.480 597.130 45.960 ;
+        RECT 597.850 34.520 597.990 48.790 ;
+        RECT 601.470 46.750 601.730 47.070 ;
+        RECT 608.830 46.750 609.090 47.070 ;
+        RECT 612.510 46.750 612.770 47.070 ;
+        RECT 616.190 46.750 616.450 47.070 ;
+        RECT 601.530 34.520 601.670 46.750 ;
+        RECT 608.890 34.520 609.030 46.750 ;
+        RECT 612.570 34.520 612.710 46.750 ;
+        RECT 616.250 34.520 616.390 46.750 ;
+        RECT 619.930 34.520 620.070 48.790 ;
+        RECT 623.550 46.750 623.810 47.070 ;
+        RECT 623.610 34.520 623.750 46.750 ;
+        RECT 627.290 34.520 627.430 48.790 ;
+        RECT 630.970 34.520 631.110 52.190 ;
+        RECT 37.500 32.120 37.780 34.520 ;
+        RECT 38.420 32.120 38.700 34.520 ;
+        RECT 39.800 32.120 40.080 34.520 ;
+        RECT 40.720 32.120 41.000 34.520 ;
+        RECT 42.100 32.120 42.380 34.520 ;
+        RECT 43.480 32.120 43.760 34.520 ;
+        RECT 44.400 32.120 44.680 34.520 ;
+        RECT 47.160 32.120 47.440 34.520 ;
+        RECT 48.080 32.120 48.360 34.520 ;
+        RECT 49.460 32.120 49.740 34.520 ;
+        RECT 50.840 32.120 51.120 34.520 ;
+        RECT 53.140 32.120 53.420 34.520 ;
+        RECT 54.520 32.120 54.800 34.520 ;
+        RECT 55.440 32.120 55.720 34.520 ;
+        RECT 56.820 32.120 57.100 34.520 ;
+        RECT 58.200 32.120 58.480 34.520 ;
+        RECT 59.120 32.120 59.400 34.520 ;
+        RECT 60.500 32.120 60.780 34.520 ;
+        RECT 61.880 32.120 62.160 34.520 ;
+        RECT 62.800 32.120 63.080 34.520 ;
+        RECT 64.180 32.120 64.460 34.520 ;
+        RECT 65.560 32.120 65.840 34.520 ;
+        RECT 66.480 32.120 66.760 34.520 ;
+        RECT 67.860 32.120 68.140 34.520 ;
+        RECT 69.240 32.120 69.520 34.520 ;
+        RECT 70.160 32.120 70.440 34.520 ;
+        RECT 71.540 32.120 71.820 34.520 ;
+        RECT 72.920 32.120 73.200 34.520 ;
+        RECT 73.840 32.120 74.120 34.520 ;
+        RECT 75.220 32.120 75.500 34.520 ;
+        RECT 76.600 32.120 76.880 34.520 ;
+        RECT 77.520 32.120 77.800 34.520 ;
+        RECT 78.900 32.120 79.180 34.520 ;
+        RECT 80.280 32.120 80.560 34.520 ;
+        RECT 81.200 32.120 81.480 34.520 ;
+        RECT 82.580 32.120 82.860 34.520 ;
+        RECT 83.960 32.120 84.240 34.520 ;
+        RECT 84.880 32.120 85.160 34.520 ;
+        RECT 86.260 32.120 86.540 34.520 ;
+        RECT 88.560 32.120 88.840 34.520 ;
+        RECT 89.940 32.120 90.220 34.520 ;
+        RECT 91.320 32.120 91.600 34.520 ;
+        RECT 92.240 32.120 92.520 34.520 ;
+        RECT 95.000 32.120 95.280 34.520 ;
+        RECT 95.920 32.120 96.200 34.520 ;
+        RECT 97.300 32.120 97.580 34.520 ;
+        RECT 98.680 32.120 98.960 34.520 ;
+        RECT 100.980 32.120 101.260 34.520 ;
+        RECT 102.360 32.120 102.640 34.520 ;
+        RECT 103.280 32.120 103.560 34.520 ;
+        RECT 104.660 32.120 104.940 34.520 ;
+        RECT 106.040 32.120 106.320 34.520 ;
+        RECT 106.960 32.120 107.240 34.520 ;
+        RECT 108.340 32.120 108.620 34.520 ;
+        RECT 109.720 32.120 110.000 34.520 ;
+        RECT 110.640 32.120 110.920 34.520 ;
+        RECT 112.020 32.120 112.300 34.520 ;
+        RECT 112.940 32.120 113.220 34.520 ;
+        RECT 114.320 32.120 114.600 34.520 ;
+        RECT 115.700 32.120 115.980 34.520 ;
+        RECT 116.620 32.120 116.900 34.520 ;
+        RECT 118.000 32.120 118.280 34.520 ;
+        RECT 119.380 32.120 119.660 34.520 ;
+        RECT 120.300 32.120 120.580 34.520 ;
+        RECT 121.680 32.120 121.960 34.520 ;
+        RECT 123.060 32.120 123.340 34.520 ;
+        RECT 123.980 32.120 124.260 34.520 ;
+        RECT 125.360 32.120 125.640 34.520 ;
+        RECT 126.740 32.120 127.020 34.520 ;
+        RECT 127.660 32.120 127.940 34.520 ;
+        RECT 130.420 32.120 130.700 34.520 ;
+        RECT 131.340 32.120 131.620 34.520 ;
+        RECT 132.720 32.120 133.000 34.520 ;
+        RECT 134.100 32.120 134.380 34.520 ;
+        RECT 136.400 32.120 136.680 34.520 ;
+        RECT 137.780 32.120 138.060 34.520 ;
+        RECT 138.700 32.120 138.980 34.520 ;
+        RECT 140.080 32.120 140.360 34.520 ;
+        RECT 141.460 32.120 141.740 34.520 ;
+        RECT 142.380 32.120 142.660 34.520 ;
+        RECT 143.760 32.120 144.040 34.520 ;
+        RECT 145.140 32.120 145.420 34.520 ;
+        RECT 146.060 32.120 146.340 34.520 ;
+        RECT 147.440 32.120 147.720 34.520 ;
+        RECT 148.820 32.120 149.100 34.520 ;
+        RECT 149.740 32.120 150.020 34.520 ;
+        RECT 151.120 32.120 151.400 34.520 ;
+        RECT 152.500 32.120 152.780 34.520 ;
+        RECT 153.420 32.120 153.700 34.520 ;
+        RECT 154.800 32.120 155.080 34.520 ;
+        RECT 156.180 32.120 156.460 34.520 ;
+        RECT 157.100 32.120 157.380 34.520 ;
+        RECT 158.480 32.120 158.760 34.520 ;
+        RECT 159.860 32.120 160.140 34.520 ;
+        RECT 160.780 32.120 161.060 34.520 ;
+        RECT 162.160 32.120 162.440 34.520 ;
+        RECT 163.540 32.120 163.820 34.520 ;
+        RECT 164.460 32.120 164.740 34.520 ;
+        RECT 165.840 32.120 166.120 34.520 ;
+        RECT 167.220 32.120 167.500 34.520 ;
+        RECT 168.140 32.120 168.420 34.520 ;
+        RECT 169.520 32.120 169.800 34.520 ;
+        RECT 171.820 32.120 172.100 34.520 ;
+        RECT 173.200 32.120 173.480 34.520 ;
+        RECT 174.580 32.120 174.860 34.520 ;
+        RECT 175.500 32.120 175.780 34.520 ;
+        RECT 178.260 32.120 178.540 34.520 ;
+        RECT 179.180 32.120 179.460 34.520 ;
+        RECT 180.560 32.120 180.840 34.520 ;
+        RECT 181.940 32.120 182.220 34.520 ;
+        RECT 184.240 32.120 184.520 34.520 ;
+        RECT 185.620 32.120 185.900 34.520 ;
+        RECT 186.540 32.120 186.820 34.520 ;
+        RECT 187.920 32.120 188.200 34.520 ;
+        RECT 190.220 32.120 190.500 34.520 ;
+        RECT 191.600 32.120 191.880 34.520 ;
+        RECT 192.520 32.120 192.800 34.520 ;
+        RECT 193.900 32.120 194.180 34.520 ;
+        RECT 195.280 32.120 195.560 34.520 ;
+        RECT 196.200 32.120 196.480 34.520 ;
+        RECT 197.580 32.120 197.860 34.520 ;
+        RECT 198.960 32.120 199.240 34.520 ;
+        RECT 199.880 32.120 200.160 34.520 ;
+        RECT 201.260 32.120 201.540 34.520 ;
+        RECT 202.640 32.120 202.920 34.520 ;
+        RECT 203.560 32.120 203.840 34.520 ;
+        RECT 204.940 32.120 205.220 34.520 ;
+        RECT 206.320 32.120 206.600 34.520 ;
+        RECT 207.240 32.120 207.520 34.520 ;
+        RECT 208.620 32.120 208.900 34.520 ;
+        RECT 210.000 32.120 210.280 34.520 ;
+        RECT 210.920 32.120 211.200 34.520 ;
+        RECT 213.680 32.120 213.960 34.520 ;
+        RECT 214.600 32.120 214.880 34.520 ;
+        RECT 215.980 32.120 216.260 34.520 ;
+        RECT 217.360 32.120 217.640 34.520 ;
+        RECT 219.660 32.120 219.940 34.520 ;
+        RECT 221.040 32.120 221.320 34.520 ;
+        RECT 221.960 32.120 222.240 34.520 ;
+        RECT 223.340 32.120 223.620 34.520 ;
+        RECT 224.720 32.120 225.000 34.520 ;
+        RECT 225.640 32.120 225.920 34.520 ;
+        RECT 227.020 32.120 227.300 34.520 ;
+        RECT 228.400 32.120 228.680 34.520 ;
+        RECT 229.320 32.120 229.600 34.520 ;
+        RECT 230.700 32.120 230.980 34.520 ;
+        RECT 232.080 32.120 232.360 34.520 ;
+        RECT 233.000 32.120 233.280 34.520 ;
+        RECT 234.380 32.120 234.660 34.520 ;
+        RECT 235.760 32.120 236.040 34.520 ;
+        RECT 236.680 32.120 236.960 34.520 ;
+        RECT 238.060 32.120 238.340 34.520 ;
+        RECT 239.440 32.120 239.720 34.520 ;
+        RECT 240.360 32.120 240.640 34.520 ;
+        RECT 241.740 32.120 242.020 34.520 ;
+        RECT 243.120 32.120 243.400 34.520 ;
+        RECT 244.040 32.120 244.320 34.520 ;
+        RECT 245.420 32.120 245.700 34.520 ;
+        RECT 246.800 32.120 247.080 34.520 ;
+        RECT 247.720 32.120 248.000 34.520 ;
+        RECT 249.100 32.120 249.380 34.520 ;
+        RECT 250.480 32.120 250.760 34.520 ;
+        RECT 251.400 32.120 251.680 34.520 ;
+        RECT 252.780 32.120 253.060 34.520 ;
+        RECT 255.080 32.120 255.360 34.520 ;
+        RECT 256.460 32.120 256.740 34.520 ;
+        RECT 257.840 32.120 258.120 34.520 ;
+        RECT 258.760 32.120 259.040 34.520 ;
+        RECT 261.520 32.120 261.800 34.520 ;
+        RECT 262.440 32.120 262.720 34.520 ;
+        RECT 263.820 32.120 264.100 34.520 ;
+        RECT 264.740 32.120 265.020 34.520 ;
+        RECT 267.500 32.120 267.780 34.520 ;
+        RECT 268.420 32.120 268.700 34.520 ;
+        RECT 269.800 32.120 270.080 34.520 ;
+        RECT 271.180 32.120 271.460 34.520 ;
+        RECT 273.480 32.120 273.760 34.520 ;
+        RECT 274.860 32.120 275.140 34.520 ;
+        RECT 275.780 32.120 276.060 34.520 ;
+        RECT 277.160 32.120 277.440 34.520 ;
+        RECT 278.540 32.120 278.820 34.520 ;
+        RECT 279.460 32.120 279.740 34.520 ;
+        RECT 280.840 32.120 281.120 34.520 ;
+        RECT 282.220 32.120 282.500 34.520 ;
+        RECT 283.140 32.120 283.420 34.520 ;
+        RECT 284.520 32.120 284.800 34.520 ;
+        RECT 285.900 32.120 286.180 34.520 ;
+        RECT 286.820 32.120 287.100 34.520 ;
+        RECT 288.200 32.120 288.480 34.520 ;
+        RECT 289.580 32.120 289.860 34.520 ;
+        RECT 290.500 32.120 290.780 34.520 ;
+        RECT 291.880 32.120 292.160 34.520 ;
+        RECT 293.260 32.120 293.540 34.520 ;
+        RECT 294.180 32.120 294.460 34.520 ;
+        RECT 296.940 32.120 297.220 34.520 ;
+        RECT 297.860 32.120 298.140 34.520 ;
+        RECT 299.240 32.120 299.520 34.520 ;
+        RECT 300.620 32.120 300.900 34.520 ;
+        RECT 302.920 32.120 303.200 34.520 ;
+        RECT 304.300 32.120 304.580 34.520 ;
+        RECT 305.220 32.120 305.500 34.520 ;
+        RECT 306.600 32.120 306.880 34.520 ;
+        RECT 307.980 32.120 308.260 34.520 ;
+        RECT 308.900 32.120 309.180 34.520 ;
+        RECT 310.280 32.120 310.560 34.520 ;
+        RECT 311.660 32.120 311.940 34.520 ;
+        RECT 312.580 32.120 312.860 34.520 ;
+        RECT 313.960 32.120 314.240 34.520 ;
+        RECT 315.340 32.120 315.620 34.520 ;
+        RECT 316.260 32.120 316.540 34.520 ;
+        RECT 317.640 32.120 317.920 34.520 ;
+        RECT 319.020 32.120 319.300 34.520 ;
+        RECT 319.940 32.120 320.220 34.520 ;
+        RECT 321.320 32.120 321.600 34.520 ;
+        RECT 322.700 32.120 322.980 34.520 ;
+        RECT 323.620 32.120 323.900 34.520 ;
+        RECT 325.000 32.120 325.280 34.520 ;
+        RECT 326.380 32.120 326.660 34.520 ;
+        RECT 327.300 32.120 327.580 34.520 ;
+        RECT 328.680 32.120 328.960 34.520 ;
+        RECT 330.060 32.120 330.340 34.520 ;
+        RECT 330.980 32.120 331.260 34.520 ;
+        RECT 332.360 32.120 332.640 34.520 ;
+        RECT 333.740 32.120 334.020 34.520 ;
+        RECT 334.660 32.120 334.940 34.520 ;
+        RECT 336.040 32.120 336.320 34.520 ;
+        RECT 338.340 32.120 338.620 34.520 ;
+        RECT 339.720 32.120 340.000 34.520 ;
+        RECT 340.640 32.120 340.920 34.520 ;
+        RECT 342.020 32.120 342.300 34.520 ;
+        RECT 344.320 32.120 344.600 34.520 ;
+        RECT 345.700 32.120 345.980 34.520 ;
+        RECT 347.080 32.120 347.360 34.520 ;
+        RECT 348.000 32.120 348.280 34.520 ;
+        RECT 350.760 32.120 351.040 34.520 ;
+        RECT 351.680 32.120 351.960 34.520 ;
+        RECT 353.060 32.120 353.340 34.520 ;
+        RECT 354.440 32.120 354.720 34.520 ;
+        RECT 356.740 32.120 357.020 34.520 ;
+        RECT 358.120 32.120 358.400 34.520 ;
+        RECT 359.040 32.120 359.320 34.520 ;
+        RECT 360.420 32.120 360.700 34.520 ;
+        RECT 361.800 32.120 362.080 34.520 ;
+        RECT 362.720 32.120 363.000 34.520 ;
+        RECT 364.100 32.120 364.380 34.520 ;
+        RECT 365.480 32.120 365.760 34.520 ;
+        RECT 366.400 32.120 366.680 34.520 ;
+        RECT 367.780 32.120 368.060 34.520 ;
+        RECT 369.160 32.120 369.440 34.520 ;
+        RECT 370.080 32.120 370.360 34.520 ;
+        RECT 371.460 32.120 371.740 34.520 ;
+        RECT 372.840 32.120 373.120 34.520 ;
+        RECT 373.760 32.120 374.040 34.520 ;
+        RECT 375.140 32.120 375.420 34.520 ;
+        RECT 376.520 32.120 376.800 34.520 ;
+        RECT 377.440 32.120 377.720 34.520 ;
+        RECT 380.200 32.120 380.480 34.520 ;
+        RECT 381.120 32.120 381.400 34.520 ;
+        RECT 382.500 32.120 382.780 34.520 ;
+        RECT 383.880 32.120 384.160 34.520 ;
+        RECT 386.180 32.120 386.460 34.520 ;
+        RECT 387.560 32.120 387.840 34.520 ;
+        RECT 388.480 32.120 388.760 34.520 ;
+        RECT 389.860 32.120 390.140 34.520 ;
+        RECT 391.240 32.120 391.520 34.520 ;
+        RECT 392.160 32.120 392.440 34.520 ;
+        RECT 393.540 32.120 393.820 34.520 ;
+        RECT 394.920 32.120 395.200 34.520 ;
+        RECT 395.840 32.120 396.120 34.520 ;
+        RECT 397.220 32.120 397.500 34.520 ;
+        RECT 398.600 32.120 398.880 34.520 ;
+        RECT 399.520 32.120 399.800 34.520 ;
+        RECT 400.900 32.120 401.180 34.520 ;
+        RECT 402.280 32.120 402.560 34.520 ;
+        RECT 403.200 32.120 403.480 34.520 ;
+        RECT 404.580 32.120 404.860 34.520 ;
+        RECT 405.960 32.120 406.240 34.520 ;
+        RECT 406.880 32.120 407.160 34.520 ;
+        RECT 408.260 32.120 408.540 34.520 ;
+        RECT 409.640 32.120 409.920 34.520 ;
+        RECT 410.560 32.120 410.840 34.520 ;
+        RECT 411.940 32.120 412.220 34.520 ;
+        RECT 412.860 32.120 413.140 34.520 ;
+        RECT 414.240 32.120 414.520 34.520 ;
+        RECT 415.620 32.120 415.900 34.520 ;
+        RECT 416.540 32.120 416.820 34.520 ;
+        RECT 417.920 32.120 418.200 34.520 ;
+        RECT 419.300 32.120 419.580 34.520 ;
+        RECT 420.220 32.120 420.500 34.520 ;
+        RECT 421.600 32.120 421.880 34.520 ;
+        RECT 422.980 32.120 423.260 34.520 ;
+        RECT 423.900 32.120 424.180 34.520 ;
+        RECT 425.280 32.120 425.560 34.520 ;
+        RECT 427.580 32.120 427.860 34.520 ;
+        RECT 428.960 32.120 429.240 34.520 ;
+        RECT 430.340 32.120 430.620 34.520 ;
+        RECT 431.260 32.120 431.540 34.520 ;
+        RECT 434.020 32.120 434.300 34.520 ;
+        RECT 434.940 32.120 435.220 34.520 ;
+        RECT 436.320 32.120 436.600 34.520 ;
+        RECT 437.700 32.120 437.980 34.520 ;
+        RECT 440.000 32.120 440.280 34.520 ;
+        RECT 441.380 32.120 441.660 34.520 ;
+        RECT 442.300 32.120 442.580 34.520 ;
+        RECT 443.680 32.120 443.960 34.520 ;
+        RECT 445.060 32.120 445.340 34.520 ;
+        RECT 445.980 32.120 446.260 34.520 ;
+        RECT 447.360 32.120 447.640 34.520 ;
+        RECT 448.740 32.120 449.020 34.520 ;
+        RECT 449.660 32.120 449.940 34.520 ;
+        RECT 451.040 32.120 451.320 34.520 ;
+        RECT 452.420 32.120 452.700 34.520 ;
+        RECT 453.340 32.120 453.620 34.520 ;
+        RECT 454.720 32.120 455.000 34.520 ;
+        RECT 456.100 32.120 456.380 34.520 ;
+        RECT 457.020 32.120 457.300 34.520 ;
+        RECT 458.400 32.120 458.680 34.520 ;
+        RECT 459.780 32.120 460.060 34.520 ;
+        RECT 460.700 32.120 460.980 34.520 ;
+        RECT 463.460 32.120 463.740 34.520 ;
+        RECT 464.380 32.120 464.660 34.520 ;
+        RECT 465.760 32.120 466.040 34.520 ;
+        RECT 467.140 32.120 467.420 34.520 ;
+        RECT 469.440 32.120 469.720 34.520 ;
+        RECT 470.820 32.120 471.100 34.520 ;
+        RECT 471.740 32.120 472.020 34.520 ;
+        RECT 473.120 32.120 473.400 34.520 ;
+        RECT 474.500 32.120 474.780 34.520 ;
+        RECT 475.420 32.120 475.700 34.520 ;
+        RECT 476.800 32.120 477.080 34.520 ;
+        RECT 478.180 32.120 478.460 34.520 ;
+        RECT 479.100 32.120 479.380 34.520 ;
+        RECT 480.480 32.120 480.760 34.520 ;
+        RECT 481.860 32.120 482.140 34.520 ;
+        RECT 482.780 32.120 483.060 34.520 ;
+        RECT 484.160 32.120 484.440 34.520 ;
+        RECT 485.540 32.120 485.820 34.520 ;
+        RECT 486.460 32.120 486.740 34.520 ;
+        RECT 487.840 32.120 488.120 34.520 ;
+        RECT 488.760 32.120 489.040 34.520 ;
+        RECT 490.140 32.120 490.420 34.520 ;
+        RECT 491.520 32.120 491.800 34.520 ;
+        RECT 492.440 32.120 492.720 34.520 ;
+        RECT 493.820 32.120 494.100 34.520 ;
+        RECT 495.200 32.120 495.480 34.520 ;
+        RECT 496.120 32.120 496.400 34.520 ;
+        RECT 497.500 32.120 497.780 34.520 ;
+        RECT 498.880 32.120 499.160 34.520 ;
+        RECT 499.800 32.120 500.080 34.520 ;
+        RECT 501.180 32.120 501.460 34.520 ;
+        RECT 502.560 32.120 502.840 34.520 ;
+        RECT 503.480 32.120 503.760 34.520 ;
+        RECT 504.860 32.120 505.140 34.520 ;
+        RECT 506.240 32.120 506.520 34.520 ;
+        RECT 507.160 32.120 507.440 34.520 ;
+        RECT 508.540 32.120 508.820 34.520 ;
+        RECT 510.840 32.120 511.120 34.520 ;
+        RECT 512.220 32.120 512.500 34.520 ;
+        RECT 513.600 32.120 513.880 34.520 ;
+        RECT 514.520 32.120 514.800 34.520 ;
+        RECT 517.280 32.120 517.560 34.520 ;
+        RECT 518.200 32.120 518.480 34.520 ;
+        RECT 519.580 32.120 519.860 34.520 ;
+        RECT 520.960 32.120 521.240 34.520 ;
+        RECT 523.260 32.120 523.540 34.520 ;
+        RECT 524.640 32.120 524.920 34.520 ;
+        RECT 525.560 32.120 525.840 34.520 ;
+        RECT 526.940 32.120 527.220 34.520 ;
+        RECT 528.320 32.120 528.600 34.520 ;
+        RECT 529.240 32.120 529.520 34.520 ;
+        RECT 530.620 32.120 530.900 34.520 ;
+        RECT 532.000 32.120 532.280 34.520 ;
+        RECT 532.920 32.120 533.200 34.520 ;
+        RECT 534.300 32.120 534.580 34.520 ;
+        RECT 535.680 32.120 535.960 34.520 ;
+        RECT 536.600 32.120 536.880 34.520 ;
+        RECT 537.980 32.120 538.260 34.520 ;
+        RECT 539.360 32.120 539.640 34.520 ;
+        RECT 540.280 32.120 540.560 34.520 ;
+        RECT 541.660 32.120 541.940 34.520 ;
+        RECT 543.040 32.120 543.320 34.520 ;
+        RECT 543.960 32.120 544.240 34.520 ;
+        RECT 546.720 32.120 547.000 34.520 ;
+        RECT 547.640 32.120 547.920 34.520 ;
+        RECT 549.020 32.120 549.300 34.520 ;
+        RECT 550.400 32.120 550.680 34.520 ;
+        RECT 552.700 32.120 552.980 34.520 ;
+        RECT 554.080 32.120 554.360 34.520 ;
+        RECT 555.000 32.120 555.280 34.520 ;
+        RECT 556.380 32.120 556.660 34.520 ;
+        RECT 557.760 32.120 558.040 34.520 ;
+        RECT 558.680 32.120 558.960 34.520 ;
+        RECT 560.060 32.120 560.340 34.520 ;
+        RECT 561.440 32.120 561.720 34.520 ;
+        RECT 562.360 32.120 562.640 34.520 ;
+        RECT 563.740 32.120 564.020 34.520 ;
+        RECT 564.660 32.120 564.940 34.520 ;
+        RECT 566.040 32.120 566.320 34.520 ;
+        RECT 567.420 32.120 567.700 34.520 ;
+        RECT 568.340 32.120 568.620 34.520 ;
+        RECT 569.720 32.120 570.000 34.520 ;
+        RECT 571.100 32.120 571.380 34.520 ;
+        RECT 572.020 32.120 572.300 34.520 ;
+        RECT 573.400 32.120 573.680 34.520 ;
+        RECT 574.780 32.120 575.060 34.520 ;
+        RECT 575.700 32.120 575.980 34.520 ;
+        RECT 577.080 32.120 577.360 34.520 ;
+        RECT 578.460 32.120 578.740 34.520 ;
+        RECT 579.380 32.120 579.660 34.520 ;
+        RECT 580.760 32.120 581.040 34.520 ;
+        RECT 582.140 32.120 582.420 34.520 ;
+        RECT 583.060 32.120 583.340 34.520 ;
+        RECT 584.440 32.120 584.720 34.520 ;
+        RECT 585.820 32.120 586.100 34.520 ;
+        RECT 586.740 32.120 587.020 34.520 ;
+        RECT 588.120 32.120 588.400 34.520 ;
+        RECT 589.500 32.120 589.780 34.520 ;
+        RECT 590.420 32.120 590.700 34.520 ;
+        RECT 591.800 32.120 592.080 34.520 ;
+        RECT 594.100 32.120 594.380 34.520 ;
+        RECT 595.480 32.120 595.760 34.520 ;
+        RECT 596.860 32.120 597.140 34.520 ;
+        RECT 597.780 32.120 598.060 34.520 ;
+        RECT 600.540 32.120 600.820 34.520 ;
+        RECT 601.460 32.120 601.740 34.520 ;
+        RECT 602.840 32.120 603.120 34.520 ;
+        RECT 604.220 32.120 604.500 34.520 ;
+        RECT 606.520 32.120 606.800 34.520 ;
+        RECT 607.900 32.120 608.180 34.520 ;
+        RECT 608.820 32.120 609.100 34.520 ;
+        RECT 610.200 32.120 610.480 34.520 ;
+        RECT 611.580 32.120 611.860 34.520 ;
+        RECT 612.500 32.120 612.780 34.520 ;
+        RECT 613.880 32.120 614.160 34.520 ;
+        RECT 615.260 32.120 615.540 34.520 ;
+        RECT 616.180 32.120 616.460 34.520 ;
+        RECT 617.560 32.120 617.840 34.520 ;
+        RECT 618.940 32.120 619.220 34.520 ;
+        RECT 619.860 32.120 620.140 34.520 ;
+        RECT 621.240 32.120 621.520 34.520 ;
+        RECT 622.620 32.120 622.900 34.520 ;
+        RECT 623.540 32.120 623.820 34.520 ;
+        RECT 624.920 32.120 625.200 34.520 ;
+        RECT 626.300 32.120 626.580 34.520 ;
+        RECT 627.220 32.120 627.500 34.520 ;
+        RECT 629.980 32.120 630.260 34.520 ;
+        RECT 630.900 32.120 631.180 34.520 ;
+        RECT 632.280 32.120 632.560 34.520 ;
+        RECT 633.660 32.120 633.940 34.520 ;
+        RECT 635.960 32.120 636.240 34.520 ;
+      LAYER via2 ;
+        RECT 58.050 53.740 58.330 54.010 ;
+        RECT 58.450 53.740 58.730 54.010 ;
+        RECT 58.850 53.740 59.130 54.010 ;
+        RECT 59.250 53.740 59.530 54.010 ;
+        RECT 38.420 46.600 38.700 46.880 ;
+        RECT 58.050 48.300 58.330 48.580 ;
+        RECT 58.450 48.300 58.730 48.580 ;
+        RECT 58.850 48.300 59.130 48.580 ;
+        RECT 59.250 48.300 59.530 48.580 ;
+        RECT 58.050 42.860 58.330 43.140 ;
+        RECT 58.450 42.860 58.730 43.140 ;
+        RECT 58.850 42.860 59.130 43.140 ;
+        RECT 59.250 42.860 59.530 43.140 ;
+        RECT 72.460 50.000 72.740 50.280 ;
+        RECT 69.240 49.320 69.520 49.600 ;
+        RECT 77.060 50.680 77.340 50.960 ;
+        RECT 78.900 49.320 79.180 49.600 ;
+        RECT 84.420 49.320 84.700 49.600 ;
+        RECT 81.200 48.640 81.480 48.920 ;
+        RECT 90.860 44.560 91.140 44.840 ;
+        RECT 93.620 50.000 93.900 50.280 ;
+        RECT 96.840 50.000 97.120 50.280 ;
+        RECT 99.140 53.400 99.420 53.680 ;
+        RECT 98.220 47.280 98.500 47.560 ;
+        RECT 103.280 53.400 103.560 53.680 ;
+        RECT 103.280 52.720 103.560 53.000 ;
+        RECT 108.800 50.680 109.080 50.960 ;
+        RECT 109.720 51.360 110.000 51.640 ;
+        RECT 109.720 48.640 110.000 48.920 ;
+        RECT 110.640 52.040 110.920 52.320 ;
+        RECT 114.320 51.360 114.600 51.640 ;
+        RECT 114.780 50.000 115.060 50.280 ;
+        RECT 114.320 49.320 114.600 49.600 ;
+        RECT 116.160 48.640 116.440 48.920 ;
+        RECT 119.380 48.640 119.660 48.920 ;
+        RECT 119.380 43.880 119.660 44.160 ;
+        RECT 125.820 51.360 126.100 51.640 ;
+        RECT 127.660 52.720 127.940 53.000 ;
+        RECT 127.660 44.560 127.940 44.840 ;
+        RECT 129.040 43.880 129.320 44.160 ;
+        RECT 130.880 47.280 131.160 47.560 ;
+        RECT 132.260 51.360 132.540 51.640 ;
+        RECT 134.850 51.020 135.130 51.300 ;
+        RECT 135.250 51.020 135.530 51.300 ;
+        RECT 135.650 51.020 135.930 51.300 ;
+        RECT 136.050 51.020 136.330 51.300 ;
+        RECT 136.400 48.640 136.680 48.920 ;
+        RECT 134.850 45.580 135.130 45.860 ;
+        RECT 135.250 45.580 135.530 45.860 ;
+        RECT 135.650 45.580 135.930 45.860 ;
+        RECT 136.050 45.580 136.330 45.860 ;
+        RECT 140.080 47.280 140.360 47.560 ;
+        RECT 145.600 53.400 145.880 53.680 ;
+        RECT 146.520 52.040 146.800 52.320 ;
+        RECT 150.660 52.040 150.940 52.320 ;
+        RECT 152.500 51.360 152.780 51.640 ;
+        RECT 154.800 51.360 155.080 51.640 ;
+        RECT 151.120 48.640 151.400 48.920 ;
+        RECT 158.020 52.040 158.300 52.320 ;
+        RECT 156.640 49.320 156.920 49.600 ;
+        RECT 158.940 52.720 159.220 53.000 ;
+        RECT 159.400 50.000 159.680 50.280 ;
+        RECT 158.020 47.280 158.300 47.560 ;
+        RECT 158.940 47.280 159.220 47.560 ;
+        RECT 162.160 50.680 162.440 50.960 ;
+        RECT 164.920 50.000 165.200 50.280 ;
+        RECT 170.440 52.720 170.720 53.000 ;
+        RECT 176.420 49.320 176.700 49.600 ;
+        RECT 177.800 52.720 178.080 53.000 ;
+        RECT 185.160 52.040 185.440 52.320 ;
+        RECT 188.840 51.360 189.120 51.640 ;
+        RECT 189.760 51.360 190.040 51.640 ;
+        RECT 185.160 44.560 185.440 44.840 ;
+        RECT 188.840 47.960 189.120 48.240 ;
+        RECT 190.680 52.040 190.960 52.320 ;
+        RECT 194.820 52.040 195.100 52.320 ;
+        RECT 196.200 52.040 196.480 52.320 ;
+        RECT 195.280 49.320 195.560 49.600 ;
+        RECT 201.260 52.040 201.540 52.320 ;
+        RECT 199.420 51.360 199.700 51.640 ;
+        RECT 194.820 45.920 195.100 46.200 ;
+        RECT 193.900 45.240 194.180 45.520 ;
+        RECT 201.720 48.640 202.000 48.920 ;
+        RECT 204.020 51.360 204.300 51.640 ;
+        RECT 199.420 45.920 199.700 46.200 ;
+        RECT 208.620 52.040 208.900 52.320 ;
+        RECT 211.650 53.740 211.930 54.010 ;
+        RECT 212.050 53.740 212.330 54.010 ;
+        RECT 212.450 53.740 212.730 54.010 ;
+        RECT 212.850 53.740 213.130 54.010 ;
+        RECT 214.140 52.720 214.420 53.000 ;
+        RECT 212.300 51.360 212.580 51.640 ;
+        RECT 210.460 50.680 210.740 50.960 ;
+        RECT 214.140 50.680 214.420 50.960 ;
+        RECT 211.650 48.300 211.930 48.580 ;
+        RECT 212.050 48.300 212.330 48.580 ;
+        RECT 212.450 48.300 212.730 48.580 ;
+        RECT 212.850 48.300 213.130 48.580 ;
+        RECT 214.600 49.320 214.880 49.600 ;
+        RECT 215.980 51.360 216.260 51.640 ;
+        RECT 211.650 42.860 211.930 43.140 ;
+        RECT 212.050 42.860 212.330 43.140 ;
+        RECT 212.450 42.860 212.730 43.140 ;
+        RECT 212.850 42.860 213.130 43.140 ;
+        RECT 220.120 47.280 220.400 47.560 ;
+        RECT 223.800 41.840 224.080 42.120 ;
+        RECT 225.180 52.720 225.460 53.000 ;
+        RECT 225.640 49.320 225.920 49.600 ;
+        RECT 228.860 52.720 229.140 53.000 ;
+        RECT 230.700 50.000 230.980 50.280 ;
+        RECT 231.160 47.960 231.440 48.240 ;
+        RECT 228.400 45.240 228.680 45.520 ;
+        RECT 229.780 44.560 230.060 44.840 ;
+        RECT 236.220 52.040 236.500 52.320 ;
+        RECT 234.840 47.960 235.120 48.240 ;
+        RECT 238.060 52.040 238.340 52.320 ;
+        RECT 238.060 51.360 238.340 51.640 ;
+        RECT 240.360 47.280 240.640 47.560 ;
+        RECT 241.280 50.000 241.560 50.280 ;
+        RECT 243.120 52.720 243.400 53.000 ;
+        RECT 243.120 49.320 243.400 49.600 ;
+        RECT 244.500 47.960 244.780 48.240 ;
+        RECT 243.580 47.280 243.860 47.560 ;
+        RECT 250.020 50.680 250.300 50.960 ;
+        RECT 251.860 52.720 252.140 53.000 ;
+        RECT 250.940 50.680 251.220 50.960 ;
+        RECT 254.160 52.720 254.440 53.000 ;
+        RECT 252.320 48.640 252.600 48.920 ;
+        RECT 252.320 47.280 252.600 47.560 ;
+        RECT 252.780 45.920 253.060 46.200 ;
+        RECT 256.460 52.040 256.740 52.320 ;
+        RECT 261.520 53.400 261.800 53.680 ;
+        RECT 262.900 53.400 263.180 53.680 ;
+        RECT 262.900 50.000 263.180 50.280 ;
+        RECT 262.440 47.960 262.720 48.240 ;
+        RECT 257.840 41.840 258.120 42.120 ;
+        RECT 266.120 52.720 266.400 53.000 ;
+        RECT 266.580 47.280 266.860 47.560 ;
+        RECT 270.260 52.720 270.540 53.000 ;
+        RECT 270.720 50.000 271.000 50.280 ;
+        RECT 268.420 47.960 268.700 48.240 ;
+        RECT 267.960 47.280 268.240 47.560 ;
+        RECT 276.240 53.400 276.520 53.680 ;
+        RECT 275.320 52.720 275.600 53.000 ;
+        RECT 275.780 47.960 276.060 48.240 ;
+        RECT 282.680 52.720 282.960 53.000 ;
+        RECT 285.440 51.360 285.720 51.640 ;
+        RECT 284.060 46.600 284.340 46.880 ;
+        RECT 286.360 50.680 286.640 50.960 ;
+        RECT 288.450 51.020 288.730 51.300 ;
+        RECT 288.850 51.020 289.130 51.300 ;
+        RECT 289.250 51.020 289.530 51.300 ;
+        RECT 289.650 51.020 289.930 51.300 ;
+        RECT 289.120 47.960 289.400 48.240 ;
+        RECT 290.500 50.680 290.780 50.960 ;
+        RECT 292.340 52.720 292.620 53.000 ;
+        RECT 290.040 47.280 290.320 47.560 ;
+        RECT 288.450 45.580 288.730 45.860 ;
+        RECT 288.850 45.580 289.130 45.860 ;
+        RECT 289.250 45.580 289.530 45.860 ;
+        RECT 289.650 45.580 289.930 45.860 ;
+        RECT 288.660 44.560 288.940 44.840 ;
+        RECT 290.960 47.280 291.240 47.560 ;
+        RECT 292.800 45.920 293.080 46.200 ;
+        RECT 292.800 44.560 293.080 44.840 ;
+        RECT 296.020 45.920 296.300 46.200 ;
+        RECT 295.100 44.560 295.380 44.840 ;
+        RECT 298.320 53.400 298.600 53.680 ;
+        RECT 298.320 52.040 298.600 52.320 ;
+        RECT 303.380 52.720 303.660 53.000 ;
+        RECT 306.600 48.640 306.880 48.920 ;
+        RECT 306.140 45.240 306.420 45.520 ;
+        RECT 310.280 51.360 310.560 51.640 ;
+        RECT 311.660 50.680 311.940 50.960 ;
+        RECT 317.180 53.400 317.460 53.680 ;
+        RECT 314.420 45.240 314.700 45.520 ;
+        RECT 318.560 46.600 318.840 46.880 ;
+        RECT 321.320 50.000 321.600 50.280 ;
+        RECT 326.380 52.720 326.660 53.000 ;
+        RECT 325.460 44.560 325.740 44.840 ;
+        RECT 327.760 52.720 328.040 53.000 ;
+        RECT 327.760 48.640 328.040 48.920 ;
+        RECT 331.440 52.720 331.720 53.000 ;
+        RECT 331.900 52.040 332.180 52.320 ;
+        RECT 331.900 44.560 332.180 44.840 ;
+        RECT 334.200 45.920 334.480 46.200 ;
+        RECT 337.880 50.000 338.160 50.280 ;
+        RECT 337.880 47.960 338.160 48.240 ;
+        RECT 339.720 48.640 340.000 48.920 ;
+        RECT 340.640 45.920 340.920 46.200 ;
+        RECT 341.560 52.040 341.840 52.320 ;
+        RECT 342.940 52.040 343.220 52.320 ;
+        RECT 343.860 48.640 344.140 48.920 ;
+        RECT 348.460 45.920 348.740 46.200 ;
+        RECT 353.520 45.240 353.800 45.520 ;
+        RECT 358.580 44.560 358.860 44.840 ;
+        RECT 362.260 48.640 362.540 48.920 ;
+        RECT 365.250 53.740 365.530 54.010 ;
+        RECT 365.650 53.740 365.930 54.010 ;
+        RECT 366.050 53.740 366.330 54.010 ;
+        RECT 366.450 53.740 366.730 54.010 ;
+        RECT 365.250 48.300 365.530 48.580 ;
+        RECT 365.650 48.300 365.930 48.580 ;
+        RECT 366.050 48.300 366.330 48.580 ;
+        RECT 366.450 48.300 366.730 48.580 ;
+        RECT 365.250 42.860 365.530 43.140 ;
+        RECT 365.650 42.860 365.930 43.140 ;
+        RECT 366.050 42.860 366.330 43.140 ;
+        RECT 366.450 42.860 366.730 43.140 ;
+        RECT 376.520 52.040 376.800 52.320 ;
+        RECT 518.850 53.740 519.130 54.010 ;
+        RECT 519.250 53.740 519.530 54.010 ;
+        RECT 519.650 53.740 519.930 54.010 ;
+        RECT 520.050 53.740 520.330 54.010 ;
+        RECT 442.050 51.020 442.330 51.300 ;
+        RECT 442.450 51.020 442.730 51.300 ;
+        RECT 442.850 51.020 443.130 51.300 ;
+        RECT 443.250 51.020 443.530 51.300 ;
+        RECT 595.650 51.020 595.930 51.300 ;
+        RECT 596.050 51.020 596.330 51.300 ;
+        RECT 596.450 51.020 596.730 51.300 ;
+        RECT 596.850 51.020 597.130 51.300 ;
+        RECT 408.260 50.000 408.540 50.280 ;
+        RECT 405.960 47.280 406.240 47.560 ;
+        RECT 442.050 45.580 442.330 45.860 ;
+        RECT 442.450 45.580 442.730 45.860 ;
+        RECT 442.850 45.580 443.130 45.860 ;
+        RECT 443.250 45.580 443.530 45.860 ;
+        RECT 518.850 48.300 519.130 48.580 ;
+        RECT 519.250 48.300 519.530 48.580 ;
+        RECT 519.650 48.300 519.930 48.580 ;
+        RECT 520.050 48.300 520.330 48.580 ;
+        RECT 518.850 42.860 519.130 43.140 ;
+        RECT 519.250 42.860 519.530 43.140 ;
+        RECT 519.650 42.860 519.930 43.140 ;
+        RECT 520.050 42.860 520.330 43.140 ;
+        RECT 595.650 45.580 595.930 45.860 ;
+        RECT 596.050 45.580 596.330 45.860 ;
+        RECT 596.450 45.580 596.730 45.860 ;
+        RECT 596.850 45.580 597.130 45.860 ;
+      LAYER met3 ;
+        RECT 54.000 54.000 597.190 619.805 ;
+        RECT 57.990 53.715 59.590 54.000 ;
+        RECT 211.590 53.715 213.190 54.000 ;
+        RECT 365.190 53.715 366.790 54.000 ;
+        RECT 518.790 53.715 520.390 54.000 ;
+        RECT 99.115 53.690 99.445 53.705 ;
+        RECT 103.255 53.690 103.585 53.705 ;
+        RECT 145.575 53.690 145.905 53.705 ;
+        RECT 99.115 53.390 103.585 53.690 ;
+        RECT 99.115 53.375 99.445 53.390 ;
+        RECT 103.255 53.375 103.585 53.390 ;
+        RECT 104.420 53.390 145.905 53.690 ;
+        RECT 103.255 53.010 103.585 53.025 ;
+        RECT 104.420 53.010 104.720 53.390 ;
+        RECT 145.575 53.375 145.905 53.390 ;
+        RECT 237.780 53.690 238.160 53.700 ;
+        RECT 261.495 53.690 261.825 53.705 ;
+        RECT 237.780 53.390 261.825 53.690 ;
+        RECT 237.780 53.380 238.160 53.390 ;
+        RECT 261.495 53.375 261.825 53.390 ;
+        RECT 262.875 53.690 263.205 53.705 ;
+        RECT 276.215 53.690 276.545 53.705 ;
+        RECT 262.875 53.390 276.545 53.690 ;
+        RECT 262.875 53.375 263.205 53.390 ;
+        RECT 276.215 53.375 276.545 53.390 ;
+        RECT 298.295 53.690 298.625 53.705 ;
+        RECT 317.155 53.690 317.485 53.705 ;
+        RECT 298.295 53.390 317.485 53.690 ;
+        RECT 298.295 53.375 298.625 53.390 ;
+        RECT 317.155 53.375 317.485 53.390 ;
+        RECT 103.255 52.710 104.720 53.010 ;
+        RECT 127.635 53.010 127.965 53.025 ;
+        RECT 158.915 53.010 159.245 53.025 ;
+        RECT 127.635 52.710 159.245 53.010 ;
+        RECT 103.255 52.695 103.585 52.710 ;
+        RECT 127.635 52.695 127.965 52.710 ;
+        RECT 158.915 52.695 159.245 52.710 ;
+        RECT 170.415 53.010 170.745 53.025 ;
+        RECT 177.775 53.010 178.105 53.025 ;
+        RECT 214.115 53.020 214.445 53.025 ;
+        RECT 170.415 52.710 178.105 53.010 ;
+        RECT 170.415 52.695 170.745 52.710 ;
+        RECT 177.775 52.695 178.105 52.710 ;
+        RECT 213.860 53.010 214.445 53.020 ;
+        RECT 225.155 53.010 225.485 53.025 ;
+        RECT 228.835 53.010 229.165 53.025 ;
+        RECT 213.860 52.710 214.670 53.010 ;
+        RECT 225.155 52.710 229.165 53.010 ;
+        RECT 213.860 52.700 214.445 52.710 ;
+        RECT 214.115 52.695 214.445 52.700 ;
+        RECT 225.155 52.695 225.485 52.710 ;
+        RECT 228.835 52.695 229.165 52.710 ;
+        RECT 243.095 53.010 243.425 53.025 ;
+        RECT 251.835 53.010 252.165 53.025 ;
+        RECT 243.095 52.710 252.165 53.010 ;
+        RECT 243.095 52.695 243.425 52.710 ;
+        RECT 251.835 52.695 252.165 52.710 ;
+        RECT 254.135 53.010 254.465 53.025 ;
+        RECT 266.095 53.010 266.425 53.025 ;
+        RECT 254.135 52.710 266.425 53.010 ;
+        RECT 254.135 52.695 254.465 52.710 ;
+        RECT 266.095 52.695 266.425 52.710 ;
+        RECT 270.235 53.010 270.565 53.025 ;
+        RECT 275.295 53.010 275.625 53.025 ;
+        RECT 270.235 52.710 275.625 53.010 ;
+        RECT 270.235 52.695 270.565 52.710 ;
+        RECT 275.295 52.695 275.625 52.710 ;
+        RECT 282.655 53.010 282.985 53.025 ;
+        RECT 292.315 53.010 292.645 53.025 ;
+        RECT 282.655 52.710 292.645 53.010 ;
+        RECT 282.655 52.695 282.985 52.710 ;
+        RECT 292.315 52.695 292.645 52.710 ;
+        RECT 303.355 53.010 303.685 53.025 ;
+        RECT 326.355 53.010 326.685 53.025 ;
+        RECT 303.355 52.710 326.685 53.010 ;
+        RECT 303.355 52.695 303.685 52.710 ;
+        RECT 326.355 52.695 326.685 52.710 ;
+        RECT 327.735 53.010 328.065 53.025 ;
+        RECT 331.415 53.010 331.745 53.025 ;
+        RECT 327.735 52.710 331.745 53.010 ;
+        RECT 327.735 52.695 328.065 52.710 ;
+        RECT 331.415 52.695 331.745 52.710 ;
+        RECT 110.615 52.330 110.945 52.345 ;
+        RECT 146.495 52.330 146.825 52.345 ;
+        RECT 150.635 52.330 150.965 52.345 ;
+        RECT 110.615 52.030 137.840 52.330 ;
+        RECT 110.615 52.015 110.945 52.030 ;
+        RECT 109.695 51.650 110.025 51.665 ;
+        RECT 114.295 51.650 114.625 51.665 ;
+        RECT 109.695 51.350 114.625 51.650 ;
+        RECT 109.695 51.335 110.025 51.350 ;
+        RECT 114.295 51.335 114.625 51.350 ;
+        RECT 125.795 51.650 126.125 51.665 ;
+        RECT 132.235 51.650 132.565 51.665 ;
+        RECT 125.795 51.350 132.565 51.650 ;
+        RECT 137.540 51.650 137.840 52.030 ;
+        RECT 146.495 52.030 150.965 52.330 ;
+        RECT 146.495 52.015 146.825 52.030 ;
+        RECT 150.635 52.015 150.965 52.030 ;
+        RECT 157.995 52.330 158.325 52.345 ;
+        RECT 185.135 52.330 185.465 52.345 ;
+        RECT 190.655 52.330 190.985 52.345 ;
+        RECT 157.995 52.030 190.985 52.330 ;
+        RECT 157.995 52.015 158.325 52.030 ;
+        RECT 185.135 52.015 185.465 52.030 ;
+        RECT 190.655 52.015 190.985 52.030 ;
+        RECT 194.795 52.330 195.125 52.345 ;
+        RECT 196.175 52.330 196.505 52.345 ;
+        RECT 201.235 52.330 201.565 52.345 ;
+        RECT 194.795 52.030 201.565 52.330 ;
+        RECT 194.795 52.015 195.125 52.030 ;
+        RECT 196.175 52.015 196.505 52.030 ;
+        RECT 201.235 52.015 201.565 52.030 ;
+        RECT 208.595 52.330 208.925 52.345 ;
+        RECT 235.020 52.330 235.400 52.340 ;
+        RECT 208.595 52.030 235.400 52.330 ;
+        RECT 208.595 52.015 208.925 52.030 ;
+        RECT 235.020 52.020 235.400 52.030 ;
+        RECT 236.195 52.330 236.525 52.345 ;
+        RECT 238.035 52.330 238.365 52.345 ;
+        RECT 236.195 52.030 238.365 52.330 ;
+        RECT 236.195 52.015 236.525 52.030 ;
+        RECT 238.035 52.015 238.365 52.030 ;
+        RECT 256.435 52.330 256.765 52.345 ;
+        RECT 298.295 52.330 298.625 52.345 ;
+        RECT 331.875 52.330 332.205 52.345 ;
+        RECT 341.535 52.330 341.865 52.345 ;
+        RECT 256.435 52.030 291.480 52.330 ;
+        RECT 256.435 52.015 256.765 52.030 ;
+        RECT 152.475 51.650 152.805 51.665 ;
+        RECT 137.540 51.350 152.805 51.650 ;
+        RECT 125.795 51.335 126.125 51.350 ;
+        RECT 132.235 51.335 132.565 51.350 ;
+        RECT 152.475 51.335 152.805 51.350 ;
+        RECT 154.775 51.650 155.105 51.665 ;
+        RECT 188.815 51.650 189.145 51.665 ;
+        RECT 189.735 51.650 190.065 51.665 ;
+        RECT 154.775 51.350 190.065 51.650 ;
+        RECT 154.775 51.335 155.105 51.350 ;
+        RECT 188.815 51.335 189.145 51.350 ;
+        RECT 189.735 51.335 190.065 51.350 ;
+        RECT 199.395 51.650 199.725 51.665 ;
+        RECT 203.995 51.650 204.325 51.665 ;
+        RECT 199.395 51.350 204.325 51.650 ;
+        RECT 199.395 51.335 199.725 51.350 ;
+        RECT 203.995 51.335 204.325 51.350 ;
+        RECT 212.275 51.650 212.605 51.665 ;
+        RECT 215.955 51.650 216.285 51.665 ;
+        RECT 212.275 51.350 216.285 51.650 ;
+        RECT 212.275 51.335 212.605 51.350 ;
+        RECT 215.955 51.335 216.285 51.350 ;
+        RECT 238.035 51.650 238.365 51.665 ;
+        RECT 285.415 51.650 285.745 51.665 ;
+        RECT 238.035 51.350 285.745 51.650 ;
+        RECT 291.180 51.650 291.480 52.030 ;
+        RECT 298.295 52.030 341.865 52.330 ;
+        RECT 298.295 52.015 298.625 52.030 ;
+        RECT 331.875 52.015 332.205 52.030 ;
+        RECT 341.535 52.015 341.865 52.030 ;
+        RECT 342.915 52.330 343.245 52.345 ;
+        RECT 376.495 52.330 376.825 52.345 ;
+        RECT 342.915 52.030 376.825 52.330 ;
+        RECT 342.915 52.015 343.245 52.030 ;
+        RECT 376.495 52.015 376.825 52.030 ;
+        RECT 310.255 51.650 310.585 51.665 ;
+        RECT 291.180 51.350 310.585 51.650 ;
+        RECT 238.035 51.335 238.365 51.350 ;
+        RECT 285.415 51.335 285.745 51.350 ;
+        RECT 310.255 51.335 310.585 51.350 ;
+        RECT 134.790 50.995 136.390 51.325 ;
+        RECT 288.390 50.995 289.990 51.325 ;
+        RECT 441.990 50.995 443.590 51.325 ;
+        RECT 595.590 50.995 597.190 51.325 ;
+        RECT 77.035 50.970 77.365 50.985 ;
+        RECT 108.775 50.970 109.105 50.985 ;
+        RECT 162.135 50.970 162.465 50.985 ;
+        RECT 210.435 50.980 210.765 50.985 ;
+        RECT 77.035 50.670 109.105 50.970 ;
+        RECT 77.035 50.655 77.365 50.670 ;
+        RECT 108.775 50.655 109.105 50.670 ;
+        RECT 137.540 50.670 162.465 50.970 ;
+        RECT 72.435 50.290 72.765 50.305 ;
+        RECT 93.595 50.290 93.925 50.305 ;
+        RECT 96.815 50.290 97.145 50.305 ;
+        RECT 72.435 49.990 97.145 50.290 ;
+        RECT 72.435 49.975 72.765 49.990 ;
+        RECT 93.595 49.975 93.925 49.990 ;
+        RECT 96.815 49.975 97.145 49.990 ;
+        RECT 114.755 50.290 115.085 50.305 ;
+        RECT 137.540 50.290 137.840 50.670 ;
+        RECT 162.135 50.655 162.465 50.670 ;
+        RECT 210.180 50.970 210.765 50.980 ;
+        RECT 214.115 50.970 214.445 50.985 ;
+        RECT 249.995 50.970 250.325 50.985 ;
+        RECT 210.180 50.670 210.990 50.970 ;
+        RECT 214.115 50.670 250.325 50.970 ;
+        RECT 210.180 50.660 210.765 50.670 ;
+        RECT 210.435 50.655 210.765 50.660 ;
+        RECT 214.115 50.655 214.445 50.670 ;
+        RECT 249.995 50.655 250.325 50.670 ;
+        RECT 250.915 50.970 251.245 50.985 ;
+        RECT 286.335 50.970 286.665 50.985 ;
+        RECT 250.915 50.670 286.665 50.970 ;
+        RECT 250.915 50.655 251.245 50.670 ;
+        RECT 286.335 50.655 286.665 50.670 ;
+        RECT 290.475 50.970 290.805 50.985 ;
+        RECT 311.635 50.970 311.965 50.985 ;
+        RECT 290.475 50.670 311.965 50.970 ;
+        RECT 290.475 50.655 290.805 50.670 ;
+        RECT 311.635 50.655 311.965 50.670 ;
+        RECT 159.375 50.290 159.705 50.305 ;
+        RECT 114.755 49.990 137.840 50.290 ;
+        RECT 138.460 49.990 159.705 50.290 ;
+        RECT 114.755 49.975 115.085 49.990 ;
+        RECT 69.215 49.610 69.545 49.625 ;
+        RECT 78.875 49.610 79.205 49.625 ;
+        RECT 69.215 49.310 79.205 49.610 ;
+        RECT 69.215 49.295 69.545 49.310 ;
+        RECT 78.875 49.295 79.205 49.310 ;
+        RECT 84.395 49.610 84.725 49.625 ;
+        RECT 114.295 49.610 114.625 49.625 ;
+        RECT 138.460 49.610 138.760 49.990 ;
+        RECT 159.375 49.975 159.705 49.990 ;
+        RECT 164.895 50.290 165.225 50.305 ;
+        RECT 230.675 50.290 231.005 50.305 ;
+        RECT 164.895 49.990 231.005 50.290 ;
+        RECT 164.895 49.975 165.225 49.990 ;
+        RECT 230.675 49.975 231.005 49.990 ;
+        RECT 241.255 50.290 241.585 50.305 ;
+        RECT 262.875 50.290 263.205 50.305 ;
+        RECT 241.255 49.990 263.205 50.290 ;
+        RECT 241.255 49.975 241.585 49.990 ;
+        RECT 262.875 49.975 263.205 49.990 ;
+        RECT 270.695 50.290 271.025 50.305 ;
+        RECT 321.295 50.290 321.625 50.305 ;
+        RECT 270.695 49.990 321.625 50.290 ;
+        RECT 270.695 49.975 271.025 49.990 ;
+        RECT 321.295 49.975 321.625 49.990 ;
+        RECT 337.855 50.290 338.185 50.305 ;
+        RECT 408.235 50.290 408.565 50.305 ;
+        RECT 337.855 49.990 408.565 50.290 ;
+        RECT 337.855 49.975 338.185 49.990 ;
+        RECT 408.235 49.975 408.565 49.990 ;
+        RECT 84.395 49.310 113.920 49.610 ;
+        RECT 84.395 49.295 84.725 49.310 ;
+        RECT 81.175 48.930 81.505 48.945 ;
+        RECT 109.695 48.930 110.025 48.945 ;
+        RECT 81.175 48.630 110.025 48.930 ;
+        RECT 113.620 48.930 113.920 49.310 ;
+        RECT 114.295 49.310 138.760 49.610 ;
+        RECT 156.615 49.610 156.945 49.625 ;
+        RECT 176.395 49.610 176.725 49.625 ;
+        RECT 156.615 49.310 176.725 49.610 ;
+        RECT 114.295 49.295 114.625 49.310 ;
+        RECT 156.615 49.295 156.945 49.310 ;
+        RECT 176.395 49.295 176.725 49.310 ;
+        RECT 195.255 49.610 195.585 49.625 ;
+        RECT 214.575 49.610 214.905 49.625 ;
+        RECT 195.255 49.310 214.905 49.610 ;
+        RECT 195.255 49.295 195.585 49.310 ;
+        RECT 214.575 49.295 214.905 49.310 ;
+        RECT 225.615 49.610 225.945 49.625 ;
+        RECT 243.095 49.610 243.425 49.625 ;
+        RECT 225.615 49.310 243.425 49.610 ;
+        RECT 225.615 49.295 225.945 49.310 ;
+        RECT 243.095 49.295 243.425 49.310 ;
+        RECT 116.135 48.930 116.465 48.945 ;
+        RECT 119.355 48.930 119.685 48.945 ;
+        RECT 113.620 48.630 119.685 48.930 ;
+        RECT 81.175 48.615 81.505 48.630 ;
+        RECT 109.695 48.615 110.025 48.630 ;
+        RECT 116.135 48.615 116.465 48.630 ;
+        RECT 119.355 48.615 119.685 48.630 ;
+        RECT 136.375 48.930 136.705 48.945 ;
+        RECT 151.095 48.930 151.425 48.945 ;
+        RECT 201.695 48.930 202.025 48.945 ;
+        RECT 136.375 48.630 147.960 48.930 ;
+        RECT 136.375 48.615 136.705 48.630 ;
+        RECT 57.990 48.275 59.590 48.605 ;
+        RECT 147.660 48.250 147.960 48.630 ;
+        RECT 151.095 48.630 202.025 48.930 ;
+        RECT 151.095 48.615 151.425 48.630 ;
+        RECT 201.695 48.615 202.025 48.630 ;
+        RECT 252.295 48.930 252.625 48.945 ;
+        RECT 306.575 48.930 306.905 48.945 ;
+        RECT 252.295 48.630 306.905 48.930 ;
+        RECT 252.295 48.615 252.625 48.630 ;
+        RECT 306.575 48.615 306.905 48.630 ;
+        RECT 327.735 48.930 328.065 48.945 ;
+        RECT 339.695 48.930 340.025 48.945 ;
+        RECT 327.735 48.630 340.025 48.930 ;
+        RECT 327.735 48.615 328.065 48.630 ;
+        RECT 339.695 48.615 340.025 48.630 ;
+        RECT 343.835 48.930 344.165 48.945 ;
+        RECT 362.235 48.930 362.565 48.945 ;
+        RECT 343.835 48.630 362.565 48.930 ;
+        RECT 343.835 48.615 344.165 48.630 ;
+        RECT 362.235 48.615 362.565 48.630 ;
+        RECT 211.590 48.275 213.190 48.605 ;
+        RECT 365.190 48.275 366.790 48.605 ;
+        RECT 518.790 48.275 520.390 48.605 ;
+        RECT 181.660 48.250 182.040 48.260 ;
+        RECT 188.815 48.250 189.145 48.265 ;
+        RECT 147.660 47.950 189.145 48.250 ;
+        RECT 181.660 47.940 182.040 47.950 ;
+        RECT 188.815 47.935 189.145 47.950 ;
+        RECT 231.135 48.260 231.465 48.265 ;
+        RECT 231.135 48.250 231.720 48.260 ;
+        RECT 233.180 48.250 233.560 48.260 ;
+        RECT 234.815 48.250 235.145 48.265 ;
+        RECT 231.135 47.950 231.920 48.250 ;
+        RECT 233.180 47.950 235.145 48.250 ;
+        RECT 231.135 47.940 231.720 47.950 ;
+        RECT 233.180 47.940 233.560 47.950 ;
+        RECT 231.135 47.935 231.465 47.940 ;
+        RECT 234.815 47.935 235.145 47.950 ;
+        RECT 244.475 48.250 244.805 48.265 ;
+        RECT 262.415 48.250 262.745 48.265 ;
+        RECT 244.475 47.950 262.745 48.250 ;
+        RECT 244.475 47.935 244.805 47.950 ;
+        RECT 262.415 47.935 262.745 47.950 ;
+        RECT 268.395 48.250 268.725 48.265 ;
+        RECT 275.755 48.250 276.085 48.265 ;
+        RECT 268.395 47.950 276.085 48.250 ;
+        RECT 268.395 47.935 268.725 47.950 ;
+        RECT 275.755 47.935 276.085 47.950 ;
+        RECT 289.095 48.250 289.425 48.265 ;
+        RECT 337.855 48.250 338.185 48.265 ;
+        RECT 289.095 47.950 338.185 48.250 ;
+        RECT 289.095 47.935 289.425 47.950 ;
+        RECT 337.855 47.935 338.185 47.950 ;
+        RECT 98.195 47.570 98.525 47.585 ;
+        RECT 130.855 47.570 131.185 47.585 ;
+        RECT 98.195 47.270 131.185 47.570 ;
+        RECT 98.195 47.255 98.525 47.270 ;
+        RECT 130.855 47.255 131.185 47.270 ;
+        RECT 140.055 47.570 140.385 47.585 ;
+        RECT 157.995 47.570 158.325 47.585 ;
+        RECT 140.055 47.270 158.325 47.570 ;
+        RECT 140.055 47.255 140.385 47.270 ;
+        RECT 157.995 47.255 158.325 47.270 ;
+        RECT 158.915 47.570 159.245 47.585 ;
+        RECT 220.095 47.570 220.425 47.585 ;
+        RECT 158.915 47.270 220.425 47.570 ;
+        RECT 158.915 47.255 159.245 47.270 ;
+        RECT 220.095 47.255 220.425 47.270 ;
+        RECT 240.335 47.570 240.665 47.585 ;
+        RECT 243.555 47.570 243.885 47.585 ;
+        RECT 240.335 47.270 243.885 47.570 ;
+        RECT 240.335 47.255 240.665 47.270 ;
+        RECT 243.555 47.255 243.885 47.270 ;
+        RECT 252.295 47.570 252.625 47.585 ;
+        RECT 266.555 47.570 266.885 47.585 ;
+        RECT 252.295 47.270 266.885 47.570 ;
+        RECT 252.295 47.255 252.625 47.270 ;
+        RECT 266.555 47.255 266.885 47.270 ;
+        RECT 267.935 47.570 268.265 47.585 ;
+        RECT 290.015 47.570 290.345 47.585 ;
+        RECT 267.935 47.270 290.345 47.570 ;
+        RECT 267.935 47.255 268.265 47.270 ;
+        RECT 290.015 47.255 290.345 47.270 ;
+        RECT 290.935 47.570 291.265 47.585 ;
+        RECT 405.935 47.570 406.265 47.585 ;
+        RECT 290.935 47.270 406.265 47.570 ;
+        RECT 290.935 47.255 291.265 47.270 ;
+        RECT 405.935 47.255 406.265 47.270 ;
+        RECT 38.395 46.890 38.725 46.905 ;
+        RECT 284.035 46.890 284.365 46.905 ;
+        RECT 318.535 46.890 318.865 46.905 ;
+        RECT 38.395 46.590 284.365 46.890 ;
+        RECT 38.395 46.575 38.725 46.590 ;
+        RECT 284.035 46.575 284.365 46.590 ;
+        RECT 284.740 46.590 318.865 46.890 ;
+        RECT 194.795 46.210 195.125 46.225 ;
+        RECT 199.395 46.210 199.725 46.225 ;
+        RECT 194.795 45.910 199.725 46.210 ;
+        RECT 194.795 45.895 195.125 45.910 ;
+        RECT 199.395 45.895 199.725 45.910 ;
+        RECT 252.755 46.210 253.085 46.225 ;
+        RECT 284.740 46.210 285.040 46.590 ;
+        RECT 318.535 46.575 318.865 46.590 ;
+        RECT 252.755 45.910 285.040 46.210 ;
+        RECT 292.775 46.210 293.105 46.225 ;
+        RECT 295.995 46.210 296.325 46.225 ;
+        RECT 334.175 46.210 334.505 46.225 ;
+        RECT 292.775 45.910 334.505 46.210 ;
+        RECT 252.755 45.895 253.085 45.910 ;
+        RECT 292.775 45.895 293.105 45.910 ;
+        RECT 295.995 45.895 296.325 45.910 ;
+        RECT 334.175 45.895 334.505 45.910 ;
+        RECT 340.615 46.210 340.945 46.225 ;
+        RECT 348.435 46.210 348.765 46.225 ;
+        RECT 340.615 45.910 348.765 46.210 ;
+        RECT 340.615 45.895 340.945 45.910 ;
+        RECT 348.435 45.895 348.765 45.910 ;
+        RECT 134.790 45.555 136.390 45.885 ;
+        RECT 288.390 45.555 289.990 45.885 ;
+        RECT 441.990 45.555 443.590 45.885 ;
+        RECT 595.590 45.555 597.190 45.885 ;
+        RECT 193.875 45.530 194.205 45.545 ;
+        RECT 228.375 45.530 228.705 45.545 ;
+        RECT 193.875 45.230 228.705 45.530 ;
+        RECT 193.875 45.215 194.205 45.230 ;
+        RECT 228.375 45.215 228.705 45.230 ;
+        RECT 306.115 45.530 306.445 45.545 ;
+        RECT 314.395 45.530 314.725 45.545 ;
+        RECT 353.495 45.530 353.825 45.545 ;
+        RECT 306.115 45.230 353.825 45.530 ;
+        RECT 306.115 45.215 306.445 45.230 ;
+        RECT 314.395 45.215 314.725 45.230 ;
+        RECT 353.495 45.215 353.825 45.230 ;
+        RECT 90.835 44.850 91.165 44.865 ;
+        RECT 127.635 44.850 127.965 44.865 ;
+        RECT 90.835 44.550 127.965 44.850 ;
+        RECT 90.835 44.535 91.165 44.550 ;
+        RECT 127.635 44.535 127.965 44.550 ;
+        RECT 185.135 44.850 185.465 44.865 ;
+        RECT 229.755 44.850 230.085 44.865 ;
+        RECT 185.135 44.550 230.085 44.850 ;
+        RECT 185.135 44.535 185.465 44.550 ;
+        RECT 229.755 44.535 230.085 44.550 ;
+        RECT 288.635 44.850 288.965 44.865 ;
+        RECT 292.775 44.850 293.105 44.865 ;
+        RECT 288.635 44.550 293.105 44.850 ;
+        RECT 288.635 44.535 288.965 44.550 ;
+        RECT 292.775 44.535 293.105 44.550 ;
+        RECT 295.075 44.850 295.405 44.865 ;
+        RECT 325.435 44.850 325.765 44.865 ;
+        RECT 295.075 44.550 325.765 44.850 ;
+        RECT 295.075 44.535 295.405 44.550 ;
+        RECT 325.435 44.535 325.765 44.550 ;
+        RECT 331.875 44.850 332.205 44.865 ;
+        RECT 358.555 44.850 358.885 44.865 ;
+        RECT 331.875 44.550 358.885 44.850 ;
+        RECT 331.875 44.535 332.205 44.550 ;
+        RECT 358.555 44.535 358.885 44.550 ;
+        RECT 119.355 44.170 119.685 44.185 ;
+        RECT 129.015 44.170 129.345 44.185 ;
+        RECT 119.355 43.870 129.345 44.170 ;
+        RECT 119.355 43.855 119.685 43.870 ;
+        RECT 129.015 43.855 129.345 43.870 ;
+        RECT 57.990 42.835 59.590 43.165 ;
+        RECT 211.590 42.835 213.190 43.165 ;
+        RECT 365.190 42.835 366.790 43.165 ;
+        RECT 518.790 42.835 520.390 43.165 ;
+        RECT 223.775 42.130 224.105 42.145 ;
+        RECT 257.815 42.130 258.145 42.145 ;
+        RECT 223.775 41.830 258.145 42.130 ;
+        RECT 223.775 41.815 224.105 41.830 ;
+        RECT 257.815 41.815 258.145 41.830 ;
+      LAYER via3 ;
+        RECT 58.030 53.720 58.350 54.020 ;
+        RECT 58.430 53.720 58.750 54.020 ;
+        RECT 58.830 53.720 59.150 54.020 ;
+        RECT 59.230 53.720 59.550 54.020 ;
+        RECT 211.630 53.720 211.950 54.020 ;
+        RECT 212.030 53.720 212.350 54.020 ;
+        RECT 212.430 53.720 212.750 54.020 ;
+        RECT 212.830 53.720 213.150 54.020 ;
+        RECT 365.230 53.720 365.550 54.020 ;
+        RECT 365.630 53.720 365.950 54.020 ;
+        RECT 366.030 53.720 366.350 54.020 ;
+        RECT 366.430 53.720 366.750 54.020 ;
+        RECT 518.830 53.720 519.150 54.020 ;
+        RECT 519.230 53.720 519.550 54.020 ;
+        RECT 519.630 53.720 519.950 54.020 ;
+        RECT 520.030 53.720 520.350 54.020 ;
+        RECT 237.810 53.380 238.130 53.700 ;
+        RECT 213.890 52.700 214.210 53.020 ;
+        RECT 235.050 52.020 235.370 52.340 ;
+        RECT 134.830 51.000 135.150 51.320 ;
+        RECT 135.230 51.000 135.550 51.320 ;
+        RECT 135.630 51.000 135.950 51.320 ;
+        RECT 136.030 51.000 136.350 51.320 ;
+        RECT 288.430 51.000 288.750 51.320 ;
+        RECT 288.830 51.000 289.150 51.320 ;
+        RECT 289.230 51.000 289.550 51.320 ;
+        RECT 289.630 51.000 289.950 51.320 ;
+        RECT 442.030 51.000 442.350 51.320 ;
+        RECT 442.430 51.000 442.750 51.320 ;
+        RECT 442.830 51.000 443.150 51.320 ;
+        RECT 443.230 51.000 443.550 51.320 ;
+        RECT 595.630 51.000 595.950 51.320 ;
+        RECT 596.030 51.000 596.350 51.320 ;
+        RECT 596.430 51.000 596.750 51.320 ;
+        RECT 596.830 51.000 597.150 51.320 ;
+        RECT 210.210 50.660 210.530 50.980 ;
+        RECT 58.030 48.280 58.350 48.600 ;
+        RECT 58.430 48.280 58.750 48.600 ;
+        RECT 58.830 48.280 59.150 48.600 ;
+        RECT 59.230 48.280 59.550 48.600 ;
+        RECT 211.630 48.280 211.950 48.600 ;
+        RECT 212.030 48.280 212.350 48.600 ;
+        RECT 212.430 48.280 212.750 48.600 ;
+        RECT 212.830 48.280 213.150 48.600 ;
+        RECT 365.230 48.280 365.550 48.600 ;
+        RECT 365.630 48.280 365.950 48.600 ;
+        RECT 366.030 48.280 366.350 48.600 ;
+        RECT 366.430 48.280 366.750 48.600 ;
+        RECT 518.830 48.280 519.150 48.600 ;
+        RECT 519.230 48.280 519.550 48.600 ;
+        RECT 519.630 48.280 519.950 48.600 ;
+        RECT 520.030 48.280 520.350 48.600 ;
+        RECT 181.690 47.940 182.010 48.260 ;
+        RECT 231.370 47.940 231.690 48.260 ;
+        RECT 233.210 47.940 233.530 48.260 ;
+        RECT 134.830 45.560 135.150 45.880 ;
+        RECT 135.230 45.560 135.550 45.880 ;
+        RECT 135.630 45.560 135.950 45.880 ;
+        RECT 136.030 45.560 136.350 45.880 ;
+        RECT 288.430 45.560 288.750 45.880 ;
+        RECT 288.830 45.560 289.150 45.880 ;
+        RECT 289.230 45.560 289.550 45.880 ;
+        RECT 289.630 45.560 289.950 45.880 ;
+        RECT 442.030 45.560 442.350 45.880 ;
+        RECT 442.430 45.560 442.750 45.880 ;
+        RECT 442.830 45.560 443.150 45.880 ;
+        RECT 443.230 45.560 443.550 45.880 ;
+        RECT 595.630 45.560 595.950 45.880 ;
+        RECT 596.030 45.560 596.350 45.880 ;
+        RECT 596.430 45.560 596.750 45.880 ;
+        RECT 596.830 45.560 597.150 45.880 ;
+        RECT 58.030 42.840 58.350 43.160 ;
+        RECT 58.430 42.840 58.750 43.160 ;
+        RECT 58.830 42.840 59.150 43.160 ;
+        RECT 59.230 42.840 59.550 43.160 ;
+        RECT 211.630 42.840 211.950 43.160 ;
+        RECT 212.030 42.840 212.350 43.160 ;
+        RECT 212.430 42.840 212.750 43.160 ;
+        RECT 212.830 42.840 213.150 43.160 ;
+        RECT 365.230 42.840 365.550 43.160 ;
+        RECT 365.630 42.840 365.950 43.160 ;
+        RECT 366.030 42.840 366.350 43.160 ;
+        RECT 366.430 42.840 366.750 43.160 ;
+        RECT 518.830 42.840 519.150 43.160 ;
+        RECT 519.230 42.840 519.550 43.160 ;
+        RECT 519.630 42.840 519.950 43.160 ;
+        RECT 520.030 42.840 520.350 43.160 ;
+      LAYER met4 ;
+        RECT 57.990 54.000 597.190 619.880 ;
+        RECT 57.990 42.760 59.590 54.000 ;
+        RECT 134.790 42.760 136.390 54.000 ;
+        RECT 181.700 48.265 182.000 54.000 ;
+        RECT 210.220 50.985 210.520 54.000 ;
+        RECT 210.205 50.655 210.535 50.985 ;
+        RECT 181.685 47.935 182.015 48.265 ;
+        RECT 211.590 42.760 213.190 54.000 ;
+        RECT 213.900 53.025 214.200 54.000 ;
+        RECT 213.885 52.695 214.215 53.025 ;
+        RECT 231.380 48.265 231.680 54.000 ;
+        RECT 233.220 48.265 233.520 54.000 ;
+        RECT 235.060 52.345 235.360 54.000 ;
+        RECT 237.820 53.705 238.120 54.000 ;
+        RECT 237.805 53.375 238.135 53.705 ;
+        RECT 235.045 52.015 235.375 52.345 ;
+        RECT 231.365 47.935 231.695 48.265 ;
+        RECT 233.205 47.935 233.535 48.265 ;
+        RECT 288.390 42.760 289.990 54.000 ;
+        RECT 365.190 42.760 366.790 54.000 ;
+        RECT 441.990 42.760 443.590 54.000 ;
+        RECT 518.790 42.760 520.390 54.000 ;
+        RECT 595.590 42.760 597.190 54.000 ;
+  END
+END user_project_wrapper
+END LIBRARY
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag
new file mode 100644
index 0000000..f506062
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag
@@ -0,0 +1,28472 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606369131
+<< nwell >>
+rect 8456 123645 126292 123966
+rect 8456 122557 126292 123123
+rect 8456 121469 126292 122035
+rect 8456 120381 126292 120947
+rect 8456 119293 126292 119859
+rect 8456 118205 126292 118771
+rect 8456 117117 126292 117683
+rect 8456 116029 126292 116595
+rect 8456 114941 126292 115507
+rect 8456 113853 126292 114419
+rect 8456 112765 126292 113331
+rect 8456 111677 126292 112243
+rect 8456 110589 126292 111155
+rect 8456 109501 126292 110067
+rect 8456 108413 126292 108979
+rect 8456 107325 126292 107891
+rect 8456 106237 126292 106803
+rect 8456 105149 126292 105715
+rect 8456 104061 126292 104627
+rect 8456 102973 126292 103539
+rect 8456 101885 126292 102451
+rect 8456 100797 126292 101363
+rect 8456 99709 126292 100275
+rect 8456 98621 126292 99187
+rect 8456 97533 126292 98099
+rect 8456 96445 126292 97011
+rect 8456 95357 126292 95923
+rect 8456 94269 126292 94835
+rect 8456 93181 126292 93747
+rect 8456 92093 126292 92659
+rect 8456 91005 126292 91571
+rect 8456 89917 126292 90483
+rect 8456 88829 126292 89395
+rect 8456 87741 126292 88307
+rect 8456 86653 126292 87219
+rect 8456 85565 126292 86131
+rect 8456 84477 126292 85043
+rect 8456 83389 126292 83955
+rect 8456 82301 126292 82867
+rect 8456 81213 126292 81779
+rect 8456 80125 126292 80691
+rect 8456 79037 126292 79603
+rect 8456 77949 126292 78515
+rect 8456 76861 126292 77427
+rect 8456 75773 126292 76339
+rect 8456 74685 126292 75251
+rect 8456 73597 126292 74163
+rect 8456 72509 126292 73075
+rect 8456 71421 126292 71987
+rect 8456 70333 126292 70899
+rect 8456 69245 126292 69811
+rect 8456 68157 126292 68723
+rect 8456 67069 126292 67635
+rect 8456 65981 126292 66547
+rect 8456 64893 126292 65459
+rect 8456 63805 126292 64371
+rect 8456 62717 126292 63283
+rect 8456 61629 126292 62195
+rect 8456 60541 126292 61107
+rect 8456 59453 126292 60019
+rect 8456 58365 126292 58931
+rect 8456 57277 126292 57843
+rect 8456 56189 126292 56755
+rect 8456 55101 126292 55667
+rect 8456 54013 126292 54579
+rect 8456 52925 126292 53491
+rect 8456 51837 126292 52403
+rect 8456 50749 126292 51315
+rect 8456 49661 126292 50227
+rect 8456 48573 126292 49139
+rect 8456 47485 126292 48051
+rect 8456 46397 126292 46963
+rect 8456 45309 126292 45875
+rect 8456 44221 126292 44787
+rect 8456 43133 126292 43699
+rect 8456 42045 126292 42611
+rect 8456 40957 126292 41523
+rect 8456 39869 126292 40435
+rect 8456 38781 126292 39347
+rect 8456 37693 126292 38259
+rect 8456 36605 126292 37171
+rect 8456 35517 126292 36083
+rect 8456 34429 126292 34995
+rect 8456 33341 126292 33907
+rect 8456 32253 126292 32819
+rect 8456 31165 126292 31731
+rect 8456 30077 126292 30643
+rect 8456 28989 126292 29555
+rect 8456 27901 126292 28467
+rect 8456 26813 126292 27379
+rect 8456 25725 126292 26291
+rect 8456 24637 126292 25203
+rect 8456 23549 126292 24115
+rect 8456 22461 126292 23027
+rect 8456 21373 126292 21939
+rect 8456 20285 126292 20851
+rect 8456 19197 126292 19763
+rect 8456 18109 126292 18675
+rect 8456 17021 126292 17587
+rect 8456 15933 126292 16499
+rect 8456 14845 126292 15411
+rect 8456 13757 126292 14323
+rect 8456 12669 126292 13235
+rect 8456 11581 126292 12147
+rect 8456 10493 126292 11059
+rect 8456 9405 126292 9971
+rect 8456 8562 126292 8883
+<< pwell >>
+rect 8523 123367 8557 123401
+rect 8799 123367 8833 123401
+rect 9903 123367 9937 123401
+rect 8523 122279 8557 122313
+rect 8799 122279 8833 122313
+rect 9903 122279 9937 122313
+rect 8523 121191 8557 121225
+rect 8799 121191 8833 121225
+rect 9903 121191 9937 121225
+rect 8523 120103 8557 120137
+rect 8799 120103 8833 120137
+rect 9903 120103 9937 120137
+rect 8523 119015 8557 119049
+rect 8799 119015 8833 119049
+rect 9903 119015 9937 119049
+rect 8523 117927 8557 117961
+rect 8799 117927 8833 117961
+rect 9903 117927 9937 117961
+rect 8523 116839 8557 116873
+rect 8799 116839 8833 116873
+rect 9903 116839 9937 116873
+rect 8523 115751 8557 115785
+rect 8799 115751 8833 115785
+rect 9903 115751 9937 115785
+rect 8523 114663 8557 114697
+rect 8799 114663 8833 114697
+rect 9903 114663 9937 114697
+rect 8523 113575 8557 113609
+rect 8799 113575 8833 113609
+rect 9903 113575 9937 113609
+rect 8523 112487 8557 112521
+rect 8799 112487 8833 112521
+rect 9903 112487 9937 112521
+rect 8523 111399 8557 111433
+rect 8799 111399 8833 111433
+rect 9903 111399 9937 111433
+rect 8523 110311 8557 110345
+rect 8799 110311 8833 110345
+rect 9903 110311 9937 110345
+rect 8523 109223 8557 109257
+rect 8799 109223 8833 109257
+rect 9903 109223 9937 109257
+rect 8523 108135 8557 108169
+rect 8799 108135 8833 108169
+rect 9903 108135 9937 108169
+rect 8523 107047 8557 107081
+rect 8799 107047 8833 107081
+rect 9903 107047 9937 107081
+rect 8523 105959 8557 105993
+rect 8799 105959 8833 105993
+rect 9903 105959 9937 105993
+rect 8523 104871 8557 104905
+rect 8799 104871 8833 104905
+rect 9903 104871 9937 104905
+rect 8523 103783 8557 103817
+rect 8799 103783 8833 103817
+rect 9903 103783 9937 103817
+rect 8523 102695 8557 102729
+rect 8799 102695 8833 102729
+rect 9903 102695 9937 102729
+rect 8523 101607 8557 101641
+rect 8799 101607 8833 101641
+rect 9903 101607 9937 101641
+rect 8523 100519 8557 100553
+rect 8799 100519 8833 100553
+rect 9903 100519 9937 100553
+rect 8523 99431 8557 99465
+rect 8799 99431 8833 99465
+rect 9903 99431 9937 99465
+rect 8523 98343 8557 98377
+rect 8799 98343 8833 98377
+rect 9903 98343 9937 98377
+rect 8523 97255 8557 97289
+rect 8799 97255 8833 97289
+rect 9903 97255 9937 97289
+rect 8523 96167 8557 96201
+rect 8799 96167 8833 96201
+rect 9903 96167 9937 96201
+rect 8523 95079 8557 95113
+rect 8799 95079 8833 95113
+rect 9903 95079 9937 95113
+rect 8523 93991 8557 94025
+rect 8799 93991 8833 94025
+rect 9903 93991 9937 94025
+rect 8523 92903 8557 92937
+rect 8799 92903 8833 92937
+rect 9903 92903 9937 92937
+rect 8523 91815 8557 91849
+rect 8799 91815 8833 91849
+rect 9903 91815 9937 91849
+rect 8523 90727 8557 90761
+rect 8799 90727 8833 90761
+rect 9903 90727 9937 90761
+rect 8523 89639 8557 89673
+rect 8799 89639 8833 89673
+rect 9903 89639 9937 89673
+rect 8523 88551 8557 88585
+rect 8799 88551 8833 88585
+rect 9903 88551 9937 88585
+rect 8523 87463 8557 87497
+rect 8799 87463 8833 87497
+rect 9903 87463 9937 87497
+rect 8523 86375 8557 86409
+rect 8799 86375 8833 86409
+rect 9903 86375 9937 86409
+rect 8523 85287 8557 85321
+rect 8799 85287 8833 85321
+rect 9903 85287 9937 85321
+rect 8523 84199 8557 84233
+rect 8799 84199 8833 84233
+rect 9903 84199 9937 84233
+rect 8523 83111 8557 83145
+rect 8799 83111 8833 83145
+rect 9903 83111 9937 83145
+rect 8523 82023 8557 82057
+rect 8799 82023 8833 82057
+rect 9903 82023 9937 82057
+rect 8523 80935 8557 80969
+rect 8799 80935 8833 80969
+rect 9903 80935 9937 80969
+rect 8523 79847 8557 79881
+rect 8799 79847 8833 79881
+rect 9903 79847 9937 79881
+rect 8523 78759 8557 78793
+rect 8799 78759 8833 78793
+rect 9903 78759 9937 78793
+rect 8523 77671 8557 77705
+rect 8799 77671 8833 77705
+rect 9903 77671 9937 77705
+rect 8523 76583 8557 76617
+rect 8799 76583 8833 76617
+rect 9903 76583 9937 76617
+rect 8523 75495 8557 75529
+rect 8799 75495 8833 75529
+rect 9903 75495 9937 75529
+rect 8523 74407 8557 74441
+rect 8799 74407 8833 74441
+rect 9903 74407 9937 74441
+rect 8523 73319 8557 73353
+rect 8799 73319 8833 73353
+rect 9903 73319 9937 73353
+rect 8523 72231 8557 72265
+rect 8799 72231 8833 72265
+rect 9903 72231 9937 72265
+rect 8523 71143 8557 71177
+rect 8799 71143 8833 71177
+rect 9903 71143 9937 71177
+rect 8523 70055 8557 70089
+rect 8799 70055 8833 70089
+rect 9903 70055 9937 70089
+rect 8523 68967 8557 69001
+rect 8799 68967 8833 69001
+rect 9903 68967 9937 69001
+rect 8523 67879 8557 67913
+rect 8799 67879 8833 67913
+rect 9903 67879 9937 67913
+rect 8523 66791 8557 66825
+rect 8799 66791 8833 66825
+rect 9903 66791 9937 66825
+rect 8523 65703 8557 65737
+rect 8799 65703 8833 65737
+rect 9903 65703 9937 65737
+rect 8523 64615 8557 64649
+rect 8799 64615 8833 64649
+rect 9903 64615 9937 64649
+rect 8523 63527 8557 63561
+rect 8799 63527 8833 63561
+rect 9903 63527 9937 63561
+rect 8523 62439 8557 62473
+rect 8799 62439 8833 62473
+rect 9903 62439 9937 62473
+rect 8523 61351 8557 61385
+rect 8799 61351 8833 61385
+rect 9903 61351 9937 61385
+rect 8523 60263 8557 60297
+rect 8799 60263 8833 60297
+rect 9903 60263 9937 60297
+rect 8523 59175 8557 59209
+rect 8799 59175 8833 59209
+rect 9903 59175 9937 59209
+rect 8523 58087 8557 58121
+rect 8799 58087 8833 58121
+rect 9903 58087 9937 58121
+rect 8523 56999 8557 57033
+rect 8799 56999 8833 57033
+rect 9903 56999 9937 57033
+rect 8523 55911 8557 55945
+rect 8799 55911 8833 55945
+rect 9903 55911 9937 55945
+rect 8523 54823 8557 54857
+rect 8799 54823 8833 54857
+rect 9903 54823 9937 54857
+rect 8523 53735 8557 53769
+rect 8799 53735 8833 53769
+rect 9903 53735 9937 53769
+rect 8523 52647 8557 52681
+rect 8799 52647 8833 52681
+rect 9903 52647 9937 52681
+rect 8523 51559 8557 51593
+rect 8799 51559 8833 51593
+rect 9903 51559 9937 51593
+rect 8523 50471 8557 50505
+rect 8799 50471 8833 50505
+rect 9903 50471 9937 50505
+rect 8523 49383 8557 49417
+rect 8799 49383 8833 49417
+rect 9903 49383 9937 49417
+rect 8523 48295 8557 48329
+rect 8799 48295 8833 48329
+rect 9903 48295 9937 48329
+rect 8523 47207 8557 47241
+rect 8799 47207 8833 47241
+rect 9903 47207 9937 47241
+rect 8523 46119 8557 46153
+rect 8799 46119 8833 46153
+rect 9903 46119 9937 46153
+rect 8523 45031 8557 45065
+rect 8799 45031 8833 45065
+rect 9903 45031 9937 45065
+rect 8523 43943 8557 43977
+rect 8799 43943 8833 43977
+rect 9903 43943 9937 43977
+rect 8523 42855 8557 42889
+rect 8799 42855 8833 42889
+rect 9903 42855 9937 42889
+rect 8523 41767 8557 41801
+rect 8799 41767 8833 41801
+rect 9903 41767 9937 41801
+rect 8523 40679 8557 40713
+rect 8799 40679 8833 40713
+rect 9903 40679 9937 40713
+rect 8523 39591 8557 39625
+rect 8799 39591 8833 39625
+rect 9903 39591 9937 39625
+rect 8523 38503 8557 38537
+rect 8799 38503 8833 38537
+rect 9903 38503 9937 38537
+rect 8523 37415 8557 37449
+rect 8799 37415 8833 37449
+rect 9903 37415 9937 37449
+rect 8523 36327 8557 36361
+rect 8799 36327 8833 36361
+rect 9903 36327 9937 36361
+rect 8523 35239 8557 35273
+rect 8799 35239 8833 35273
+rect 9903 35239 9937 35273
+rect 8523 34151 8557 34185
+rect 8799 34151 8833 34185
+rect 9903 34151 9937 34185
+rect 8523 33063 8557 33097
+rect 8799 33063 8833 33097
+rect 9903 33063 9937 33097
+rect 8523 31975 8557 32009
+rect 8799 31975 8833 32009
+rect 9903 31975 9937 32009
+rect 8523 30887 8557 30921
+rect 8799 30887 8833 30921
+rect 9903 30887 9937 30921
+rect 8523 29799 8557 29833
+rect 8799 29799 8833 29833
+rect 9903 29799 9937 29833
+rect 8523 28711 8557 28745
+rect 8799 28711 8833 28745
+rect 9903 28711 9937 28745
+rect 8523 27623 8557 27657
+rect 8799 27623 8833 27657
+rect 9903 27623 9937 27657
+rect 8523 26535 8557 26569
+rect 8799 26535 8833 26569
+rect 9903 26535 9937 26569
+rect 8523 25447 8557 25481
+rect 8799 25447 8833 25481
+rect 9903 25447 9937 25481
+rect 8523 24359 8557 24393
+rect 8799 24359 8833 24393
+rect 9903 24359 9937 24393
+rect 8523 23271 8557 23305
+rect 8799 23271 8833 23305
+rect 9903 23271 9937 23305
+rect 8523 22183 8557 22217
+rect 8799 22183 8833 22217
+rect 9903 22183 9937 22217
+rect 8523 21095 8557 21129
+rect 8799 21095 8833 21129
+rect 9903 21095 9937 21129
+rect 8523 20007 8557 20041
+rect 8799 20007 8833 20041
+rect 9903 20007 9937 20041
+rect 8523 18919 8557 18953
+rect 8799 18919 8833 18953
+rect 9903 18919 9937 18953
+rect 8523 17831 8557 17865
+rect 8799 17831 8833 17865
+rect 9903 17831 9937 17865
+rect 8523 16743 8557 16777
+rect 8799 16743 8833 16777
+rect 9903 16743 9937 16777
+rect 8523 15655 8557 15689
+rect 8799 15655 8833 15689
+rect 9903 15655 9937 15689
+rect 8523 14567 8557 14601
+rect 8799 14567 8833 14601
+rect 9903 14567 9937 14601
+rect 8523 13479 8557 13513
+rect 8799 13479 8833 13513
+rect 9903 13479 9937 13513
+rect 8523 12391 8557 12425
+rect 8799 12391 8833 12425
+rect 9903 12391 9937 12425
+rect 8523 11303 8557 11337
+rect 8799 11303 8833 11337
+rect 9903 11303 9937 11337
+rect 14135 10296 14169 10401
+rect 19747 10296 19781 10401
+rect 25359 10296 25393 10401
+rect 30971 10296 31005 10401
+rect 36583 10296 36617 10401
+rect 42195 10296 42229 10401
+rect 47807 10296 47841 10401
+rect 53419 10296 53453 10401
+rect 59031 10296 59065 10401
+rect 64643 10296 64677 10401
+rect 70255 10296 70289 10401
+rect 75867 10296 75901 10401
+rect 81479 10296 81513 10401
+rect 87091 10296 87125 10401
+rect 92703 10296 92737 10401
+rect 98315 10296 98349 10401
+rect 103927 10296 103961 10401
+rect 109539 10296 109573 10401
+rect 115151 10296 115185 10401
+rect 120763 10296 120797 10401
+rect 8523 10215 8557 10249
+rect 8799 10215 8833 10249
+rect 9903 10215 9937 10249
+rect 11007 10215 11041 10249
+rect 11467 10215 11501 10249
+rect 12111 10215 12145 10249
+rect 12571 10215 12605 10249
+rect 13215 10215 13249 10249
+rect 13675 10215 13709 10249
+rect 13953 10222 13985 10244
+rect 14227 10215 14261 10249
+rect 14413 10220 14445 10242
+rect 14595 10215 14629 10249
+rect 14871 10215 14905 10249
+rect 15333 10222 15365 10244
+rect 15515 10215 15549 10249
+rect 15608 10215 15642 10249
+rect 15791 10215 15825 10249
+rect 16251 10215 16285 10249
+rect 16527 10215 16561 10249
+rect 17078 10221 17102 10243
+rect 17171 10215 17205 10249
+rect 17539 10215 17573 10249
+rect 18275 10215 18309 10249
+rect 19379 10215 19413 10249
+rect 19839 10215 19873 10249
+rect 20023 10215 20057 10249
+rect 20759 10215 20793 10249
+rect 21036 10215 21070 10249
+rect 21587 10215 21621 10249
+rect 21863 10215 21897 10249
+rect 22323 10215 22357 10249
+rect 22691 10215 22725 10249
+rect 24071 10215 24105 10249
+rect 24439 10215 24473 10249
+rect 25175 10215 25209 10249
+rect 25451 10215 25485 10249
+rect 26923 10215 26957 10249
+rect 27199 10215 27233 10249
+rect 27935 10215 27969 10249
+rect 28029 10220 28061 10242
+rect 28303 10215 28337 10249
+rect 29683 10215 29717 10249
+rect 30051 10215 30085 10249
+rect 30787 10215 30821 10249
+rect 31063 10215 31097 10249
+rect 32535 10215 32569 10249
+rect 32811 10215 32845 10249
+rect 33641 10220 33673 10242
+rect 33915 10215 33949 10249
+rect 34099 10215 34133 10249
+rect 35663 10215 35697 10249
+rect 35847 10215 35881 10249
+rect 36399 10215 36433 10249
+rect 36675 10215 36709 10249
+rect 37043 10215 37077 10249
+rect 38147 10215 38181 10249
+rect 38791 10215 38825 10249
+rect 39067 10215 39101 10249
+rect 39159 10215 39193 10249
+rect 39527 10243 39561 10249
+rect 39526 10221 39561 10243
+rect 39527 10215 39561 10221
+rect 39619 10215 39653 10249
+rect 41275 10215 41309 10249
+rect 41367 10215 41401 10249
+rect 42012 10215 42046 10249
+rect 42102 10221 42126 10243
+rect 42289 10222 42321 10244
+rect 42472 10215 42506 10249
+rect 43483 10215 43517 10249
+rect 43943 10215 43977 10249
+rect 44587 10215 44621 10249
+rect 44680 10215 44714 10249
+rect 44954 10221 44978 10243
+rect 45139 10215 45173 10249
+rect 45507 10215 45541 10249
+rect 45874 10221 45898 10243
+rect 45967 10215 46001 10249
+rect 46243 10215 46277 10249
+rect 46795 10215 46829 10249
+rect 47071 10215 47105 10249
+rect 47532 10215 47566 10249
+rect 47899 10215 47933 10249
+rect 48267 10215 48301 10249
+rect 49003 10215 49038 10249
+rect 50107 10215 50141 10249
+rect 50475 10215 50509 10249
+rect 50752 10215 50786 10249
+rect 51212 10215 51246 10249
+rect 52223 10215 52257 10249
+rect 52683 10215 52717 10249
+rect 52959 10215 52993 10249
+rect 53327 10215 53361 10249
+rect 53511 10215 53545 10249
+rect 54064 10215 54098 10249
+rect 54248 10215 54282 10249
+rect 55535 10215 55569 10249
+rect 55719 10215 55753 10249
+rect 56364 10215 56398 10249
+rect 56456 10215 56490 10249
+rect 57191 10215 57225 10249
+rect 57927 10215 57962 10249
+rect 59124 10215 59158 10249
+rect 59399 10215 59433 10249
+rect 59951 10215 59985 10249
+rect 60136 10215 60170 10249
+rect 60963 10215 60997 10249
+rect 61057 10222 61089 10244
+rect 61240 10215 61274 10249
+rect 61701 10220 61733 10242
+rect 61975 10215 62009 10249
+rect 62711 10215 62745 10249
+rect 62896 10215 62930 10249
+rect 63447 10215 63481 10249
+rect 63723 10215 63757 10249
+rect 63815 10215 63849 10249
+rect 64461 10220 64493 10242
+rect 64550 10221 64574 10243
+rect 64644 10215 64678 10249
+rect 64735 10215 64769 10249
+rect 65103 10215 65137 10249
+rect 65840 10215 65874 10249
+rect 66115 10215 66149 10249
+rect 67219 10215 67253 10249
+rect 67311 10215 67345 10249
+rect 67587 10215 67621 10249
+rect 67955 10215 67989 10249
+rect 68048 10215 68082 10249
+rect 68692 10215 68726 10249
+rect 69519 10215 69553 10249
+rect 70163 10215 70197 10249
+rect 70348 10215 70382 10249
+rect 70900 10215 70934 10249
+rect 71727 10215 71761 10249
+rect 71819 10215 71853 10249
+rect 72556 10215 72590 10249
+rect 72831 10215 72865 10249
+rect 73200 10215 73234 10249
+rect 73383 10215 73417 10249
+rect 74027 10215 74061 10249
+rect 74120 10215 74154 10249
+rect 74764 10215 74798 10249
+rect 74947 10215 74981 10249
+rect 75591 10215 75625 10249
+rect 75685 10222 75717 10244
+rect 75959 10215 75993 10249
+rect 76235 10215 76269 10249
+rect 76327 10215 76361 10249
+rect 76603 10215 76637 10249
+rect 76971 10215 77005 10249
+rect 77247 10215 77281 10249
+rect 77339 10215 77373 10249
+rect 77615 10215 77649 10249
+rect 77983 10215 78017 10249
+rect 78259 10215 78293 10249
+rect 78811 10215 78845 10249
+rect 79087 10215 79121 10249
+rect 79363 10215 79397 10249
+rect 79823 10215 79857 10249
+rect 80099 10215 80133 10249
+rect 80467 10215 80501 10249
+rect 80835 10215 80869 10249
+rect 81111 10215 81145 10249
+rect 81203 10215 81237 10249
+rect 81571 10215 81605 10249
+rect 82215 10215 82249 10249
+rect 82582 10221 82606 10243
+rect 82675 10215 82709 10249
+rect 82951 10215 82985 10249
+rect 83779 10215 83813 10249
+rect 84055 10215 84089 10249
+rect 84423 10215 84457 10249
+rect 84883 10215 84917 10249
+rect 85526 10221 85550 10243
+rect 85619 10215 85653 10249
+rect 85895 10215 85929 10249
+rect 85987 10215 86021 10249
+rect 86998 10221 87022 10243
+rect 87091 10215 87125 10249
+rect 87183 10215 87217 10249
+rect 87367 10215 87401 10249
+rect 88287 10215 88321 10249
+rect 88471 10215 88505 10249
+rect 89391 10215 89425 10249
+rect 89575 10215 89609 10249
+rect 90035 10215 90069 10249
+rect 90311 10215 90345 10249
+rect 90495 10215 90529 10249
+rect 91414 10221 91438 10243
+rect 91507 10215 91541 10249
+rect 91599 10215 91633 10249
+rect 91783 10215 91817 10249
+rect 92795 10215 92829 10249
+rect 92887 10215 92921 10249
+rect 93622 10221 93646 10243
+rect 93715 10215 93749 10249
+rect 93899 10215 93933 10249
+rect 93991 10215 94025 10249
+rect 95003 10215 95037 10249
+rect 95095 10215 95129 10249
+rect 95462 10221 95486 10243
+rect 95647 10215 95681 10249
+rect 95923 10215 95957 10249
+rect 96107 10215 96141 10249
+rect 96199 10215 96233 10249
+rect 97211 10215 97245 10249
+rect 97302 10221 97326 10243
+rect 97395 10215 97429 10249
+rect 97671 10215 97705 10249
+rect 98407 10215 98441 10249
+rect 98775 10215 98809 10249
+rect 99511 10215 99545 10249
+rect 99879 10215 99913 10249
+rect 100615 10215 100649 10249
+rect 100985 10220 101017 10242
+rect 101259 10215 101293 10249
+rect 101719 10215 101753 10249
+rect 102365 10220 102397 10242
+rect 102547 10215 102581 10249
+rect 102823 10215 102857 10249
+rect 103926 10221 103950 10243
+rect 104019 10215 104053 10249
+rect 104295 10215 104329 10249
+rect 105123 10215 105157 10249
+rect 105399 10215 105433 10249
+rect 106227 10215 106261 10249
+rect 106503 10215 106537 10249
+rect 106870 10221 106894 10243
+rect 106963 10215 106997 10249
+rect 107239 10215 107273 10249
+rect 107331 10215 107365 10249
+rect 108342 10221 108366 10243
+rect 108435 10215 108469 10249
+rect 108711 10215 108745 10249
+rect 109631 10215 109665 10249
+rect 109815 10215 109849 10249
+rect 110550 10221 110574 10243
+rect 110643 10215 110677 10249
+rect 110735 10215 110769 10249
+rect 110919 10215 110953 10249
+rect 111839 10215 111873 10249
+rect 112023 10215 112057 10249
+rect 112483 10215 112517 10249
+rect 112759 10215 112793 10249
+rect 112943 10215 112977 10249
+rect 113035 10215 113069 10249
+rect 114047 10215 114081 10249
+rect 114138 10221 114162 10243
+rect 114231 10215 114265 10249
+rect 114507 10215 114541 10249
+rect 115243 10215 115277 10249
+rect 115611 10215 115645 10249
+rect 116347 10215 116381 10249
+rect 116715 10215 116749 10249
+rect 117451 10215 117485 10249
+rect 117821 10220 117853 10242
+rect 118095 10215 118129 10249
+rect 118555 10215 118589 10249
+rect 119201 10220 119233 10242
+rect 119383 10215 119417 10249
+rect 119659 10215 119693 10249
+rect 120762 10221 120786 10243
+rect 120855 10215 120889 10249
+rect 121131 10215 121165 10249
+rect 121959 10215 121993 10249
+rect 122235 10215 122269 10249
+rect 123063 10215 123097 10249
+rect 123339 10215 123373 10249
+rect 123706 10221 123730 10243
+rect 123799 10215 123833 10249
+rect 124075 10215 124109 10249
+rect 124167 10215 124201 10249
+rect 124718 10221 124742 10243
+rect 124811 10215 124845 10249
+rect 125087 10215 125121 10249
+rect 125825 10220 125857 10244
+rect 126191 10215 126225 10249
+rect 11375 10063 11409 10168
+rect 16987 10063 17021 10168
+rect 22599 10063 22633 10168
+rect 28211 10063 28245 10168
+rect 33823 10063 33857 10168
+rect 39435 10063 39469 10168
+rect 45047 10063 45081 10168
+rect 50659 10063 50693 10168
+rect 56271 10063 56305 10168
+rect 61883 10063 61917 10168
+rect 67495 10063 67529 10168
+rect 73107 10063 73141 10168
+rect 78719 10063 78753 10168
+rect 84331 10063 84365 10168
+rect 89943 10063 89977 10168
+rect 95555 10063 95589 10168
+rect 101167 10063 101201 10168
+rect 106779 10063 106813 10168
+rect 112391 10063 112425 10168
+rect 118003 10063 118037 10168
+rect 123615 10063 123649 10168
+rect 14135 9208 14169 9313
+rect 19747 9208 19781 9313
+rect 25359 9208 25393 9313
+rect 30971 9208 31005 9313
+rect 36583 9208 36617 9313
+rect 42195 9208 42229 9313
+rect 47807 9208 47841 9313
+rect 53419 9208 53453 9313
+rect 59031 9208 59065 9313
+rect 64643 9208 64677 9313
+rect 70255 9208 70289 9313
+rect 75867 9208 75901 9313
+rect 81479 9208 81513 9313
+rect 87091 9208 87125 9313
+rect 92703 9208 92737 9313
+rect 98315 9208 98349 9313
+rect 103927 9208 103961 9313
+rect 109539 9208 109573 9313
+rect 115151 9208 115185 9313
+rect 120763 9208 120797 9313
+rect 8523 9127 8557 9161
+rect 8799 9127 8833 9161
+rect 9903 9127 9937 9161
+rect 11007 9127 11041 9161
+rect 11467 9127 11501 9161
+rect 12111 9127 12145 9161
+rect 12571 9127 12605 9161
+rect 13215 9127 13249 9161
+rect 13675 9127 13709 9161
+rect 13953 9134 13985 9156
+rect 14227 9127 14261 9161
+rect 14319 9127 14353 9161
+rect 14503 9127 14537 9161
+rect 14779 9127 14813 9161
+rect 15423 9127 15457 9161
+rect 15515 9127 15549 9161
+rect 15700 9127 15734 9161
+rect 16159 9127 16193 9161
+rect 16343 9127 16377 9161
+rect 16897 9134 16929 9156
+rect 17079 9127 17113 9161
+rect 17173 9132 17205 9154
+rect 17355 9127 17389 9161
+rect 17447 9127 17481 9161
+rect 17631 9127 17665 9161
+rect 18184 9127 18218 9161
+rect 18368 9127 18402 9161
+rect 19011 9127 19045 9161
+rect 19195 9127 19229 9161
+rect 19839 9127 19873 9161
+rect 20022 9133 20046 9155
+rect 20115 9127 20149 9161
+rect 20483 9127 20517 9161
+rect 20574 9133 20598 9155
+rect 20668 9127 20702 9161
+rect 21218 9127 21252 9161
+rect 21495 9127 21529 9161
+rect 22047 9127 22081 9161
+rect 22232 9127 22266 9161
+rect 22877 9132 22909 9154
+rect 23058 9127 23093 9161
+rect 23794 9127 23828 9161
+rect 23887 9127 23921 9161
+rect 24623 9127 24657 9161
+rect 24899 9127 24933 9161
+rect 25450 9133 25474 9155
+rect 25543 9127 25577 9161
+rect 25726 9127 25760 9161
+rect 26555 9127 26589 9161
+rect 27291 9155 27325 9161
+rect 27290 9133 27325 9155
+rect 27291 9127 27325 9133
+rect 27383 9127 27417 9161
+rect 27751 9127 27785 9161
+rect 28395 9127 28429 9161
+rect 28578 9133 28602 9155
+rect 28671 9127 28705 9161
+rect 28764 9127 28798 9161
+rect 29039 9127 29073 9161
+rect 29776 9127 29810 9161
+rect 30235 9127 30269 9161
+rect 30603 9127 30637 9161
+rect 31063 9127 31097 9161
+rect 31431 9127 31465 9161
+rect 32811 9127 32845 9161
+rect 33179 9127 33213 9161
+rect 33547 9127 33581 9161
+rect 33823 9127 33857 9161
+rect 33915 9127 33949 9161
+rect 34283 9127 34317 9161
+rect 34650 9133 34674 9155
+rect 34744 9127 34778 9161
+rect 35571 9127 35605 9161
+rect 36215 9127 36249 9161
+rect 36307 9127 36341 9161
+rect 36675 9127 36709 9161
+rect 36951 9155 36985 9161
+rect 36950 9133 36985 9155
+rect 37134 9133 37158 9155
+rect 36951 9127 36985 9133
+rect 37227 9127 37261 9161
+rect 38699 9127 38733 9161
+rect 38975 9127 39009 9161
+rect 39435 9127 39469 9161
+rect 39713 9132 39745 9154
+rect 39987 9127 40021 9161
+rect 40722 9133 40746 9155
+rect 40815 9127 40849 9161
+rect 41183 9127 41217 9161
+rect 41919 9127 41953 9161
+rect 42286 9127 42320 9161
+rect 42654 9133 42678 9155
+rect 42839 9127 42873 9161
+rect 43115 9127 43149 9161
+rect 43667 9127 43701 9161
+rect 43851 9127 43885 9161
+rect 44403 9127 44437 9161
+rect 44679 9127 44713 9161
+rect 44771 9127 44805 9161
+rect 45506 9133 45530 9155
+rect 45692 9127 45726 9161
+rect 45783 9127 45817 9161
+rect 46150 9127 46184 9161
+rect 46519 9127 46553 9161
+rect 46979 9127 47013 9161
+rect 47255 9127 47289 9161
+rect 47623 9127 47657 9161
+rect 47714 9133 47738 9155
+rect 47900 9127 47934 9161
+rect 48358 9133 48382 9155
+rect 48544 9127 48578 9161
+rect 49187 9127 49221 9161
+rect 49371 9127 49405 9161
+rect 49924 9127 49958 9161
+rect 50106 9127 50140 9161
+rect 50567 9127 50601 9161
+rect 50935 9127 50969 9161
+rect 51396 9127 51430 9161
+rect 51672 9127 51706 9161
+rect 52039 9127 52073 9161
+rect 52315 9127 52349 9161
+rect 52775 9127 52809 9161
+rect 53143 9127 53177 9161
+rect 53511 9127 53545 9161
+rect 53879 9127 53913 9161
+rect 54247 9127 54281 9161
+rect 54615 9127 54650 9161
+rect 55352 9127 55386 9161
+rect 55443 9127 55477 9161
+rect 56179 9127 56213 9161
+rect 56547 9127 56581 9161
+rect 56823 9127 56857 9161
+rect 56914 9133 56938 9155
+rect 57007 9127 57041 9161
+rect 57100 9127 57134 9161
+rect 57927 9127 57961 9161
+rect 58295 9127 58329 9161
+rect 58663 9127 58697 9161
+rect 59031 9127 59065 9161
+rect 59124 9127 59158 9161
+rect 59766 9133 59790 9155
+rect 59951 9127 59986 9161
+rect 60688 9127 60722 9161
+rect 60779 9127 60813 9161
+rect 61515 9127 61549 9161
+rect 61883 9127 61917 9161
+rect 62252 9127 62286 9161
+rect 62618 9133 62642 9155
+rect 62804 9127 62838 9161
+rect 63079 9127 63113 9161
+rect 63631 9127 63665 9161
+rect 64183 9127 64217 9161
+rect 64367 9127 64401 9161
+rect 64550 9133 64574 9155
+rect 64735 9127 64770 9161
+rect 65470 9133 65494 9155
+rect 65563 9127 65597 9161
+rect 65656 9127 65690 9161
+rect 66300 9127 66334 9161
+rect 66483 9127 66517 9161
+rect 67127 9127 67161 9161
+rect 67219 9127 67253 9161
+rect 67587 9127 67621 9161
+rect 67864 9127 67898 9161
+rect 68322 9133 68346 9155
+rect 68507 9127 68541 9161
+rect 68691 9127 68725 9161
+rect 68874 9133 68898 9155
+rect 68967 9127 69001 9161
+rect 69151 9127 69185 9161
+rect 69795 9127 69829 9161
+rect 70162 9133 70186 9155
+rect 70348 9127 70382 9161
+rect 70439 9127 70473 9161
+rect 71175 9155 71209 9161
+rect 71174 9133 71209 9155
+rect 71175 9127 71209 9133
+rect 71360 9127 71394 9161
+rect 71912 9127 71946 9161
+rect 72187 9127 72221 9161
+rect 72739 9127 72773 9161
+rect 72923 9127 72957 9161
+rect 73199 9127 73233 9161
+rect 73476 9127 73510 9161
+rect 73937 9132 73969 9154
+rect 74212 9127 74246 9161
+rect 74303 9127 74337 9161
+rect 75039 9127 75073 9161
+rect 75407 9127 75441 9161
+rect 75775 9155 75809 9161
+rect 75774 9133 75809 9155
+rect 75775 9127 75809 9133
+rect 75959 9127 75993 9161
+rect 76051 9127 76085 9161
+rect 76235 9127 76269 9161
+rect 76789 9132 76821 9154
+rect 76971 9127 77005 9161
+rect 77063 9127 77097 9161
+rect 77247 9127 77281 9161
+rect 77339 9127 77373 9161
+rect 77983 9127 78017 9161
+rect 78075 9127 78109 9161
+rect 78259 9127 78293 9161
+rect 78351 9127 78385 9161
+rect 78995 9127 79029 9161
+rect 79271 9127 79305 9161
+rect 79455 9127 79489 9161
+rect 79915 9127 79949 9161
+rect 80007 9127 80041 9161
+rect 80283 9127 80317 9161
+rect 81019 9127 81053 9161
+rect 81386 9133 81410 9155
+rect 81571 9127 81605 9161
+rect 81847 9127 81881 9161
+rect 82123 9127 82157 9161
+rect 82583 9127 82617 9161
+rect 82767 9127 82801 9161
+rect 82859 9127 82893 9161
+rect 83595 9127 83629 9161
+rect 83871 9127 83905 9161
+rect 84607 9127 84641 9161
+rect 84883 9127 84917 9161
+rect 84975 9127 85009 9161
+rect 85619 9127 85653 9161
+rect 85895 9127 85929 9161
+rect 86723 9127 86757 9161
+rect 86998 9133 87022 9155
+rect 87183 9127 87217 9161
+rect 87459 9127 87493 9161
+rect 87827 9127 87861 9161
+rect 88195 9127 88229 9161
+rect 88471 9127 88505 9161
+rect 89207 9127 89241 9161
+rect 89483 9127 89517 9161
+rect 89575 9127 89609 9161
+rect 90219 9127 90253 9161
+rect 90495 9127 90529 9161
+rect 90679 9127 90713 9161
+rect 91231 9127 91265 9161
+rect 91323 9127 91357 9161
+rect 91507 9127 91541 9161
+rect 92427 9127 92461 9161
+rect 92610 9133 92634 9155
+rect 92795 9127 92829 9161
+rect 93071 9127 93105 9161
+rect 93531 9127 93565 9161
+rect 93807 9127 93841 9161
+rect 94083 9127 94117 9161
+rect 94175 9127 94209 9161
+rect 94819 9127 94853 9161
+rect 95095 9127 95129 9161
+rect 95279 9127 95313 9161
+rect 95831 9127 95865 9161
+rect 96107 9127 96141 9161
+rect 96383 9127 96417 9161
+rect 96843 9127 96877 9161
+rect 97027 9127 97061 9161
+rect 97119 9127 97153 9161
+rect 98131 9127 98165 9161
+rect 98222 9133 98246 9155
+rect 98407 9127 98441 9161
+rect 98683 9127 98717 9161
+rect 99235 9127 99269 9161
+rect 99419 9127 99453 9161
+rect 99695 9127 99729 9161
+rect 99879 9127 99913 9161
+rect 100246 9133 100270 9155
+rect 100339 9127 100373 9161
+rect 100431 9127 100465 9161
+rect 100615 9127 100649 9161
+rect 100707 9127 100741 9161
+rect 101443 9127 101477 9161
+rect 101719 9127 101753 9161
+rect 102455 9127 102489 9161
+rect 102731 9127 102765 9161
+rect 103835 9155 103869 9161
+rect 103834 9133 103869 9155
+rect 103835 9127 103869 9133
+rect 104019 9127 104053 9161
+rect 104295 9127 104329 9161
+rect 104939 9127 104973 9161
+rect 105031 9127 105065 9161
+rect 105307 9127 105341 9161
+rect 105583 9127 105617 9161
+rect 106043 9127 106077 9161
+rect 106319 9127 106353 9161
+rect 106687 9127 106721 9161
+rect 107055 9127 107089 9161
+rect 107331 9127 107365 9161
+rect 107791 9127 107825 9161
+rect 108067 9127 108101 9161
+rect 108343 9127 108377 9161
+rect 108435 9127 108469 9161
+rect 109446 9133 109470 9155
+rect 109539 9127 109573 9161
+rect 109631 9127 109665 9161
+rect 109907 9127 109941 9161
+rect 110643 9127 110677 9161
+rect 110919 9127 110953 9161
+rect 111287 9127 111321 9161
+rect 111655 9127 111689 9161
+rect 111931 9127 111965 9161
+rect 112391 9127 112425 9161
+rect 112667 9127 112701 9161
+rect 112943 9127 112977 9161
+rect 113495 9127 113529 9161
+rect 113679 9127 113713 9161
+rect 113955 9127 113989 9161
+rect 114139 9127 114173 9161
+rect 115058 9133 115082 9155
+rect 115243 9127 115277 9161
+rect 115519 9127 115553 9161
+rect 116255 9127 116289 9161
+rect 116347 9127 116381 9161
+rect 116531 9127 116565 9161
+rect 116993 9132 117025 9154
+rect 117175 9127 117209 9161
+rect 117267 9127 117301 9161
+rect 117451 9127 117485 9161
+rect 117543 9127 117577 9161
+rect 118279 9127 118313 9161
+rect 118555 9127 118589 9161
+rect 119291 9127 119325 9161
+rect 119567 9127 119601 9161
+rect 119658 9133 119682 9155
+rect 119843 9127 119877 9161
+rect 120670 9133 120694 9155
+rect 120855 9127 120889 9161
+rect 120947 9127 120981 9161
+rect 121131 9127 121165 9161
+rect 121867 9127 121901 9161
+rect 122051 9127 122085 9161
+rect 122143 9127 122177 9161
+rect 122695 9127 122729 9161
+rect 122879 9127 122913 9161
+rect 123155 9127 123189 9161
+rect 123799 9127 123833 9161
+rect 123891 9127 123925 9161
+rect 124167 9127 124201 9161
+rect 124350 9133 124374 9155
+rect 124443 9127 124477 9161
+rect 124719 9127 124753 9161
+rect 124903 9127 124937 9161
+rect 125179 9127 125213 9161
+rect 125547 9127 125581 9161
+rect 125914 9133 125938 9155
+rect 126191 9127 126225 9161
+rect 11375 8975 11409 9080
+rect 14227 8975 14261 9080
+rect 17079 8975 17113 9080
+rect 19931 8975 19965 9080
+rect 22783 8975 22817 9080
+rect 25635 8975 25669 9080
+rect 28487 8975 28521 9080
+rect 31339 8975 31373 9080
+rect 34191 8975 34225 9080
+rect 37043 8975 37077 9080
+rect 39895 8975 39929 9080
+rect 42747 8975 42781 9080
+rect 45599 8975 45633 9080
+rect 48451 8975 48485 9080
+rect 51303 8975 51337 9080
+rect 54155 8975 54189 9080
+rect 57007 8975 57041 9080
+rect 59859 8975 59893 9080
+rect 62711 8975 62745 9080
+rect 65563 8975 65597 9080
+rect 68415 8975 68449 9080
+rect 71267 8975 71301 9080
+rect 74119 8975 74153 9080
+rect 76971 8975 77005 9080
+rect 79823 8975 79857 9080
+rect 82675 8975 82709 9080
+rect 85527 8975 85561 9080
+rect 88379 8975 88413 9080
+rect 91231 8975 91265 9080
+rect 94083 8975 94117 9080
+rect 96935 8975 96969 9080
+rect 99787 8975 99821 9080
+rect 102639 8975 102673 9080
+rect 105491 8975 105525 9080
+rect 108343 8975 108377 9080
+rect 111195 8975 111229 9080
+rect 114047 8975 114081 9080
+rect 116899 8975 116933 9080
+rect 119751 8975 119785 9080
+rect 122603 8975 122637 9080
+rect 125455 8975 125489 9080
+<< locali >>
+rect 29671 10086 29738 10171
+rect 29842 10086 29909 10171
+rect 29671 10052 30005 10086
+rect 29956 9939 30005 10052
+rect 29671 9905 30005 9939
+rect 29671 9753 29738 9905
+rect 29842 9753 29908 9905
+rect 67770 10066 67821 10181
+rect 67787 9920 67821 10066
+rect 67770 9739 67821 9920
+rect 120981 9739 121085 10049
+rect 35191 9471 35258 9623
+rect 35362 9471 35428 9623
+rect 35191 9437 35525 9471
+rect 35476 9324 35525 9437
+rect 35191 9290 35525 9324
+rect 35191 9205 35258 9290
+rect 35362 9205 35429 9290
+rect 59122 9359 59454 9399
+rect 76085 9327 76189 9637
+rect 79121 9327 79225 9637
+rect 87309 9327 87413 9637
+rect 92921 9327 93025 9637
+rect 102581 9327 102685 9637
+rect 18366 8889 18698 8929
+rect 124569 8651 124673 8961
+<< obsli1 >>
+rect 8494 123911 126254 123945
+rect 8511 123693 8753 123911
+rect 8787 123693 9856 123911
+rect 9891 123693 126254 123911
+rect 8511 123551 8615 123659
+rect 8649 123585 8753 123693
+rect 8787 123555 9303 123659
+rect 9337 123589 9856 123693
+rect 9891 123555 10407 123659
+rect 10441 123589 126254 123693
+rect 10800 123555 126254 123589
+rect 8511 123401 8753 123551
+rect 8787 123401 9856 123555
+rect 9891 123401 126254 123555
+rect 8494 123367 126254 123401
+rect 8511 123217 8753 123367
+rect 8511 123109 8615 123217
+rect 8787 123213 9856 123367
+rect 9891 123213 126254 123367
+rect 8649 123075 8753 123183
+rect 8787 123109 9303 123213
+rect 9337 123075 9856 123179
+rect 9891 123109 10407 123213
+rect 10800 123179 126254 123213
+rect 10441 123075 126254 123179
+rect 8511 122857 8753 123075
+rect 8787 122857 9856 123075
+rect 9891 122857 126254 123075
+rect 8494 122823 126254 122857
+rect 8511 122605 8753 122823
+rect 8787 122605 9856 122823
+rect 9891 122605 126254 122823
+rect 8511 122463 8615 122571
+rect 8649 122497 8753 122605
+rect 8787 122467 9303 122571
+rect 9337 122501 9856 122605
+rect 9891 122467 10407 122571
+rect 10441 122501 126254 122605
+rect 10800 122467 126254 122501
+rect 8511 122313 8753 122463
+rect 8787 122313 9856 122467
+rect 9891 122313 126254 122467
+rect 8494 122279 126254 122313
+rect 8511 122129 8753 122279
+rect 8511 122021 8615 122129
+rect 8787 122125 9856 122279
+rect 9891 122125 126254 122279
+rect 8649 121987 8753 122095
+rect 8787 122021 9303 122125
+rect 9337 121987 9856 122091
+rect 9891 122021 10407 122125
+rect 10800 122091 126254 122125
+rect 10441 121987 126254 122091
+rect 8511 121769 8753 121987
+rect 8787 121769 9856 121987
+rect 9891 121769 126254 121987
+rect 8494 121735 126254 121769
+rect 8511 121517 8753 121735
+rect 8787 121517 9856 121735
+rect 9891 121517 126254 121735
+rect 8511 121375 8615 121483
+rect 8649 121409 8753 121517
+rect 8787 121379 9303 121483
+rect 9337 121413 9856 121517
+rect 9891 121379 10407 121483
+rect 10441 121413 126254 121517
+rect 10800 121379 126254 121413
+rect 8511 121225 8753 121375
+rect 8787 121225 9856 121379
+rect 9891 121225 126254 121379
+rect 8494 121191 126254 121225
+rect 8511 121041 8753 121191
+rect 8511 120933 8615 121041
+rect 8787 121037 9856 121191
+rect 9891 121037 126254 121191
+rect 8649 120899 8753 121007
+rect 8787 120933 9303 121037
+rect 9337 120899 9856 121003
+rect 9891 120933 10407 121037
+rect 10800 121003 126254 121037
+rect 10441 120899 126254 121003
+rect 8511 120681 8753 120899
+rect 8787 120681 9856 120899
+rect 9891 120681 126254 120899
+rect 8494 120647 126254 120681
+rect 8511 120429 8753 120647
+rect 8787 120429 9856 120647
+rect 9891 120429 126254 120647
+rect 8511 120287 8615 120395
+rect 8649 120321 8753 120429
+rect 8787 120291 9303 120395
+rect 9337 120325 9856 120429
+rect 9891 120291 10407 120395
+rect 10441 120325 126254 120429
+rect 10800 120291 126254 120325
+rect 8511 120137 8753 120287
+rect 8787 120137 9856 120291
+rect 9891 120137 126254 120291
+rect 8494 120103 126254 120137
+rect 8511 119953 8753 120103
+rect 8511 119845 8615 119953
+rect 8787 119949 9856 120103
+rect 9891 119949 126254 120103
+rect 8649 119811 8753 119919
+rect 8787 119845 9303 119949
+rect 9337 119811 9856 119915
+rect 9891 119845 10407 119949
+rect 10800 119915 126254 119949
+rect 10441 119811 126254 119915
+rect 8511 119593 8753 119811
+rect 8787 119593 9856 119811
+rect 9891 119593 126254 119811
+rect 8494 119559 126254 119593
+rect 8511 119341 8753 119559
+rect 8787 119341 9856 119559
+rect 9891 119341 126254 119559
+rect 8511 119199 8615 119307
+rect 8649 119233 8753 119341
+rect 8787 119203 9303 119307
+rect 9337 119237 9856 119341
+rect 9891 119203 10407 119307
+rect 10441 119237 126254 119341
+rect 10800 119203 126254 119237
+rect 8511 119049 8753 119199
+rect 8787 119049 9856 119203
+rect 9891 119049 126254 119203
+rect 8494 119015 126254 119049
+rect 8511 118865 8753 119015
+rect 8511 118757 8615 118865
+rect 8787 118861 9856 119015
+rect 9891 118861 126254 119015
+rect 8649 118723 8753 118831
+rect 8787 118757 9303 118861
+rect 9337 118723 9856 118827
+rect 9891 118757 10407 118861
+rect 10800 118827 126254 118861
+rect 10441 118723 126254 118827
+rect 8511 118505 8753 118723
+rect 8787 118505 9856 118723
+rect 9891 118505 126254 118723
+rect 8494 118471 126254 118505
+rect 8511 118253 8753 118471
+rect 8787 118253 9856 118471
+rect 9891 118253 126254 118471
+rect 8511 118111 8615 118219
+rect 8649 118145 8753 118253
+rect 8787 118115 9303 118219
+rect 9337 118149 9856 118253
+rect 9891 118115 10407 118219
+rect 10441 118149 126254 118253
+rect 10800 118115 126254 118149
+rect 8511 117961 8753 118111
+rect 8787 117961 9856 118115
+rect 9891 117961 126254 118115
+rect 8494 117927 126254 117961
+rect 8511 117777 8753 117927
+rect 8511 117669 8615 117777
+rect 8787 117773 9856 117927
+rect 9891 117773 126254 117927
+rect 8649 117635 8753 117743
+rect 8787 117669 9303 117773
+rect 9337 117635 9856 117739
+rect 9891 117669 10407 117773
+rect 10800 117739 126254 117773
+rect 10441 117635 126254 117739
+rect 8511 117417 8753 117635
+rect 8787 117417 9856 117635
+rect 9891 117417 126254 117635
+rect 8494 117383 126254 117417
+rect 8511 117165 8753 117383
+rect 8787 117165 9856 117383
+rect 9891 117165 126254 117383
+rect 8511 117023 8615 117131
+rect 8649 117057 8753 117165
+rect 8787 117027 9303 117131
+rect 9337 117061 9856 117165
+rect 9891 117027 10407 117131
+rect 10441 117061 126254 117165
+rect 10800 117027 126254 117061
+rect 8511 116873 8753 117023
+rect 8787 116873 9856 117027
+rect 9891 116873 126254 117027
+rect 8494 116839 126254 116873
+rect 8511 116689 8753 116839
+rect 8511 116581 8615 116689
+rect 8787 116685 9856 116839
+rect 9891 116685 126254 116839
+rect 8649 116547 8753 116655
+rect 8787 116581 9303 116685
+rect 9337 116547 9856 116651
+rect 9891 116581 10407 116685
+rect 10800 116651 126254 116685
+rect 10441 116547 126254 116651
+rect 8511 116329 8753 116547
+rect 8787 116329 9856 116547
+rect 9891 116329 126254 116547
+rect 8494 116295 126254 116329
+rect 8511 116077 8753 116295
+rect 8787 116077 9856 116295
+rect 9891 116077 126254 116295
+rect 8511 115935 8615 116043
+rect 8649 115969 8753 116077
+rect 8787 115939 9303 116043
+rect 9337 115973 9856 116077
+rect 9891 115939 10407 116043
+rect 10441 115973 126254 116077
+rect 10800 115939 126254 115973
+rect 8511 115785 8753 115935
+rect 8787 115785 9856 115939
+rect 9891 115785 126254 115939
+rect 8494 115751 126254 115785
+rect 8511 115601 8753 115751
+rect 8511 115493 8615 115601
+rect 8787 115597 9856 115751
+rect 9891 115597 126254 115751
+rect 8649 115459 8753 115567
+rect 8787 115493 9303 115597
+rect 9337 115459 9856 115563
+rect 9891 115493 10407 115597
+rect 10800 115563 126254 115597
+rect 10441 115459 126254 115563
+rect 8511 115241 8753 115459
+rect 8787 115241 9856 115459
+rect 9891 115241 126254 115459
+rect 8494 115207 126254 115241
+rect 8511 114989 8753 115207
+rect 8787 114989 9856 115207
+rect 9891 114989 126254 115207
+rect 8511 114847 8615 114955
+rect 8649 114881 8753 114989
+rect 8787 114851 9303 114955
+rect 9337 114885 9856 114989
+rect 9891 114851 10407 114955
+rect 10441 114885 126254 114989
+rect 10800 114851 126254 114885
+rect 8511 114697 8753 114847
+rect 8787 114697 9856 114851
+rect 9891 114697 126254 114851
+rect 8494 114663 126254 114697
+rect 8511 114513 8753 114663
+rect 8511 114405 8615 114513
+rect 8787 114509 9856 114663
+rect 9891 114509 126254 114663
+rect 8649 114371 8753 114479
+rect 8787 114405 9303 114509
+rect 9337 114371 9856 114475
+rect 9891 114405 10407 114509
+rect 10800 114475 126254 114509
+rect 10441 114371 126254 114475
+rect 8511 114153 8753 114371
+rect 8787 114153 9856 114371
+rect 9891 114153 126254 114371
+rect 8494 114119 126254 114153
+rect 8511 113901 8753 114119
+rect 8787 113901 9856 114119
+rect 9891 113901 126254 114119
+rect 8511 113759 8615 113867
+rect 8649 113793 8753 113901
+rect 8787 113763 9303 113867
+rect 9337 113797 9856 113901
+rect 9891 113763 10407 113867
+rect 10441 113797 126254 113901
+rect 10800 113763 126254 113797
+rect 8511 113609 8753 113759
+rect 8787 113609 9856 113763
+rect 9891 113609 126254 113763
+rect 8494 113575 126254 113609
+rect 8511 113425 8753 113575
+rect 8511 113317 8615 113425
+rect 8787 113421 9856 113575
+rect 9891 113421 126254 113575
+rect 8649 113283 8753 113391
+rect 8787 113317 9303 113421
+rect 9337 113283 9856 113387
+rect 9891 113317 10407 113421
+rect 10800 113387 126254 113421
+rect 10441 113283 126254 113387
+rect 8511 113065 8753 113283
+rect 8787 113065 9856 113283
+rect 9891 113065 126254 113283
+rect 8494 113031 126254 113065
+rect 8511 112813 8753 113031
+rect 8787 112813 9856 113031
+rect 9891 112813 126254 113031
+rect 8511 112671 8615 112779
+rect 8649 112705 8753 112813
+rect 8787 112675 9303 112779
+rect 9337 112709 9856 112813
+rect 9891 112675 10407 112779
+rect 10441 112709 126254 112813
+rect 10800 112675 126254 112709
+rect 8511 112521 8753 112671
+rect 8787 112521 9856 112675
+rect 9891 112521 126254 112675
+rect 8494 112487 126254 112521
+rect 8511 112337 8753 112487
+rect 8511 112229 8615 112337
+rect 8787 112333 9856 112487
+rect 9891 112333 126254 112487
+rect 8649 112195 8753 112303
+rect 8787 112229 9303 112333
+rect 9337 112195 9856 112299
+rect 9891 112229 10407 112333
+rect 10800 112299 126254 112333
+rect 10441 112195 126254 112299
+rect 8511 111977 8753 112195
+rect 8787 111977 9856 112195
+rect 9891 111977 126254 112195
+rect 8494 111943 126254 111977
+rect 8511 111725 8753 111943
+rect 8787 111725 9856 111943
+rect 9891 111725 126254 111943
+rect 8511 111583 8615 111691
+rect 8649 111617 8753 111725
+rect 8787 111587 9303 111691
+rect 9337 111621 9856 111725
+rect 9891 111587 10407 111691
+rect 10441 111621 126254 111725
+rect 10800 111587 126254 111621
+rect 8511 111433 8753 111583
+rect 8787 111433 9856 111587
+rect 9891 111433 126254 111587
+rect 8494 111399 126254 111433
+rect 8511 111249 8753 111399
+rect 8511 111141 8615 111249
+rect 8787 111245 9856 111399
+rect 9891 111245 126254 111399
+rect 8649 111107 8753 111215
+rect 8787 111141 9303 111245
+rect 9337 111107 9856 111211
+rect 9891 111141 10407 111245
+rect 10800 111211 126254 111245
+rect 10441 111107 126254 111211
+rect 8511 110889 8753 111107
+rect 8787 110889 9856 111107
+rect 9891 110889 126254 111107
+rect 8494 110855 126254 110889
+rect 8511 110637 8753 110855
+rect 8787 110637 9856 110855
+rect 9891 110637 126254 110855
+rect 8511 110495 8615 110603
+rect 8649 110529 8753 110637
+rect 8787 110499 9303 110603
+rect 9337 110533 9856 110637
+rect 9891 110499 10407 110603
+rect 10441 110533 126254 110637
+rect 10800 110499 126254 110533
+rect 8511 110345 8753 110495
+rect 8787 110345 9856 110499
+rect 9891 110345 126254 110499
+rect 8494 110311 126254 110345
+rect 8511 110161 8753 110311
+rect 8511 110053 8615 110161
+rect 8787 110157 9856 110311
+rect 9891 110157 126254 110311
+rect 8649 110019 8753 110127
+rect 8787 110053 9303 110157
+rect 9337 110019 9856 110123
+rect 9891 110053 10407 110157
+rect 10800 110123 126254 110157
+rect 10441 110019 126254 110123
+rect 8511 109801 8753 110019
+rect 8787 109801 9856 110019
+rect 9891 109801 126254 110019
+rect 8494 109767 126254 109801
+rect 8511 109549 8753 109767
+rect 8787 109549 9856 109767
+rect 9891 109549 126254 109767
+rect 8511 109407 8615 109515
+rect 8649 109441 8753 109549
+rect 8787 109411 9303 109515
+rect 9337 109445 9856 109549
+rect 9891 109411 10407 109515
+rect 10441 109445 126254 109549
+rect 10800 109411 126254 109445
+rect 8511 109257 8753 109407
+rect 8787 109257 9856 109411
+rect 9891 109257 126254 109411
+rect 8494 109223 126254 109257
+rect 8511 109073 8753 109223
+rect 8511 108965 8615 109073
+rect 8787 109069 9856 109223
+rect 9891 109069 126254 109223
+rect 8649 108931 8753 109039
+rect 8787 108965 9303 109069
+rect 9337 108931 9856 109035
+rect 9891 108965 10407 109069
+rect 10800 109035 126254 109069
+rect 10441 108931 126254 109035
+rect 8511 108713 8753 108931
+rect 8787 108713 9856 108931
+rect 9891 108713 126254 108931
+rect 8494 108679 126254 108713
+rect 8511 108461 8753 108679
+rect 8787 108461 9856 108679
+rect 9891 108461 126254 108679
+rect 8511 108319 8615 108427
+rect 8649 108353 8753 108461
+rect 8787 108323 9303 108427
+rect 9337 108357 9856 108461
+rect 9891 108323 10407 108427
+rect 10441 108357 126254 108461
+rect 10800 108323 126254 108357
+rect 8511 108169 8753 108319
+rect 8787 108169 9856 108323
+rect 9891 108169 126254 108323
+rect 8494 108135 126254 108169
+rect 8511 107985 8753 108135
+rect 8511 107877 8615 107985
+rect 8787 107981 9856 108135
+rect 9891 107981 126254 108135
+rect 8649 107843 8753 107951
+rect 8787 107877 9303 107981
+rect 9337 107843 9856 107947
+rect 9891 107877 10407 107981
+rect 10800 107947 126254 107981
+rect 10441 107843 126254 107947
+rect 8511 107625 8753 107843
+rect 8787 107625 9856 107843
+rect 9891 107625 126254 107843
+rect 8494 107591 126254 107625
+rect 8511 107373 8753 107591
+rect 8787 107373 9856 107591
+rect 9891 107373 126254 107591
+rect 8511 107231 8615 107339
+rect 8649 107265 8753 107373
+rect 8787 107235 9303 107339
+rect 9337 107269 9856 107373
+rect 9891 107235 10407 107339
+rect 10441 107269 126254 107373
+rect 10800 107235 126254 107269
+rect 8511 107081 8753 107231
+rect 8787 107081 9856 107235
+rect 9891 107081 126254 107235
+rect 8494 107047 126254 107081
+rect 8511 106897 8753 107047
+rect 8511 106789 8615 106897
+rect 8787 106893 9856 107047
+rect 9891 106893 126254 107047
+rect 8649 106755 8753 106863
+rect 8787 106789 9303 106893
+rect 9337 106755 9856 106859
+rect 9891 106789 10407 106893
+rect 10800 106859 126254 106893
+rect 10441 106755 126254 106859
+rect 8511 106537 8753 106755
+rect 8787 106537 9856 106755
+rect 9891 106537 126254 106755
+rect 8494 106503 126254 106537
+rect 8511 106285 8753 106503
+rect 8787 106285 9856 106503
+rect 9891 106285 126254 106503
+rect 8511 106143 8615 106251
+rect 8649 106177 8753 106285
+rect 8787 106147 9303 106251
+rect 9337 106181 9856 106285
+rect 9891 106147 10407 106251
+rect 10441 106181 126254 106285
+rect 10800 106147 126254 106181
+rect 8511 105993 8753 106143
+rect 8787 105993 9856 106147
+rect 9891 105993 126254 106147
+rect 8494 105959 126254 105993
+rect 8511 105809 8753 105959
+rect 8511 105701 8615 105809
+rect 8787 105805 9856 105959
+rect 9891 105805 126254 105959
+rect 8649 105667 8753 105775
+rect 8787 105701 9303 105805
+rect 9337 105667 9856 105771
+rect 9891 105701 10407 105805
+rect 10800 105771 126254 105805
+rect 10441 105667 126254 105771
+rect 8511 105449 8753 105667
+rect 8787 105449 9856 105667
+rect 9891 105449 126254 105667
+rect 8494 105415 126254 105449
+rect 8511 105197 8753 105415
+rect 8787 105197 9856 105415
+rect 9891 105197 126254 105415
+rect 8511 105055 8615 105163
+rect 8649 105089 8753 105197
+rect 8787 105059 9303 105163
+rect 9337 105093 9856 105197
+rect 9891 105059 10407 105163
+rect 10441 105093 126254 105197
+rect 10800 105059 126254 105093
+rect 8511 104905 8753 105055
+rect 8787 104905 9856 105059
+rect 9891 104905 126254 105059
+rect 8494 104871 126254 104905
+rect 8511 104721 8753 104871
+rect 8511 104613 8615 104721
+rect 8787 104717 9856 104871
+rect 9891 104717 126254 104871
+rect 8649 104579 8753 104687
+rect 8787 104613 9303 104717
+rect 9337 104579 9856 104683
+rect 9891 104613 10407 104717
+rect 10800 104683 126254 104717
+rect 10441 104579 126254 104683
+rect 8511 104361 8753 104579
+rect 8787 104361 9856 104579
+rect 9891 104361 126254 104579
+rect 8494 104327 126254 104361
+rect 8511 104109 8753 104327
+rect 8787 104109 9856 104327
+rect 9891 104109 126254 104327
+rect 8511 103967 8615 104075
+rect 8649 104001 8753 104109
+rect 8787 103971 9303 104075
+rect 9337 104005 9856 104109
+rect 9891 103971 10407 104075
+rect 10441 104005 126254 104109
+rect 10800 103971 126254 104005
+rect 8511 103817 8753 103967
+rect 8787 103817 9856 103971
+rect 9891 103817 126254 103971
+rect 8494 103783 126254 103817
+rect 8511 103633 8753 103783
+rect 8511 103525 8615 103633
+rect 8787 103629 9856 103783
+rect 9891 103629 126254 103783
+rect 8649 103491 8753 103599
+rect 8787 103525 9303 103629
+rect 9337 103491 9856 103595
+rect 9891 103525 10407 103629
+rect 10800 103595 126254 103629
+rect 10441 103491 126254 103595
+rect 8511 103273 8753 103491
+rect 8787 103273 9856 103491
+rect 9891 103273 126254 103491
+rect 8494 103239 126254 103273
+rect 8511 103021 8753 103239
+rect 8787 103021 9856 103239
+rect 9891 103021 126254 103239
+rect 8511 102879 8615 102987
+rect 8649 102913 8753 103021
+rect 8787 102883 9303 102987
+rect 9337 102917 9856 103021
+rect 9891 102883 10407 102987
+rect 10441 102917 126254 103021
+rect 10800 102883 126254 102917
+rect 8511 102729 8753 102879
+rect 8787 102729 9856 102883
+rect 9891 102729 126254 102883
+rect 8494 102695 126254 102729
+rect 8511 102545 8753 102695
+rect 8511 102437 8615 102545
+rect 8787 102541 9856 102695
+rect 9891 102541 126254 102695
+rect 8649 102403 8753 102511
+rect 8787 102437 9303 102541
+rect 9337 102403 9856 102507
+rect 9891 102437 10407 102541
+rect 10800 102507 126254 102541
+rect 10441 102403 126254 102507
+rect 8511 102185 8753 102403
+rect 8787 102185 9856 102403
+rect 9891 102185 126254 102403
+rect 8494 102151 126254 102185
+rect 8511 101933 8753 102151
+rect 8787 101933 9856 102151
+rect 9891 101933 126254 102151
+rect 8511 101791 8615 101899
+rect 8649 101825 8753 101933
+rect 8787 101795 9303 101899
+rect 9337 101829 9856 101933
+rect 9891 101795 10407 101899
+rect 10441 101829 126254 101933
+rect 10800 101795 126254 101829
+rect 8511 101641 8753 101791
+rect 8787 101641 9856 101795
+rect 9891 101641 126254 101795
+rect 8494 101607 126254 101641
+rect 8511 101457 8753 101607
+rect 8511 101349 8615 101457
+rect 8787 101453 9856 101607
+rect 9891 101453 126254 101607
+rect 8649 101315 8753 101423
+rect 8787 101349 9303 101453
+rect 9337 101315 9856 101419
+rect 9891 101349 10407 101453
+rect 10800 101419 126254 101453
+rect 10441 101315 126254 101419
+rect 8511 101097 8753 101315
+rect 8787 101097 9856 101315
+rect 9891 101097 126254 101315
+rect 8494 101063 126254 101097
+rect 8511 100845 8753 101063
+rect 8787 100845 9856 101063
+rect 9891 100845 126254 101063
+rect 8511 100703 8615 100811
+rect 8649 100737 8753 100845
+rect 8787 100707 9303 100811
+rect 9337 100741 9856 100845
+rect 9891 100707 10407 100811
+rect 10441 100741 126254 100845
+rect 10800 100707 126254 100741
+rect 8511 100553 8753 100703
+rect 8787 100553 9856 100707
+rect 9891 100553 126254 100707
+rect 8494 100519 126254 100553
+rect 8511 100369 8753 100519
+rect 8511 100261 8615 100369
+rect 8787 100365 9856 100519
+rect 9891 100365 126254 100519
+rect 8649 100227 8753 100335
+rect 8787 100261 9303 100365
+rect 9337 100227 9856 100331
+rect 9891 100261 10407 100365
+rect 10800 100331 126254 100365
+rect 10441 100227 126254 100331
+rect 8511 100009 8753 100227
+rect 8787 100009 9856 100227
+rect 9891 100009 126254 100227
+rect 8494 99975 126254 100009
+rect 8511 99757 8753 99975
+rect 8787 99757 9856 99975
+rect 9891 99757 126254 99975
+rect 8511 99615 8615 99723
+rect 8649 99649 8753 99757
+rect 8787 99619 9303 99723
+rect 9337 99653 9856 99757
+rect 9891 99619 10407 99723
+rect 10441 99653 126254 99757
+rect 10800 99619 126254 99653
+rect 8511 99465 8753 99615
+rect 8787 99465 9856 99619
+rect 9891 99465 126254 99619
+rect 8494 99431 126254 99465
+rect 8511 99281 8753 99431
+rect 8511 99173 8615 99281
+rect 8787 99277 9856 99431
+rect 9891 99277 126254 99431
+rect 8649 99139 8753 99247
+rect 8787 99173 9303 99277
+rect 9337 99139 9856 99243
+rect 9891 99173 10407 99277
+rect 10800 99243 126254 99277
+rect 10441 99139 126254 99243
+rect 8511 98921 8753 99139
+rect 8787 98921 9856 99139
+rect 9891 98921 126254 99139
+rect 8494 98887 126254 98921
+rect 8511 98669 8753 98887
+rect 8787 98669 9856 98887
+rect 9891 98669 126254 98887
+rect 8511 98527 8615 98635
+rect 8649 98561 8753 98669
+rect 8787 98531 9303 98635
+rect 9337 98565 9856 98669
+rect 9891 98531 10407 98635
+rect 10441 98565 126254 98669
+rect 10800 98531 126254 98565
+rect 8511 98377 8753 98527
+rect 8787 98377 9856 98531
+rect 9891 98377 126254 98531
+rect 8494 98343 126254 98377
+rect 8511 98193 8753 98343
+rect 8511 98085 8615 98193
+rect 8787 98189 9856 98343
+rect 9891 98189 126254 98343
+rect 8649 98051 8753 98159
+rect 8787 98085 9303 98189
+rect 9337 98051 9856 98155
+rect 9891 98085 10407 98189
+rect 10800 98155 126254 98189
+rect 10441 98051 126254 98155
+rect 8511 97833 8753 98051
+rect 8787 97833 9856 98051
+rect 9891 97833 126254 98051
+rect 8494 97799 126254 97833
+rect 8511 97581 8753 97799
+rect 8787 97581 9856 97799
+rect 9891 97581 126254 97799
+rect 8511 97439 8615 97547
+rect 8649 97473 8753 97581
+rect 8787 97443 9303 97547
+rect 9337 97477 9856 97581
+rect 9891 97443 10407 97547
+rect 10441 97477 126254 97581
+rect 10800 97443 126254 97477
+rect 8511 97289 8753 97439
+rect 8787 97289 9856 97443
+rect 9891 97289 126254 97443
+rect 8494 97255 126254 97289
+rect 8511 97105 8753 97255
+rect 8511 96997 8615 97105
+rect 8787 97101 9856 97255
+rect 9891 97101 126254 97255
+rect 8649 96963 8753 97071
+rect 8787 96997 9303 97101
+rect 9337 96963 9856 97067
+rect 9891 96997 10407 97101
+rect 10800 97067 126254 97101
+rect 10441 96963 126254 97067
+rect 8511 96745 8753 96963
+rect 8787 96745 9856 96963
+rect 9891 96745 126254 96963
+rect 8494 96711 126254 96745
+rect 8511 96493 8753 96711
+rect 8787 96493 9856 96711
+rect 9891 96493 126254 96711
+rect 8511 96351 8615 96459
+rect 8649 96385 8753 96493
+rect 8787 96355 9303 96459
+rect 9337 96389 9856 96493
+rect 9891 96355 10407 96459
+rect 10441 96389 126254 96493
+rect 10800 96355 126254 96389
+rect 8511 96201 8753 96351
+rect 8787 96201 9856 96355
+rect 9891 96201 126254 96355
+rect 8494 96167 126254 96201
+rect 8511 96017 8753 96167
+rect 8511 95909 8615 96017
+rect 8787 96013 9856 96167
+rect 9891 96013 126254 96167
+rect 8649 95875 8753 95983
+rect 8787 95909 9303 96013
+rect 9337 95875 9856 95979
+rect 9891 95909 10407 96013
+rect 10800 95979 126254 96013
+rect 10441 95875 126254 95979
+rect 8511 95657 8753 95875
+rect 8787 95657 9856 95875
+rect 9891 95657 126254 95875
+rect 8494 95623 126254 95657
+rect 8511 95405 8753 95623
+rect 8787 95405 9856 95623
+rect 9891 95405 126254 95623
+rect 8511 95263 8615 95371
+rect 8649 95297 8753 95405
+rect 8787 95267 9303 95371
+rect 9337 95301 9856 95405
+rect 9891 95267 10407 95371
+rect 10441 95301 126254 95405
+rect 10800 95267 126254 95301
+rect 8511 95113 8753 95263
+rect 8787 95113 9856 95267
+rect 9891 95113 126254 95267
+rect 8494 95079 126254 95113
+rect 8511 94929 8753 95079
+rect 8511 94821 8615 94929
+rect 8787 94925 9856 95079
+rect 9891 94925 126254 95079
+rect 8649 94787 8753 94895
+rect 8787 94821 9303 94925
+rect 9337 94787 9856 94891
+rect 9891 94821 10407 94925
+rect 10800 94891 126254 94925
+rect 10441 94787 126254 94891
+rect 8511 94569 8753 94787
+rect 8787 94569 9856 94787
+rect 9891 94569 126254 94787
+rect 8494 94535 126254 94569
+rect 8511 94317 8753 94535
+rect 8787 94317 9856 94535
+rect 9891 94317 126254 94535
+rect 8511 94175 8615 94283
+rect 8649 94209 8753 94317
+rect 8787 94179 9303 94283
+rect 9337 94213 9856 94317
+rect 9891 94179 10407 94283
+rect 10441 94213 126254 94317
+rect 10800 94179 126254 94213
+rect 8511 94025 8753 94175
+rect 8787 94025 9856 94179
+rect 9891 94025 126254 94179
+rect 8494 93991 126254 94025
+rect 8511 93841 8753 93991
+rect 8511 93733 8615 93841
+rect 8787 93837 9856 93991
+rect 9891 93837 126254 93991
+rect 8649 93699 8753 93807
+rect 8787 93733 9303 93837
+rect 9337 93699 9856 93803
+rect 9891 93733 10407 93837
+rect 10800 93803 126254 93837
+rect 10441 93699 126254 93803
+rect 8511 93481 8753 93699
+rect 8787 93481 9856 93699
+rect 9891 93481 126254 93699
+rect 8494 93447 126254 93481
+rect 8511 93229 8753 93447
+rect 8787 93229 9856 93447
+rect 9891 93229 126254 93447
+rect 8511 93087 8615 93195
+rect 8649 93121 8753 93229
+rect 8787 93091 9303 93195
+rect 9337 93125 9856 93229
+rect 9891 93091 10407 93195
+rect 10441 93125 126254 93229
+rect 10800 93091 126254 93125
+rect 8511 92937 8753 93087
+rect 8787 92937 9856 93091
+rect 9891 92937 126254 93091
+rect 8494 92903 126254 92937
+rect 8511 92753 8753 92903
+rect 8511 92645 8615 92753
+rect 8787 92749 9856 92903
+rect 9891 92749 126254 92903
+rect 8649 92611 8753 92719
+rect 8787 92645 9303 92749
+rect 9337 92611 9856 92715
+rect 9891 92645 10407 92749
+rect 10800 92715 126254 92749
+rect 10441 92611 126254 92715
+rect 8511 92393 8753 92611
+rect 8787 92393 9856 92611
+rect 9891 92393 126254 92611
+rect 8494 92359 126254 92393
+rect 8511 92141 8753 92359
+rect 8787 92141 9856 92359
+rect 9891 92141 126254 92359
+rect 8511 91999 8615 92107
+rect 8649 92033 8753 92141
+rect 8787 92003 9303 92107
+rect 9337 92037 9856 92141
+rect 9891 92003 10407 92107
+rect 10441 92037 126254 92141
+rect 10800 92003 126254 92037
+rect 8511 91849 8753 91999
+rect 8787 91849 9856 92003
+rect 9891 91849 126254 92003
+rect 8494 91815 126254 91849
+rect 8511 91665 8753 91815
+rect 8511 91557 8615 91665
+rect 8787 91661 9856 91815
+rect 9891 91661 126254 91815
+rect 8649 91523 8753 91631
+rect 8787 91557 9303 91661
+rect 9337 91523 9856 91627
+rect 9891 91557 10407 91661
+rect 10800 91627 126254 91661
+rect 10441 91523 126254 91627
+rect 8511 91305 8753 91523
+rect 8787 91305 9856 91523
+rect 9891 91305 126254 91523
+rect 8494 91271 126254 91305
+rect 8511 91053 8753 91271
+rect 8787 91053 9856 91271
+rect 9891 91053 126254 91271
+rect 8511 90911 8615 91019
+rect 8649 90945 8753 91053
+rect 8787 90915 9303 91019
+rect 9337 90949 9856 91053
+rect 9891 90915 10407 91019
+rect 10441 90949 126254 91053
+rect 10800 90915 126254 90949
+rect 8511 90761 8753 90911
+rect 8787 90761 9856 90915
+rect 9891 90761 126254 90915
+rect 8494 90727 126254 90761
+rect 8511 90577 8753 90727
+rect 8511 90469 8615 90577
+rect 8787 90573 9856 90727
+rect 9891 90573 126254 90727
+rect 8649 90435 8753 90543
+rect 8787 90469 9303 90573
+rect 9337 90435 9856 90539
+rect 9891 90469 10407 90573
+rect 10800 90539 126254 90573
+rect 10441 90435 126254 90539
+rect 8511 90217 8753 90435
+rect 8787 90217 9856 90435
+rect 9891 90217 126254 90435
+rect 8494 90183 126254 90217
+rect 8511 89965 8753 90183
+rect 8787 89965 9856 90183
+rect 9891 89965 126254 90183
+rect 8511 89823 8615 89931
+rect 8649 89857 8753 89965
+rect 8787 89827 9303 89931
+rect 9337 89861 9856 89965
+rect 9891 89827 10407 89931
+rect 10441 89861 126254 89965
+rect 10800 89827 126254 89861
+rect 8511 89673 8753 89823
+rect 8787 89673 9856 89827
+rect 9891 89673 126254 89827
+rect 8494 89639 126254 89673
+rect 8511 89489 8753 89639
+rect 8511 89381 8615 89489
+rect 8787 89485 9856 89639
+rect 9891 89485 126254 89639
+rect 8649 89347 8753 89455
+rect 8787 89381 9303 89485
+rect 9337 89347 9856 89451
+rect 9891 89381 10407 89485
+rect 10800 89451 126254 89485
+rect 10441 89347 126254 89451
+rect 8511 89129 8753 89347
+rect 8787 89129 9856 89347
+rect 9891 89129 126254 89347
+rect 8494 89095 126254 89129
+rect 8511 88877 8753 89095
+rect 8787 88877 9856 89095
+rect 9891 88877 126254 89095
+rect 8511 88735 8615 88843
+rect 8649 88769 8753 88877
+rect 8787 88739 9303 88843
+rect 9337 88773 9856 88877
+rect 9891 88739 10407 88843
+rect 10441 88773 126254 88877
+rect 10800 88739 126254 88773
+rect 8511 88585 8753 88735
+rect 8787 88585 9856 88739
+rect 9891 88585 126254 88739
+rect 8494 88551 126254 88585
+rect 8511 88401 8753 88551
+rect 8511 88293 8615 88401
+rect 8787 88397 9856 88551
+rect 9891 88397 126254 88551
+rect 8649 88259 8753 88367
+rect 8787 88293 9303 88397
+rect 9337 88259 9856 88363
+rect 9891 88293 10407 88397
+rect 10800 88363 126254 88397
+rect 10441 88259 126254 88363
+rect 8511 88041 8753 88259
+rect 8787 88041 9856 88259
+rect 9891 88041 126254 88259
+rect 8494 88007 126254 88041
+rect 8511 87789 8753 88007
+rect 8787 87789 9856 88007
+rect 9891 87789 126254 88007
+rect 8511 87647 8615 87755
+rect 8649 87681 8753 87789
+rect 8787 87651 9303 87755
+rect 9337 87685 9856 87789
+rect 9891 87651 10407 87755
+rect 10441 87685 126254 87789
+rect 10800 87651 126254 87685
+rect 8511 87497 8753 87647
+rect 8787 87497 9856 87651
+rect 9891 87497 126254 87651
+rect 8494 87463 126254 87497
+rect 8511 87313 8753 87463
+rect 8511 87205 8615 87313
+rect 8787 87309 9856 87463
+rect 9891 87309 126254 87463
+rect 8649 87171 8753 87279
+rect 8787 87205 9303 87309
+rect 9337 87171 9856 87275
+rect 9891 87205 10407 87309
+rect 10800 87275 126254 87309
+rect 10441 87171 126254 87275
+rect 8511 86953 8753 87171
+rect 8787 86953 9856 87171
+rect 9891 86953 126254 87171
+rect 8494 86919 126254 86953
+rect 8511 86701 8753 86919
+rect 8787 86701 9856 86919
+rect 9891 86701 126254 86919
+rect 8511 86559 8615 86667
+rect 8649 86593 8753 86701
+rect 8787 86563 9303 86667
+rect 9337 86597 9856 86701
+rect 9891 86563 10407 86667
+rect 10441 86597 126254 86701
+rect 10800 86563 126254 86597
+rect 8511 86409 8753 86559
+rect 8787 86409 9856 86563
+rect 9891 86409 126254 86563
+rect 8494 86375 126254 86409
+rect 8511 86225 8753 86375
+rect 8511 86117 8615 86225
+rect 8787 86221 9856 86375
+rect 9891 86221 126254 86375
+rect 8649 86083 8753 86191
+rect 8787 86117 9303 86221
+rect 9337 86083 9856 86187
+rect 9891 86117 10407 86221
+rect 10800 86187 126254 86221
+rect 10441 86083 126254 86187
+rect 8511 85865 8753 86083
+rect 8787 85865 9856 86083
+rect 9891 85865 126254 86083
+rect 8494 85831 126254 85865
+rect 8511 85613 8753 85831
+rect 8787 85613 9856 85831
+rect 9891 85613 126254 85831
+rect 8511 85471 8615 85579
+rect 8649 85505 8753 85613
+rect 8787 85475 9303 85579
+rect 9337 85509 9856 85613
+rect 9891 85475 10407 85579
+rect 10441 85509 126254 85613
+rect 10800 85475 126254 85509
+rect 8511 85321 8753 85471
+rect 8787 85321 9856 85475
+rect 9891 85321 126254 85475
+rect 8494 85287 126254 85321
+rect 8511 85137 8753 85287
+rect 8511 85029 8615 85137
+rect 8787 85133 9856 85287
+rect 9891 85133 126254 85287
+rect 8649 84995 8753 85103
+rect 8787 85029 9303 85133
+rect 9337 84995 9856 85099
+rect 9891 85029 10407 85133
+rect 10800 85099 126254 85133
+rect 10441 84995 126254 85099
+rect 8511 84777 8753 84995
+rect 8787 84777 9856 84995
+rect 9891 84777 126254 84995
+rect 8494 84743 126254 84777
+rect 8511 84525 8753 84743
+rect 8787 84525 9856 84743
+rect 9891 84525 126254 84743
+rect 8511 84383 8615 84491
+rect 8649 84417 8753 84525
+rect 8787 84387 9303 84491
+rect 9337 84421 9856 84525
+rect 9891 84387 10407 84491
+rect 10441 84421 126254 84525
+rect 10800 84387 126254 84421
+rect 8511 84233 8753 84383
+rect 8787 84233 9856 84387
+rect 9891 84233 126254 84387
+rect 8494 84199 126254 84233
+rect 8511 84049 8753 84199
+rect 8511 83941 8615 84049
+rect 8787 84045 9856 84199
+rect 9891 84045 126254 84199
+rect 8649 83907 8753 84015
+rect 8787 83941 9303 84045
+rect 9337 83907 9856 84011
+rect 9891 83941 10407 84045
+rect 10800 84011 126254 84045
+rect 10441 83907 126254 84011
+rect 8511 83689 8753 83907
+rect 8787 83689 9856 83907
+rect 9891 83689 126254 83907
+rect 8494 83655 126254 83689
+rect 8511 83437 8753 83655
+rect 8787 83437 9856 83655
+rect 9891 83437 126254 83655
+rect 8511 83295 8615 83403
+rect 8649 83329 8753 83437
+rect 8787 83299 9303 83403
+rect 9337 83333 9856 83437
+rect 9891 83299 10407 83403
+rect 10441 83333 126254 83437
+rect 10800 83299 126254 83333
+rect 8511 83145 8753 83295
+rect 8787 83145 9856 83299
+rect 9891 83145 126254 83299
+rect 8494 83111 126254 83145
+rect 8511 82961 8753 83111
+rect 8511 82853 8615 82961
+rect 8787 82957 9856 83111
+rect 9891 82957 126254 83111
+rect 8649 82819 8753 82927
+rect 8787 82853 9303 82957
+rect 9337 82819 9856 82923
+rect 9891 82853 10407 82957
+rect 10800 82923 126254 82957
+rect 10441 82819 126254 82923
+rect 8511 82601 8753 82819
+rect 8787 82601 9856 82819
+rect 9891 82601 126254 82819
+rect 8494 82567 126254 82601
+rect 8511 82349 8753 82567
+rect 8787 82349 9856 82567
+rect 9891 82349 126254 82567
+rect 8511 82207 8615 82315
+rect 8649 82241 8753 82349
+rect 8787 82211 9303 82315
+rect 9337 82245 9856 82349
+rect 9891 82211 10407 82315
+rect 10441 82245 126254 82349
+rect 10800 82211 126254 82245
+rect 8511 82057 8753 82207
+rect 8787 82057 9856 82211
+rect 9891 82057 126254 82211
+rect 8494 82023 126254 82057
+rect 8511 81873 8753 82023
+rect 8511 81765 8615 81873
+rect 8787 81869 9856 82023
+rect 9891 81869 126254 82023
+rect 8649 81731 8753 81839
+rect 8787 81765 9303 81869
+rect 9337 81731 9856 81835
+rect 9891 81765 10407 81869
+rect 10800 81835 126254 81869
+rect 10441 81731 126254 81835
+rect 8511 81513 8753 81731
+rect 8787 81513 9856 81731
+rect 9891 81513 126254 81731
+rect 8494 81479 126254 81513
+rect 8511 81261 8753 81479
+rect 8787 81261 9856 81479
+rect 9891 81261 126254 81479
+rect 8511 81119 8615 81227
+rect 8649 81153 8753 81261
+rect 8787 81123 9303 81227
+rect 9337 81157 9856 81261
+rect 9891 81123 10407 81227
+rect 10441 81157 126254 81261
+rect 10800 81123 126254 81157
+rect 8511 80969 8753 81119
+rect 8787 80969 9856 81123
+rect 9891 80969 126254 81123
+rect 8494 80935 126254 80969
+rect 8511 80785 8753 80935
+rect 8511 80677 8615 80785
+rect 8787 80781 9856 80935
+rect 9891 80781 126254 80935
+rect 8649 80643 8753 80751
+rect 8787 80677 9303 80781
+rect 9337 80643 9856 80747
+rect 9891 80677 10407 80781
+rect 10800 80747 126254 80781
+rect 10441 80643 126254 80747
+rect 8511 80425 8753 80643
+rect 8787 80425 9856 80643
+rect 9891 80425 126254 80643
+rect 8494 80391 126254 80425
+rect 8511 80173 8753 80391
+rect 8787 80173 9856 80391
+rect 9891 80173 126254 80391
+rect 8511 80031 8615 80139
+rect 8649 80065 8753 80173
+rect 8787 80035 9303 80139
+rect 9337 80069 9856 80173
+rect 9891 80035 10407 80139
+rect 10441 80069 126254 80173
+rect 10800 80035 126254 80069
+rect 8511 79881 8753 80031
+rect 8787 79881 9856 80035
+rect 9891 79881 126254 80035
+rect 8494 79847 126254 79881
+rect 8511 79697 8753 79847
+rect 8511 79589 8615 79697
+rect 8787 79693 9856 79847
+rect 9891 79693 126254 79847
+rect 8649 79555 8753 79663
+rect 8787 79589 9303 79693
+rect 9337 79555 9856 79659
+rect 9891 79589 10407 79693
+rect 10800 79659 126254 79693
+rect 10441 79555 126254 79659
+rect 8511 79337 8753 79555
+rect 8787 79337 9856 79555
+rect 9891 79337 126254 79555
+rect 8494 79303 126254 79337
+rect 8511 79085 8753 79303
+rect 8787 79085 9856 79303
+rect 9891 79085 126254 79303
+rect 8511 78943 8615 79051
+rect 8649 78977 8753 79085
+rect 8787 78947 9303 79051
+rect 9337 78981 9856 79085
+rect 9891 78947 10407 79051
+rect 10441 78981 126254 79085
+rect 10800 78947 126254 78981
+rect 8511 78793 8753 78943
+rect 8787 78793 9856 78947
+rect 9891 78793 126254 78947
+rect 8494 78759 126254 78793
+rect 8511 78609 8753 78759
+rect 8511 78501 8615 78609
+rect 8787 78605 9856 78759
+rect 9891 78605 126254 78759
+rect 8649 78467 8753 78575
+rect 8787 78501 9303 78605
+rect 9337 78467 9856 78571
+rect 9891 78501 10407 78605
+rect 10800 78571 126254 78605
+rect 10441 78467 126254 78571
+rect 8511 78249 8753 78467
+rect 8787 78249 9856 78467
+rect 9891 78249 126254 78467
+rect 8494 78215 126254 78249
+rect 8511 77997 8753 78215
+rect 8787 77997 9856 78215
+rect 9891 77997 126254 78215
+rect 8511 77855 8615 77963
+rect 8649 77889 8753 77997
+rect 8787 77859 9303 77963
+rect 9337 77893 9856 77997
+rect 9891 77859 10407 77963
+rect 10441 77893 126254 77997
+rect 10800 77859 126254 77893
+rect 8511 77705 8753 77855
+rect 8787 77705 9856 77859
+rect 9891 77705 126254 77859
+rect 8494 77671 126254 77705
+rect 8511 77521 8753 77671
+rect 8511 77413 8615 77521
+rect 8787 77517 9856 77671
+rect 9891 77517 126254 77671
+rect 8649 77379 8753 77487
+rect 8787 77413 9303 77517
+rect 9337 77379 9856 77483
+rect 9891 77413 10407 77517
+rect 10800 77483 126254 77517
+rect 10441 77379 126254 77483
+rect 8511 77161 8753 77379
+rect 8787 77161 9856 77379
+rect 9891 77161 126254 77379
+rect 8494 77127 126254 77161
+rect 8511 76909 8753 77127
+rect 8787 76909 9856 77127
+rect 9891 76909 126254 77127
+rect 8511 76767 8615 76875
+rect 8649 76801 8753 76909
+rect 8787 76771 9303 76875
+rect 9337 76805 9856 76909
+rect 9891 76771 10407 76875
+rect 10441 76805 126254 76909
+rect 10800 76771 126254 76805
+rect 8511 76617 8753 76767
+rect 8787 76617 9856 76771
+rect 9891 76617 126254 76771
+rect 8494 76583 126254 76617
+rect 8511 76433 8753 76583
+rect 8511 76325 8615 76433
+rect 8787 76429 9856 76583
+rect 9891 76429 126254 76583
+rect 8649 76291 8753 76399
+rect 8787 76325 9303 76429
+rect 9337 76291 9856 76395
+rect 9891 76325 10407 76429
+rect 10800 76395 126254 76429
+rect 10441 76291 126254 76395
+rect 8511 76073 8753 76291
+rect 8787 76073 9856 76291
+rect 9891 76073 126254 76291
+rect 8494 76039 126254 76073
+rect 8511 75821 8753 76039
+rect 8787 75821 9856 76039
+rect 9891 75821 126254 76039
+rect 8511 75679 8615 75787
+rect 8649 75713 8753 75821
+rect 8787 75683 9303 75787
+rect 9337 75717 9856 75821
+rect 9891 75683 10407 75787
+rect 10441 75717 126254 75821
+rect 10800 75683 126254 75717
+rect 8511 75529 8753 75679
+rect 8787 75529 9856 75683
+rect 9891 75529 126254 75683
+rect 8494 75495 126254 75529
+rect 8511 75345 8753 75495
+rect 8511 75237 8615 75345
+rect 8787 75341 9856 75495
+rect 9891 75341 126254 75495
+rect 8649 75203 8753 75311
+rect 8787 75237 9303 75341
+rect 9337 75203 9856 75307
+rect 9891 75237 10407 75341
+rect 10800 75307 126254 75341
+rect 10441 75203 126254 75307
+rect 8511 74985 8753 75203
+rect 8787 74985 9856 75203
+rect 9891 74985 126254 75203
+rect 8494 74951 126254 74985
+rect 8511 74733 8753 74951
+rect 8787 74733 9856 74951
+rect 9891 74733 126254 74951
+rect 8511 74591 8615 74699
+rect 8649 74625 8753 74733
+rect 8787 74595 9303 74699
+rect 9337 74629 9856 74733
+rect 9891 74595 10407 74699
+rect 10441 74629 126254 74733
+rect 10800 74595 126254 74629
+rect 8511 74441 8753 74591
+rect 8787 74441 9856 74595
+rect 9891 74441 126254 74595
+rect 8494 74407 126254 74441
+rect 8511 74257 8753 74407
+rect 8511 74149 8615 74257
+rect 8787 74253 9856 74407
+rect 9891 74253 126254 74407
+rect 8649 74115 8753 74223
+rect 8787 74149 9303 74253
+rect 9337 74115 9856 74219
+rect 9891 74149 10407 74253
+rect 10800 74219 126254 74253
+rect 10441 74115 126254 74219
+rect 8511 73897 8753 74115
+rect 8787 73897 9856 74115
+rect 9891 73897 126254 74115
+rect 8494 73863 126254 73897
+rect 8511 73645 8753 73863
+rect 8787 73645 9856 73863
+rect 9891 73645 126254 73863
+rect 8511 73503 8615 73611
+rect 8649 73537 8753 73645
+rect 8787 73507 9303 73611
+rect 9337 73541 9856 73645
+rect 9891 73507 10407 73611
+rect 10441 73541 126254 73645
+rect 10800 73507 126254 73541
+rect 8511 73353 8753 73503
+rect 8787 73353 9856 73507
+rect 9891 73353 126254 73507
+rect 8494 73319 126254 73353
+rect 8511 73169 8753 73319
+rect 8511 73061 8615 73169
+rect 8787 73165 9856 73319
+rect 9891 73165 126254 73319
+rect 8649 73027 8753 73135
+rect 8787 73061 9303 73165
+rect 9337 73027 9856 73131
+rect 9891 73061 10407 73165
+rect 10800 73131 126254 73165
+rect 10441 73027 126254 73131
+rect 8511 72809 8753 73027
+rect 8787 72809 9856 73027
+rect 9891 72809 126254 73027
+rect 8494 72775 126254 72809
+rect 8511 72557 8753 72775
+rect 8787 72557 9856 72775
+rect 9891 72557 126254 72775
+rect 8511 72415 8615 72523
+rect 8649 72449 8753 72557
+rect 8787 72419 9303 72523
+rect 9337 72453 9856 72557
+rect 9891 72419 10407 72523
+rect 10441 72453 126254 72557
+rect 10800 72419 126254 72453
+rect 8511 72265 8753 72415
+rect 8787 72265 9856 72419
+rect 9891 72265 126254 72419
+rect 8494 72231 126254 72265
+rect 8511 72081 8753 72231
+rect 8511 71973 8615 72081
+rect 8787 72077 9856 72231
+rect 9891 72077 126254 72231
+rect 8649 71939 8753 72047
+rect 8787 71973 9303 72077
+rect 9337 71939 9856 72043
+rect 9891 71973 10407 72077
+rect 10800 72043 126254 72077
+rect 10441 71939 126254 72043
+rect 8511 71721 8753 71939
+rect 8787 71721 9856 71939
+rect 9891 71721 126254 71939
+rect 8494 71687 126254 71721
+rect 8511 71469 8753 71687
+rect 8787 71469 9856 71687
+rect 9891 71469 126254 71687
+rect 8511 71327 8615 71435
+rect 8649 71361 8753 71469
+rect 8787 71331 9303 71435
+rect 9337 71365 9856 71469
+rect 9891 71331 10407 71435
+rect 10441 71365 126254 71469
+rect 10800 71331 126254 71365
+rect 8511 71177 8753 71327
+rect 8787 71177 9856 71331
+rect 9891 71177 126254 71331
+rect 8494 71143 126254 71177
+rect 8511 70993 8753 71143
+rect 8511 70885 8615 70993
+rect 8787 70989 9856 71143
+rect 9891 70989 126254 71143
+rect 8649 70851 8753 70959
+rect 8787 70885 9303 70989
+rect 9337 70851 9856 70955
+rect 9891 70885 10407 70989
+rect 10800 70955 126254 70989
+rect 10441 70851 126254 70955
+rect 8511 70633 8753 70851
+rect 8787 70633 9856 70851
+rect 9891 70633 126254 70851
+rect 8494 70599 126254 70633
+rect 8511 70381 8753 70599
+rect 8787 70381 9856 70599
+rect 9891 70381 126254 70599
+rect 8511 70239 8615 70347
+rect 8649 70273 8753 70381
+rect 8787 70243 9303 70347
+rect 9337 70277 9856 70381
+rect 9891 70243 10407 70347
+rect 10441 70277 126254 70381
+rect 10800 70243 126254 70277
+rect 8511 70089 8753 70239
+rect 8787 70089 9856 70243
+rect 9891 70089 126254 70243
+rect 8494 70055 126254 70089
+rect 8511 69905 8753 70055
+rect 8511 69797 8615 69905
+rect 8787 69901 9856 70055
+rect 9891 69901 126254 70055
+rect 8649 69763 8753 69871
+rect 8787 69797 9303 69901
+rect 9337 69763 9856 69867
+rect 9891 69797 10407 69901
+rect 10800 69867 126254 69901
+rect 10441 69763 126254 69867
+rect 8511 69545 8753 69763
+rect 8787 69545 9856 69763
+rect 9891 69545 126254 69763
+rect 8494 69511 126254 69545
+rect 8511 69293 8753 69511
+rect 8787 69293 9856 69511
+rect 9891 69293 126254 69511
+rect 8511 69151 8615 69259
+rect 8649 69185 8753 69293
+rect 8787 69155 9303 69259
+rect 9337 69189 9856 69293
+rect 9891 69155 10407 69259
+rect 10441 69189 126254 69293
+rect 10800 69155 126254 69189
+rect 8511 69001 8753 69151
+rect 8787 69001 9856 69155
+rect 9891 69001 126254 69155
+rect 8494 68967 126254 69001
+rect 8511 68817 8753 68967
+rect 8511 68709 8615 68817
+rect 8787 68813 9856 68967
+rect 9891 68813 126254 68967
+rect 8649 68675 8753 68783
+rect 8787 68709 9303 68813
+rect 9337 68675 9856 68779
+rect 9891 68709 10407 68813
+rect 10800 68779 126254 68813
+rect 10441 68675 126254 68779
+rect 8511 68457 8753 68675
+rect 8787 68457 9856 68675
+rect 9891 68457 126254 68675
+rect 8494 68423 126254 68457
+rect 8511 68205 8753 68423
+rect 8787 68205 9856 68423
+rect 9891 68205 126254 68423
+rect 8511 68063 8615 68171
+rect 8649 68097 8753 68205
+rect 8787 68067 9303 68171
+rect 9337 68101 9856 68205
+rect 9891 68067 10407 68171
+rect 10441 68101 126254 68205
+rect 10800 68067 126254 68101
+rect 8511 67913 8753 68063
+rect 8787 67913 9856 68067
+rect 9891 67913 126254 68067
+rect 8494 67879 126254 67913
+rect 8511 67729 8753 67879
+rect 8511 67621 8615 67729
+rect 8787 67725 9856 67879
+rect 9891 67725 126254 67879
+rect 8649 67587 8753 67695
+rect 8787 67621 9303 67725
+rect 9337 67587 9856 67691
+rect 9891 67621 10407 67725
+rect 10800 67691 126254 67725
+rect 10441 67587 126254 67691
+rect 8511 67369 8753 67587
+rect 8787 67369 9856 67587
+rect 9891 67369 126254 67587
+rect 8494 67335 126254 67369
+rect 8511 67117 8753 67335
+rect 8787 67117 9856 67335
+rect 9891 67117 126254 67335
+rect 8511 66975 8615 67083
+rect 8649 67009 8753 67117
+rect 8787 66979 9303 67083
+rect 9337 67013 9856 67117
+rect 9891 66979 10407 67083
+rect 10441 67013 126254 67117
+rect 10800 66979 126254 67013
+rect 8511 66825 8753 66975
+rect 8787 66825 9856 66979
+rect 9891 66825 126254 66979
+rect 8494 66791 126254 66825
+rect 8511 66641 8753 66791
+rect 8511 66533 8615 66641
+rect 8787 66637 9856 66791
+rect 9891 66637 126254 66791
+rect 8649 66499 8753 66607
+rect 8787 66533 9303 66637
+rect 9337 66499 9856 66603
+rect 9891 66533 10407 66637
+rect 10800 66603 126254 66637
+rect 10441 66499 126254 66603
+rect 8511 66281 8753 66499
+rect 8787 66281 9856 66499
+rect 9891 66281 126254 66499
+rect 8494 66247 126254 66281
+rect 8511 66029 8753 66247
+rect 8787 66029 9856 66247
+rect 9891 66029 126254 66247
+rect 8511 65887 8615 65995
+rect 8649 65921 8753 66029
+rect 8787 65891 9303 65995
+rect 9337 65925 9856 66029
+rect 9891 65891 10407 65995
+rect 10441 65925 126254 66029
+rect 10800 65891 126254 65925
+rect 8511 65737 8753 65887
+rect 8787 65737 9856 65891
+rect 9891 65737 126254 65891
+rect 8494 65703 126254 65737
+rect 8511 65553 8753 65703
+rect 8511 65445 8615 65553
+rect 8787 65549 9856 65703
+rect 9891 65549 126254 65703
+rect 8649 65411 8753 65519
+rect 8787 65445 9303 65549
+rect 9337 65411 9856 65515
+rect 9891 65445 10407 65549
+rect 10800 65515 126254 65549
+rect 10441 65411 126254 65515
+rect 8511 65193 8753 65411
+rect 8787 65193 9856 65411
+rect 9891 65193 126254 65411
+rect 8494 65159 126254 65193
+rect 8511 64941 8753 65159
+rect 8787 64941 9856 65159
+rect 9891 64941 126254 65159
+rect 8511 64799 8615 64907
+rect 8649 64833 8753 64941
+rect 8787 64803 9303 64907
+rect 9337 64837 9856 64941
+rect 9891 64803 10407 64907
+rect 10441 64837 126254 64941
+rect 10800 64803 126254 64837
+rect 8511 64649 8753 64799
+rect 8787 64649 9856 64803
+rect 9891 64649 126254 64803
+rect 8494 64615 126254 64649
+rect 8511 64465 8753 64615
+rect 8511 64357 8615 64465
+rect 8787 64461 9856 64615
+rect 9891 64461 126254 64615
+rect 8649 64323 8753 64431
+rect 8787 64357 9303 64461
+rect 9337 64323 9856 64427
+rect 9891 64357 10407 64461
+rect 10800 64427 126254 64461
+rect 10441 64323 126254 64427
+rect 8511 64105 8753 64323
+rect 8787 64105 9856 64323
+rect 9891 64105 126254 64323
+rect 8494 64071 126254 64105
+rect 8511 63853 8753 64071
+rect 8787 63853 9856 64071
+rect 9891 63853 126254 64071
+rect 8511 63711 8615 63819
+rect 8649 63745 8753 63853
+rect 8787 63715 9303 63819
+rect 9337 63749 9856 63853
+rect 9891 63715 10407 63819
+rect 10441 63749 126254 63853
+rect 10800 63715 126254 63749
+rect 8511 63561 8753 63711
+rect 8787 63561 9856 63715
+rect 9891 63561 126254 63715
+rect 8494 63527 126254 63561
+rect 8511 63377 8753 63527
+rect 8511 63269 8615 63377
+rect 8787 63373 9856 63527
+rect 9891 63373 126254 63527
+rect 8649 63235 8753 63343
+rect 8787 63269 9303 63373
+rect 9337 63235 9856 63339
+rect 9891 63269 10407 63373
+rect 10800 63339 126254 63373
+rect 10441 63235 126254 63339
+rect 8511 63017 8753 63235
+rect 8787 63017 9856 63235
+rect 9891 63017 126254 63235
+rect 8494 62983 126254 63017
+rect 8511 62765 8753 62983
+rect 8787 62765 9856 62983
+rect 9891 62765 126254 62983
+rect 8511 62623 8615 62731
+rect 8649 62657 8753 62765
+rect 8787 62627 9303 62731
+rect 9337 62661 9856 62765
+rect 9891 62627 10407 62731
+rect 10441 62661 126254 62765
+rect 10800 62627 126254 62661
+rect 8511 62473 8753 62623
+rect 8787 62473 9856 62627
+rect 9891 62473 126254 62627
+rect 8494 62439 126254 62473
+rect 8511 62289 8753 62439
+rect 8511 62181 8615 62289
+rect 8787 62285 9856 62439
+rect 9891 62285 126254 62439
+rect 8649 62147 8753 62255
+rect 8787 62181 9303 62285
+rect 9337 62147 9856 62251
+rect 9891 62181 10407 62285
+rect 10800 62251 126254 62285
+rect 10441 62147 126254 62251
+rect 8511 61929 8753 62147
+rect 8787 61929 9856 62147
+rect 9891 61929 126254 62147
+rect 8494 61895 126254 61929
+rect 8511 61677 8753 61895
+rect 8787 61677 9856 61895
+rect 9891 61677 126254 61895
+rect 8511 61535 8615 61643
+rect 8649 61569 8753 61677
+rect 8787 61539 9303 61643
+rect 9337 61573 9856 61677
+rect 9891 61539 10407 61643
+rect 10441 61573 126254 61677
+rect 10800 61539 126254 61573
+rect 8511 61385 8753 61535
+rect 8787 61385 9856 61539
+rect 9891 61385 126254 61539
+rect 8494 61351 126254 61385
+rect 8511 61201 8753 61351
+rect 8511 61093 8615 61201
+rect 8787 61197 9856 61351
+rect 9891 61197 126254 61351
+rect 8649 61059 8753 61167
+rect 8787 61093 9303 61197
+rect 9337 61059 9856 61163
+rect 9891 61093 10407 61197
+rect 10800 61163 126254 61197
+rect 10441 61059 126254 61163
+rect 8511 60841 8753 61059
+rect 8787 60841 9856 61059
+rect 9891 60841 126254 61059
+rect 8494 60807 126254 60841
+rect 8511 60589 8753 60807
+rect 8787 60589 9856 60807
+rect 9891 60589 126254 60807
+rect 8511 60447 8615 60555
+rect 8649 60481 8753 60589
+rect 8787 60451 9303 60555
+rect 9337 60485 9856 60589
+rect 9891 60451 10407 60555
+rect 10441 60485 126254 60589
+rect 10800 60451 126254 60485
+rect 8511 60297 8753 60447
+rect 8787 60297 9856 60451
+rect 9891 60297 126254 60451
+rect 8494 60263 126254 60297
+rect 8511 60113 8753 60263
+rect 8511 60005 8615 60113
+rect 8787 60109 9856 60263
+rect 9891 60109 126254 60263
+rect 8649 59971 8753 60079
+rect 8787 60005 9303 60109
+rect 9337 59971 9856 60075
+rect 9891 60005 10407 60109
+rect 10800 60075 126254 60109
+rect 10441 59971 126254 60075
+rect 8511 59753 8753 59971
+rect 8787 59753 9856 59971
+rect 9891 59753 126254 59971
+rect 8494 59719 126254 59753
+rect 8511 59501 8753 59719
+rect 8787 59501 9856 59719
+rect 9891 59501 126254 59719
+rect 8511 59359 8615 59467
+rect 8649 59393 8753 59501
+rect 8787 59363 9303 59467
+rect 9337 59397 9856 59501
+rect 9891 59363 10407 59467
+rect 10441 59397 126254 59501
+rect 10800 59363 126254 59397
+rect 8511 59209 8753 59359
+rect 8787 59209 9856 59363
+rect 9891 59209 126254 59363
+rect 8494 59175 126254 59209
+rect 8511 59025 8753 59175
+rect 8511 58917 8615 59025
+rect 8787 59021 9856 59175
+rect 9891 59021 126254 59175
+rect 8649 58883 8753 58991
+rect 8787 58917 9303 59021
+rect 9337 58883 9856 58987
+rect 9891 58917 10407 59021
+rect 10800 58987 126254 59021
+rect 10441 58883 126254 58987
+rect 8511 58665 8753 58883
+rect 8787 58665 9856 58883
+rect 9891 58665 126254 58883
+rect 8494 58631 126254 58665
+rect 8511 58413 8753 58631
+rect 8787 58413 9856 58631
+rect 9891 58413 126254 58631
+rect 8511 58271 8615 58379
+rect 8649 58305 8753 58413
+rect 8787 58275 9303 58379
+rect 9337 58309 9856 58413
+rect 9891 58275 10407 58379
+rect 10441 58309 126254 58413
+rect 10800 58275 126254 58309
+rect 8511 58121 8753 58271
+rect 8787 58121 9856 58275
+rect 9891 58121 126254 58275
+rect 8494 58087 126254 58121
+rect 8511 57937 8753 58087
+rect 8511 57829 8615 57937
+rect 8787 57933 9856 58087
+rect 9891 57933 126254 58087
+rect 8649 57795 8753 57903
+rect 8787 57829 9303 57933
+rect 9337 57795 9856 57899
+rect 9891 57829 10407 57933
+rect 10800 57899 126254 57933
+rect 10441 57795 126254 57899
+rect 8511 57577 8753 57795
+rect 8787 57577 9856 57795
+rect 9891 57577 126254 57795
+rect 8494 57543 126254 57577
+rect 8511 57325 8753 57543
+rect 8787 57325 9856 57543
+rect 9891 57325 126254 57543
+rect 8511 57183 8615 57291
+rect 8649 57217 8753 57325
+rect 8787 57187 9303 57291
+rect 9337 57221 9856 57325
+rect 9891 57187 10407 57291
+rect 10441 57221 126254 57325
+rect 10800 57187 126254 57221
+rect 8511 57033 8753 57183
+rect 8787 57033 9856 57187
+rect 9891 57033 126254 57187
+rect 8494 56999 126254 57033
+rect 8511 56849 8753 56999
+rect 8511 56741 8615 56849
+rect 8787 56845 9856 56999
+rect 9891 56845 126254 56999
+rect 8649 56707 8753 56815
+rect 8787 56741 9303 56845
+rect 9337 56707 9856 56811
+rect 9891 56741 10407 56845
+rect 10800 56811 126254 56845
+rect 10441 56707 126254 56811
+rect 8511 56489 8753 56707
+rect 8787 56489 9856 56707
+rect 9891 56489 126254 56707
+rect 8494 56455 126254 56489
+rect 8511 56237 8753 56455
+rect 8787 56237 9856 56455
+rect 9891 56237 126254 56455
+rect 8511 56095 8615 56203
+rect 8649 56129 8753 56237
+rect 8787 56099 9303 56203
+rect 9337 56133 9856 56237
+rect 9891 56099 10407 56203
+rect 10441 56133 126254 56237
+rect 10800 56099 126254 56133
+rect 8511 55945 8753 56095
+rect 8787 55945 9856 56099
+rect 9891 55945 126254 56099
+rect 8494 55911 126254 55945
+rect 8511 55761 8753 55911
+rect 8511 55653 8615 55761
+rect 8787 55757 9856 55911
+rect 9891 55757 126254 55911
+rect 8649 55619 8753 55727
+rect 8787 55653 9303 55757
+rect 9337 55619 9856 55723
+rect 9891 55653 10407 55757
+rect 10800 55723 126254 55757
+rect 10441 55619 126254 55723
+rect 8511 55401 8753 55619
+rect 8787 55401 9856 55619
+rect 9891 55401 126254 55619
+rect 8494 55367 126254 55401
+rect 8511 55149 8753 55367
+rect 8787 55149 9856 55367
+rect 9891 55149 126254 55367
+rect 8511 55007 8615 55115
+rect 8649 55041 8753 55149
+rect 8787 55011 9303 55115
+rect 9337 55045 9856 55149
+rect 9891 55011 10407 55115
+rect 10441 55045 126254 55149
+rect 10800 55011 126254 55045
+rect 8511 54857 8753 55007
+rect 8787 54857 9856 55011
+rect 9891 54857 126254 55011
+rect 8494 54823 126254 54857
+rect 8511 54673 8753 54823
+rect 8511 54565 8615 54673
+rect 8787 54669 9856 54823
+rect 9891 54669 126254 54823
+rect 8649 54531 8753 54639
+rect 8787 54565 9303 54669
+rect 9337 54531 9856 54635
+rect 9891 54565 10407 54669
+rect 10800 54635 126254 54669
+rect 10441 54531 126254 54635
+rect 8511 54313 8753 54531
+rect 8787 54313 9856 54531
+rect 9891 54313 126254 54531
+rect 8494 54279 126254 54313
+rect 8511 54061 8753 54279
+rect 8787 54061 9856 54279
+rect 9891 54061 126254 54279
+rect 8511 53919 8615 54027
+rect 8649 53953 8753 54061
+rect 8787 53923 9303 54027
+rect 9337 53957 9856 54061
+rect 9891 53923 10407 54027
+rect 10441 53957 126254 54061
+rect 10800 53923 126254 53957
+rect 8511 53769 8753 53919
+rect 8787 53769 9856 53923
+rect 9891 53769 126254 53923
+rect 8494 53735 126254 53769
+rect 8511 53585 8753 53735
+rect 8511 53477 8615 53585
+rect 8787 53581 9856 53735
+rect 9891 53581 126254 53735
+rect 8649 53443 8753 53551
+rect 8787 53477 9303 53581
+rect 9337 53443 9856 53547
+rect 9891 53477 10407 53581
+rect 10800 53547 126254 53581
+rect 10441 53443 126254 53547
+rect 8511 53225 8753 53443
+rect 8787 53225 9856 53443
+rect 9891 53225 126254 53443
+rect 8494 53191 126254 53225
+rect 8511 52973 8753 53191
+rect 8787 52973 9856 53191
+rect 9891 52973 126254 53191
+rect 8511 52831 8615 52939
+rect 8649 52865 8753 52973
+rect 8787 52835 9303 52939
+rect 9337 52869 9856 52973
+rect 9891 52835 10407 52939
+rect 10441 52869 126254 52973
+rect 10800 52835 126254 52869
+rect 8511 52681 8753 52831
+rect 8787 52681 9856 52835
+rect 9891 52681 126254 52835
+rect 8494 52647 126254 52681
+rect 8511 52497 8753 52647
+rect 8511 52389 8615 52497
+rect 8787 52493 9856 52647
+rect 9891 52493 126254 52647
+rect 8649 52355 8753 52463
+rect 8787 52389 9303 52493
+rect 9337 52355 9856 52459
+rect 9891 52389 10407 52493
+rect 10800 52459 126254 52493
+rect 10441 52355 126254 52459
+rect 8511 52137 8753 52355
+rect 8787 52137 9856 52355
+rect 9891 52137 126254 52355
+rect 8494 52103 126254 52137
+rect 8511 51885 8753 52103
+rect 8787 51885 9856 52103
+rect 9891 51885 126254 52103
+rect 8511 51743 8615 51851
+rect 8649 51777 8753 51885
+rect 8787 51747 9303 51851
+rect 9337 51781 9856 51885
+rect 9891 51747 10407 51851
+rect 10441 51781 126254 51885
+rect 10800 51747 126254 51781
+rect 8511 51593 8753 51743
+rect 8787 51593 9856 51747
+rect 9891 51593 126254 51747
+rect 8494 51559 126254 51593
+rect 8511 51409 8753 51559
+rect 8511 51301 8615 51409
+rect 8787 51405 9856 51559
+rect 9891 51405 126254 51559
+rect 8649 51267 8753 51375
+rect 8787 51301 9303 51405
+rect 9337 51267 9856 51371
+rect 9891 51301 10407 51405
+rect 10800 51371 126254 51405
+rect 10441 51267 126254 51371
+rect 8511 51049 8753 51267
+rect 8787 51049 9856 51267
+rect 9891 51049 126254 51267
+rect 8494 51015 126254 51049
+rect 8511 50797 8753 51015
+rect 8787 50797 9856 51015
+rect 9891 50797 126254 51015
+rect 8511 50655 8615 50763
+rect 8649 50689 8753 50797
+rect 8787 50659 9303 50763
+rect 9337 50693 9856 50797
+rect 9891 50659 10407 50763
+rect 10441 50693 126254 50797
+rect 10800 50659 126254 50693
+rect 8511 50505 8753 50655
+rect 8787 50505 9856 50659
+rect 9891 50505 126254 50659
+rect 8494 50471 126254 50505
+rect 8511 50321 8753 50471
+rect 8511 50213 8615 50321
+rect 8787 50317 9856 50471
+rect 9891 50317 126254 50471
+rect 8649 50179 8753 50287
+rect 8787 50213 9303 50317
+rect 9337 50179 9856 50283
+rect 9891 50213 10407 50317
+rect 10800 50283 126254 50317
+rect 10441 50179 126254 50283
+rect 8511 49961 8753 50179
+rect 8787 49961 9856 50179
+rect 9891 49961 126254 50179
+rect 8494 49927 126254 49961
+rect 8511 49709 8753 49927
+rect 8787 49709 9856 49927
+rect 9891 49709 126254 49927
+rect 8511 49567 8615 49675
+rect 8649 49601 8753 49709
+rect 8787 49571 9303 49675
+rect 9337 49605 9856 49709
+rect 9891 49571 10407 49675
+rect 10441 49605 126254 49709
+rect 10800 49571 126254 49605
+rect 8511 49417 8753 49567
+rect 8787 49417 9856 49571
+rect 9891 49417 126254 49571
+rect 8494 49383 126254 49417
+rect 8511 49233 8753 49383
+rect 8511 49125 8615 49233
+rect 8787 49229 9856 49383
+rect 9891 49229 126254 49383
+rect 8649 49091 8753 49199
+rect 8787 49125 9303 49229
+rect 9337 49091 9856 49195
+rect 9891 49125 10407 49229
+rect 10800 49195 126254 49229
+rect 10441 49091 126254 49195
+rect 8511 48873 8753 49091
+rect 8787 48873 9856 49091
+rect 9891 48873 126254 49091
+rect 8494 48839 126254 48873
+rect 8511 48621 8753 48839
+rect 8787 48621 9856 48839
+rect 9891 48621 126254 48839
+rect 8511 48479 8615 48587
+rect 8649 48513 8753 48621
+rect 8787 48483 9303 48587
+rect 9337 48517 9856 48621
+rect 9891 48483 10407 48587
+rect 10441 48517 126254 48621
+rect 10800 48483 126254 48517
+rect 8511 48329 8753 48479
+rect 8787 48329 9856 48483
+rect 9891 48329 126254 48483
+rect 8494 48295 126254 48329
+rect 8511 48145 8753 48295
+rect 8511 48037 8615 48145
+rect 8787 48141 9856 48295
+rect 9891 48141 126254 48295
+rect 8649 48003 8753 48111
+rect 8787 48037 9303 48141
+rect 9337 48003 9856 48107
+rect 9891 48037 10407 48141
+rect 10800 48107 126254 48141
+rect 10441 48003 126254 48107
+rect 8511 47785 8753 48003
+rect 8787 47785 9856 48003
+rect 9891 47785 126254 48003
+rect 8494 47751 126254 47785
+rect 8511 47533 8753 47751
+rect 8787 47533 9856 47751
+rect 9891 47533 126254 47751
+rect 8511 47391 8615 47499
+rect 8649 47425 8753 47533
+rect 8787 47395 9303 47499
+rect 9337 47429 9856 47533
+rect 9891 47395 10407 47499
+rect 10441 47429 126254 47533
+rect 10800 47395 126254 47429
+rect 8511 47241 8753 47391
+rect 8787 47241 9856 47395
+rect 9891 47241 126254 47395
+rect 8494 47207 126254 47241
+rect 8511 47057 8753 47207
+rect 8511 46949 8615 47057
+rect 8787 47053 9856 47207
+rect 9891 47053 126254 47207
+rect 8649 46915 8753 47023
+rect 8787 46949 9303 47053
+rect 9337 46915 9856 47019
+rect 9891 46949 10407 47053
+rect 10800 47019 126254 47053
+rect 10441 46915 126254 47019
+rect 8511 46697 8753 46915
+rect 8787 46697 9856 46915
+rect 9891 46697 126254 46915
+rect 8494 46663 126254 46697
+rect 8511 46445 8753 46663
+rect 8787 46445 9856 46663
+rect 9891 46445 126254 46663
+rect 8511 46303 8615 46411
+rect 8649 46337 8753 46445
+rect 8787 46307 9303 46411
+rect 9337 46341 9856 46445
+rect 9891 46307 10407 46411
+rect 10441 46341 126254 46445
+rect 10800 46307 126254 46341
+rect 8511 46153 8753 46303
+rect 8787 46153 9856 46307
+rect 9891 46153 126254 46307
+rect 8494 46119 126254 46153
+rect 8511 45969 8753 46119
+rect 8511 45861 8615 45969
+rect 8787 45965 9856 46119
+rect 9891 45965 126254 46119
+rect 8649 45827 8753 45935
+rect 8787 45861 9303 45965
+rect 9337 45827 9856 45931
+rect 9891 45861 10407 45965
+rect 10800 45931 126254 45965
+rect 10441 45827 126254 45931
+rect 8511 45609 8753 45827
+rect 8787 45609 9856 45827
+rect 9891 45609 126254 45827
+rect 8494 45575 126254 45609
+rect 8511 45357 8753 45575
+rect 8787 45357 9856 45575
+rect 9891 45357 126254 45575
+rect 8511 45215 8615 45323
+rect 8649 45249 8753 45357
+rect 8787 45219 9303 45323
+rect 9337 45253 9856 45357
+rect 9891 45219 10407 45323
+rect 10441 45253 126254 45357
+rect 10800 45219 126254 45253
+rect 8511 45065 8753 45215
+rect 8787 45065 9856 45219
+rect 9891 45065 126254 45219
+rect 8494 45031 126254 45065
+rect 8511 44881 8753 45031
+rect 8511 44773 8615 44881
+rect 8787 44877 9856 45031
+rect 9891 44877 126254 45031
+rect 8649 44739 8753 44847
+rect 8787 44773 9303 44877
+rect 9337 44739 9856 44843
+rect 9891 44773 10407 44877
+rect 10800 44843 126254 44877
+rect 10441 44739 126254 44843
+rect 8511 44521 8753 44739
+rect 8787 44521 9856 44739
+rect 9891 44521 126254 44739
+rect 8494 44487 126254 44521
+rect 8511 44269 8753 44487
+rect 8787 44269 9856 44487
+rect 9891 44269 126254 44487
+rect 8511 44127 8615 44235
+rect 8649 44161 8753 44269
+rect 8787 44131 9303 44235
+rect 9337 44165 9856 44269
+rect 9891 44131 10407 44235
+rect 10441 44165 126254 44269
+rect 10800 44131 126254 44165
+rect 8511 43977 8753 44127
+rect 8787 43977 9856 44131
+rect 9891 43977 126254 44131
+rect 8494 43943 126254 43977
+rect 8511 43793 8753 43943
+rect 8511 43685 8615 43793
+rect 8787 43789 9856 43943
+rect 9891 43789 126254 43943
+rect 8649 43651 8753 43759
+rect 8787 43685 9303 43789
+rect 9337 43651 9856 43755
+rect 9891 43685 10407 43789
+rect 10800 43755 126254 43789
+rect 10441 43651 126254 43755
+rect 8511 43433 8753 43651
+rect 8787 43433 9856 43651
+rect 9891 43433 126254 43651
+rect 8494 43399 126254 43433
+rect 8511 43181 8753 43399
+rect 8787 43181 9856 43399
+rect 9891 43181 126254 43399
+rect 8511 43039 8615 43147
+rect 8649 43073 8753 43181
+rect 8787 43043 9303 43147
+rect 9337 43077 9856 43181
+rect 9891 43043 10407 43147
+rect 10441 43077 126254 43181
+rect 10800 43043 126254 43077
+rect 8511 42889 8753 43039
+rect 8787 42889 9856 43043
+rect 9891 42889 126254 43043
+rect 8494 42855 126254 42889
+rect 8511 42705 8753 42855
+rect 8511 42597 8615 42705
+rect 8787 42701 9856 42855
+rect 9891 42701 126254 42855
+rect 8649 42563 8753 42671
+rect 8787 42597 9303 42701
+rect 9337 42563 9856 42667
+rect 9891 42597 10407 42701
+rect 10800 42667 126254 42701
+rect 10441 42563 126254 42667
+rect 8511 42345 8753 42563
+rect 8787 42345 9856 42563
+rect 9891 42345 126254 42563
+rect 8494 42311 126254 42345
+rect 8511 42093 8753 42311
+rect 8787 42093 9856 42311
+rect 9891 42093 126254 42311
+rect 8511 41951 8615 42059
+rect 8649 41985 8753 42093
+rect 8787 41955 9303 42059
+rect 9337 41989 9856 42093
+rect 9891 41955 10407 42059
+rect 10441 41989 126254 42093
+rect 10800 41955 126254 41989
+rect 8511 41801 8753 41951
+rect 8787 41801 9856 41955
+rect 9891 41801 126254 41955
+rect 8494 41767 126254 41801
+rect 8511 41617 8753 41767
+rect 8511 41509 8615 41617
+rect 8787 41613 9856 41767
+rect 9891 41613 126254 41767
+rect 8649 41475 8753 41583
+rect 8787 41509 9303 41613
+rect 9337 41475 9856 41579
+rect 9891 41509 10407 41613
+rect 10800 41579 126254 41613
+rect 10441 41475 126254 41579
+rect 8511 41257 8753 41475
+rect 8787 41257 9856 41475
+rect 9891 41257 126254 41475
+rect 8494 41223 126254 41257
+rect 8511 41005 8753 41223
+rect 8787 41005 9856 41223
+rect 9891 41005 126254 41223
+rect 8511 40863 8615 40971
+rect 8649 40897 8753 41005
+rect 8787 40867 9303 40971
+rect 9337 40901 9856 41005
+rect 9891 40867 10407 40971
+rect 10441 40901 126254 41005
+rect 10800 40867 126254 40901
+rect 8511 40713 8753 40863
+rect 8787 40713 9856 40867
+rect 9891 40713 126254 40867
+rect 8494 40679 126254 40713
+rect 8511 40529 8753 40679
+rect 8511 40421 8615 40529
+rect 8787 40525 9856 40679
+rect 9891 40525 126254 40679
+rect 8649 40387 8753 40495
+rect 8787 40421 9303 40525
+rect 9337 40387 9856 40491
+rect 9891 40421 10407 40525
+rect 10800 40491 126254 40525
+rect 10441 40387 126254 40491
+rect 8511 40169 8753 40387
+rect 8787 40169 9856 40387
+rect 9891 40169 126254 40387
+rect 8494 40135 126254 40169
+rect 8511 39917 8753 40135
+rect 8787 39917 9856 40135
+rect 9891 39917 126254 40135
+rect 8511 39775 8615 39883
+rect 8649 39809 8753 39917
+rect 8787 39779 9303 39883
+rect 9337 39813 9856 39917
+rect 9891 39779 10407 39883
+rect 10441 39813 126254 39917
+rect 10800 39779 126254 39813
+rect 8511 39625 8753 39775
+rect 8787 39625 9856 39779
+rect 9891 39625 126254 39779
+rect 8494 39591 126254 39625
+rect 8511 39441 8753 39591
+rect 8511 39333 8615 39441
+rect 8787 39437 9856 39591
+rect 9891 39437 126254 39591
+rect 8649 39299 8753 39407
+rect 8787 39333 9303 39437
+rect 9337 39299 9856 39403
+rect 9891 39333 10407 39437
+rect 10800 39403 126254 39437
+rect 10441 39299 126254 39403
+rect 8511 39081 8753 39299
+rect 8787 39081 9856 39299
+rect 9891 39081 126254 39299
+rect 8494 39047 126254 39081
+rect 8511 38829 8753 39047
+rect 8787 38829 9856 39047
+rect 9891 38829 126254 39047
+rect 8511 38687 8615 38795
+rect 8649 38721 8753 38829
+rect 8787 38691 9303 38795
+rect 9337 38725 9856 38829
+rect 9891 38691 10407 38795
+rect 10441 38725 126254 38829
+rect 10800 38691 126254 38725
+rect 8511 38537 8753 38687
+rect 8787 38537 9856 38691
+rect 9891 38537 126254 38691
+rect 8494 38503 126254 38537
+rect 8511 38353 8753 38503
+rect 8511 38245 8615 38353
+rect 8787 38349 9856 38503
+rect 9891 38349 126254 38503
+rect 8649 38211 8753 38319
+rect 8787 38245 9303 38349
+rect 9337 38211 9856 38315
+rect 9891 38245 10407 38349
+rect 10800 38315 126254 38349
+rect 10441 38211 126254 38315
+rect 8511 37993 8753 38211
+rect 8787 37993 9856 38211
+rect 9891 37993 126254 38211
+rect 8494 37959 126254 37993
+rect 8511 37741 8753 37959
+rect 8787 37741 9856 37959
+rect 9891 37741 126254 37959
+rect 8511 37599 8615 37707
+rect 8649 37633 8753 37741
+rect 8787 37603 9303 37707
+rect 9337 37637 9856 37741
+rect 9891 37603 10407 37707
+rect 10441 37637 126254 37741
+rect 10800 37603 126254 37637
+rect 8511 37449 8753 37599
+rect 8787 37449 9856 37603
+rect 9891 37449 126254 37603
+rect 8494 37415 126254 37449
+rect 8511 37265 8753 37415
+rect 8511 37157 8615 37265
+rect 8787 37261 9856 37415
+rect 9891 37261 126254 37415
+rect 8649 37123 8753 37231
+rect 8787 37157 9303 37261
+rect 9337 37123 9856 37227
+rect 9891 37157 10407 37261
+rect 10800 37227 126254 37261
+rect 10441 37123 126254 37227
+rect 8511 36905 8753 37123
+rect 8787 36905 9856 37123
+rect 9891 36905 126254 37123
+rect 8494 36871 126254 36905
+rect 8511 36653 8753 36871
+rect 8787 36653 9856 36871
+rect 9891 36653 126254 36871
+rect 8511 36511 8615 36619
+rect 8649 36545 8753 36653
+rect 8787 36515 9303 36619
+rect 9337 36549 9856 36653
+rect 9891 36515 10407 36619
+rect 10441 36549 126254 36653
+rect 10800 36515 126254 36549
+rect 8511 36361 8753 36511
+rect 8787 36361 9856 36515
+rect 9891 36361 126254 36515
+rect 8494 36327 126254 36361
+rect 8511 36177 8753 36327
+rect 8511 36069 8615 36177
+rect 8787 36173 9856 36327
+rect 9891 36173 126254 36327
+rect 8649 36035 8753 36143
+rect 8787 36069 9303 36173
+rect 9337 36035 9856 36139
+rect 9891 36069 10407 36173
+rect 10800 36139 126254 36173
+rect 10441 36035 126254 36139
+rect 8511 35817 8753 36035
+rect 8787 35817 9856 36035
+rect 9891 35817 126254 36035
+rect 8494 35783 126254 35817
+rect 8511 35565 8753 35783
+rect 8787 35565 9856 35783
+rect 9891 35565 126254 35783
+rect 8511 35423 8615 35531
+rect 8649 35457 8753 35565
+rect 8787 35427 9303 35531
+rect 9337 35461 9856 35565
+rect 9891 35427 10407 35531
+rect 10441 35461 126254 35565
+rect 10800 35427 126254 35461
+rect 8511 35273 8753 35423
+rect 8787 35273 9856 35427
+rect 9891 35273 126254 35427
+rect 8494 35239 126254 35273
+rect 8511 35089 8753 35239
+rect 8511 34981 8615 35089
+rect 8787 35085 9856 35239
+rect 9891 35085 126254 35239
+rect 8649 34947 8753 35055
+rect 8787 34981 9303 35085
+rect 9337 34947 9856 35051
+rect 9891 34981 10407 35085
+rect 10800 35051 126254 35085
+rect 10441 34947 126254 35051
+rect 8511 34729 8753 34947
+rect 8787 34729 9856 34947
+rect 9891 34729 126254 34947
+rect 8494 34695 126254 34729
+rect 8511 34477 8753 34695
+rect 8787 34477 9856 34695
+rect 9891 34477 126254 34695
+rect 8511 34335 8615 34443
+rect 8649 34369 8753 34477
+rect 8787 34339 9303 34443
+rect 9337 34373 9856 34477
+rect 9891 34339 10407 34443
+rect 10441 34373 126254 34477
+rect 10800 34339 126254 34373
+rect 8511 34185 8753 34335
+rect 8787 34185 9856 34339
+rect 9891 34185 126254 34339
+rect 8494 34151 126254 34185
+rect 8511 34001 8753 34151
+rect 8511 33893 8615 34001
+rect 8787 33997 9856 34151
+rect 9891 33997 126254 34151
+rect 8649 33859 8753 33967
+rect 8787 33893 9303 33997
+rect 9337 33859 9856 33963
+rect 9891 33893 10407 33997
+rect 10800 33963 126254 33997
+rect 10441 33859 126254 33963
+rect 8511 33641 8753 33859
+rect 8787 33641 9856 33859
+rect 9891 33641 126254 33859
+rect 8494 33607 126254 33641
+rect 8511 33389 8753 33607
+rect 8787 33389 9856 33607
+rect 9891 33389 126254 33607
+rect 8511 33247 8615 33355
+rect 8649 33281 8753 33389
+rect 8787 33251 9303 33355
+rect 9337 33285 9856 33389
+rect 9891 33251 10407 33355
+rect 10441 33285 126254 33389
+rect 10800 33251 126254 33285
+rect 8511 33097 8753 33247
+rect 8787 33097 9856 33251
+rect 9891 33097 126254 33251
+rect 8494 33063 126254 33097
+rect 8511 32913 8753 33063
+rect 8511 32805 8615 32913
+rect 8787 32909 9856 33063
+rect 9891 32909 126254 33063
+rect 8649 32771 8753 32879
+rect 8787 32805 9303 32909
+rect 9337 32771 9856 32875
+rect 9891 32805 10407 32909
+rect 10800 32875 126254 32909
+rect 10441 32771 126254 32875
+rect 8511 32553 8753 32771
+rect 8787 32553 9856 32771
+rect 9891 32553 126254 32771
+rect 8494 32519 126254 32553
+rect 8511 32301 8753 32519
+rect 8787 32301 9856 32519
+rect 9891 32301 126254 32519
+rect 8511 32159 8615 32267
+rect 8649 32193 8753 32301
+rect 8787 32163 9303 32267
+rect 9337 32197 9856 32301
+rect 9891 32163 10407 32267
+rect 10441 32197 126254 32301
+rect 10800 32163 126254 32197
+rect 8511 32009 8753 32159
+rect 8787 32009 9856 32163
+rect 9891 32009 126254 32163
+rect 8494 31975 126254 32009
+rect 8511 31825 8753 31975
+rect 8511 31717 8615 31825
+rect 8787 31821 9856 31975
+rect 9891 31821 126254 31975
+rect 8649 31683 8753 31791
+rect 8787 31717 9303 31821
+rect 9337 31683 9856 31787
+rect 9891 31717 10407 31821
+rect 10800 31787 126254 31821
+rect 10441 31683 126254 31787
+rect 8511 31465 8753 31683
+rect 8787 31465 9856 31683
+rect 9891 31465 126254 31683
+rect 8494 31431 126254 31465
+rect 8511 31213 8753 31431
+rect 8787 31213 9856 31431
+rect 9891 31213 126254 31431
+rect 8511 31071 8615 31179
+rect 8649 31105 8753 31213
+rect 8787 31075 9303 31179
+rect 9337 31109 9856 31213
+rect 9891 31075 10407 31179
+rect 10441 31109 126254 31213
+rect 10800 31075 126254 31109
+rect 8511 30921 8753 31071
+rect 8787 30921 9856 31075
+rect 9891 30921 126254 31075
+rect 8494 30887 126254 30921
+rect 8511 30737 8753 30887
+rect 8511 30629 8615 30737
+rect 8787 30733 9856 30887
+rect 9891 30733 126254 30887
+rect 8649 30595 8753 30703
+rect 8787 30629 9303 30733
+rect 9337 30595 9856 30699
+rect 9891 30629 10407 30733
+rect 10800 30699 126254 30733
+rect 10441 30595 126254 30699
+rect 8511 30377 8753 30595
+rect 8787 30377 9856 30595
+rect 9891 30377 126254 30595
+rect 8494 30343 126254 30377
+rect 8511 30125 8753 30343
+rect 8787 30125 9856 30343
+rect 9891 30125 126254 30343
+rect 8511 29983 8615 30091
+rect 8649 30017 8753 30125
+rect 8787 29987 9303 30091
+rect 9337 30021 9856 30125
+rect 9891 29987 10407 30091
+rect 10441 30021 126254 30125
+rect 10800 29987 126254 30021
+rect 8511 29833 8753 29983
+rect 8787 29833 9856 29987
+rect 9891 29833 126254 29987
+rect 8494 29799 126254 29833
+rect 8511 29649 8753 29799
+rect 8511 29541 8615 29649
+rect 8787 29645 9856 29799
+rect 9891 29645 126254 29799
+rect 8649 29507 8753 29615
+rect 8787 29541 9303 29645
+rect 9337 29507 9856 29611
+rect 9891 29541 10407 29645
+rect 10800 29611 126254 29645
+rect 10441 29507 126254 29611
+rect 8511 29289 8753 29507
+rect 8787 29289 9856 29507
+rect 9891 29289 126254 29507
+rect 8494 29255 126254 29289
+rect 8511 29037 8753 29255
+rect 8787 29037 9856 29255
+rect 9891 29037 126254 29255
+rect 8511 28895 8615 29003
+rect 8649 28929 8753 29037
+rect 8787 28899 9303 29003
+rect 9337 28933 9856 29037
+rect 9891 28899 10407 29003
+rect 10441 28933 126254 29037
+rect 10800 28899 126254 28933
+rect 8511 28745 8753 28895
+rect 8787 28745 9856 28899
+rect 9891 28745 126254 28899
+rect 8494 28711 126254 28745
+rect 8511 28561 8753 28711
+rect 8511 28453 8615 28561
+rect 8787 28557 9856 28711
+rect 9891 28557 126254 28711
+rect 8649 28419 8753 28527
+rect 8787 28453 9303 28557
+rect 9337 28419 9856 28523
+rect 9891 28453 10407 28557
+rect 10800 28523 126254 28557
+rect 10441 28419 126254 28523
+rect 8511 28201 8753 28419
+rect 8787 28201 9856 28419
+rect 9891 28201 126254 28419
+rect 8494 28167 126254 28201
+rect 8511 27949 8753 28167
+rect 8787 27949 9856 28167
+rect 9891 27949 126254 28167
+rect 8511 27807 8615 27915
+rect 8649 27841 8753 27949
+rect 8787 27811 9303 27915
+rect 9337 27845 9856 27949
+rect 9891 27811 10407 27915
+rect 10441 27845 126254 27949
+rect 10800 27811 126254 27845
+rect 8511 27657 8753 27807
+rect 8787 27657 9856 27811
+rect 9891 27657 126254 27811
+rect 8494 27623 126254 27657
+rect 8511 27473 8753 27623
+rect 8511 27365 8615 27473
+rect 8787 27469 9856 27623
+rect 9891 27469 126254 27623
+rect 8649 27331 8753 27439
+rect 8787 27365 9303 27469
+rect 9337 27331 9856 27435
+rect 9891 27365 10407 27469
+rect 10800 27435 126254 27469
+rect 10441 27331 126254 27435
+rect 8511 27113 8753 27331
+rect 8787 27113 9856 27331
+rect 9891 27113 126254 27331
+rect 8494 27079 126254 27113
+rect 8511 26861 8753 27079
+rect 8787 26861 9856 27079
+rect 9891 26861 126254 27079
+rect 8511 26719 8615 26827
+rect 8649 26753 8753 26861
+rect 8787 26723 9303 26827
+rect 9337 26757 9856 26861
+rect 9891 26723 10407 26827
+rect 10441 26757 126254 26861
+rect 10800 26723 126254 26757
+rect 8511 26569 8753 26719
+rect 8787 26569 9856 26723
+rect 9891 26569 126254 26723
+rect 8494 26535 126254 26569
+rect 8511 26385 8753 26535
+rect 8511 26277 8615 26385
+rect 8787 26381 9856 26535
+rect 9891 26381 126254 26535
+rect 8649 26243 8753 26351
+rect 8787 26277 9303 26381
+rect 9337 26243 9856 26347
+rect 9891 26277 10407 26381
+rect 10800 26347 126254 26381
+rect 10441 26243 126254 26347
+rect 8511 26025 8753 26243
+rect 8787 26025 9856 26243
+rect 9891 26025 126254 26243
+rect 8494 25991 126254 26025
+rect 8511 25773 8753 25991
+rect 8787 25773 9856 25991
+rect 9891 25773 126254 25991
+rect 8511 25631 8615 25739
+rect 8649 25665 8753 25773
+rect 8787 25635 9303 25739
+rect 9337 25669 9856 25773
+rect 9891 25635 10407 25739
+rect 10441 25669 126254 25773
+rect 10800 25635 126254 25669
+rect 8511 25481 8753 25631
+rect 8787 25481 9856 25635
+rect 9891 25481 126254 25635
+rect 8494 25447 126254 25481
+rect 8511 25297 8753 25447
+rect 8511 25189 8615 25297
+rect 8787 25293 9856 25447
+rect 9891 25293 126254 25447
+rect 8649 25155 8753 25263
+rect 8787 25189 9303 25293
+rect 9337 25155 9856 25259
+rect 9891 25189 10407 25293
+rect 10800 25259 126254 25293
+rect 10441 25155 126254 25259
+rect 8511 24937 8753 25155
+rect 8787 24937 9856 25155
+rect 9891 24937 126254 25155
+rect 8494 24903 126254 24937
+rect 8511 24685 8753 24903
+rect 8787 24685 9856 24903
+rect 9891 24685 126254 24903
+rect 8511 24543 8615 24651
+rect 8649 24577 8753 24685
+rect 8787 24547 9303 24651
+rect 9337 24581 9856 24685
+rect 9891 24547 10407 24651
+rect 10441 24581 126254 24685
+rect 10800 24547 126254 24581
+rect 8511 24393 8753 24543
+rect 8787 24393 9856 24547
+rect 9891 24393 126254 24547
+rect 8494 24359 126254 24393
+rect 8511 24209 8753 24359
+rect 8511 24101 8615 24209
+rect 8787 24205 9856 24359
+rect 9891 24205 126254 24359
+rect 8649 24067 8753 24175
+rect 8787 24101 9303 24205
+rect 9337 24067 9856 24171
+rect 9891 24101 10407 24205
+rect 10800 24171 126254 24205
+rect 10441 24067 126254 24171
+rect 8511 23849 8753 24067
+rect 8787 23849 9856 24067
+rect 9891 23849 126254 24067
+rect 8494 23815 126254 23849
+rect 8511 23597 8753 23815
+rect 8787 23597 9856 23815
+rect 9891 23597 126254 23815
+rect 8511 23455 8615 23563
+rect 8649 23489 8753 23597
+rect 8787 23459 9303 23563
+rect 9337 23493 9856 23597
+rect 9891 23459 10407 23563
+rect 10441 23493 126254 23597
+rect 10800 23459 126254 23493
+rect 8511 23305 8753 23455
+rect 8787 23305 9856 23459
+rect 9891 23305 126254 23459
+rect 8494 23271 126254 23305
+rect 8511 23121 8753 23271
+rect 8511 23013 8615 23121
+rect 8787 23117 9856 23271
+rect 9891 23117 126254 23271
+rect 8649 22979 8753 23087
+rect 8787 23013 9303 23117
+rect 9337 22979 9856 23083
+rect 9891 23013 10407 23117
+rect 10800 23083 126254 23117
+rect 10441 22979 126254 23083
+rect 8511 22761 8753 22979
+rect 8787 22761 9856 22979
+rect 9891 22761 126254 22979
+rect 8494 22727 126254 22761
+rect 8511 22509 8753 22727
+rect 8787 22509 9856 22727
+rect 9891 22509 126254 22727
+rect 8511 22367 8615 22475
+rect 8649 22401 8753 22509
+rect 8787 22371 9303 22475
+rect 9337 22405 9856 22509
+rect 9891 22371 10407 22475
+rect 10441 22405 126254 22509
+rect 10800 22371 126254 22405
+rect 8511 22217 8753 22367
+rect 8787 22217 9856 22371
+rect 9891 22217 126254 22371
+rect 8494 22183 126254 22217
+rect 8511 22033 8753 22183
+rect 8511 21925 8615 22033
+rect 8787 22029 9856 22183
+rect 9891 22029 126254 22183
+rect 8649 21891 8753 21999
+rect 8787 21925 9303 22029
+rect 9337 21891 9856 21995
+rect 9891 21925 10407 22029
+rect 10800 21995 126254 22029
+rect 10441 21891 126254 21995
+rect 8511 21673 8753 21891
+rect 8787 21673 9856 21891
+rect 9891 21673 126254 21891
+rect 8494 21639 126254 21673
+rect 8511 21421 8753 21639
+rect 8787 21421 9856 21639
+rect 9891 21421 126254 21639
+rect 8511 21279 8615 21387
+rect 8649 21313 8753 21421
+rect 8787 21283 9303 21387
+rect 9337 21317 9856 21421
+rect 9891 21283 10407 21387
+rect 10441 21317 126254 21421
+rect 10800 21283 126254 21317
+rect 8511 21129 8753 21279
+rect 8787 21129 9856 21283
+rect 9891 21129 126254 21283
+rect 8494 21095 126254 21129
+rect 8511 20945 8753 21095
+rect 8511 20837 8615 20945
+rect 8787 20941 9856 21095
+rect 9891 20941 126254 21095
+rect 8649 20803 8753 20911
+rect 8787 20837 9303 20941
+rect 9337 20803 9856 20907
+rect 9891 20837 10407 20941
+rect 10800 20907 126254 20941
+rect 10441 20803 126254 20907
+rect 8511 20585 8753 20803
+rect 8787 20585 9856 20803
+rect 9891 20585 126254 20803
+rect 8494 20551 126254 20585
+rect 8511 20333 8753 20551
+rect 8787 20333 9856 20551
+rect 9891 20333 126254 20551
+rect 8511 20191 8615 20299
+rect 8649 20225 8753 20333
+rect 8787 20195 9303 20299
+rect 9337 20229 9856 20333
+rect 9891 20195 10407 20299
+rect 10441 20229 126254 20333
+rect 10800 20195 126254 20229
+rect 8511 20041 8753 20191
+rect 8787 20041 9856 20195
+rect 9891 20041 126254 20195
+rect 8494 20007 126254 20041
+rect 8511 19857 8753 20007
+rect 8511 19749 8615 19857
+rect 8787 19853 9856 20007
+rect 9891 19853 126254 20007
+rect 8649 19715 8753 19823
+rect 8787 19749 9303 19853
+rect 9337 19715 9856 19819
+rect 9891 19749 10407 19853
+rect 10800 19819 126254 19853
+rect 10441 19715 126254 19819
+rect 8511 19497 8753 19715
+rect 8787 19497 9856 19715
+rect 9891 19497 126254 19715
+rect 8494 19463 126254 19497
+rect 8511 19245 8753 19463
+rect 8787 19245 9856 19463
+rect 9891 19245 126254 19463
+rect 8511 19103 8615 19211
+rect 8649 19137 8753 19245
+rect 8787 19107 9303 19211
+rect 9337 19141 9856 19245
+rect 9891 19107 10407 19211
+rect 10441 19141 126254 19245
+rect 10800 19107 126254 19141
+rect 8511 18953 8753 19103
+rect 8787 18953 9856 19107
+rect 9891 18953 126254 19107
+rect 8494 18919 126254 18953
+rect 8511 18769 8753 18919
+rect 8511 18661 8615 18769
+rect 8787 18765 9856 18919
+rect 9891 18765 126254 18919
+rect 8649 18627 8753 18735
+rect 8787 18661 9303 18765
+rect 9337 18627 9856 18731
+rect 9891 18661 10407 18765
+rect 10800 18731 126254 18765
+rect 10441 18627 126254 18731
+rect 8511 18409 8753 18627
+rect 8787 18409 9856 18627
+rect 9891 18409 126254 18627
+rect 8494 18375 126254 18409
+rect 8511 18157 8753 18375
+rect 8787 18157 9856 18375
+rect 9891 18157 126254 18375
+rect 8511 18015 8615 18123
+rect 8649 18049 8753 18157
+rect 8787 18019 9303 18123
+rect 9337 18053 9856 18157
+rect 9891 18019 10407 18123
+rect 10441 18053 126254 18157
+rect 10800 18019 126254 18053
+rect 8511 17865 8753 18015
+rect 8787 17865 9856 18019
+rect 9891 17865 126254 18019
+rect 8494 17831 126254 17865
+rect 8511 17681 8753 17831
+rect 8511 17573 8615 17681
+rect 8787 17677 9856 17831
+rect 9891 17677 126254 17831
+rect 8649 17539 8753 17647
+rect 8787 17573 9303 17677
+rect 9337 17539 9856 17643
+rect 9891 17573 10407 17677
+rect 10800 17643 126254 17677
+rect 10441 17539 126254 17643
+rect 8511 17321 8753 17539
+rect 8787 17321 9856 17539
+rect 9891 17321 126254 17539
+rect 8494 17287 126254 17321
+rect 8511 17069 8753 17287
+rect 8787 17069 9856 17287
+rect 9891 17069 126254 17287
+rect 8511 16927 8615 17035
+rect 8649 16961 8753 17069
+rect 8787 16931 9303 17035
+rect 9337 16965 9856 17069
+rect 9891 16931 10407 17035
+rect 10441 16965 126254 17069
+rect 10800 16931 126254 16965
+rect 8511 16777 8753 16927
+rect 8787 16777 9856 16931
+rect 9891 16777 126254 16931
+rect 8494 16743 126254 16777
+rect 8511 16593 8753 16743
+rect 8511 16485 8615 16593
+rect 8787 16589 9856 16743
+rect 9891 16589 126254 16743
+rect 8649 16451 8753 16559
+rect 8787 16485 9303 16589
+rect 9337 16451 9856 16555
+rect 9891 16485 10407 16589
+rect 10800 16555 126254 16589
+rect 10441 16451 126254 16555
+rect 8511 16233 8753 16451
+rect 8787 16233 9856 16451
+rect 9891 16233 126254 16451
+rect 8494 16199 126254 16233
+rect 8511 15981 8753 16199
+rect 8787 15981 9856 16199
+rect 9891 15981 126254 16199
+rect 8511 15839 8615 15947
+rect 8649 15873 8753 15981
+rect 8787 15843 9303 15947
+rect 9337 15877 9856 15981
+rect 9891 15843 10407 15947
+rect 10441 15877 126254 15981
+rect 10800 15843 126254 15877
+rect 8511 15689 8753 15839
+rect 8787 15689 9856 15843
+rect 9891 15689 126254 15843
+rect 8494 15655 126254 15689
+rect 8511 15505 8753 15655
+rect 8511 15397 8615 15505
+rect 8787 15501 9856 15655
+rect 9891 15501 126254 15655
+rect 8649 15363 8753 15471
+rect 8787 15397 9303 15501
+rect 9337 15363 9856 15467
+rect 9891 15397 10407 15501
+rect 10800 15467 126254 15501
+rect 10441 15363 126254 15467
+rect 8511 15145 8753 15363
+rect 8787 15145 9856 15363
+rect 9891 15145 126254 15363
+rect 8494 15111 126254 15145
+rect 8511 14893 8753 15111
+rect 8787 14893 9856 15111
+rect 9891 14893 126254 15111
+rect 8511 14751 8615 14859
+rect 8649 14785 8753 14893
+rect 8787 14755 9303 14859
+rect 9337 14789 9856 14893
+rect 9891 14755 10407 14859
+rect 10441 14789 126254 14893
+rect 10800 14755 126254 14789
+rect 8511 14601 8753 14751
+rect 8787 14601 9856 14755
+rect 9891 14601 126254 14755
+rect 8494 14567 126254 14601
+rect 8511 14417 8753 14567
+rect 8511 14309 8615 14417
+rect 8787 14413 9856 14567
+rect 9891 14413 126254 14567
+rect 8649 14275 8753 14383
+rect 8787 14309 9303 14413
+rect 9337 14275 9856 14379
+rect 9891 14309 10407 14413
+rect 10800 14379 126254 14413
+rect 10441 14275 126254 14379
+rect 8511 14057 8753 14275
+rect 8787 14057 9856 14275
+rect 9891 14057 126254 14275
+rect 8494 14023 126254 14057
+rect 8511 13805 8753 14023
+rect 8787 13805 9856 14023
+rect 9891 13805 126254 14023
+rect 8511 13663 8615 13771
+rect 8649 13697 8753 13805
+rect 8787 13667 9303 13771
+rect 9337 13701 9856 13805
+rect 9891 13667 10407 13771
+rect 10441 13701 126254 13805
+rect 10800 13667 126254 13701
+rect 8511 13513 8753 13663
+rect 8787 13513 9856 13667
+rect 9891 13513 126254 13667
+rect 8494 13479 126254 13513
+rect 8511 13329 8753 13479
+rect 8511 13221 8615 13329
+rect 8787 13325 9856 13479
+rect 9891 13325 126254 13479
+rect 8649 13187 8753 13295
+rect 8787 13221 9303 13325
+rect 9337 13187 9856 13291
+rect 9891 13221 10407 13325
+rect 10800 13291 126254 13325
+rect 10441 13187 126254 13291
+rect 8511 12969 8753 13187
+rect 8787 12969 9856 13187
+rect 9891 12969 126254 13187
+rect 8494 12935 126254 12969
+rect 8511 12717 8753 12935
+rect 8787 12717 9856 12935
+rect 9891 12717 126254 12935
+rect 8511 12575 8615 12683
+rect 8649 12609 8753 12717
+rect 8787 12579 9303 12683
+rect 9337 12613 9856 12717
+rect 9891 12579 10407 12683
+rect 10441 12613 126254 12717
+rect 10800 12579 126254 12613
+rect 8511 12425 8753 12575
+rect 8787 12425 9856 12579
+rect 9891 12425 126254 12579
+rect 8494 12391 126254 12425
+rect 8511 12241 8753 12391
+rect 8511 12133 8615 12241
+rect 8787 12237 9856 12391
+rect 9891 12237 126254 12391
+rect 8649 12099 8753 12207
+rect 8787 12133 9303 12237
+rect 9337 12099 9856 12203
+rect 9891 12133 10407 12237
+rect 10800 12203 126254 12237
+rect 10441 12099 126254 12203
+rect 8511 11881 8753 12099
+rect 8787 11881 9856 12099
+rect 9891 11881 126254 12099
+rect 8494 11847 126254 11881
+rect 8511 11629 8753 11847
+rect 8787 11629 9856 11847
+rect 9891 11629 126254 11847
+rect 8511 11487 8615 11595
+rect 8649 11521 8753 11629
+rect 8787 11491 9303 11595
+rect 9337 11525 9856 11629
+rect 9891 11491 10407 11595
+rect 10441 11525 126254 11629
+rect 10800 11491 126254 11525
+rect 8511 11337 8753 11487
+rect 8787 11337 9856 11491
+rect 9891 11337 126254 11491
+rect 8494 11303 126254 11337
+rect 8511 11153 8753 11303
+rect 8511 11045 8615 11153
+rect 8787 11149 9856 11303
+rect 9891 11149 126254 11303
+rect 8649 11011 8753 11119
+rect 8787 11045 9303 11149
+rect 9337 11011 9856 11115
+rect 9891 11045 10407 11149
+rect 10800 11115 126254 11149
+rect 10441 11011 126254 11115
+rect 8511 10793 8753 11011
+rect 8787 10793 9856 11011
+rect 9891 10800 126254 11011
+rect 9891 10793 10960 10800
+rect 10995 10793 11329 10800
+rect 11363 10793 11421 10800
+rect 11455 10793 12524 10800
+rect 12559 10793 13628 10800
+rect 13663 10793 14732 10800
+rect 14767 10793 15836 10800
+rect 15971 10793 16017 10800
+rect 16151 10793 16193 10800
+rect 16239 10793 16941 10800
+rect 16975 10793 17033 10800
+rect 17245 10793 17311 10800
+rect 17430 10793 17483 10800
+rect 17864 10793 17898 10800
+rect 18240 10793 18283 10800
+rect 18467 10793 18503 10800
+rect 18642 10793 18676 10800
+rect 18810 10793 18844 10800
+rect 18907 10793 19976 10800
+rect 20189 10793 20255 10800
+rect 20374 10793 20427 10800
+rect 20808 10793 20842 10800
+rect 21184 10793 21227 10800
+rect 21411 10793 21447 10800
+rect 21586 10793 21620 10800
+rect 21754 10793 21788 10800
+rect 21851 10793 22553 10800
+rect 22587 10793 22645 10800
+rect 22765 10793 22831 10800
+rect 22950 10793 23003 10800
+rect 23384 10793 23418 10800
+rect 23760 10793 23803 10800
+rect 23987 10793 24023 10800
+rect 24162 10793 24196 10800
+rect 24330 10793 24364 10800
+rect 24427 10793 25496 10800
+rect 25531 10793 25865 10800
+rect 26085 10793 26135 10800
+rect 26253 10793 26303 10800
+rect 26609 10793 26659 10800
+rect 26945 10793 26995 10800
+rect 27113 10793 27163 10800
+rect 27281 10793 27331 10800
+rect 27463 10793 28165 10800
+rect 28199 10793 28257 10800
+rect 28377 10793 28443 10800
+rect 28562 10793 28615 10800
+rect 28996 10793 29030 10800
+rect 29372 10793 29415 10800
+rect 29599 10793 29635 10800
+rect 29774 10793 29808 10800
+rect 29942 10793 29976 10800
+rect 30039 10793 30741 10800
+rect 30861 10793 30927 10800
+rect 31046 10793 31099 10800
+rect 31480 10793 31514 10800
+rect 31856 10793 31899 10800
+rect 32083 10793 32119 10800
+rect 32258 10793 32292 10800
+rect 32426 10793 32460 10800
+rect 32523 10793 33592 10800
+rect 33811 10793 33869 10800
+rect 34085 10793 34151 10800
+rect 34253 10793 34303 10800
+rect 34823 10793 35525 10800
+rect 35645 10793 35711 10800
+rect 35830 10793 35883 10800
+rect 36264 10793 36298 10800
+rect 36640 10793 36683 10800
+rect 36867 10793 36903 10800
+rect 37042 10793 37076 10800
+rect 37210 10793 37244 10800
+rect 37307 10793 38009 10800
+rect 38238 10793 38272 10800
+rect 38414 10793 38448 10800
+rect 38582 10793 38616 10800
+rect 38687 10793 39389 10800
+rect 39423 10793 39481 10800
+rect 39515 10793 39849 10800
+rect 40061 10793 40127 10800
+rect 40246 10793 40299 10800
+rect 40680 10793 40714 10800
+rect 41056 10793 41099 10800
+rect 41283 10793 41319 10800
+rect 41458 10793 41492 10800
+rect 41626 10793 41660 10800
+rect 41723 10793 42425 10800
+rect 42553 10793 42603 10800
+rect 42721 10793 42771 10800
+rect 43077 10793 43127 10800
+rect 43413 10793 43463 10800
+rect 43581 10793 43631 10800
+rect 43749 10793 43799 10800
+rect 43931 10793 45000 10800
+rect 45035 10793 45093 10800
+rect 45134 10793 45191 10800
+rect 45306 10793 45372 10800
+rect 45836 10793 45892 10800
+rect 46002 10793 46068 10800
+rect 46174 10793 46240 10800
+rect 46323 10793 47025 10800
+rect 47067 10793 47113 10800
+rect 47247 10793 47289 10800
+rect 47335 10793 48037 10800
+rect 48165 10793 48215 10800
+rect 48333 10793 48383 10800
+rect 48689 10793 48739 10800
+rect 49025 10793 49075 10800
+rect 49193 10793 49243 10800
+rect 49361 10793 49411 10800
+rect 49543 10793 50612 10800
+rect 50647 10793 50705 10800
+rect 50833 10793 50883 10800
+rect 51001 10793 51051 10800
+rect 51357 10793 51407 10800
+rect 51693 10793 51743 10800
+rect 51861 10793 51911 10800
+rect 52029 10793 52079 10800
+rect 52211 10793 52913 10800
+rect 53042 10793 53108 10800
+rect 53228 10793 53280 10800
+rect 53315 10793 54017 10800
+rect 54145 10793 54195 10800
+rect 54313 10793 54363 10800
+rect 54669 10793 54719 10800
+rect 55005 10793 55055 10800
+rect 55173 10793 55223 10800
+rect 55341 10793 55391 10800
+rect 55523 10793 56225 10800
+rect 56259 10793 56317 10800
+rect 56351 10793 56869 10800
+rect 56997 10793 57047 10800
+rect 57165 10793 57215 10800
+rect 57521 10793 57571 10800
+rect 57857 10793 57907 10800
+rect 58025 10793 58075 10800
+rect 58193 10793 58243 10800
+rect 58375 10793 59444 10800
+rect 59757 10793 59807 10800
+rect 59925 10793 59975 10800
+rect 60281 10793 60331 10800
+rect 60617 10793 60667 10800
+rect 60785 10793 60835 10800
+rect 60953 10793 61003 10800
+rect 61135 10793 61837 10800
+rect 61871 10793 61929 10800
+rect 62057 10793 62107 10800
+rect 62225 10793 62275 10800
+rect 62581 10793 62631 10800
+rect 62917 10793 62967 10800
+rect 63085 10793 63135 10800
+rect 63253 10793 63303 10800
+rect 63435 10793 64504 10800
+rect 64539 10793 64873 10800
+rect 65093 10793 65143 10800
+rect 65261 10793 65311 10800
+rect 65617 10793 65667 10800
+rect 65953 10793 66003 10800
+rect 66121 10793 66171 10800
+rect 66289 10793 66339 10800
+rect 66471 10793 67173 10800
+rect 67207 10793 67449 10800
+rect 67483 10793 67541 10800
+rect 67583 10793 67629 10800
+rect 67763 10793 67805 10800
+rect 67851 10793 68553 10800
+rect 68773 10793 68823 10800
+rect 68941 10793 68991 10800
+rect 69297 10793 69347 10800
+rect 69633 10793 69683 10800
+rect 69801 10793 69851 10800
+rect 69969 10793 70019 10800
+rect 70151 10793 70853 10800
+rect 70981 10793 71031 10800
+rect 71149 10793 71199 10800
+rect 71505 10793 71555 10800
+rect 71841 10793 71891 10800
+rect 72009 10793 72059 10800
+rect 72177 10793 72227 10800
+rect 72359 10793 73061 10800
+rect 73095 10793 73153 10800
+rect 73277 10793 73343 10800
+rect 73445 10793 73495 10800
+rect 74015 10793 74717 10800
+rect 74751 10793 74993 10800
+rect 75121 10793 75171 10800
+rect 75289 10793 75339 10800
+rect 75645 10793 75695 10800
+rect 75981 10793 76031 10800
+rect 76149 10793 76199 10800
+rect 76317 10793 76367 10800
+rect 76499 10793 77568 10800
+rect 77603 10793 78672 10800
+rect 78707 10793 78765 10800
+rect 78799 10793 79868 10800
+rect 79903 10793 80972 10800
+rect 81007 10793 82076 10800
+rect 82111 10793 83180 10800
+rect 83215 10793 84284 10800
+rect 84319 10793 84377 10800
+rect 84411 10793 85480 10800
+rect 85515 10793 86584 10800
+rect 86619 10793 87688 10800
+rect 87723 10793 88792 10800
+rect 88827 10793 89896 10800
+rect 89931 10793 89989 10800
+rect 90023 10793 91092 10800
+rect 91127 10793 92196 10800
+rect 92231 10793 93300 10800
+rect 93335 10793 94404 10800
+rect 94439 10793 95508 10800
+rect 95543 10793 95601 10800
+rect 95635 10793 96704 10800
+rect 96739 10793 97808 10800
+rect 97843 10793 98912 10800
+rect 98947 10793 100016 10800
+rect 100051 10793 101120 10800
+rect 101155 10793 101213 10800
+rect 101247 10793 102316 10800
+rect 102351 10793 103420 10800
+rect 103455 10793 104524 10800
+rect 104559 10793 105628 10800
+rect 105663 10793 106732 10800
+rect 106767 10793 106825 10800
+rect 106859 10793 107928 10800
+rect 107963 10793 109032 10800
+rect 109067 10793 110136 10800
+rect 110171 10793 111240 10800
+rect 111275 10793 112344 10800
+rect 112379 10793 112437 10800
+rect 112471 10793 113540 10800
+rect 113575 10793 114644 10800
+rect 114679 10793 115748 10800
+rect 115783 10793 116852 10800
+rect 116887 10793 117956 10800
+rect 117991 10793 118049 10800
+rect 118083 10793 119152 10800
+rect 119187 10793 120256 10800
+rect 120291 10793 121360 10800
+rect 121395 10793 122464 10800
+rect 122499 10793 123568 10800
+rect 123603 10793 123661 10800
+rect 123695 10793 124764 10800
+rect 124799 10793 125868 10800
+rect 125995 10793 126237 10800
+rect 8494 10759 126254 10793
+rect 8511 10541 8753 10759
+rect 8787 10541 9856 10759
+rect 9891 10541 10960 10759
+rect 10995 10541 12064 10759
+rect 12099 10541 13168 10759
+rect 13203 10541 13905 10759
+rect 8511 10399 8615 10507
+rect 8649 10433 8753 10541
+rect 8787 10403 9303 10507
+rect 9337 10437 9856 10541
+rect 9891 10403 10407 10507
+rect 10441 10437 10960 10541
+rect 10995 10403 11511 10507
+rect 11545 10437 12064 10541
+rect 12099 10403 12615 10507
+rect 12649 10437 13168 10541
+rect 13203 10403 13533 10507
+rect 13567 10437 13905 10541
+rect 14123 10526 14181 10759
+rect 14215 10541 15284 10759
+rect 14215 10403 14731 10507
+rect 14765 10437 15284 10541
+rect 15511 10531 15557 10759
+rect 15591 10529 15657 10725
+rect 15691 10531 15733 10759
+rect 15779 10541 16481 10759
+rect 16533 10625 16567 10725
+rect 16601 10659 16667 10759
+rect 16533 10591 16666 10625
+rect 15507 10447 15573 10497
+rect 8511 10249 8753 10399
+rect 8787 10249 9856 10403
+rect 9891 10249 10960 10403
+rect 10995 10249 12064 10403
+rect 12099 10249 13168 10403
+rect 13203 10249 13905 10403
+rect 14123 10249 14181 10394
+rect 14215 10249 15284 10403
+rect 15511 10249 15557 10413
+rect 15607 10409 15657 10529
+rect 15591 10283 15657 10409
+rect 15691 10249 15733 10413
+rect 15779 10403 16109 10507
+rect 16143 10437 16481 10541
+rect 16516 10427 16586 10557
+rect 15779 10249 16481 10403
+rect 16620 10393 16666 10591
+rect 16533 10359 16666 10393
+rect 16533 10301 16567 10359
+rect 16601 10249 16667 10325
+rect 16701 10301 16746 10725
+rect 16786 10667 16839 10759
+rect 16876 10645 16923 10720
+rect 16972 10670 17186 10704
+rect 16786 10445 16842 10565
+rect 16789 10249 16839 10341
+rect 16876 10339 16910 10645
+rect 16944 10439 16992 10613
+rect 17030 10563 17118 10634
+rect 17152 10547 17186 10670
+rect 17220 10599 17254 10759
+rect 17288 10659 17338 10725
+rect 17383 10665 17560 10699
+rect 17152 10529 17254 10547
+rect 17094 10495 17254 10529
+rect 16944 10373 17060 10439
+rect 17094 10339 17128 10495
+rect 17220 10481 17254 10495
+rect 17162 10445 17196 10451
+rect 17288 10445 17322 10659
+rect 17356 10481 17394 10625
+rect 17428 10547 17492 10613
+rect 17162 10385 17322 10445
+rect 17428 10439 17466 10547
+rect 16876 10305 16942 10339
+rect 16978 10305 17128 10339
+rect 17178 10249 17252 10349
+rect 17288 10339 17322 10385
+rect 17356 10373 17466 10439
+rect 17526 10481 17560 10665
+rect 17596 10659 17639 10759
+rect 17732 10598 17766 10723
+rect 17823 10603 17859 10759
+rect 17594 10566 17766 10598
+rect 17594 10532 17816 10566
+rect 17782 10481 17816 10532
+rect 17895 10559 17962 10711
+rect 17998 10593 18032 10759
+rect 18066 10559 18132 10711
+rect 18166 10593 18200 10759
+rect 17895 10525 18229 10559
+rect 18263 10541 19332 10759
+rect 19367 10541 19701 10759
+rect 17526 10447 17744 10481
+rect 17782 10447 18146 10481
+rect 17526 10339 17560 10447
+rect 17782 10413 17816 10447
+rect 17716 10379 17816 10413
+rect 18180 10412 18229 10525
+rect 17288 10305 17380 10339
+rect 17426 10305 17560 10339
+rect 17623 10249 17657 10355
+rect 17716 10291 17788 10379
+rect 17895 10378 18229 10412
+rect 18263 10403 18779 10507
+rect 18813 10437 19332 10541
+rect 19367 10403 19517 10507
+rect 19551 10437 19701 10541
+rect 19735 10526 19793 10759
+rect 19845 10625 19879 10725
+rect 19913 10659 19979 10759
+rect 19845 10591 19978 10625
+rect 19828 10427 19898 10557
+rect 17823 10249 17857 10345
+rect 17895 10293 17962 10378
+rect 17997 10249 18031 10344
+rect 18066 10293 18133 10378
+rect 18167 10249 18201 10344
+rect 18263 10249 19332 10403
+rect 19367 10249 19701 10403
+rect 19735 10249 19793 10394
+rect 19932 10393 19978 10591
+rect 19845 10359 19978 10393
+rect 19845 10301 19879 10359
+rect 19913 10249 19979 10325
+rect 20013 10301 20058 10725
+rect 20098 10667 20151 10759
+rect 20188 10645 20235 10720
+rect 20284 10670 20498 10704
+rect 20098 10445 20154 10565
+rect 20101 10249 20151 10341
+rect 20188 10339 20222 10645
+rect 20256 10439 20304 10613
+rect 20342 10563 20430 10634
+rect 20464 10547 20498 10670
+rect 20532 10599 20566 10759
+rect 20600 10659 20650 10725
+rect 20695 10665 20872 10699
+rect 20464 10529 20566 10547
+rect 20406 10495 20566 10529
+rect 20256 10373 20372 10439
+rect 20406 10339 20440 10495
+rect 20532 10481 20566 10495
+rect 20474 10445 20508 10451
+rect 20600 10445 20634 10659
+rect 20668 10481 20706 10625
+rect 20740 10547 20804 10613
+rect 20474 10385 20634 10445
+rect 20740 10439 20778 10547
+rect 20188 10305 20254 10339
+rect 20290 10305 20440 10339
+rect 20490 10249 20564 10349
+rect 20600 10339 20634 10385
+rect 20668 10373 20778 10439
+rect 20838 10481 20872 10665
+rect 20908 10659 20951 10759
+rect 21044 10598 21078 10723
+rect 21135 10603 21171 10759
+rect 20906 10566 21078 10598
+rect 20906 10532 21128 10566
+rect 21094 10481 21128 10532
+rect 21207 10559 21274 10711
+rect 21310 10593 21344 10759
+rect 21378 10559 21444 10711
+rect 21478 10593 21512 10759
+rect 21207 10525 21541 10559
+rect 21575 10541 22277 10759
+rect 22329 10625 22363 10725
+rect 22397 10659 22463 10759
+rect 22329 10591 22462 10625
+rect 20838 10447 21056 10481
+rect 21094 10447 21458 10481
+rect 20838 10339 20872 10447
+rect 21094 10413 21128 10447
+rect 21028 10379 21128 10413
+rect 21492 10412 21541 10525
+rect 20600 10305 20692 10339
+rect 20738 10305 20872 10339
+rect 20935 10249 20969 10355
+rect 21028 10291 21100 10379
+rect 21207 10378 21541 10412
+rect 21575 10403 21905 10507
+rect 21939 10437 22277 10541
+rect 22312 10427 22382 10557
+rect 21135 10249 21169 10345
+rect 21207 10293 21274 10378
+rect 21309 10249 21343 10344
+rect 21378 10293 21445 10378
+rect 21479 10249 21513 10344
+rect 21575 10249 22277 10403
+rect 22416 10393 22462 10591
+rect 22329 10359 22462 10393
+rect 22329 10301 22363 10359
+rect 22397 10249 22463 10325
+rect 22497 10301 22542 10725
+rect 22582 10667 22635 10759
+rect 22672 10645 22719 10720
+rect 22768 10670 22982 10704
+rect 22582 10445 22638 10565
+rect 22585 10249 22635 10341
+rect 22672 10339 22706 10645
+rect 22740 10439 22788 10613
+rect 22826 10563 22914 10634
+rect 22948 10547 22982 10670
+rect 23016 10599 23050 10759
+rect 23084 10659 23134 10725
+rect 23179 10665 23356 10699
+rect 22948 10529 23050 10547
+rect 22890 10495 23050 10529
+rect 22740 10373 22856 10439
+rect 22890 10339 22924 10495
+rect 23016 10481 23050 10495
+rect 22958 10445 22992 10451
+rect 23084 10445 23118 10659
+rect 23152 10481 23190 10625
+rect 23224 10547 23288 10613
+rect 22958 10385 23118 10445
+rect 23224 10439 23262 10547
+rect 22672 10305 22738 10339
+rect 22774 10305 22924 10339
+rect 22974 10249 23048 10349
+rect 23084 10339 23118 10385
+rect 23152 10373 23262 10439
+rect 23322 10481 23356 10665
+rect 23392 10659 23435 10759
+rect 23528 10598 23562 10723
+rect 23619 10603 23655 10759
+rect 23390 10566 23562 10598
+rect 23390 10532 23612 10566
+rect 23578 10481 23612 10532
+rect 23691 10559 23758 10711
+rect 23794 10593 23828 10759
+rect 23862 10559 23928 10711
+rect 23962 10593 23996 10759
+rect 23691 10525 24025 10559
+rect 24059 10541 25128 10759
+rect 23322 10447 23540 10481
+rect 23578 10447 23942 10481
+rect 23322 10339 23356 10447
+rect 23578 10413 23612 10447
+rect 23512 10379 23612 10413
+rect 23976 10412 24025 10525
+rect 23084 10305 23176 10339
+rect 23222 10305 23356 10339
+rect 23419 10249 23453 10355
+rect 23512 10291 23584 10379
+rect 23691 10378 24025 10412
+rect 24059 10403 24575 10507
+rect 24609 10437 25128 10541
+rect 25347 10526 25405 10759
+rect 25457 10625 25491 10725
+rect 25525 10659 25591 10759
+rect 25457 10591 25590 10625
+rect 25440 10427 25510 10557
+rect 23619 10249 23653 10345
+rect 23691 10293 23758 10378
+rect 23793 10249 23827 10344
+rect 23862 10293 23929 10378
+rect 23963 10249 23997 10344
+rect 24059 10249 25128 10403
+rect 25347 10249 25405 10394
+rect 25544 10393 25590 10591
+rect 25457 10359 25590 10393
+rect 25457 10301 25491 10359
+rect 25525 10249 25591 10325
+rect 25625 10301 25670 10725
+rect 25710 10667 25763 10759
+rect 25800 10645 25847 10720
+rect 25896 10670 26110 10704
+rect 25710 10445 25766 10565
+rect 25713 10249 25763 10341
+rect 25800 10339 25834 10645
+rect 25868 10439 25916 10613
+rect 25954 10563 26042 10634
+rect 26076 10547 26110 10670
+rect 26144 10599 26178 10759
+rect 26212 10659 26262 10725
+rect 26307 10665 26484 10699
+rect 26076 10529 26178 10547
+rect 26018 10495 26178 10529
+rect 25868 10373 25984 10439
+rect 26018 10339 26052 10495
+rect 26144 10481 26178 10495
+rect 26086 10445 26120 10451
+rect 26212 10445 26246 10659
+rect 26280 10481 26318 10625
+rect 26352 10547 26416 10613
+rect 26086 10385 26246 10445
+rect 26352 10439 26390 10547
+rect 25800 10305 25866 10339
+rect 25902 10305 26052 10339
+rect 26102 10249 26176 10349
+rect 26212 10339 26246 10385
+rect 26280 10373 26390 10439
+rect 26450 10481 26484 10665
+rect 26520 10659 26563 10759
+rect 26656 10598 26690 10723
+rect 26747 10603 26783 10759
+rect 26518 10566 26690 10598
+rect 26518 10532 26740 10566
+rect 26706 10481 26740 10532
+rect 26819 10559 26886 10711
+rect 26922 10593 26956 10759
+rect 26990 10559 27056 10711
+rect 27090 10593 27124 10759
+rect 26819 10525 27153 10559
+rect 27187 10541 27889 10759
+rect 27941 10625 27975 10725
+rect 28009 10659 28075 10759
+rect 27941 10591 28074 10625
+rect 26831 10521 26865 10525
+rect 26450 10447 26668 10481
+rect 26706 10447 27070 10481
+rect 26450 10339 26484 10447
+rect 26706 10413 26740 10447
+rect 26640 10379 26740 10413
+rect 27104 10412 27153 10525
+rect 26212 10305 26304 10339
+rect 26350 10305 26484 10339
+rect 26547 10249 26581 10355
+rect 26640 10291 26712 10379
+rect 26819 10378 27153 10412
+rect 27187 10403 27517 10507
+rect 27551 10437 27889 10541
+rect 27924 10427 27994 10557
+rect 26747 10249 26781 10345
+rect 26819 10293 26886 10378
+rect 26921 10249 26955 10344
+rect 26990 10293 27057 10378
+rect 27091 10249 27125 10344
+rect 27187 10249 27889 10403
+rect 28028 10393 28074 10591
+rect 27941 10359 28074 10393
+rect 27941 10301 27975 10359
+rect 28009 10249 28075 10325
+rect 28109 10301 28154 10725
+rect 28194 10667 28247 10759
+rect 28284 10645 28331 10720
+rect 28380 10670 28594 10704
+rect 28194 10445 28250 10565
+rect 28197 10249 28247 10341
+rect 28284 10339 28318 10645
+rect 28352 10439 28400 10613
+rect 28438 10563 28526 10634
+rect 28560 10547 28594 10670
+rect 28628 10599 28662 10759
+rect 28696 10659 28746 10725
+rect 28791 10665 28968 10699
+rect 28560 10529 28662 10547
+rect 28502 10495 28662 10529
+rect 28352 10373 28468 10439
+rect 28502 10339 28536 10495
+rect 28628 10481 28662 10495
+rect 28570 10445 28604 10451
+rect 28696 10445 28730 10659
+rect 28764 10481 28802 10625
+rect 28836 10547 28900 10613
+rect 28570 10385 28730 10445
+rect 28836 10439 28874 10547
+rect 28284 10305 28350 10339
+rect 28386 10305 28536 10339
+rect 28586 10249 28660 10349
+rect 28696 10339 28730 10385
+rect 28764 10373 28874 10439
+rect 28934 10481 28968 10665
+rect 29004 10659 29047 10759
+rect 29140 10598 29174 10723
+rect 29231 10603 29267 10759
+rect 29002 10566 29174 10598
+rect 29002 10532 29224 10566
+rect 29190 10481 29224 10532
+rect 29303 10559 29370 10711
+rect 29406 10593 29440 10759
+rect 29474 10559 29540 10711
+rect 29574 10593 29608 10759
+rect 29303 10525 29637 10559
+rect 29671 10541 30740 10759
+rect 29315 10521 29349 10525
+rect 28934 10447 29152 10481
+rect 29190 10447 29554 10481
+rect 28934 10339 28968 10447
+rect 29190 10413 29224 10447
+rect 29124 10379 29224 10413
+rect 29588 10412 29637 10525
+rect 28696 10305 28788 10339
+rect 28834 10305 28968 10339
+rect 29031 10249 29065 10355
+rect 29124 10291 29196 10379
+rect 29303 10378 29637 10412
+rect 29671 10403 30187 10507
+rect 30221 10437 30740 10541
+rect 30959 10526 31017 10759
+rect 31069 10625 31103 10725
+rect 31137 10659 31203 10759
+rect 31069 10591 31202 10625
+rect 31052 10427 31122 10557
+rect 29231 10249 29265 10345
+rect 29303 10293 29370 10378
+rect 29405 10249 29439 10344
+rect 29474 10293 29541 10378
+rect 29575 10249 29609 10344
+rect 29671 10249 30740 10403
+rect 30959 10249 31017 10394
+rect 31156 10393 31202 10591
+rect 31069 10359 31202 10393
+rect 31069 10301 31103 10359
+rect 31137 10249 31203 10325
+rect 31237 10301 31282 10725
+rect 31322 10667 31375 10759
+rect 31412 10645 31459 10720
+rect 31508 10670 31722 10704
+rect 31322 10445 31378 10565
+rect 31325 10249 31375 10341
+rect 31412 10339 31446 10645
+rect 31480 10439 31528 10613
+rect 31566 10563 31654 10634
+rect 31688 10547 31722 10670
+rect 31756 10599 31790 10759
+rect 31824 10659 31874 10725
+rect 31919 10665 32096 10699
+rect 31688 10529 31790 10547
+rect 31630 10495 31790 10529
+rect 31480 10373 31596 10439
+rect 31630 10339 31664 10495
+rect 31756 10481 31790 10495
+rect 31698 10445 31732 10451
+rect 31824 10445 31858 10659
+rect 31892 10481 31930 10625
+rect 31964 10547 32028 10613
+rect 31698 10385 31858 10445
+rect 31964 10439 32002 10547
+rect 31412 10305 31478 10339
+rect 31514 10305 31664 10339
+rect 31714 10249 31788 10349
+rect 31824 10339 31858 10385
+rect 31892 10373 32002 10439
+rect 32062 10481 32096 10665
+rect 32132 10659 32175 10759
+rect 32268 10598 32302 10723
+rect 32359 10603 32395 10759
+rect 32130 10566 32302 10598
+rect 32130 10532 32352 10566
+rect 32318 10481 32352 10532
+rect 32431 10559 32498 10711
+rect 32534 10593 32568 10759
+rect 32602 10559 32668 10711
+rect 32702 10593 32736 10759
+rect 32431 10525 32765 10559
+rect 32799 10541 33868 10759
+rect 34105 10625 34139 10725
+rect 34173 10659 34239 10759
+rect 34105 10591 34238 10625
+rect 32062 10447 32280 10481
+rect 32318 10447 32682 10481
+rect 32062 10339 32096 10447
+rect 32318 10413 32352 10447
+rect 32252 10379 32352 10413
+rect 32716 10412 32765 10525
+rect 31824 10305 31916 10339
+rect 31962 10305 32096 10339
+rect 32159 10249 32193 10355
+rect 32252 10291 32324 10379
+rect 32431 10378 32765 10412
+rect 32799 10403 33315 10507
+rect 33349 10437 33868 10541
+rect 34088 10427 34158 10557
+rect 32359 10249 32393 10345
+rect 32431 10293 32498 10378
+rect 32533 10249 32567 10344
+rect 32602 10293 32669 10378
+rect 32703 10249 32737 10344
+rect 32799 10249 33868 10403
+rect 34192 10393 34238 10591
+rect 34105 10359 34238 10393
+rect 34105 10301 34139 10359
+rect 34173 10249 34239 10325
+rect 34273 10301 34318 10725
+rect 34358 10667 34411 10759
+rect 34448 10645 34495 10720
+rect 34544 10670 34758 10704
+rect 34358 10445 34414 10565
+rect 34361 10249 34411 10341
+rect 34448 10339 34482 10645
+rect 34516 10439 34564 10613
+rect 34602 10563 34690 10634
+rect 34724 10547 34758 10670
+rect 34792 10599 34826 10759
+rect 34860 10659 34910 10725
+rect 34955 10665 35132 10699
+rect 34724 10529 34826 10547
+rect 34666 10495 34826 10529
+rect 34516 10373 34632 10439
+rect 34666 10339 34700 10495
+rect 34792 10481 34826 10495
+rect 34734 10445 34768 10451
+rect 34860 10445 34894 10659
+rect 34928 10481 34966 10625
+rect 35000 10547 35064 10613
+rect 34734 10385 34894 10445
+rect 35000 10439 35038 10547
+rect 34448 10305 34514 10339
+rect 34550 10305 34700 10339
+rect 34750 10249 34824 10349
+rect 34860 10339 34894 10385
+rect 34928 10373 35038 10439
+rect 35098 10481 35132 10665
+rect 35168 10659 35211 10759
+rect 35304 10598 35338 10723
+rect 35395 10603 35431 10759
+rect 35166 10566 35338 10598
+rect 35166 10532 35388 10566
+rect 35354 10481 35388 10532
+rect 35467 10559 35534 10711
+rect 35570 10593 35604 10759
+rect 35638 10559 35704 10711
+rect 35738 10593 35772 10759
+rect 35467 10525 35801 10559
+rect 35835 10541 36537 10759
+rect 35479 10521 35513 10525
+rect 35098 10447 35316 10481
+rect 35354 10447 35718 10481
+rect 35098 10339 35132 10447
+rect 35354 10413 35388 10447
+rect 35288 10379 35388 10413
+rect 35752 10412 35801 10525
+rect 34860 10305 34952 10339
+rect 34998 10305 35132 10339
+rect 35195 10249 35229 10355
+rect 35288 10291 35360 10379
+rect 35467 10378 35801 10412
+rect 35835 10403 36165 10507
+rect 36199 10437 36537 10541
+rect 36571 10526 36629 10759
+rect 36663 10541 36997 10759
+rect 37049 10625 37083 10725
+rect 37117 10659 37183 10759
+rect 37049 10591 37182 10625
+rect 36663 10403 36813 10507
+rect 36847 10437 36997 10541
+rect 37032 10427 37102 10557
+rect 35395 10249 35429 10345
+rect 35467 10293 35534 10378
+rect 35569 10249 35603 10344
+rect 35638 10293 35705 10378
+rect 35739 10249 35773 10344
+rect 35835 10249 36537 10403
+rect 36571 10249 36629 10394
+rect 36663 10249 36997 10403
+rect 37136 10393 37182 10591
+rect 37049 10359 37182 10393
+rect 37049 10301 37083 10359
+rect 37117 10249 37183 10325
+rect 37217 10301 37262 10725
+rect 37302 10667 37355 10759
+rect 37392 10645 37439 10720
+rect 37488 10670 37702 10704
+rect 37302 10445 37358 10565
+rect 37305 10249 37355 10341
+rect 37392 10339 37426 10645
+rect 37460 10439 37508 10613
+rect 37546 10563 37634 10634
+rect 37668 10547 37702 10670
+rect 37736 10599 37770 10759
+rect 37804 10659 37854 10725
+rect 37899 10665 38076 10699
+rect 37668 10529 37770 10547
+rect 37610 10495 37770 10529
+rect 37460 10373 37576 10439
+rect 37610 10339 37644 10495
+rect 37736 10481 37770 10495
+rect 37678 10445 37712 10451
+rect 37804 10445 37838 10659
+rect 37872 10481 37910 10625
+rect 37944 10547 38008 10613
+rect 37678 10385 37838 10445
+rect 37944 10439 37982 10547
+rect 37392 10305 37458 10339
+rect 37494 10305 37644 10339
+rect 37694 10249 37768 10349
+rect 37804 10339 37838 10385
+rect 37872 10373 37982 10439
+rect 38042 10481 38076 10665
+rect 38112 10659 38155 10759
+rect 38248 10598 38282 10723
+rect 38339 10603 38375 10759
+rect 38110 10566 38282 10598
+rect 38110 10532 38332 10566
+rect 38298 10481 38332 10532
+rect 38411 10559 38478 10711
+rect 38514 10593 38548 10759
+rect 38582 10559 38648 10711
+rect 38682 10593 38716 10759
+rect 38411 10525 38745 10559
+rect 38779 10541 39481 10759
+rect 39625 10625 39659 10725
+rect 39693 10659 39759 10759
+rect 39625 10591 39758 10625
+rect 38042 10447 38260 10481
+rect 38298 10447 38662 10481
+rect 38042 10339 38076 10447
+rect 38298 10413 38332 10447
+rect 38232 10379 38332 10413
+rect 38696 10412 38745 10525
+rect 37804 10305 37896 10339
+rect 37942 10305 38076 10339
+rect 38139 10249 38173 10355
+rect 38232 10291 38304 10379
+rect 38411 10378 38745 10412
+rect 38779 10403 39109 10507
+rect 39143 10437 39481 10541
+rect 39608 10427 39678 10557
+rect 38339 10249 38373 10345
+rect 38411 10293 38478 10378
+rect 38513 10249 38547 10344
+rect 38582 10293 38649 10378
+rect 38683 10249 38717 10344
+rect 38779 10249 39481 10403
+rect 39712 10393 39758 10591
+rect 39625 10359 39758 10393
+rect 39625 10301 39659 10359
+rect 39693 10249 39759 10325
+rect 39793 10301 39838 10725
+rect 39878 10667 39931 10759
+rect 39968 10645 40015 10720
+rect 40064 10670 40278 10704
+rect 39878 10445 39934 10565
+rect 39881 10249 39931 10341
+rect 39968 10339 40002 10645
+rect 40036 10439 40084 10613
+rect 40122 10563 40210 10634
+rect 40244 10547 40278 10670
+rect 40312 10599 40346 10759
+rect 40380 10659 40430 10725
+rect 40475 10665 40652 10699
+rect 40244 10529 40346 10547
+rect 40186 10495 40346 10529
+rect 40036 10373 40152 10439
+rect 40186 10339 40220 10495
+rect 40312 10481 40346 10495
+rect 40254 10445 40288 10451
+rect 40380 10445 40414 10659
+rect 40448 10481 40486 10625
+rect 40520 10547 40584 10613
+rect 40254 10385 40414 10445
+rect 40520 10439 40558 10547
+rect 39968 10305 40034 10339
+rect 40070 10305 40220 10339
+rect 40270 10249 40344 10349
+rect 40380 10339 40414 10385
+rect 40448 10373 40558 10439
+rect 40618 10481 40652 10665
+rect 40688 10659 40731 10759
+rect 40824 10598 40858 10723
+rect 40915 10603 40951 10759
+rect 40686 10566 40858 10598
+rect 40686 10532 40908 10566
+rect 40874 10481 40908 10532
+rect 40987 10559 41054 10711
+rect 41090 10593 41124 10759
+rect 41158 10559 41224 10711
+rect 41258 10593 41292 10759
+rect 40987 10525 41321 10559
+rect 41355 10541 42057 10759
+rect 40618 10447 40836 10481
+rect 40874 10447 41238 10481
+rect 40618 10339 40652 10447
+rect 40874 10413 40908 10447
+rect 40808 10379 40908 10413
+rect 41272 10412 41321 10525
+rect 40380 10305 40472 10339
+rect 40518 10305 40652 10339
+rect 40715 10249 40749 10355
+rect 40808 10291 40880 10379
+rect 40987 10378 41321 10412
+rect 41355 10403 41685 10507
+rect 41719 10437 42057 10541
+rect 40915 10249 40949 10345
+rect 40987 10293 41054 10378
+rect 41089 10249 41123 10344
+rect 41158 10293 41225 10378
+rect 41259 10249 41293 10344
+rect 41355 10249 42057 10403
+rect 42103 10385 42137 10555
+rect 42183 10526 42241 10759
+rect 42469 10623 42519 10725
+rect 42553 10657 42603 10759
+rect 42637 10623 42687 10725
+rect 42721 10657 42771 10759
+rect 42805 10691 43023 10725
+rect 42805 10623 42855 10691
+rect 42973 10659 43023 10691
+rect 43077 10659 43127 10759
+rect 43161 10691 43379 10725
+rect 43161 10659 43211 10691
+rect 42469 10589 42855 10623
+rect 42459 10521 42779 10555
+rect 42813 10523 42855 10589
+rect 42889 10625 42939 10657
+rect 43245 10625 43295 10657
+rect 42459 10447 42557 10521
+rect 42603 10447 42711 10487
+rect 42745 10481 42779 10521
+rect 42889 10515 42966 10625
+rect 43069 10589 43295 10625
+rect 43329 10589 43379 10691
+rect 43413 10591 43463 10759
+rect 43497 10623 43547 10725
+rect 43581 10665 43631 10759
+rect 43665 10623 43715 10725
+rect 43497 10589 43715 10623
+rect 43749 10597 43799 10759
+rect 43069 10565 43103 10589
+rect 43033 10531 43103 10565
+rect 43665 10563 43715 10589
+rect 42745 10447 42821 10481
+rect 42889 10413 42931 10515
+rect 43033 10481 43071 10531
+rect 43137 10521 43435 10555
+rect 43137 10497 43171 10521
+rect 42965 10447 43071 10481
+rect 43105 10447 43171 10497
+rect 43205 10447 43329 10487
+rect 43363 10447 43435 10521
+rect 43469 10481 43534 10555
+rect 43665 10515 43826 10563
+rect 43931 10541 44633 10759
+rect 43469 10447 43730 10481
+rect 43033 10413 43071 10447
+rect 43764 10413 43826 10515
+rect 42183 10249 42241 10394
+rect 42477 10249 42511 10411
+rect 42545 10327 42595 10413
+rect 42629 10379 42947 10413
+rect 42629 10361 42696 10379
+rect 42545 10283 42779 10327
+rect 42813 10249 42847 10343
+rect 42881 10283 42947 10379
+rect 43033 10377 43387 10413
+rect 42981 10249 43119 10343
+rect 43153 10283 43219 10377
+rect 43253 10249 43287 10343
+rect 43321 10283 43387 10377
+rect 43421 10249 43455 10411
+rect 43489 10377 43826 10413
+rect 43931 10403 44261 10507
+rect 44295 10437 44633 10541
+rect 44668 10565 44723 10725
+rect 44757 10599 44823 10759
+rect 44857 10565 44891 10725
+rect 44925 10599 44975 10759
+rect 45009 10691 45428 10725
+rect 45009 10565 45075 10691
+rect 44668 10523 45075 10565
+rect 45109 10581 45143 10657
+rect 45177 10619 45243 10691
+rect 45277 10581 45311 10657
+rect 45345 10615 45428 10691
+rect 45109 10521 45461 10581
+rect 45495 10541 46197 10759
+rect 44678 10447 45010 10487
+rect 45074 10447 45347 10487
+rect 45381 10413 45461 10521
+rect 43489 10287 43555 10377
+rect 43589 10249 43623 10343
+rect 43657 10287 43723 10377
+rect 43757 10249 43791 10343
+rect 43931 10249 44633 10403
+rect 44668 10249 44723 10413
+rect 44757 10377 45461 10413
+rect 45495 10403 45825 10507
+rect 45859 10437 46197 10541
+rect 46237 10500 46287 10699
+rect 46323 10582 46359 10725
+rect 46393 10619 46483 10759
+rect 46518 10582 46554 10725
+rect 46596 10619 46662 10759
+rect 46704 10584 46742 10725
+rect 46776 10619 46842 10759
+rect 46876 10585 46914 10725
+rect 46948 10619 47014 10759
+rect 46876 10584 47025 10585
+rect 46323 10548 46670 10582
+rect 46628 10503 46670 10548
+rect 46704 10539 47025 10584
+rect 47059 10541 47761 10759
+rect 46237 10431 46389 10500
+rect 44757 10283 44823 10377
+rect 44857 10249 44891 10343
+rect 44925 10283 44991 10377
+rect 45025 10249 45059 10343
+rect 45093 10283 45159 10377
+rect 45193 10249 45227 10343
+rect 45261 10283 45327 10377
+rect 45361 10249 45418 10343
+rect 45495 10249 46197 10403
+rect 46307 10345 46374 10393
+rect 46427 10381 46485 10500
+rect 46519 10431 46594 10497
+rect 46628 10436 46935 10503
+rect 46628 10393 46670 10436
+rect 46969 10401 47025 10539
+rect 46521 10355 46670 10393
+rect 46704 10355 47025 10401
+rect 47059 10403 47389 10507
+rect 47423 10437 47761 10541
+rect 47795 10526 47853 10759
+rect 47905 10573 47939 10725
+rect 47982 10607 48048 10759
+rect 47905 10539 48048 10573
+rect 48082 10544 48133 10725
+rect 47887 10429 47958 10503
+rect 48014 10497 48048 10539
+rect 48014 10431 48065 10497
+rect 46521 10345 46559 10355
+rect 46307 10307 46559 10345
+rect 46704 10335 46742 10355
+rect 46307 10283 46374 10307
+rect 46595 10249 46661 10321
+rect 46776 10249 46842 10321
+rect 46876 10283 46914 10355
+rect 46948 10249 47014 10321
+rect 47059 10249 47761 10403
+rect 47795 10249 47853 10394
+rect 48014 10393 48048 10431
+rect 48099 10398 48133 10544
+rect 48168 10529 48220 10759
+rect 48255 10541 48957 10759
+rect 49001 10623 49051 10725
+rect 49085 10657 49135 10759
+rect 49169 10623 49219 10725
+rect 49253 10657 49303 10759
+rect 49337 10691 49555 10725
+rect 49337 10623 49387 10691
+rect 49505 10659 49555 10691
+rect 49609 10659 49659 10759
+rect 49693 10691 49911 10725
+rect 49693 10659 49743 10691
+rect 49001 10589 49387 10623
+rect 47905 10359 48048 10393
+rect 47905 10283 47939 10359
+rect 47982 10249 48048 10325
+rect 48082 10283 48133 10398
+rect 48168 10249 48220 10417
+rect 48255 10403 48585 10507
+rect 48619 10437 48957 10541
+rect 48991 10521 49311 10555
+rect 49345 10523 49387 10589
+rect 49421 10625 49471 10657
+rect 49777 10625 49827 10657
+rect 48991 10447 49089 10521
+rect 49135 10447 49243 10487
+rect 49277 10481 49311 10521
+rect 49421 10515 49498 10625
+rect 49601 10589 49827 10625
+rect 49861 10589 49911 10691
+rect 49945 10591 49995 10759
+rect 50029 10623 50079 10725
+rect 50113 10665 50163 10759
+rect 50197 10623 50247 10725
+rect 50029 10589 50247 10623
+rect 50281 10597 50331 10759
+rect 49601 10565 49635 10589
+rect 49565 10531 49635 10565
+rect 50197 10563 50247 10589
+rect 49277 10447 49353 10481
+rect 49421 10413 49463 10515
+rect 49565 10481 49603 10531
+rect 49669 10521 49967 10555
+rect 49669 10497 49703 10521
+rect 49497 10447 49603 10481
+rect 49637 10447 49703 10497
+rect 49737 10447 49861 10487
+rect 49895 10447 49967 10521
+rect 50001 10481 50066 10555
+rect 50197 10515 50358 10563
+rect 50463 10541 51165 10759
+rect 51209 10623 51259 10725
+rect 51293 10657 51343 10759
+rect 51377 10623 51427 10725
+rect 51461 10657 51511 10759
+rect 51545 10691 51763 10725
+rect 51545 10623 51595 10691
+rect 51713 10659 51763 10691
+rect 51817 10659 51867 10759
+rect 51901 10691 52119 10725
+rect 51901 10659 51951 10691
+rect 51209 10589 51595 10623
+rect 50001 10447 50262 10481
+rect 49565 10413 49603 10447
+rect 50296 10413 50358 10515
+rect 48255 10249 48957 10403
+rect 49009 10249 49043 10411
+rect 49077 10327 49127 10413
+rect 49161 10379 49479 10413
+rect 49161 10361 49228 10379
+rect 49077 10283 49311 10327
+rect 49345 10249 49379 10343
+rect 49413 10283 49479 10379
+rect 49565 10377 49919 10413
+rect 49513 10249 49651 10343
+rect 49685 10283 49751 10377
+rect 49785 10249 49819 10343
+rect 49853 10283 49919 10377
+rect 49953 10249 49987 10411
+rect 50021 10377 50358 10413
+rect 50463 10403 50793 10507
+rect 50827 10437 51165 10541
+rect 51199 10521 51519 10555
+rect 51553 10523 51595 10589
+rect 51629 10625 51679 10657
+rect 51985 10625 52035 10657
+rect 51199 10447 51297 10521
+rect 51343 10447 51451 10487
+rect 51485 10481 51519 10521
+rect 51629 10515 51706 10625
+rect 51809 10589 52035 10625
+rect 52069 10589 52119 10691
+rect 52153 10591 52203 10759
+rect 52237 10623 52287 10725
+rect 52321 10665 52371 10759
+rect 52405 10623 52455 10725
+rect 52237 10589 52455 10623
+rect 52489 10597 52539 10759
+rect 51809 10565 51843 10589
+rect 51773 10531 51843 10565
+rect 52405 10563 52455 10589
+rect 51485 10447 51561 10481
+rect 51629 10413 51671 10515
+rect 51773 10481 51811 10531
+rect 51877 10521 52175 10555
+rect 51877 10497 51911 10521
+rect 51705 10447 51811 10481
+rect 51845 10447 51911 10497
+rect 51945 10447 52069 10487
+rect 52103 10447 52175 10521
+rect 52209 10481 52274 10555
+rect 52405 10515 52566 10563
+rect 52671 10541 53373 10759
+rect 52209 10447 52470 10481
+rect 51773 10413 51811 10447
+rect 52504 10413 52566 10515
+rect 50021 10287 50087 10377
+rect 50121 10249 50155 10343
+rect 50189 10287 50255 10377
+rect 50289 10249 50323 10343
+rect 50463 10249 51165 10403
+rect 51217 10249 51251 10411
+rect 51285 10327 51335 10413
+rect 51369 10379 51687 10413
+rect 51369 10361 51436 10379
+rect 51285 10283 51519 10327
+rect 51553 10249 51587 10343
+rect 51621 10283 51687 10379
+rect 51773 10377 52127 10413
+rect 51721 10249 51859 10343
+rect 51893 10283 51959 10377
+rect 51993 10249 52027 10343
+rect 52061 10283 52127 10377
+rect 52161 10249 52195 10411
+rect 52229 10377 52566 10413
+rect 52671 10403 53001 10507
+rect 53035 10437 53373 10541
+rect 53407 10526 53465 10759
+rect 53499 10541 54201 10759
+rect 54245 10623 54295 10725
+rect 54329 10657 54379 10759
+rect 54413 10623 54463 10725
+rect 54497 10657 54547 10759
+rect 54581 10691 54799 10725
+rect 54581 10623 54631 10691
+rect 54749 10659 54799 10691
+rect 54853 10659 54903 10759
+rect 54937 10691 55155 10725
+rect 54937 10659 54987 10691
+rect 54245 10589 54631 10623
+rect 53499 10403 53829 10507
+rect 53863 10437 54201 10541
+rect 54235 10521 54555 10555
+rect 54589 10523 54631 10589
+rect 54665 10625 54715 10657
+rect 55021 10625 55071 10657
+rect 54235 10447 54333 10521
+rect 54521 10487 54555 10521
+rect 54665 10515 54742 10625
+rect 54845 10589 55071 10625
+rect 55105 10589 55155 10691
+rect 55189 10591 55239 10759
+rect 55273 10623 55323 10725
+rect 55357 10665 55407 10759
+rect 55441 10623 55491 10725
+rect 55273 10589 55491 10623
+rect 55525 10597 55575 10759
+rect 54845 10565 54879 10589
+rect 54809 10531 54879 10565
+rect 55441 10563 55491 10589
+rect 54379 10447 54487 10487
+rect 54521 10481 54557 10487
+rect 54521 10447 54597 10481
+rect 54665 10413 54707 10515
+rect 54809 10481 54847 10531
+rect 54913 10521 55211 10555
+rect 54913 10497 54947 10521
+rect 54741 10447 54847 10481
+rect 54881 10447 54947 10497
+rect 54981 10447 55105 10487
+rect 55139 10447 55211 10521
+rect 55245 10481 55310 10555
+rect 55441 10515 55602 10563
+rect 55707 10541 56409 10759
+rect 56453 10623 56503 10725
+rect 56537 10657 56587 10759
+rect 56621 10623 56671 10725
+rect 56705 10657 56755 10759
+rect 56789 10691 57007 10725
+rect 56789 10623 56839 10691
+rect 56957 10659 57007 10691
+rect 57061 10659 57111 10759
+rect 57145 10691 57363 10725
+rect 57145 10659 57195 10691
+rect 56453 10589 56839 10623
+rect 55245 10447 55506 10481
+rect 54809 10413 54847 10447
+rect 55540 10413 55602 10515
+rect 52229 10287 52295 10377
+rect 52329 10249 52363 10343
+rect 52397 10287 52463 10377
+rect 52497 10249 52531 10343
+rect 52671 10249 53373 10403
+rect 53407 10249 53465 10394
+rect 53499 10249 54201 10403
+rect 54253 10249 54287 10411
+rect 54321 10327 54371 10413
+rect 54405 10379 54723 10413
+rect 54405 10361 54472 10379
+rect 54321 10283 54555 10327
+rect 54589 10249 54623 10343
+rect 54657 10283 54723 10379
+rect 54809 10377 55163 10413
+rect 54757 10249 54895 10343
+rect 54929 10283 54995 10377
+rect 55029 10249 55063 10343
+rect 55097 10283 55163 10377
+rect 55197 10249 55231 10411
+rect 55265 10377 55602 10413
+rect 55707 10403 56037 10507
+rect 56071 10437 56409 10541
+rect 56443 10521 56763 10555
+rect 56797 10523 56839 10589
+rect 56873 10625 56923 10657
+rect 57229 10625 57279 10657
+rect 56443 10447 56541 10521
+rect 56729 10487 56763 10521
+rect 56873 10515 56950 10625
+rect 57053 10589 57279 10625
+rect 57313 10589 57363 10691
+rect 57397 10591 57447 10759
+rect 57481 10623 57531 10725
+rect 57565 10665 57615 10759
+rect 57649 10623 57699 10725
+rect 57481 10589 57699 10623
+rect 57733 10597 57783 10759
+rect 57053 10565 57087 10589
+rect 57017 10531 57087 10565
+rect 57649 10563 57699 10589
+rect 56587 10447 56695 10487
+rect 56729 10481 56765 10487
+rect 56729 10447 56805 10481
+rect 56873 10413 56915 10515
+rect 57017 10481 57055 10531
+rect 57121 10521 57419 10555
+rect 57121 10497 57155 10521
+rect 56949 10447 57055 10481
+rect 57089 10447 57155 10497
+rect 57189 10447 57313 10487
+rect 57347 10447 57419 10521
+rect 57453 10481 57518 10555
+rect 57649 10515 57810 10563
+rect 57915 10541 58984 10759
+rect 57453 10447 57714 10481
+rect 57017 10413 57055 10447
+rect 57748 10413 57810 10515
+rect 55265 10287 55331 10377
+rect 55365 10249 55399 10343
+rect 55433 10287 55499 10377
+rect 55533 10249 55567 10343
+rect 55707 10249 56409 10403
+rect 56461 10249 56495 10411
+rect 56529 10327 56579 10413
+rect 56613 10379 56931 10413
+rect 56613 10361 56680 10379
+rect 56529 10283 56763 10327
+rect 56797 10249 56831 10343
+rect 56865 10283 56931 10379
+rect 57017 10377 57371 10413
+rect 56965 10249 57103 10343
+rect 57137 10283 57203 10377
+rect 57237 10249 57271 10343
+rect 57305 10283 57371 10377
+rect 57405 10249 57439 10411
+rect 57473 10377 57810 10413
+rect 57915 10403 58431 10507
+rect 58465 10437 58984 10541
+rect 59019 10526 59077 10759
+rect 59112 10565 59167 10725
+rect 59201 10599 59267 10759
+rect 59301 10565 59335 10725
+rect 59369 10599 59419 10759
+rect 59453 10691 59872 10725
+rect 59453 10565 59519 10691
+rect 59112 10523 59519 10565
+rect 59553 10581 59587 10657
+rect 59621 10619 59687 10691
+rect 59721 10581 59755 10657
+rect 59789 10615 59872 10691
+rect 59553 10521 59905 10581
+rect 59939 10541 61008 10759
+rect 61237 10623 61287 10725
+rect 61321 10657 61371 10759
+rect 61405 10623 61455 10725
+rect 61489 10657 61539 10759
+rect 61573 10691 61791 10725
+rect 61573 10623 61623 10691
+rect 61741 10659 61791 10691
+rect 61845 10659 61895 10759
+rect 61929 10691 62147 10725
+rect 61929 10659 61979 10691
+rect 61237 10589 61623 10623
+rect 59122 10447 59454 10487
+rect 59518 10447 59791 10487
+rect 59825 10413 59905 10521
+rect 57473 10287 57539 10377
+rect 57573 10249 57607 10343
+rect 57641 10287 57707 10377
+rect 57741 10249 57775 10343
+rect 57915 10249 58984 10403
+rect 59019 10249 59077 10394
+rect 59112 10249 59167 10413
+rect 59201 10377 59905 10413
+rect 59939 10403 60455 10507
+rect 60489 10437 61008 10541
+rect 61227 10521 61547 10555
+rect 61581 10523 61623 10589
+rect 61657 10625 61707 10657
+rect 62013 10625 62063 10657
+rect 61227 10447 61325 10521
+rect 61513 10487 61547 10521
+rect 61657 10515 61734 10625
+rect 61837 10589 62063 10625
+rect 62097 10589 62147 10691
+rect 62181 10591 62231 10759
+rect 62265 10623 62315 10725
+rect 62349 10665 62399 10759
+rect 62433 10623 62483 10725
+rect 62265 10589 62483 10623
+rect 62517 10597 62567 10759
+rect 61837 10565 61871 10589
+rect 61801 10531 61871 10565
+rect 62433 10563 62483 10589
+rect 61371 10447 61479 10487
+rect 61513 10481 61549 10487
+rect 61513 10447 61589 10481
+rect 61657 10413 61699 10515
+rect 61801 10481 61839 10531
+rect 61905 10521 62203 10555
+rect 61905 10497 61939 10521
+rect 61733 10447 61839 10481
+rect 61873 10447 61939 10497
+rect 61973 10447 62097 10487
+rect 62131 10447 62203 10521
+rect 62237 10481 62302 10555
+rect 62433 10515 62594 10563
+rect 62699 10541 63401 10759
+rect 62237 10447 62498 10481
+rect 61801 10413 61839 10447
+rect 62532 10413 62594 10515
+rect 59201 10283 59267 10377
+rect 59301 10249 59335 10343
+rect 59369 10283 59435 10377
+rect 59469 10249 59503 10343
+rect 59537 10283 59603 10377
+rect 59637 10249 59671 10343
+rect 59705 10283 59771 10377
+rect 59805 10249 59862 10343
+rect 59939 10249 61008 10403
+rect 61245 10249 61279 10411
+rect 61313 10327 61363 10413
+rect 61397 10379 61715 10413
+rect 61397 10361 61464 10379
+rect 61313 10283 61547 10327
+rect 61581 10249 61615 10343
+rect 61649 10283 61715 10379
+rect 61801 10377 62155 10413
+rect 61749 10249 61887 10343
+rect 61921 10283 61987 10377
+rect 62021 10249 62055 10343
+rect 62089 10283 62155 10377
+rect 62189 10249 62223 10411
+rect 62257 10377 62594 10413
+rect 62699 10403 63029 10507
+rect 63063 10437 63401 10541
+rect 63453 10573 63487 10725
+rect 63530 10607 63596 10759
+rect 63453 10539 63596 10573
+rect 63630 10544 63681 10725
+rect 63435 10429 63506 10503
+rect 63562 10497 63596 10539
+rect 63562 10431 63613 10497
+rect 62257 10287 62323 10377
+rect 62357 10249 62391 10343
+rect 62425 10287 62491 10377
+rect 62525 10249 62559 10343
+rect 62699 10249 63401 10403
+rect 63562 10393 63596 10431
+rect 63647 10398 63681 10544
+rect 63716 10529 63768 10759
+rect 63803 10541 64505 10759
+rect 63453 10359 63596 10393
+rect 63453 10283 63487 10359
+rect 63530 10249 63596 10325
+rect 63630 10283 63681 10398
+rect 63716 10249 63768 10417
+rect 63803 10403 64133 10507
+rect 64167 10437 64505 10541
+rect 64631 10526 64689 10759
+rect 64741 10573 64775 10725
+rect 64818 10607 64884 10759
+rect 64741 10539 64884 10573
+rect 64918 10544 64969 10725
+rect 64723 10429 64794 10503
+rect 64850 10497 64884 10539
+rect 64850 10431 64901 10497
+rect 63803 10249 64505 10403
+rect 64631 10249 64689 10394
+rect 64850 10393 64884 10431
+rect 64935 10398 64969 10544
+rect 65004 10529 65056 10759
+rect 65091 10541 65793 10759
+rect 65837 10623 65887 10725
+rect 65921 10657 65971 10759
+rect 66005 10623 66055 10725
+rect 66089 10657 66139 10759
+rect 66173 10691 66391 10725
+rect 66173 10623 66223 10691
+rect 66341 10659 66391 10691
+rect 66445 10659 66495 10759
+rect 66529 10691 66747 10725
+rect 66529 10659 66579 10691
+rect 65837 10589 66223 10623
+rect 64741 10359 64884 10393
+rect 64741 10283 64775 10359
+rect 64818 10249 64884 10325
+rect 64918 10283 64969 10398
+rect 65004 10249 65056 10417
+rect 65091 10403 65421 10507
+rect 65455 10437 65793 10541
+rect 65827 10521 66147 10555
+rect 66181 10523 66223 10589
+rect 66257 10625 66307 10657
+rect 66613 10625 66663 10657
+rect 65827 10447 65925 10521
+rect 65971 10447 66079 10487
+rect 66113 10481 66147 10521
+rect 66257 10515 66334 10625
+rect 66437 10589 66663 10625
+rect 66697 10589 66747 10691
+rect 66781 10591 66831 10759
+rect 66865 10623 66915 10725
+rect 66949 10665 66999 10759
+rect 67033 10623 67083 10725
+rect 66865 10589 67083 10623
+rect 67117 10597 67167 10759
+rect 66437 10565 66471 10589
+rect 66401 10531 66471 10565
+rect 67033 10563 67083 10589
+rect 66113 10447 66189 10481
+rect 66257 10413 66299 10515
+rect 66401 10481 66439 10531
+rect 66505 10521 66803 10555
+rect 66505 10497 66539 10521
+rect 66333 10447 66439 10481
+rect 66473 10447 66539 10497
+rect 66573 10447 66697 10487
+rect 66731 10447 66803 10521
+rect 66837 10481 66902 10555
+rect 67033 10515 67194 10563
+rect 67299 10541 68001 10759
+rect 68045 10623 68095 10725
+rect 68129 10657 68179 10759
+rect 68213 10623 68263 10725
+rect 68297 10657 68347 10759
+rect 68381 10691 68599 10725
+rect 68381 10623 68431 10691
+rect 68549 10659 68599 10691
+rect 68653 10659 68703 10759
+rect 68737 10691 68955 10725
+rect 68737 10659 68787 10691
+rect 68045 10589 68431 10623
+rect 66837 10447 67098 10481
+rect 66401 10413 66439 10447
+rect 67132 10413 67194 10515
+rect 65091 10249 65793 10403
+rect 65845 10249 65879 10411
+rect 65913 10327 65963 10413
+rect 65997 10379 66315 10413
+rect 65997 10361 66064 10379
+rect 65913 10283 66147 10327
+rect 66181 10249 66215 10343
+rect 66249 10283 66315 10379
+rect 66401 10377 66755 10413
+rect 66349 10249 66487 10343
+rect 66521 10283 66587 10377
+rect 66621 10249 66655 10343
+rect 66689 10283 66755 10377
+rect 66789 10249 66823 10411
+rect 66857 10377 67194 10413
+rect 67299 10403 67629 10507
+rect 67663 10437 68001 10541
+rect 68035 10521 68355 10555
+rect 68389 10523 68431 10589
+rect 68465 10625 68515 10657
+rect 68821 10625 68871 10657
+rect 68035 10447 68133 10521
+rect 68179 10447 68287 10487
+rect 68321 10481 68355 10521
+rect 68465 10515 68542 10625
+rect 68645 10589 68871 10625
+rect 68905 10589 68955 10691
+rect 68989 10591 69039 10759
+rect 69073 10623 69123 10725
+rect 69157 10665 69207 10759
+rect 69241 10623 69291 10725
+rect 69073 10589 69291 10623
+rect 69325 10597 69375 10759
+rect 68645 10565 68679 10589
+rect 68609 10531 68679 10565
+rect 69241 10563 69291 10589
+rect 68321 10447 68397 10481
+rect 68465 10413 68507 10515
+rect 68609 10481 68647 10531
+rect 68713 10521 69011 10555
+rect 68713 10497 68747 10521
+rect 68541 10447 68647 10481
+rect 68681 10447 68747 10497
+rect 68781 10447 68905 10487
+rect 68939 10447 69011 10521
+rect 69045 10481 69110 10555
+rect 69241 10515 69402 10563
+rect 69507 10541 70209 10759
+rect 69045 10447 69306 10481
+rect 68609 10413 68647 10447
+rect 69340 10413 69402 10515
+rect 66857 10287 66923 10377
+rect 66957 10249 66991 10343
+rect 67025 10287 67091 10377
+rect 67125 10249 67159 10343
+rect 67299 10249 68001 10403
+rect 68053 10249 68087 10411
+rect 68121 10327 68171 10413
+rect 68205 10379 68523 10413
+rect 68205 10361 68272 10379
+rect 68121 10283 68355 10327
+rect 68389 10249 68423 10343
+rect 68457 10283 68523 10379
+rect 68609 10377 68963 10413
+rect 68557 10249 68695 10343
+rect 68729 10283 68795 10377
+rect 68829 10249 68863 10343
+rect 68897 10283 68963 10377
+rect 68997 10249 69031 10411
+rect 69065 10377 69402 10413
+rect 69507 10403 69837 10507
+rect 69871 10437 70209 10541
+rect 70243 10526 70301 10759
+rect 70345 10623 70395 10725
+rect 70429 10657 70479 10759
+rect 70513 10623 70563 10725
+rect 70597 10657 70647 10759
+rect 70681 10691 70899 10725
+rect 70681 10623 70731 10691
+rect 70849 10659 70899 10691
+rect 70953 10659 71003 10759
+rect 71037 10691 71255 10725
+rect 71037 10659 71087 10691
+rect 70345 10589 70731 10623
+rect 70335 10521 70655 10555
+rect 70689 10523 70731 10589
+rect 70765 10625 70815 10657
+rect 71121 10625 71171 10657
+rect 70335 10447 70433 10521
+rect 70479 10447 70587 10487
+rect 70621 10481 70655 10521
+rect 70765 10515 70842 10625
+rect 70945 10589 71171 10625
+rect 71205 10589 71255 10691
+rect 71289 10591 71339 10759
+rect 71373 10623 71423 10725
+rect 71457 10665 71507 10759
+rect 71541 10623 71591 10725
+rect 71373 10589 71591 10623
+rect 71625 10597 71675 10759
+rect 70945 10565 70979 10589
+rect 70909 10531 70979 10565
+rect 71541 10563 71591 10589
+rect 70621 10447 70697 10481
+rect 70765 10413 70807 10515
+rect 70909 10481 70947 10531
+rect 71013 10521 71311 10555
+rect 71013 10497 71047 10521
+rect 70841 10447 70947 10481
+rect 70981 10447 71047 10497
+rect 71081 10447 71205 10487
+rect 71239 10447 71311 10521
+rect 71345 10481 71410 10555
+rect 71541 10515 71702 10563
+rect 71807 10541 72509 10759
+rect 71345 10447 71606 10481
+rect 70909 10413 70947 10447
+rect 71640 10413 71702 10515
+rect 69065 10287 69131 10377
+rect 69165 10249 69199 10343
+rect 69233 10287 69299 10377
+rect 69333 10249 69367 10343
+rect 69507 10249 70209 10403
+rect 70243 10249 70301 10394
+rect 70353 10249 70387 10411
+rect 70421 10327 70471 10413
+rect 70505 10379 70823 10413
+rect 70505 10361 70572 10379
+rect 70421 10283 70655 10327
+rect 70689 10249 70723 10343
+rect 70757 10283 70823 10379
+rect 70909 10377 71263 10413
+rect 70857 10249 70995 10343
+rect 71029 10283 71095 10377
+rect 71129 10249 71163 10343
+rect 71197 10283 71263 10377
+rect 71297 10249 71331 10411
+rect 71365 10377 71702 10413
+rect 71807 10403 72137 10507
+rect 72171 10437 72509 10541
+rect 72544 10565 72599 10725
+rect 72633 10599 72699 10759
+rect 72733 10565 72767 10725
+rect 72801 10599 72851 10759
+rect 72885 10691 73304 10725
+rect 72885 10565 72951 10691
+rect 72544 10523 72951 10565
+rect 72985 10581 73019 10657
+rect 73053 10619 73119 10691
+rect 73153 10581 73187 10657
+rect 73221 10615 73304 10691
+rect 72985 10521 73337 10581
+rect 73371 10541 74073 10759
+rect 72554 10447 72886 10487
+rect 72950 10447 73223 10487
+rect 73257 10413 73337 10521
+rect 71365 10287 71431 10377
+rect 71465 10249 71499 10343
+rect 71533 10287 71599 10377
+rect 71633 10249 71667 10343
+rect 71807 10249 72509 10403
+rect 72544 10249 72599 10413
+rect 72633 10377 73337 10413
+rect 73371 10403 73701 10507
+rect 73735 10437 74073 10541
+rect 74108 10565 74163 10725
+rect 74197 10599 74263 10759
+rect 74297 10565 74331 10725
+rect 74365 10599 74415 10759
+rect 74449 10691 74868 10725
+rect 74449 10565 74515 10691
+rect 74108 10523 74515 10565
+rect 74549 10581 74583 10657
+rect 74617 10619 74683 10691
+rect 74717 10581 74751 10657
+rect 74785 10615 74868 10691
+rect 74549 10521 74901 10581
+rect 74935 10541 75637 10759
+rect 74118 10447 74450 10487
+rect 74514 10447 74787 10487
+rect 74821 10413 74901 10521
+rect 72633 10283 72699 10377
+rect 72733 10249 72767 10343
+rect 72801 10283 72867 10377
+rect 72901 10249 72935 10343
+rect 72969 10283 73035 10377
+rect 73069 10249 73103 10343
+rect 73137 10283 73203 10377
+rect 73237 10249 73294 10343
+rect 73371 10249 74073 10403
+rect 74108 10249 74163 10413
+rect 74197 10377 74901 10413
+rect 74935 10403 75265 10507
+rect 75299 10437 75637 10541
+rect 75855 10526 75913 10759
+rect 75985 10614 76051 10759
+rect 74197 10283 74263 10377
+rect 74297 10249 74331 10343
+rect 74365 10283 74431 10377
+rect 74465 10249 74499 10343
+rect 74533 10283 74599 10377
+rect 74633 10249 74667 10343
+rect 74701 10283 74767 10377
+rect 74801 10249 74858 10343
+rect 74935 10249 75637 10403
+rect 75855 10249 75913 10394
+rect 75947 10283 76051 10580
+rect 76085 10415 76189 10725
+rect 76223 10541 76925 10759
+rect 76997 10614 77063 10759
+rect 76223 10403 76553 10507
+rect 76587 10437 76925 10541
+rect 76085 10249 76153 10381
+rect 76223 10249 76925 10403
+rect 76959 10283 77063 10580
+rect 77097 10415 77201 10725
+rect 77235 10541 77937 10759
+rect 78009 10614 78075 10759
+rect 77235 10403 77565 10507
+rect 77599 10437 77937 10541
+rect 77097 10249 77165 10381
+rect 77235 10249 77937 10403
+rect 77971 10283 78075 10580
+rect 78109 10415 78213 10725
+rect 78247 10541 79316 10759
+rect 79351 10541 80420 10759
+rect 80455 10541 81157 10759
+rect 81191 10541 81433 10759
+rect 78247 10403 78763 10507
+rect 78797 10437 79316 10541
+rect 79351 10403 79867 10507
+rect 79901 10437 80420 10541
+rect 80455 10403 80785 10507
+rect 80819 10437 81157 10541
+rect 78109 10249 78177 10381
+rect 78247 10249 79316 10403
+rect 79351 10249 80420 10403
+rect 80455 10249 81157 10403
+rect 81191 10399 81295 10507
+rect 81329 10433 81433 10541
+rect 81467 10526 81525 10759
+rect 81559 10541 82628 10759
+rect 82663 10541 83732 10759
+rect 83767 10541 84836 10759
+rect 84871 10541 85940 10759
+rect 85975 10541 87044 10759
+rect 81559 10403 82075 10507
+rect 82109 10437 82628 10541
+rect 82663 10403 83179 10507
+rect 83213 10437 83732 10541
+rect 83767 10403 84283 10507
+rect 84317 10437 84836 10541
+rect 84871 10403 85387 10507
+rect 85421 10437 85940 10541
+rect 85975 10403 86491 10507
+rect 86525 10437 87044 10541
+rect 87079 10526 87137 10759
+rect 87171 10541 88240 10759
+rect 88275 10541 89344 10759
+rect 89379 10541 90448 10759
+rect 90483 10541 91552 10759
+rect 91587 10541 92656 10759
+rect 87171 10403 87687 10507
+rect 87721 10437 88240 10541
+rect 88275 10403 88791 10507
+rect 88825 10437 89344 10541
+rect 89379 10403 89895 10507
+rect 89929 10437 90448 10541
+rect 90483 10403 90999 10507
+rect 91033 10437 91552 10541
+rect 91587 10403 92103 10507
+rect 92137 10437 92656 10541
+rect 92691 10526 92749 10759
+rect 92783 10541 93852 10759
+rect 93887 10541 94956 10759
+rect 94991 10541 96060 10759
+rect 96095 10541 97164 10759
+rect 97199 10541 98268 10759
+rect 92783 10403 93299 10507
+rect 93333 10437 93852 10541
+rect 93887 10403 94403 10507
+rect 94437 10437 94956 10541
+rect 94991 10403 95507 10507
+rect 95541 10437 96060 10541
+rect 96095 10403 96611 10507
+rect 96645 10437 97164 10541
+rect 97199 10403 97715 10507
+rect 97749 10437 98268 10541
+rect 98303 10526 98361 10759
+rect 98395 10541 99464 10759
+rect 99499 10541 100568 10759
+rect 100603 10541 101672 10759
+rect 101707 10541 102776 10759
+rect 102811 10541 103880 10759
+rect 98395 10403 98911 10507
+rect 98945 10437 99464 10541
+rect 99499 10403 100015 10507
+rect 100049 10437 100568 10541
+rect 100603 10403 101119 10507
+rect 101153 10437 101672 10541
+rect 101707 10403 102223 10507
+rect 102257 10437 102776 10541
+rect 102811 10403 103327 10507
+rect 103361 10437 103880 10541
+rect 103915 10526 103973 10759
+rect 104007 10541 105076 10759
+rect 105111 10541 106180 10759
+rect 106215 10541 107284 10759
+rect 107319 10541 108388 10759
+rect 108423 10541 109492 10759
+rect 104007 10403 104523 10507
+rect 104557 10437 105076 10541
+rect 105111 10403 105627 10507
+rect 105661 10437 106180 10541
+rect 106215 10403 106731 10507
+rect 106765 10437 107284 10541
+rect 107319 10403 107835 10507
+rect 107869 10437 108388 10541
+rect 108423 10403 108939 10507
+rect 108973 10437 109492 10541
+rect 109527 10526 109585 10759
+rect 109619 10541 110688 10759
+rect 110723 10541 111792 10759
+rect 111827 10541 112896 10759
+rect 112931 10541 114000 10759
+rect 114035 10541 115104 10759
+rect 109619 10403 110135 10507
+rect 110169 10437 110688 10541
+rect 110723 10403 111239 10507
+rect 111273 10437 111792 10541
+rect 111827 10403 112343 10507
+rect 112377 10437 112896 10541
+rect 112931 10403 113447 10507
+rect 113481 10437 114000 10541
+rect 114035 10403 114551 10507
+rect 114585 10437 115104 10541
+rect 115139 10526 115197 10759
+rect 115231 10541 116300 10759
+rect 116335 10541 117404 10759
+rect 117439 10541 118508 10759
+rect 118543 10541 119612 10759
+rect 119647 10541 120716 10759
+rect 115231 10403 115747 10507
+rect 115781 10437 116300 10541
+rect 116335 10403 116851 10507
+rect 116885 10437 117404 10541
+rect 117439 10403 117955 10507
+rect 117989 10437 118508 10541
+rect 118543 10403 119059 10507
+rect 119093 10437 119612 10541
+rect 119647 10403 120163 10507
+rect 120197 10437 120716 10541
+rect 120751 10526 120809 10759
+rect 120843 10541 121912 10759
+rect 121947 10541 123016 10759
+rect 123051 10541 124120 10759
+rect 124155 10541 124673 10759
+rect 124837 10614 124903 10759
+rect 120843 10403 121359 10507
+rect 121393 10437 121912 10541
+rect 121947 10403 122463 10507
+rect 122497 10437 123016 10541
+rect 123051 10403 123567 10507
+rect 123601 10437 124120 10541
+rect 124155 10403 124397 10507
+rect 124431 10437 124673 10541
+rect 81191 10249 81433 10399
+rect 81467 10249 81525 10394
+rect 81559 10249 82628 10403
+rect 82663 10249 83732 10403
+rect 83767 10249 84836 10403
+rect 84871 10249 85940 10403
+rect 85975 10249 87044 10403
+rect 87079 10249 87137 10394
+rect 87171 10249 88240 10403
+rect 88275 10249 89344 10403
+rect 89379 10249 90448 10403
+rect 90483 10249 91552 10403
+rect 91587 10249 92656 10403
+rect 92691 10249 92749 10394
+rect 92783 10249 93852 10403
+rect 93887 10249 94956 10403
+rect 94991 10249 96060 10403
+rect 96095 10249 97164 10403
+rect 97199 10249 98268 10403
+rect 98303 10249 98361 10394
+rect 98395 10249 99464 10403
+rect 99499 10249 100568 10403
+rect 100603 10249 101672 10403
+rect 101707 10249 102776 10403
+rect 102811 10249 103880 10403
+rect 103915 10249 103973 10394
+rect 104007 10249 105076 10403
+rect 105111 10249 106180 10403
+rect 106215 10249 107284 10403
+rect 107319 10249 108388 10403
+rect 108423 10249 109492 10403
+rect 109527 10249 109585 10394
+rect 109619 10249 110688 10403
+rect 110723 10249 111792 10403
+rect 111827 10249 112896 10403
+rect 112931 10249 114000 10403
+rect 114035 10249 115104 10403
+rect 115139 10249 115197 10394
+rect 115231 10249 116300 10403
+rect 116335 10249 117404 10403
+rect 117439 10249 118508 10403
+rect 118543 10249 119612 10403
+rect 119647 10249 120716 10403
+rect 120751 10249 120809 10394
+rect 120843 10249 121912 10403
+rect 121947 10249 123016 10403
+rect 123051 10249 124120 10403
+rect 124155 10249 124673 10403
+rect 124799 10283 124903 10580
+rect 124937 10415 125041 10725
+rect 125075 10541 125777 10759
+rect 125075 10403 125405 10507
+rect 125439 10437 125777 10541
+rect 125995 10541 126237 10759
+rect 125995 10433 126099 10541
+rect 124937 10249 125005 10381
+rect 125075 10249 125777 10403
+rect 126133 10399 126237 10507
+rect 125995 10249 126237 10399
+rect 8494 10215 126254 10249
+rect 8511 10065 8753 10215
+rect 8511 9957 8615 10065
+rect 8787 10061 9856 10215
+rect 9891 10061 10960 10215
+rect 10995 10061 11329 10215
+rect 11363 10070 11421 10215
+rect 11455 10061 12524 10215
+rect 12559 10061 13628 10215
+rect 13663 10061 14365 10215
+rect 8649 9923 8753 10031
+rect 8787 9957 9303 10061
+rect 9337 9923 9856 10027
+rect 9891 9957 10407 10061
+rect 10441 9923 10960 10027
+rect 10995 9957 11145 10061
+rect 11179 9923 11329 10027
+rect 11455 9957 11971 10061
+rect 8511 9705 8753 9923
+rect 8787 9705 9856 9923
+rect 9891 9705 10960 9923
+rect 10995 9705 11329 9923
+rect 11363 9705 11421 9938
+rect 12005 9923 12524 10027
+rect 12559 9957 13075 10061
+rect 13109 9923 13628 10027
+rect 13663 9957 13993 10061
+rect 14591 10051 14637 10215
+rect 14671 10055 14737 10181
+rect 14027 9923 14365 10027
+rect 14587 9967 14653 10017
+rect 14687 9935 14737 10055
+rect 14771 10051 14813 10215
+rect 14859 10061 15561 10215
+rect 15599 10113 15647 10215
+rect 14859 9957 15189 10061
+rect 11455 9705 12524 9923
+rect 12559 9705 13628 9923
+rect 13663 9705 14365 9923
+rect 14591 9705 14637 9933
+rect 14671 9739 14737 9935
+rect 14771 9705 14813 9933
+rect 15223 9923 15561 10027
+rect 15596 9967 15647 10079
+rect 15681 10067 15747 10174
+rect 15790 10067 15824 10215
+rect 15866 10085 15932 10179
+rect 15966 10119 16000 10215
+rect 16034 10085 16100 10179
+rect 16134 10119 16168 10215
+rect 15681 9933 15717 10067
+rect 15866 10051 16205 10085
+rect 15751 9967 15826 10033
+rect 15860 9983 16102 10017
+rect 15860 9933 15901 9983
+rect 16136 9933 16205 10051
+rect 16239 10061 16941 10215
+rect 16975 10070 17033 10215
+rect 17177 10105 17211 10181
+rect 17254 10139 17320 10215
+rect 17177 10071 17320 10105
+rect 16239 9957 16569 10061
+rect 14859 9705 15561 9923
+rect 15609 9899 15901 9933
+rect 16034 9899 16205 9933
+rect 16603 9923 16941 10027
+rect 17159 9961 17230 10035
+rect 17286 10033 17320 10071
+rect 17354 10066 17405 10181
+rect 17286 9967 17337 10033
+rect 15609 9739 15681 9899
+rect 16034 9865 16100 9899
+rect 15790 9705 15824 9865
+rect 15866 9831 16100 9865
+rect 15866 9739 15932 9831
+rect 15966 9705 16000 9797
+rect 16034 9739 16100 9831
+rect 16134 9705 16168 9865
+rect 16239 9705 16941 9923
+rect 16975 9705 17033 9938
+rect 17286 9925 17320 9967
+rect 17177 9891 17320 9925
+rect 17371 9920 17405 10066
+rect 17440 10047 17492 10215
+rect 17527 10061 18229 10215
+rect 18281 10105 18315 10163
+rect 18349 10139 18415 10215
+rect 18281 10071 18414 10105
+rect 17527 9957 17857 10061
+rect 17177 9739 17211 9891
+rect 17254 9705 17320 9857
+rect 17354 9739 17405 9920
+rect 17440 9705 17492 9935
+rect 17891 9923 18229 10027
+rect 17527 9705 18229 9923
+rect 18264 9907 18334 10037
+rect 18368 9873 18414 10071
+rect 18281 9839 18414 9873
+rect 18281 9739 18315 9839
+rect 18349 9705 18415 9805
+rect 18449 9739 18494 10163
+rect 18537 10123 18587 10215
+rect 18624 10125 18690 10159
+rect 18726 10125 18876 10159
+rect 18534 9899 18590 10019
+rect 18624 9819 18658 10125
+rect 18692 10025 18808 10091
+rect 18692 9851 18740 10025
+rect 18842 9969 18876 10125
+rect 18926 10115 19000 10215
+rect 19036 10125 19128 10159
+rect 19174 10125 19308 10159
+rect 19036 10079 19070 10125
+rect 18910 10019 19070 10079
+rect 19104 10025 19214 10091
+rect 18910 10013 18944 10019
+rect 18968 9969 19002 9983
+rect 18842 9935 19002 9969
+rect 18900 9917 19002 9935
+rect 18778 9830 18866 9901
+rect 18534 9705 18587 9797
+rect 18624 9744 18671 9819
+rect 18900 9794 18934 9917
+rect 18720 9760 18934 9794
+rect 18968 9705 19002 9865
+rect 19036 9805 19070 10019
+rect 19104 9839 19142 9983
+rect 19176 9917 19214 10025
+rect 19274 10017 19308 10125
+rect 19371 10109 19405 10215
+rect 19464 10085 19536 10173
+rect 19571 10119 19605 10215
+rect 19643 10086 19710 10171
+rect 19745 10120 19779 10215
+rect 19814 10086 19881 10171
+rect 19915 10120 19949 10215
+rect 19464 10051 19564 10085
+rect 19643 10052 19977 10086
+rect 19530 10017 19564 10051
+rect 19274 9983 19492 10017
+rect 19530 9983 19894 10017
+rect 19176 9851 19240 9917
+rect 19036 9739 19086 9805
+rect 19274 9799 19308 9983
+rect 19530 9932 19564 9983
+rect 19928 9939 19977 10052
+rect 20011 10061 20713 10215
+rect 20747 10065 20989 10215
+rect 20011 9957 20341 10061
+rect 19342 9898 19564 9932
+rect 19643 9905 19977 9939
+rect 20375 9923 20713 10027
+rect 20747 9957 20851 10065
+rect 21024 10051 21079 10215
+rect 21113 10087 21179 10181
+rect 21213 10121 21247 10215
+rect 21281 10087 21347 10181
+rect 21381 10121 21415 10215
+rect 21449 10087 21515 10181
+rect 21549 10121 21583 10215
+rect 21617 10087 21683 10181
+rect 21717 10121 21774 10215
+rect 21113 10051 21817 10087
+rect 20885 9923 20989 10031
+rect 21034 9977 21366 10017
+rect 21430 9977 21703 10017
+rect 21737 9943 21817 10051
+rect 21851 10061 22553 10215
+rect 22587 10070 22645 10215
+rect 22697 10105 22731 10163
+rect 22765 10139 22831 10215
+rect 22697 10071 22830 10105
+rect 21851 9957 22181 10061
+rect 19342 9866 19514 9898
+rect 19131 9765 19308 9799
+rect 19344 9705 19387 9805
+rect 19480 9741 19514 9866
+rect 19571 9705 19607 9861
+rect 19643 9753 19710 9905
+rect 19746 9705 19780 9871
+rect 19814 9753 19880 9905
+rect 19914 9705 19948 9871
+rect 20011 9705 20713 9923
+rect 20747 9705 20989 9923
+rect 21024 9899 21431 9941
+rect 21024 9739 21079 9899
+rect 21113 9705 21179 9865
+rect 21213 9739 21247 9899
+rect 21281 9705 21331 9865
+rect 21365 9773 21431 9899
+rect 21465 9883 21817 9943
+rect 22215 9923 22553 10027
+rect 21465 9807 21499 9883
+rect 21533 9773 21599 9845
+rect 21633 9807 21667 9883
+rect 21701 9773 21784 9849
+rect 21365 9739 21784 9773
+rect 21851 9705 22553 9923
+rect 22587 9705 22645 9938
+rect 22680 9907 22750 10037
+rect 22784 9873 22830 10071
+rect 22697 9839 22830 9873
+rect 22697 9739 22731 9839
+rect 22765 9705 22831 9805
+rect 22865 9739 22910 10163
+rect 22953 10123 23003 10215
+rect 23040 10125 23106 10159
+rect 23142 10125 23292 10159
+rect 22950 9899 23006 10019
+rect 23040 9819 23074 10125
+rect 23108 10025 23224 10091
+rect 23108 9851 23156 10025
+rect 23258 9969 23292 10125
+rect 23342 10115 23416 10215
+rect 23452 10125 23544 10159
+rect 23590 10125 23724 10159
+rect 23452 10079 23486 10125
+rect 23326 10019 23486 10079
+rect 23520 10025 23630 10091
+rect 23326 10013 23360 10019
+rect 23384 9969 23418 9983
+rect 23258 9935 23418 9969
+rect 23316 9917 23418 9935
+rect 23194 9830 23282 9901
+rect 22950 9705 23003 9797
+rect 23040 9744 23087 9819
+rect 23316 9794 23350 9917
+rect 23136 9760 23350 9794
+rect 23384 9705 23418 9865
+rect 23452 9805 23486 10019
+rect 23520 9839 23558 9983
+rect 23592 9917 23630 10025
+rect 23690 10017 23724 10125
+rect 23787 10109 23821 10215
+rect 23880 10085 23952 10173
+rect 23987 10119 24021 10215
+rect 24059 10086 24126 10171
+rect 24161 10120 24195 10215
+rect 24230 10086 24297 10171
+rect 24331 10120 24365 10215
+rect 23880 10051 23980 10085
+rect 24059 10052 24393 10086
+rect 23946 10017 23980 10051
+rect 23690 9983 23908 10017
+rect 23946 9983 24310 10017
+rect 23592 9851 23656 9917
+rect 23452 9739 23502 9805
+rect 23690 9799 23724 9983
+rect 23946 9932 23980 9983
+rect 24344 9939 24393 10052
+rect 24427 10061 25129 10215
+rect 25181 10105 25215 10163
+rect 25249 10139 25315 10215
+rect 25181 10071 25314 10105
+rect 24427 9957 24757 10061
+rect 23758 9898 23980 9932
+rect 24059 9905 24393 9939
+rect 24791 9923 25129 10027
+rect 23758 9866 23930 9898
+rect 23547 9765 23724 9799
+rect 23760 9705 23803 9805
+rect 23896 9741 23930 9866
+rect 23987 9705 24023 9861
+rect 24059 9753 24126 9905
+rect 24162 9705 24196 9871
+rect 24230 9753 24296 9905
+rect 24330 9705 24364 9871
+rect 24427 9705 25129 9923
+rect 25164 9907 25234 10037
+rect 25268 9873 25314 10071
+rect 25181 9839 25314 9873
+rect 25181 9739 25215 9839
+rect 25249 9705 25315 9805
+rect 25349 9739 25394 10163
+rect 25437 10123 25487 10215
+rect 25524 10125 25590 10159
+rect 25626 10125 25776 10159
+rect 25434 9899 25490 10019
+rect 25524 9819 25558 10125
+rect 25592 10025 25708 10091
+rect 25592 9851 25640 10025
+rect 25742 9969 25776 10125
+rect 25826 10115 25900 10215
+rect 25936 10125 26028 10159
+rect 26074 10125 26208 10159
+rect 25936 10079 25970 10125
+rect 25810 10019 25970 10079
+rect 26004 10025 26114 10091
+rect 25810 10013 25844 10019
+rect 25868 9969 25902 9983
+rect 25742 9935 25902 9969
+rect 25800 9917 25902 9935
+rect 25678 9830 25766 9901
+rect 25434 9705 25487 9797
+rect 25524 9744 25571 9819
+rect 25800 9794 25834 9917
+rect 25620 9760 25834 9794
+rect 25868 9705 25902 9865
+rect 25936 9805 25970 10019
+rect 26004 9839 26042 9983
+rect 26076 9917 26114 10025
+rect 26174 10017 26208 10125
+rect 26271 10109 26305 10215
+rect 26364 10085 26436 10173
+rect 26471 10119 26505 10215
+rect 26543 10086 26610 10171
+rect 26645 10120 26679 10215
+rect 26714 10086 26781 10171
+rect 26815 10120 26849 10215
+rect 26364 10051 26464 10085
+rect 26543 10052 26877 10086
+rect 26430 10017 26464 10051
+rect 26174 9983 26392 10017
+rect 26430 9983 26794 10017
+rect 26076 9851 26140 9917
+rect 25936 9739 25986 9805
+rect 26174 9799 26208 9983
+rect 26430 9932 26464 9983
+rect 26828 9939 26877 10052
+rect 26911 10061 27980 10215
+rect 28199 10070 28257 10215
+rect 28309 10105 28343 10163
+rect 28377 10139 28443 10215
+rect 28309 10071 28442 10105
+rect 26911 9957 27427 10061
+rect 26242 9898 26464 9932
+rect 26543 9905 26877 9939
+rect 27461 9923 27980 10027
+rect 26242 9866 26414 9898
+rect 26031 9765 26208 9799
+rect 26244 9705 26287 9805
+rect 26380 9741 26414 9866
+rect 26471 9705 26507 9861
+rect 26543 9753 26610 9905
+rect 26646 9705 26680 9871
+rect 26714 9753 26780 9905
+rect 26814 9705 26848 9871
+rect 26911 9705 27980 9923
+rect 28199 9705 28257 9938
+rect 28292 9907 28362 10037
+rect 28396 9873 28442 10071
+rect 28309 9839 28442 9873
+rect 28309 9739 28343 9839
+rect 28377 9705 28443 9805
+rect 28477 9739 28522 10163
+rect 28565 10123 28615 10215
+rect 28652 10125 28718 10159
+rect 28754 10125 28904 10159
+rect 28562 9899 28618 10019
+rect 28652 9819 28686 10125
+rect 28720 10025 28836 10091
+rect 28720 9851 28768 10025
+rect 28870 9969 28904 10125
+rect 28954 10115 29028 10215
+rect 29064 10125 29156 10159
+rect 29202 10125 29336 10159
+rect 29064 10079 29098 10125
+rect 28938 10019 29098 10079
+rect 29132 10025 29242 10091
+rect 28938 10013 28972 10019
+rect 28996 9969 29030 9983
+rect 28870 9935 29030 9969
+rect 28928 9917 29030 9935
+rect 28806 9830 28894 9901
+rect 28562 9705 28615 9797
+rect 28652 9744 28699 9819
+rect 28928 9794 28962 9917
+rect 28748 9760 28962 9794
+rect 28996 9705 29030 9865
+rect 29064 9805 29098 10019
+rect 29132 9839 29170 9983
+rect 29204 9917 29242 10025
+rect 29302 10017 29336 10125
+rect 29399 10109 29433 10215
+rect 29492 10085 29564 10173
+rect 29599 10119 29633 10215
+rect 29773 10120 29807 10215
+rect 29943 10120 29977 10215
+rect 29492 10051 29592 10085
+rect 29558 10017 29592 10051
+rect 29302 9983 29520 10017
+rect 29558 9983 29922 10017
+rect 29204 9851 29268 9917
+rect 29064 9739 29114 9805
+rect 29302 9799 29336 9983
+rect 29558 9932 29592 9983
+rect 30039 10061 30741 10215
+rect 30793 10105 30827 10163
+rect 30861 10139 30927 10215
+rect 30793 10071 30926 10105
+rect 30039 9957 30369 10061
+rect 29370 9898 29592 9932
+rect 30403 9923 30741 10027
+rect 29370 9866 29542 9898
+rect 29159 9765 29336 9799
+rect 29372 9705 29415 9805
+rect 29508 9741 29542 9866
+rect 29599 9705 29635 9861
+rect 29774 9705 29808 9871
+rect 29942 9705 29976 9871
+rect 30039 9705 30741 9923
+rect 30776 9907 30846 10037
+rect 30880 9873 30926 10071
+rect 30793 9839 30926 9873
+rect 30793 9739 30827 9839
+rect 30861 9705 30927 9805
+rect 30961 9739 31006 10163
+rect 31049 10123 31099 10215
+rect 31136 10125 31202 10159
+rect 31238 10125 31388 10159
+rect 31046 9899 31102 10019
+rect 31136 9819 31170 10125
+rect 31204 10025 31320 10091
+rect 31204 9851 31252 10025
+rect 31354 9969 31388 10125
+rect 31438 10115 31512 10215
+rect 31548 10125 31640 10159
+rect 31686 10125 31820 10159
+rect 31548 10079 31582 10125
+rect 31422 10019 31582 10079
+rect 31616 10025 31726 10091
+rect 31422 10013 31456 10019
+rect 31480 9969 31514 9983
+rect 31354 9935 31514 9969
+rect 31412 9917 31514 9935
+rect 31290 9830 31378 9901
+rect 31046 9705 31099 9797
+rect 31136 9744 31183 9819
+rect 31412 9794 31446 9917
+rect 31232 9760 31446 9794
+rect 31480 9705 31514 9865
+rect 31548 9805 31582 10019
+rect 31616 9839 31654 9983
+rect 31688 9917 31726 10025
+rect 31786 10017 31820 10125
+rect 31883 10109 31917 10215
+rect 31976 10085 32048 10173
+rect 32083 10119 32117 10215
+rect 32155 10086 32222 10171
+rect 32257 10120 32291 10215
+rect 32326 10086 32393 10171
+rect 32427 10120 32461 10215
+rect 31976 10051 32076 10085
+rect 32155 10052 32489 10086
+rect 32042 10017 32076 10051
+rect 31786 9983 32004 10017
+rect 32042 9983 32406 10017
+rect 31688 9851 31752 9917
+rect 31548 9739 31598 9805
+rect 31786 9799 31820 9983
+rect 32042 9932 32076 9983
+rect 32440 9939 32489 10052
+rect 32523 10061 33592 10215
+rect 33811 10070 33869 10215
+rect 33921 10105 33955 10163
+rect 33989 10139 34055 10215
+rect 33921 10071 34054 10105
+rect 32523 9957 33039 10061
+rect 31854 9898 32076 9932
+rect 32155 9905 32489 9939
+rect 33073 9923 33592 10027
+rect 31854 9866 32026 9898
+rect 31643 9765 31820 9799
+rect 31856 9705 31899 9805
+rect 31992 9741 32026 9866
+rect 32083 9705 32119 9861
+rect 32155 9753 32222 9905
+rect 32258 9705 32292 9871
+rect 32326 9753 32392 9905
+rect 32426 9705 32460 9871
+rect 32523 9705 33592 9923
+rect 33811 9705 33869 9938
+rect 33904 9907 33974 10037
+rect 34008 9873 34054 10071
+rect 33921 9839 34054 9873
+rect 33921 9739 33955 9839
+rect 33989 9705 34055 9805
+rect 34089 9739 34134 10163
+rect 34177 10123 34227 10215
+rect 34264 10125 34330 10159
+rect 34366 10125 34516 10159
+rect 34174 9899 34230 10019
+rect 34264 9819 34298 10125
+rect 34332 10025 34448 10091
+rect 34332 9851 34380 10025
+rect 34482 9969 34516 10125
+rect 34566 10115 34640 10215
+rect 34676 10125 34768 10159
+rect 34814 10125 34948 10159
+rect 34676 10079 34710 10125
+rect 34550 10019 34710 10079
+rect 34744 10025 34854 10091
+rect 34550 10013 34584 10019
+rect 34608 9969 34642 9983
+rect 34482 9935 34642 9969
+rect 34540 9917 34642 9935
+rect 34418 9830 34506 9901
+rect 34174 9705 34227 9797
+rect 34264 9744 34311 9819
+rect 34540 9794 34574 9917
+rect 34360 9760 34574 9794
+rect 34608 9705 34642 9865
+rect 34676 9805 34710 10019
+rect 34744 9839 34782 9983
+rect 34816 9917 34854 10025
+rect 34914 10017 34948 10125
+rect 35011 10109 35045 10215
+rect 35104 10085 35176 10173
+rect 35211 10119 35245 10215
+rect 35283 10086 35350 10171
+rect 35385 10120 35419 10215
+rect 35454 10086 35521 10171
+rect 35555 10120 35589 10215
+rect 35104 10051 35204 10085
+rect 35283 10052 35617 10086
+rect 35170 10017 35204 10051
+rect 34914 9983 35132 10017
+rect 35170 9983 35534 10017
+rect 34816 9851 34880 9917
+rect 34676 9739 34726 9805
+rect 34914 9799 34948 9983
+rect 35170 9932 35204 9983
+rect 35568 9939 35617 10052
+rect 35651 10061 36353 10215
+rect 36405 10105 36439 10163
+rect 36473 10139 36539 10215
+rect 36405 10071 36538 10105
+rect 35651 9957 35981 10061
+rect 34982 9898 35204 9932
+rect 35283 9905 35617 9939
+rect 36015 9923 36353 10027
+rect 34982 9866 35154 9898
+rect 34771 9765 34948 9799
+rect 34984 9705 35027 9805
+rect 35120 9741 35154 9866
+rect 35211 9705 35247 9861
+rect 35283 9753 35350 9905
+rect 35386 9705 35420 9871
+rect 35454 9753 35520 9905
+rect 35554 9705 35588 9871
+rect 35651 9705 36353 9923
+rect 36388 9907 36458 10037
+rect 36492 9873 36538 10071
+rect 36405 9839 36538 9873
+rect 36405 9739 36439 9839
+rect 36473 9705 36539 9805
+rect 36573 9739 36618 10163
+rect 36661 10123 36711 10215
+rect 36748 10125 36814 10159
+rect 36850 10125 37000 10159
+rect 36658 9899 36714 10019
+rect 36748 9819 36782 10125
+rect 36816 10025 36932 10091
+rect 36816 9851 36864 10025
+rect 36966 9969 37000 10125
+rect 37050 10115 37124 10215
+rect 37160 10125 37252 10159
+rect 37298 10125 37432 10159
+rect 37160 10079 37194 10125
+rect 37034 10019 37194 10079
+rect 37228 10025 37338 10091
+rect 37034 10013 37068 10019
+rect 37092 9969 37126 9983
+rect 36966 9935 37126 9969
+rect 37024 9917 37126 9935
+rect 36902 9830 36990 9901
+rect 36658 9705 36711 9797
+rect 36748 9744 36795 9819
+rect 37024 9794 37058 9917
+rect 36844 9760 37058 9794
+rect 37092 9705 37126 9865
+rect 37160 9805 37194 10019
+rect 37228 9839 37266 9983
+rect 37300 9917 37338 10025
+rect 37398 10017 37432 10125
+rect 37495 10109 37529 10215
+rect 37588 10085 37660 10173
+rect 37695 10119 37729 10215
+rect 37767 10086 37834 10171
+rect 37869 10120 37903 10215
+rect 37938 10086 38005 10171
+rect 38039 10120 38073 10215
+rect 37588 10051 37688 10085
+rect 37767 10052 38101 10086
+rect 37654 10017 37688 10051
+rect 37398 9983 37616 10017
+rect 37654 9983 38018 10017
+rect 37300 9851 37364 9917
+rect 37160 9739 37210 9805
+rect 37398 9799 37432 9983
+rect 37654 9932 37688 9983
+rect 38052 9939 38101 10052
+rect 38135 10061 38837 10215
+rect 38871 10080 38923 10181
+rect 38959 10139 39025 10215
+rect 39061 10105 39095 10181
+rect 38135 9957 38465 10061
+rect 37466 9898 37688 9932
+rect 37767 9905 38101 9939
+rect 38499 9923 38837 10027
+rect 37466 9866 37638 9898
+rect 37255 9765 37432 9799
+rect 37468 9705 37511 9805
+rect 37604 9741 37638 9866
+rect 37695 9705 37731 9861
+rect 37767 9753 37834 9905
+rect 37870 9705 37904 9871
+rect 37938 9753 38004 9905
+rect 38038 9705 38072 9871
+rect 38135 9705 38837 9923
+rect 38871 9920 38905 10080
+rect 38962 10071 39095 10105
+rect 38962 10020 38996 10071
+rect 39147 10065 39389 10215
+rect 39423 10070 39481 10215
+rect 39533 10105 39567 10163
+rect 39601 10139 39667 10215
+rect 39533 10071 39666 10105
+rect 38939 9954 38996 10020
+rect 39043 9961 39109 10035
+rect 39147 9957 39251 10065
+rect 38962 9925 38996 9954
+rect 38871 9739 38925 9920
+rect 38962 9891 39095 9925
+rect 39285 9923 39389 10031
+rect 38959 9705 39025 9857
+rect 39061 9739 39095 9891
+rect 39147 9705 39389 9923
+rect 39423 9705 39481 9938
+rect 39516 9907 39586 10037
+rect 39620 9873 39666 10071
+rect 39533 9839 39666 9873
+rect 39533 9739 39567 9839
+rect 39601 9705 39667 9805
+rect 39701 9739 39746 10163
+rect 39789 10123 39839 10215
+rect 39876 10125 39942 10159
+rect 39978 10125 40128 10159
+rect 39786 9899 39842 10019
+rect 39876 9819 39910 10125
+rect 39944 10025 40060 10091
+rect 39944 9851 39992 10025
+rect 40094 9969 40128 10125
+rect 40178 10115 40252 10215
+rect 40288 10125 40380 10159
+rect 40426 10125 40560 10159
+rect 40288 10079 40322 10125
+rect 40162 10019 40322 10079
+rect 40356 10025 40466 10091
+rect 40162 10013 40196 10019
+rect 40220 9969 40254 9983
+rect 40094 9935 40254 9969
+rect 40152 9917 40254 9935
+rect 40030 9830 40118 9901
+rect 39786 9705 39839 9797
+rect 39876 9744 39923 9819
+rect 40152 9794 40186 9917
+rect 39972 9760 40186 9794
+rect 40220 9705 40254 9865
+rect 40288 9805 40322 10019
+rect 40356 9839 40394 9983
+rect 40428 9917 40466 10025
+rect 40526 10017 40560 10125
+rect 40623 10109 40657 10215
+rect 40716 10085 40788 10173
+rect 40823 10119 40857 10215
+rect 40895 10086 40962 10171
+rect 40997 10120 41031 10215
+rect 41066 10086 41133 10171
+rect 41167 10120 41201 10215
+rect 40716 10051 40816 10085
+rect 40895 10052 41229 10086
+rect 40782 10017 40816 10051
+rect 40526 9983 40744 10017
+rect 40782 9983 41146 10017
+rect 40428 9851 40492 9917
+rect 40288 9739 40338 9805
+rect 40526 9799 40560 9983
+rect 40782 9932 40816 9983
+rect 41180 9939 41229 10052
+rect 41263 10061 41965 10215
+rect 41263 9957 41593 10061
+rect 42017 10053 42051 10215
+rect 42085 10137 42319 10181
+rect 42085 10051 42135 10137
+rect 42353 10121 42387 10215
+rect 42169 10085 42236 10103
+rect 42421 10085 42487 10181
+rect 42521 10121 42659 10215
+rect 42693 10087 42759 10181
+rect 42793 10121 42827 10215
+rect 42861 10087 42927 10181
+rect 42169 10051 42487 10085
+rect 42573 10051 42927 10087
+rect 42961 10053 42995 10215
+rect 43029 10087 43095 10177
+rect 43129 10121 43163 10215
+rect 43197 10087 43263 10177
+rect 43297 10121 43331 10215
+rect 43029 10051 43366 10087
+rect 40594 9898 40816 9932
+rect 40895 9905 41229 9939
+rect 41627 9923 41965 10027
+rect 40594 9866 40766 9898
+rect 40383 9765 40560 9799
+rect 40596 9705 40639 9805
+rect 40732 9741 40766 9866
+rect 40823 9705 40859 9861
+rect 40895 9753 40962 9905
+rect 40998 9705 41032 9871
+rect 41066 9753 41132 9905
+rect 41166 9705 41200 9871
+rect 41263 9705 41965 9923
+rect 41999 9943 42097 10017
+rect 42143 9977 42251 10017
+rect 42285 9983 42361 10017
+rect 42285 9943 42319 9983
+rect 41999 9909 42319 9943
+rect 42429 9949 42471 10051
+rect 42573 10017 42611 10051
+rect 42505 9983 42611 10017
+rect 42353 9875 42395 9941
+rect 42009 9841 42395 9875
+rect 42009 9739 42059 9841
+rect 42093 9705 42143 9807
+rect 42177 9739 42227 9841
+rect 42261 9705 42311 9807
+rect 42345 9773 42395 9841
+rect 42429 9839 42506 9949
+rect 42573 9933 42611 9983
+rect 42645 9967 42711 10017
+rect 42745 9977 42869 10017
+rect 42677 9943 42711 9967
+rect 42903 9943 42975 10017
+rect 42573 9899 42643 9933
+rect 42677 9909 42975 9943
+rect 43009 9983 43270 10017
+rect 43009 9909 43074 9983
+rect 43304 9949 43366 10051
+rect 43471 10061 44540 10215
+rect 44575 10061 44909 10215
+rect 45035 10070 45093 10215
+rect 45127 10061 45829 10215
+rect 46031 10157 46098 10181
+rect 46031 10119 46283 10157
+rect 46319 10143 46385 10215
+rect 46500 10143 46566 10215
+rect 46031 10071 46098 10119
+rect 46245 10109 46283 10119
+rect 46428 10109 46466 10129
+rect 46600 10109 46638 10181
+rect 46672 10143 46738 10215
+rect 43471 9957 43987 10061
+rect 42609 9875 42643 9899
+rect 43205 9901 43366 9949
+rect 44021 9923 44540 10027
+rect 44575 9957 44725 10061
+rect 44759 9923 44909 10027
+rect 45127 9957 45457 10061
+rect 43205 9875 43255 9901
+rect 42609 9839 42835 9875
+rect 42429 9807 42479 9839
+rect 42785 9807 42835 9839
+rect 42513 9773 42563 9805
+rect 42345 9739 42563 9773
+rect 42617 9705 42667 9805
+rect 42701 9773 42751 9805
+rect 42869 9773 42919 9875
+rect 42701 9739 42919 9773
+rect 42953 9705 43003 9873
+rect 43037 9841 43255 9875
+rect 43037 9739 43087 9841
+rect 43121 9705 43171 9799
+rect 43205 9739 43255 9841
+rect 43289 9705 43339 9867
+rect 43471 9705 44540 9923
+rect 44575 9705 44909 9923
+rect 45035 9705 45093 9938
+rect 45491 9923 45829 10027
+rect 45127 9705 45829 9923
+rect 45961 9964 46113 10033
+rect 46151 9964 46209 10083
+rect 46245 10071 46394 10109
+rect 46243 9967 46318 10033
+rect 46352 10028 46394 10071
+rect 46428 10063 46749 10109
+rect 45961 9765 46011 9964
+rect 46352 9961 46659 10028
+rect 46352 9916 46394 9961
+rect 46693 9925 46749 10063
+rect 46783 10061 47485 10215
+rect 46783 9957 47113 10061
+rect 47537 10053 47571 10215
+rect 47605 10137 47839 10181
+rect 47605 10051 47655 10137
+rect 47873 10121 47907 10215
+rect 47689 10085 47756 10103
+rect 47941 10085 48007 10181
+rect 48041 10121 48179 10215
+rect 48213 10087 48279 10181
+rect 48313 10121 48347 10215
+rect 48381 10087 48447 10181
+rect 47689 10051 48007 10085
+rect 48093 10051 48447 10087
+rect 48481 10053 48515 10215
+rect 48549 10087 48615 10177
+rect 48649 10121 48683 10215
+rect 48717 10087 48783 10177
+rect 48817 10121 48851 10215
+rect 48549 10051 48886 10087
+rect 46047 9882 46394 9916
+rect 46047 9739 46083 9882
+rect 46117 9705 46207 9845
+rect 46242 9739 46278 9882
+rect 46428 9880 46749 9925
+rect 47147 9923 47485 10027
+rect 46320 9705 46386 9845
+rect 46428 9807 46466 9880
+rect 46600 9879 46749 9880
+rect 46427 9773 46466 9807
+rect 46428 9739 46466 9773
+rect 46500 9705 46566 9845
+rect 46600 9739 46638 9879
+rect 46672 9705 46738 9845
+rect 46783 9705 47485 9923
+rect 47519 9943 47617 10017
+rect 47663 9977 47771 10017
+rect 47805 9983 47881 10017
+rect 47805 9943 47839 9983
+rect 47519 9909 47839 9943
+rect 47949 9949 47991 10051
+rect 48093 10017 48131 10051
+rect 48025 9983 48131 10017
+rect 47873 9875 47915 9941
+rect 47529 9841 47915 9875
+rect 47529 9739 47579 9841
+rect 47613 9705 47663 9807
+rect 47697 9739 47747 9841
+rect 47781 9705 47831 9807
+rect 47865 9773 47915 9841
+rect 47949 9839 48026 9949
+rect 48093 9933 48131 9983
+rect 48165 9967 48231 10017
+rect 48265 9977 48389 10017
+rect 48197 9943 48231 9967
+rect 48423 9943 48495 10017
+rect 48093 9899 48163 9933
+rect 48197 9909 48495 9943
+rect 48529 9983 48790 10017
+rect 48529 9909 48594 9983
+rect 48824 9949 48886 10051
+rect 48991 10061 50060 10215
+rect 50095 10061 50613 10215
+rect 50647 10070 50705 10215
+rect 48991 9957 49507 10061
+rect 48129 9875 48163 9899
+rect 48725 9901 48886 9949
+rect 49541 9923 50060 10027
+rect 50095 9957 50337 10061
+rect 50757 10053 50791 10215
+rect 50825 10137 51059 10181
+rect 50825 10051 50875 10137
+rect 51093 10121 51127 10215
+rect 50909 10085 50976 10103
+rect 51161 10085 51227 10181
+rect 51261 10121 51399 10215
+rect 51433 10087 51499 10181
+rect 51533 10121 51567 10215
+rect 51601 10087 51667 10181
+rect 50909 10051 51227 10085
+rect 51313 10051 51667 10087
+rect 51701 10053 51735 10215
+rect 51769 10087 51835 10177
+rect 51869 10121 51903 10215
+rect 51937 10087 52003 10177
+rect 52037 10121 52071 10215
+rect 51769 10051 52106 10087
+rect 50371 9923 50613 10027
+rect 50739 9943 50837 10017
+rect 50883 9977 50991 10017
+rect 51025 9983 51101 10017
+rect 51025 9943 51059 9983
+rect 48725 9875 48775 9901
+rect 48129 9839 48355 9875
+rect 47949 9807 47999 9839
+rect 48305 9807 48355 9839
+rect 48033 9773 48083 9805
+rect 47865 9739 48083 9773
+rect 48137 9705 48187 9805
+rect 48221 9773 48271 9805
+rect 48389 9773 48439 9875
+rect 48221 9739 48439 9773
+rect 48473 9705 48523 9873
+rect 48557 9841 48775 9875
+rect 48557 9739 48607 9841
+rect 48641 9705 48691 9799
+rect 48725 9739 48775 9841
+rect 48809 9705 48859 9867
+rect 48991 9705 50060 9923
+rect 50095 9705 50613 9923
+rect 50647 9705 50705 9938
+rect 50739 9909 51059 9943
+rect 51169 9949 51211 10051
+rect 51313 10017 51351 10051
+rect 51245 9983 51351 10017
+rect 51093 9875 51135 9941
+rect 50749 9841 51135 9875
+rect 50749 9739 50799 9841
+rect 50833 9705 50883 9807
+rect 50917 9739 50967 9841
+rect 51001 9705 51051 9807
+rect 51085 9773 51135 9841
+rect 51169 9839 51246 9949
+rect 51313 9933 51351 9983
+rect 51385 9967 51451 10017
+rect 51485 9977 51609 10017
+rect 51417 9943 51451 9967
+rect 51643 9943 51715 10017
+rect 51313 9899 51383 9933
+rect 51417 9909 51715 9943
+rect 51749 9983 52010 10017
+rect 51749 9909 51814 9983
+rect 52044 9949 52106 10051
+rect 52211 10061 52913 10215
+rect 52965 10105 52999 10181
+rect 53042 10139 53108 10215
+rect 52965 10071 53108 10105
+rect 52211 9957 52541 10061
+rect 51349 9875 51383 9899
+rect 51945 9901 52106 9949
+rect 52575 9923 52913 10027
+rect 52947 9961 53018 10035
+rect 53074 10033 53108 10071
+rect 53142 10066 53193 10181
+rect 53074 9967 53125 10033
+rect 53074 9925 53108 9967
+rect 51945 9875 51995 9901
+rect 51349 9839 51575 9875
+rect 51169 9807 51219 9839
+rect 51525 9807 51575 9839
+rect 51253 9773 51303 9805
+rect 51085 9739 51303 9773
+rect 51357 9705 51407 9805
+rect 51441 9773 51491 9805
+rect 51609 9773 51659 9875
+rect 51441 9739 51659 9773
+rect 51693 9705 51743 9873
+rect 51777 9841 51995 9875
+rect 51777 9739 51827 9841
+rect 51861 9705 51911 9799
+rect 51945 9739 51995 9841
+rect 52029 9705 52079 9867
+rect 52211 9705 52913 9923
+rect 52965 9891 53108 9925
+rect 53159 9920 53193 10066
+rect 53228 10047 53280 10215
+rect 53315 10061 54017 10215
+rect 53315 9957 53645 10061
+rect 54069 10053 54103 10215
+rect 54137 10137 54371 10181
+rect 54137 10051 54187 10137
+rect 54405 10121 54439 10215
+rect 54221 10085 54288 10103
+rect 54473 10085 54539 10181
+rect 54573 10121 54711 10215
+rect 54745 10087 54811 10181
+rect 54845 10121 54879 10215
+rect 54913 10087 54979 10181
+rect 54221 10051 54539 10085
+rect 54625 10051 54979 10087
+rect 55013 10053 55047 10215
+rect 55081 10087 55147 10177
+rect 55181 10121 55215 10215
+rect 55249 10087 55315 10177
+rect 55349 10121 55383 10215
+rect 55081 10051 55418 10087
+rect 52965 9739 52999 9891
+rect 53042 9705 53108 9857
+rect 53142 9739 53193 9920
+rect 53228 9705 53280 9935
+rect 53679 9923 54017 10027
+rect 53315 9705 54017 9923
+rect 54051 9943 54149 10017
+rect 54195 9977 54303 10017
+rect 54337 9983 54413 10017
+rect 54337 9943 54371 9983
+rect 54051 9909 54371 9943
+rect 54481 9949 54523 10051
+rect 54625 10017 54663 10051
+rect 54557 9983 54663 10017
+rect 54405 9875 54447 9941
+rect 54061 9841 54447 9875
+rect 54061 9739 54111 9841
+rect 54145 9705 54195 9807
+rect 54229 9739 54279 9841
+rect 54313 9705 54363 9807
+rect 54397 9773 54447 9841
+rect 54481 9839 54558 9949
+rect 54625 9933 54663 9983
+rect 54697 9967 54763 10017
+rect 54797 9977 54921 10017
+rect 54729 9943 54763 9967
+rect 54955 9943 55027 10017
+rect 54625 9899 54695 9933
+rect 54729 9909 55027 9943
+rect 55061 9983 55322 10017
+rect 55061 9909 55126 9983
+rect 55356 9949 55418 10051
+rect 55523 10061 56225 10215
+rect 56259 10070 56317 10215
+rect 55523 9957 55853 10061
+rect 56352 10051 56407 10215
+rect 56441 10087 56507 10181
+rect 56541 10121 56575 10215
+rect 56609 10087 56675 10181
+rect 56709 10121 56743 10215
+rect 56777 10087 56843 10181
+rect 56877 10121 56911 10215
+rect 56945 10087 57011 10181
+rect 57045 10121 57102 10215
+rect 56441 10051 57145 10087
+rect 54661 9875 54695 9899
+rect 55257 9901 55418 9949
+rect 55887 9923 56225 10027
+rect 56362 9977 56694 10017
+rect 56758 9977 57031 10017
+rect 57065 9943 57145 10051
+rect 57179 10061 57881 10215
+rect 57179 9957 57509 10061
+rect 57933 10053 57967 10215
+rect 58001 10137 58235 10181
+rect 58001 10051 58051 10137
+rect 58269 10121 58303 10215
+rect 58085 10085 58152 10103
+rect 58337 10085 58403 10181
+rect 58437 10121 58575 10215
+rect 58609 10087 58675 10181
+rect 58709 10121 58743 10215
+rect 58777 10087 58843 10181
+rect 58085 10051 58403 10085
+rect 58489 10051 58843 10087
+rect 58877 10053 58911 10215
+rect 58945 10087 59011 10177
+rect 59045 10121 59079 10215
+rect 59113 10087 59179 10177
+rect 59213 10121 59247 10215
+rect 58945 10051 59282 10087
+rect 55257 9875 55307 9901
+rect 54661 9839 54887 9875
+rect 54481 9807 54531 9839
+rect 54837 9807 54887 9839
+rect 54565 9773 54615 9805
+rect 54397 9739 54615 9773
+rect 54669 9705 54719 9805
+rect 54753 9773 54803 9805
+rect 54921 9773 54971 9875
+rect 54753 9739 54971 9773
+rect 55005 9705 55055 9873
+rect 55089 9841 55307 9875
+rect 55089 9739 55139 9841
+rect 55173 9705 55223 9799
+rect 55257 9739 55307 9841
+rect 55341 9705 55391 9867
+rect 55523 9705 56225 9923
+rect 56259 9705 56317 9938
+rect 56352 9899 56759 9941
+rect 56352 9739 56407 9899
+rect 56441 9705 56507 9865
+rect 56541 9739 56575 9899
+rect 56609 9705 56659 9865
+rect 56693 9773 56759 9899
+rect 56793 9883 57145 9943
+rect 57543 9923 57881 10027
+rect 56793 9807 56827 9883
+rect 56861 9773 56927 9845
+rect 56961 9807 56995 9883
+rect 57029 9773 57112 9849
+rect 56693 9739 57112 9773
+rect 57179 9705 57881 9923
+rect 57915 9943 58013 10017
+rect 58059 9977 58167 10017
+rect 58201 9983 58277 10017
+rect 58201 9943 58235 9983
+rect 57915 9909 58235 9943
+rect 58345 9949 58387 10051
+rect 58489 10017 58527 10051
+rect 59215 10045 59282 10051
+rect 58421 9983 58527 10017
+rect 58269 9875 58311 9941
+rect 57925 9841 58311 9875
+rect 57925 9739 57975 9841
+rect 58009 9705 58059 9807
+rect 58093 9739 58143 9841
+rect 58177 9705 58227 9807
+rect 58261 9773 58311 9841
+rect 58345 9839 58422 9949
+rect 58489 9933 58527 9983
+rect 58561 9967 58627 10017
+rect 58661 9977 58785 10017
+rect 58593 9943 58627 9967
+rect 58819 9943 58891 10017
+rect 58489 9899 58559 9933
+rect 58593 9909 58891 9943
+rect 58925 9983 59186 10017
+rect 58925 9909 58990 9983
+rect 59220 9949 59282 10045
+rect 59387 10061 60089 10215
+rect 59387 9957 59717 10061
+rect 60124 10051 60179 10215
+rect 60213 10087 60279 10181
+rect 60313 10121 60347 10215
+rect 60381 10087 60447 10181
+rect 60481 10121 60515 10215
+rect 60549 10087 60615 10181
+rect 60649 10121 60683 10215
+rect 60717 10087 60783 10181
+rect 60817 10121 60874 10215
+rect 60213 10051 60917 10087
+rect 58525 9875 58559 9899
+rect 59121 9901 59282 9949
+rect 59751 9923 60089 10027
+rect 60134 9977 60466 10017
+rect 60530 9977 60803 10017
+rect 60837 9943 60917 10051
+rect 60951 10061 61653 10215
+rect 61871 10070 61929 10215
+rect 61963 10061 62665 10215
+rect 60951 9957 61281 10061
+rect 59121 9875 59171 9901
+rect 58525 9839 58751 9875
+rect 58345 9807 58395 9839
+rect 58701 9807 58751 9839
+rect 58429 9773 58479 9805
+rect 58261 9739 58479 9773
+rect 58533 9705 58583 9805
+rect 58617 9773 58667 9805
+rect 58785 9773 58835 9875
+rect 58617 9739 58835 9773
+rect 58869 9705 58919 9873
+rect 58953 9841 59171 9875
+rect 58953 9739 59003 9841
+rect 59037 9705 59087 9799
+rect 59121 9739 59171 9841
+rect 59205 9705 59255 9867
+rect 59387 9705 60089 9923
+rect 60124 9899 60531 9941
+rect 60124 9739 60179 9899
+rect 60213 9705 60279 9865
+rect 60313 9739 60347 9899
+rect 60381 9705 60431 9865
+rect 60465 9773 60531 9899
+rect 60565 9883 60917 9943
+rect 61315 9923 61653 10027
+rect 61963 9957 62293 10061
+rect 62884 10051 62939 10215
+rect 62973 10087 63039 10181
+rect 63073 10121 63107 10215
+rect 63141 10087 63207 10181
+rect 63241 10121 63275 10215
+rect 63309 10087 63375 10181
+rect 63409 10121 63443 10215
+rect 63477 10087 63543 10181
+rect 63577 10121 63634 10215
+rect 62973 10051 63677 10087
+rect 60565 9807 60599 9883
+rect 60633 9773 60699 9845
+rect 60733 9807 60767 9883
+rect 60801 9773 60884 9849
+rect 60465 9739 60884 9773
+rect 60951 9705 61653 9923
+rect 61871 9705 61929 9938
+rect 62327 9923 62665 10027
+rect 62894 9977 63226 10017
+rect 63290 9977 63563 10017
+rect 63597 9943 63677 10051
+rect 63711 10061 64413 10215
+rect 63711 9957 64041 10061
+rect 64649 10053 64683 10215
+rect 64717 10137 64951 10181
+rect 64717 10051 64767 10137
+rect 64985 10121 65019 10215
+rect 64801 10085 64868 10103
+rect 65053 10085 65119 10181
+rect 65153 10121 65291 10215
+rect 65325 10087 65391 10181
+rect 65425 10121 65459 10215
+rect 65493 10087 65559 10181
+rect 64801 10051 65119 10085
+rect 65205 10051 65559 10087
+rect 65593 10053 65627 10215
+rect 65661 10087 65727 10177
+rect 65761 10121 65795 10215
+rect 65829 10087 65895 10177
+rect 65929 10121 65963 10215
+rect 65661 10051 65998 10087
+rect 61963 9705 62665 9923
+rect 62884 9899 63291 9941
+rect 62884 9739 62939 9899
+rect 62973 9705 63039 9865
+rect 63073 9739 63107 9899
+rect 63141 9705 63191 9865
+rect 63225 9773 63291 9899
+rect 63325 9883 63677 9943
+rect 64075 9923 64413 10027
+rect 63325 9807 63359 9883
+rect 63393 9773 63459 9845
+rect 63493 9807 63527 9883
+rect 63561 9773 63644 9849
+rect 63225 9739 63644 9773
+rect 63711 9705 64413 9923
+rect 64631 9943 64729 10017
+rect 64775 9977 64883 10017
+rect 64917 9983 64993 10017
+rect 64917 9943 64951 9983
+rect 64631 9909 64951 9943
+rect 65061 9949 65103 10051
+rect 65205 10017 65243 10051
+rect 65931 10045 65998 10051
+rect 65137 9983 65243 10017
+rect 64985 9875 65027 9941
+rect 64641 9841 65027 9875
+rect 64641 9739 64691 9841
+rect 64725 9705 64775 9807
+rect 64809 9739 64859 9841
+rect 64893 9705 64943 9807
+rect 64977 9773 65027 9841
+rect 65061 9839 65138 9949
+rect 65205 9933 65243 9983
+rect 65277 9967 65343 10017
+rect 65377 9977 65501 10017
+rect 65309 9943 65343 9967
+rect 65535 9943 65607 10017
+rect 65205 9899 65275 9933
+rect 65309 9909 65607 9943
+rect 65641 9983 65902 10017
+rect 65641 9909 65706 9983
+rect 65936 9949 65998 10045
+rect 66103 10061 67172 10215
+rect 67207 10065 67449 10215
+rect 67483 10070 67541 10215
+rect 67593 10105 67627 10181
+rect 67670 10139 67736 10215
+rect 67593 10071 67736 10105
+rect 66103 9957 66619 10061
+rect 65241 9875 65275 9899
+rect 65837 9901 65998 9949
+rect 66653 9923 67172 10027
+rect 67207 9957 67311 10065
+rect 67345 9923 67449 10031
+rect 67575 9961 67646 10035
+rect 67702 10033 67736 10071
+rect 67702 9967 67753 10033
+rect 65837 9875 65887 9901
+rect 65241 9839 65467 9875
+rect 65061 9807 65111 9839
+rect 65417 9807 65467 9839
+rect 65145 9773 65195 9805
+rect 64977 9739 65195 9773
+rect 65249 9705 65299 9805
+rect 65333 9773 65383 9805
+rect 65501 9773 65551 9875
+rect 65333 9739 65551 9773
+rect 65585 9705 65635 9873
+rect 65669 9841 65887 9875
+rect 65669 9739 65719 9841
+rect 65753 9705 65803 9799
+rect 65837 9739 65887 9841
+rect 65921 9705 65971 9867
+rect 66103 9705 67172 9923
+rect 67207 9705 67449 9923
+rect 67483 9705 67541 9938
+rect 67702 9925 67736 9967
+rect 67593 9891 67736 9925
+rect 67856 10047 67908 10215
+rect 67943 10061 68645 10215
+rect 67943 9957 68273 10061
+rect 68697 10053 68731 10215
+rect 68765 10137 68999 10181
+rect 68765 10051 68815 10137
+rect 69033 10121 69067 10215
+rect 68849 10085 68916 10103
+rect 69101 10085 69167 10181
+rect 69201 10121 69339 10215
+rect 69373 10087 69439 10181
+rect 69473 10121 69507 10215
+rect 69541 10087 69607 10181
+rect 68849 10051 69167 10085
+rect 69253 10051 69607 10087
+rect 69641 10053 69675 10215
+rect 69709 10087 69775 10177
+rect 69809 10121 69843 10215
+rect 69877 10087 69943 10177
+rect 69977 10121 70011 10215
+rect 69709 10051 70046 10087
+rect 67593 9739 67627 9891
+rect 67670 9705 67736 9857
+rect 67856 9705 67908 9935
+rect 68307 9923 68645 10027
+rect 67943 9705 68645 9923
+rect 68679 9943 68777 10017
+rect 68823 9977 68931 10017
+rect 68965 9983 69041 10017
+rect 68965 9943 68999 9983
+rect 68679 9909 68999 9943
+rect 69109 9949 69151 10051
+rect 69253 10017 69291 10051
+rect 69185 9983 69291 10017
+rect 69033 9875 69075 9941
+rect 68689 9841 69075 9875
+rect 68689 9739 68739 9841
+rect 68773 9705 68823 9807
+rect 68857 9739 68907 9841
+rect 68941 9705 68991 9807
+rect 69025 9773 69075 9841
+rect 69109 9839 69186 9949
+rect 69253 9933 69291 9983
+rect 69325 9967 69391 10017
+rect 69425 9977 69549 10017
+rect 69357 9943 69391 9967
+rect 69583 9943 69655 10017
+rect 69253 9899 69323 9933
+rect 69357 9909 69655 9943
+rect 69689 9983 69950 10017
+rect 69689 9909 69754 9983
+rect 69984 9949 70046 10051
+rect 70151 10061 70853 10215
+rect 70151 9957 70481 10061
+rect 70888 10051 70943 10215
+rect 70977 10087 71043 10181
+rect 71077 10121 71111 10215
+rect 71145 10087 71211 10181
+rect 71245 10121 71279 10215
+rect 71313 10087 71379 10181
+rect 71413 10121 71447 10215
+rect 71481 10087 71547 10181
+rect 71581 10121 71638 10215
+rect 70977 10051 71681 10087
+rect 69289 9875 69323 9899
+rect 69885 9901 70046 9949
+rect 70515 9923 70853 10027
+rect 70898 9977 71230 10017
+rect 71294 9977 71567 10017
+rect 71601 9943 71681 10051
+rect 71715 10061 72784 10215
+rect 72819 10065 73061 10215
+rect 73095 10070 73153 10215
+rect 71715 9957 72231 10061
+rect 69885 9875 69935 9901
+rect 69289 9839 69515 9875
+rect 69109 9807 69159 9839
+rect 69465 9807 69515 9839
+rect 69193 9773 69243 9805
+rect 69025 9739 69243 9773
+rect 69297 9705 69347 9805
+rect 69381 9773 69431 9805
+rect 69549 9773 69599 9875
+rect 69381 9739 69599 9773
+rect 69633 9705 69683 9873
+rect 69717 9841 69935 9875
+rect 69717 9739 69767 9841
+rect 69801 9705 69851 9799
+rect 69885 9739 69935 9841
+rect 69969 9705 70019 9867
+rect 70151 9705 70853 9923
+rect 70888 9899 71295 9941
+rect 70888 9739 70943 9899
+rect 70977 9705 71043 9865
+rect 71077 9739 71111 9899
+rect 71145 9705 71195 9865
+rect 71229 9773 71295 9899
+rect 71329 9883 71681 9943
+rect 72265 9923 72784 10027
+rect 72819 9957 72923 10065
+rect 73188 10051 73243 10215
+rect 73277 10087 73343 10181
+rect 73377 10121 73411 10215
+rect 73445 10087 73511 10181
+rect 73545 10121 73579 10215
+rect 73613 10087 73679 10181
+rect 73713 10121 73747 10215
+rect 73781 10087 73847 10181
+rect 73881 10121 73938 10215
+rect 73277 10051 73981 10087
+rect 72957 9923 73061 10031
+rect 73198 9977 73530 10017
+rect 73594 9977 73867 10017
+rect 73901 9943 73981 10051
+rect 74015 10061 74717 10215
+rect 74015 9957 74345 10061
+rect 74752 10051 74807 10215
+rect 74841 10087 74907 10181
+rect 74941 10121 74975 10215
+rect 75009 10087 75075 10181
+rect 75109 10121 75143 10215
+rect 75177 10087 75243 10181
+rect 75277 10121 75311 10215
+rect 75345 10087 75411 10181
+rect 75445 10121 75502 10215
+rect 74841 10051 75545 10087
+rect 71329 9807 71363 9883
+rect 71397 9773 71463 9845
+rect 71497 9807 71531 9883
+rect 71565 9773 71648 9849
+rect 71229 9739 71648 9773
+rect 71715 9705 72784 9923
+rect 72819 9705 73061 9923
+rect 73095 9705 73153 9938
+rect 73188 9899 73595 9941
+rect 73188 9739 73243 9899
+rect 73277 9705 73343 9865
+rect 73377 9739 73411 9899
+rect 73445 9705 73495 9865
+rect 73529 9773 73595 9899
+rect 73629 9883 73981 9943
+rect 74379 9923 74717 10027
+rect 74762 9977 75094 10017
+rect 75158 9977 75431 10017
+rect 75465 9943 75545 10051
+rect 75579 10061 76281 10215
+rect 75579 9957 75909 10061
+rect 73629 9807 73663 9883
+rect 73697 9773 73763 9845
+rect 73797 9807 73831 9883
+rect 73865 9773 73948 9849
+rect 73529 9739 73948 9773
+rect 74015 9705 74717 9923
+rect 74752 9899 75159 9941
+rect 74752 9739 74807 9899
+rect 74841 9705 74907 9865
+rect 74941 9739 74975 9899
+rect 75009 9705 75059 9865
+rect 75093 9773 75159 9899
+rect 75193 9883 75545 9943
+rect 75943 9923 76281 10027
+rect 75193 9807 75227 9883
+rect 75261 9773 75327 9845
+rect 75361 9807 75395 9883
+rect 75429 9773 75512 9849
+rect 75093 9739 75512 9773
+rect 75579 9705 76281 9923
+rect 76315 9884 76419 10181
+rect 76453 10083 76521 10215
+rect 76591 10061 77293 10215
+rect 76353 9705 76419 9850
+rect 76453 9739 76557 10049
+rect 76591 9957 76921 10061
+rect 76955 9923 77293 10027
+rect 76591 9705 77293 9923
+rect 77327 9884 77431 10181
+rect 77465 10083 77533 10215
+rect 77603 10061 78672 10215
+rect 78707 10070 78765 10215
+rect 77365 9705 77431 9850
+rect 77465 9739 77569 10049
+rect 77603 9957 78119 10061
+rect 78153 9923 78672 10027
+rect 77603 9705 78672 9923
+rect 78707 9705 78765 9938
+rect 78799 9884 78903 10181
+rect 78937 10083 79005 10215
+rect 79075 10061 79777 10215
+rect 78837 9705 78903 9850
+rect 78937 9739 79041 10049
+rect 79075 9957 79405 10061
+rect 79439 9923 79777 10027
+rect 79075 9705 79777 9923
+rect 79811 9884 79915 10181
+rect 79949 10083 80017 10215
+rect 80087 10061 80789 10215
+rect 79849 9705 79915 9850
+rect 79949 9739 80053 10049
+rect 80087 9957 80417 10061
+rect 80451 9923 80789 10027
+rect 80087 9705 80789 9923
+rect 80823 9884 80927 10181
+rect 80961 10083 81029 10215
+rect 81099 10061 82168 10215
+rect 82203 10061 82537 10215
+rect 80861 9705 80927 9850
+rect 80961 9739 81065 10049
+rect 81099 9957 81615 10061
+rect 81649 9923 82168 10027
+rect 82203 9957 82353 10061
+rect 82387 9923 82537 10027
+rect 81099 9705 82168 9923
+rect 82203 9705 82537 9923
+rect 82663 9884 82767 10181
+rect 82801 10083 82869 10215
+rect 82939 10061 84008 10215
+rect 84043 10065 84285 10215
+rect 84319 10070 84377 10215
+rect 82701 9705 82767 9850
+rect 82801 9739 82905 10049
+rect 82939 9957 83455 10061
+rect 83489 9923 84008 10027
+rect 84043 9957 84147 10065
+rect 84411 10061 85480 10215
+rect 84181 9923 84285 10031
+rect 84411 9957 84927 10061
+rect 82939 9705 84008 9923
+rect 84043 9705 84285 9923
+rect 84319 9705 84377 9938
+rect 84961 9923 85480 10027
+rect 84411 9705 85480 9923
+rect 85607 9884 85711 10181
+rect 85745 10083 85813 10215
+rect 85883 10061 86952 10215
+rect 85645 9705 85711 9850
+rect 85745 9739 85849 10049
+rect 85883 9957 86399 10061
+rect 86433 9923 86952 10027
+rect 85883 9705 86952 9923
+rect 87079 9884 87183 10181
+rect 87217 10083 87285 10215
+rect 87355 10061 88424 10215
+rect 88459 10061 89528 10215
+rect 89563 10061 89897 10215
+rect 89931 10070 89989 10215
+rect 87117 9705 87183 9850
+rect 87217 9739 87321 10049
+rect 87355 9957 87871 10061
+rect 87905 9923 88424 10027
+rect 88459 9957 88975 10061
+rect 89009 9923 89528 10027
+rect 89563 9957 89713 10061
+rect 89747 9923 89897 10027
+rect 87355 9705 88424 9923
+rect 88459 9705 89528 9923
+rect 89563 9705 89897 9923
+rect 89931 9705 89989 9938
+rect 90023 9884 90127 10181
+rect 90161 10083 90229 10215
+rect 90299 10061 91368 10215
+rect 90061 9705 90127 9850
+rect 90161 9739 90265 10049
+rect 90299 9957 90815 10061
+rect 90849 9923 91368 10027
+rect 90299 9705 91368 9923
+rect 91495 9884 91599 10181
+rect 91633 10083 91701 10215
+rect 91771 10061 92840 10215
+rect 92875 10061 93577 10215
+rect 91533 9705 91599 9850
+rect 91633 9739 91737 10049
+rect 91771 9957 92287 10061
+rect 92321 9923 92840 10027
+rect 92875 9957 93205 10061
+rect 93239 9923 93577 10027
+rect 91771 9705 92840 9923
+rect 92875 9705 93577 9923
+rect 93703 9884 93807 10181
+rect 93841 10083 93909 10215
+rect 93979 10061 95048 10215
+rect 95083 10061 95417 10215
+rect 95543 10070 95601 10215
+rect 95635 10065 95877 10215
+rect 93741 9705 93807 9850
+rect 93841 9739 93945 10049
+rect 93979 9957 94495 10061
+rect 94529 9923 95048 10027
+rect 95083 9957 95233 10061
+rect 95267 9923 95417 10027
+rect 95635 9957 95739 10065
+rect 93979 9705 95048 9923
+rect 95083 9705 95417 9923
+rect 95543 9705 95601 9938
+rect 95773 9923 95877 10031
+rect 95635 9705 95877 9923
+rect 95911 9884 96015 10181
+rect 96049 10083 96117 10215
+rect 96187 10061 97256 10215
+rect 95949 9705 96015 9850
+rect 96049 9739 96153 10049
+rect 96187 9957 96703 10061
+rect 96737 9923 97256 10027
+rect 96187 9705 97256 9923
+rect 97383 9884 97487 10181
+rect 97521 10083 97589 10215
+rect 97659 10061 98728 10215
+rect 98763 10061 99832 10215
+rect 99867 10061 100936 10215
+rect 101155 10070 101213 10215
+rect 101247 10061 102316 10215
+rect 97421 9705 97487 9850
+rect 97521 9739 97625 10049
+rect 97659 9957 98175 10061
+rect 98209 9923 98728 10027
+rect 98763 9957 99279 10061
+rect 99313 9923 99832 10027
+rect 99867 9957 100383 10061
+rect 100417 9923 100936 10027
+rect 101247 9957 101763 10061
+rect 97659 9705 98728 9923
+rect 98763 9705 99832 9923
+rect 99867 9705 100936 9923
+rect 101155 9705 101213 9938
+rect 101797 9923 102316 10027
+rect 101247 9705 102316 9923
+rect 102535 9884 102639 10181
+rect 102673 10083 102741 10215
+rect 102811 10061 103880 10215
+rect 102573 9705 102639 9850
+rect 102673 9739 102777 10049
+rect 102811 9957 103327 10061
+rect 103361 9923 103880 10027
+rect 102811 9705 103880 9923
+rect 104007 9884 104111 10181
+rect 104145 10083 104213 10215
+rect 104283 10061 105352 10215
+rect 105387 10061 106456 10215
+rect 106491 10065 106733 10215
+rect 106767 10070 106825 10215
+rect 104045 9705 104111 9850
+rect 104145 9739 104249 10049
+rect 104283 9957 104799 10061
+rect 104833 9923 105352 10027
+rect 105387 9957 105903 10061
+rect 105937 9923 106456 10027
+rect 106491 9957 106595 10065
+rect 106629 9923 106733 10031
+rect 104283 9705 105352 9923
+rect 105387 9705 106456 9923
+rect 106491 9705 106733 9923
+rect 106767 9705 106825 9938
+rect 106951 9884 107055 10181
+rect 107089 10083 107157 10215
+rect 107227 10061 108296 10215
+rect 106989 9705 107055 9850
+rect 107089 9739 107193 10049
+rect 107227 9957 107743 10061
+rect 107777 9923 108296 10027
+rect 107227 9705 108296 9923
+rect 108423 9884 108527 10181
+rect 108561 10083 108629 10215
+rect 108699 10061 109768 10215
+rect 109803 10061 110505 10215
+rect 108461 9705 108527 9850
+rect 108561 9739 108665 10049
+rect 108699 9957 109215 10061
+rect 109249 9923 109768 10027
+rect 109803 9957 110133 10061
+rect 110167 9923 110505 10027
+rect 108699 9705 109768 9923
+rect 109803 9705 110505 9923
+rect 110631 9884 110735 10181
+rect 110769 10083 110837 10215
+rect 110907 10061 111976 10215
+rect 112011 10061 112345 10215
+rect 112379 10070 112437 10215
+rect 112471 10065 112713 10215
+rect 110669 9705 110735 9850
+rect 110769 9739 110873 10049
+rect 110907 9957 111423 10061
+rect 111457 9923 111976 10027
+rect 112011 9957 112161 10061
+rect 112195 9923 112345 10027
+rect 112471 9957 112575 10065
+rect 110907 9705 111976 9923
+rect 112011 9705 112345 9923
+rect 112379 9705 112437 9938
+rect 112609 9923 112713 10031
+rect 112471 9705 112713 9923
+rect 112747 9884 112851 10181
+rect 112885 10083 112953 10215
+rect 113023 10061 114092 10215
+rect 112785 9705 112851 9850
+rect 112885 9739 112989 10049
+rect 113023 9957 113539 10061
+rect 113573 9923 114092 10027
+rect 113023 9705 114092 9923
+rect 114219 9884 114323 10181
+rect 114357 10083 114425 10215
+rect 114495 10061 115564 10215
+rect 115599 10061 116668 10215
+rect 116703 10061 117772 10215
+rect 117991 10070 118049 10215
+rect 118083 10061 119152 10215
+rect 114257 9705 114323 9850
+rect 114357 9739 114461 10049
+rect 114495 9957 115011 10061
+rect 115045 9923 115564 10027
+rect 115599 9957 116115 10061
+rect 116149 9923 116668 10027
+rect 116703 9957 117219 10061
+rect 117253 9923 117772 10027
+rect 118083 9957 118599 10061
+rect 114495 9705 115564 9923
+rect 115599 9705 116668 9923
+rect 116703 9705 117772 9923
+rect 117991 9705 118049 9938
+rect 118633 9923 119152 10027
+rect 118083 9705 119152 9923
+rect 119371 9884 119475 10181
+rect 119509 10083 119577 10215
+rect 119647 10061 120716 10215
+rect 119409 9705 119475 9850
+rect 119509 9739 119613 10049
+rect 119647 9957 120163 10061
+rect 120197 9923 120716 10027
+rect 119647 9705 120716 9923
+rect 120843 9884 120947 10181
+rect 120981 10083 121049 10215
+rect 121119 10061 122188 10215
+rect 122223 10061 123292 10215
+rect 123327 10065 123569 10215
+rect 123603 10070 123661 10215
+rect 120881 9705 120947 9850
+rect 121119 9957 121635 10061
+rect 121669 9923 122188 10027
+rect 122223 9957 122739 10061
+rect 122773 9923 123292 10027
+rect 123327 9957 123431 10065
+rect 123465 9923 123569 10031
+rect 121119 9705 122188 9923
+rect 122223 9705 123292 9923
+rect 123327 9705 123569 9923
+rect 123603 9705 123661 9938
+rect 123787 9884 123891 10181
+rect 123925 10083 123993 10215
+rect 124063 10061 124765 10215
+rect 123825 9705 123891 9850
+rect 123925 9739 124029 10049
+rect 124063 9957 124393 10061
+rect 124427 9923 124765 10027
+rect 124063 9705 124765 9923
+rect 124799 9884 124903 10181
+rect 124937 10083 125005 10215
+rect 125075 10061 125777 10215
+rect 125995 10065 126237 10215
+rect 124837 9705 124903 9850
+rect 124937 9739 125041 10049
+rect 125075 9957 125405 10061
+rect 125439 9923 125777 10027
+rect 125075 9705 125777 9923
+rect 125995 9923 126099 10031
+rect 126133 9957 126237 10065
+rect 125995 9705 126237 9923
+rect 8494 9671 126254 9705
+rect 8511 9453 8753 9671
+rect 8787 9453 9856 9671
+rect 9891 9453 10960 9671
+rect 10995 9453 12064 9671
+rect 12099 9453 13168 9671
+rect 13203 9453 13905 9671
+rect 8511 9311 8615 9419
+rect 8649 9345 8753 9453
+rect 8787 9315 9303 9419
+rect 9337 9349 9856 9453
+rect 9891 9315 10407 9419
+rect 10441 9349 10960 9453
+rect 10995 9315 11511 9419
+rect 11545 9349 12064 9453
+rect 12099 9315 12615 9419
+rect 12649 9349 13168 9453
+rect 13203 9315 13533 9419
+rect 13567 9349 13905 9453
+rect 14123 9438 14181 9671
+rect 14215 9453 14457 9671
+rect 8511 9161 8753 9311
+rect 8787 9161 9856 9315
+rect 9891 9161 10960 9315
+rect 10995 9161 12064 9315
+rect 12099 9161 13168 9315
+rect 13203 9161 13905 9315
+rect 14215 9311 14319 9419
+rect 14353 9345 14457 9453
+rect 14499 9443 14545 9671
+rect 14579 9441 14645 9637
+rect 14679 9443 14721 9671
+rect 14767 9453 15469 9671
+rect 15505 9520 15571 9671
+rect 15607 9494 15643 9637
+rect 15684 9531 15750 9671
+rect 15792 9496 15830 9637
+rect 15864 9531 15930 9671
+rect 15964 9497 16002 9637
+rect 16036 9531 16102 9671
+rect 15964 9496 16113 9497
+rect 14495 9359 14561 9409
+rect 14123 9161 14181 9306
+rect 14215 9161 14457 9311
+rect 14499 9161 14545 9325
+rect 14595 9321 14645 9441
+rect 14579 9195 14645 9321
+rect 14679 9161 14721 9325
+rect 14767 9315 15097 9419
+rect 15131 9349 15469 9453
+rect 15511 9343 15573 9467
+rect 15607 9460 15758 9494
+rect 15716 9415 15758 9460
+rect 15792 9451 16113 9496
+rect 16147 9453 16849 9671
+rect 15607 9343 15682 9409
+rect 15716 9348 16023 9415
+rect 14767 9161 15469 9315
+rect 15716 9305 15758 9348
+rect 16057 9313 16113 9451
+rect 15505 9267 15758 9305
+rect 15792 9267 16113 9313
+rect 16147 9315 16477 9419
+rect 16511 9349 16849 9453
+rect 17085 9485 17119 9637
+rect 17162 9519 17228 9671
+rect 17085 9451 17228 9485
+rect 17262 9456 17313 9637
+rect 17067 9341 17138 9415
+rect 17194 9409 17228 9451
+rect 17194 9343 17245 9409
+rect 15505 9195 15571 9267
+rect 15792 9247 15830 9267
+rect 15677 9161 15743 9233
+rect 15864 9161 15930 9233
+rect 15964 9195 16002 9267
+rect 16036 9161 16102 9233
+rect 16147 9161 16849 9315
+rect 17194 9305 17228 9343
+rect 17279 9310 17313 9456
+rect 17348 9441 17400 9671
+rect 17435 9453 18137 9671
+rect 17085 9271 17228 9305
+rect 17085 9195 17119 9271
+rect 17162 9161 17228 9237
+rect 17262 9195 17313 9310
+rect 17348 9161 17400 9329
+rect 17435 9315 17765 9419
+rect 17799 9349 18137 9453
+rect 18172 9477 18227 9637
+rect 18261 9511 18327 9671
+rect 18361 9477 18395 9637
+rect 18429 9511 18479 9671
+rect 18513 9603 18932 9637
+rect 18513 9477 18579 9603
+rect 18172 9435 18579 9477
+rect 18613 9493 18647 9569
+rect 18681 9531 18747 9603
+rect 18781 9493 18815 9569
+rect 18849 9527 18932 9603
+rect 18613 9433 18965 9493
+rect 18999 9453 19701 9671
+rect 18182 9359 18514 9399
+rect 18578 9359 18851 9399
+rect 18885 9325 18965 9433
+rect 17435 9161 18137 9315
+rect 18172 9161 18227 9325
+rect 18261 9289 18965 9325
+rect 18999 9315 19329 9419
+rect 19363 9349 19701 9453
+rect 19735 9438 19793 9671
+rect 19827 9453 20529 9671
+rect 19827 9315 20157 9419
+rect 20191 9349 20529 9453
+rect 20656 9477 20711 9637
+rect 20745 9511 20811 9671
+rect 20845 9477 20879 9637
+rect 20913 9511 20963 9671
+rect 20997 9603 21416 9637
+rect 20997 9477 21063 9603
+rect 20656 9435 21063 9477
+rect 21097 9493 21131 9569
+rect 21165 9531 21231 9603
+rect 21265 9493 21299 9569
+rect 21333 9527 21416 9603
+rect 21097 9433 21449 9493
+rect 21483 9453 22185 9671
+rect 20666 9359 20998 9399
+rect 21062 9359 21335 9399
+rect 21369 9325 21449 9433
+rect 18261 9195 18327 9289
+rect 18361 9161 18395 9255
+rect 18429 9195 18495 9289
+rect 18529 9161 18563 9255
+rect 18597 9195 18663 9289
+rect 18697 9161 18731 9255
+rect 18765 9195 18831 9289
+rect 18865 9161 18922 9255
+rect 18999 9161 19701 9315
+rect 19735 9161 19793 9306
+rect 19827 9161 20529 9315
+rect 20656 9161 20711 9325
+rect 20745 9289 21449 9325
+rect 21483 9315 21813 9419
+rect 21847 9349 22185 9453
+rect 22220 9477 22275 9637
+rect 22309 9511 22375 9671
+rect 22409 9477 22443 9637
+rect 22477 9511 22527 9671
+rect 22561 9603 22980 9637
+rect 22561 9477 22627 9603
+rect 22220 9435 22627 9477
+rect 22661 9493 22695 9569
+rect 22729 9531 22795 9603
+rect 22829 9493 22863 9569
+rect 22897 9527 22980 9603
+rect 22661 9433 23013 9493
+rect 23047 9453 23749 9671
+rect 22230 9359 22562 9399
+rect 22626 9359 22899 9399
+rect 22933 9325 23013 9433
+rect 20745 9195 20811 9289
+rect 20845 9161 20879 9255
+rect 20913 9195 20979 9289
+rect 21013 9161 21047 9255
+rect 21081 9195 21147 9289
+rect 21181 9161 21215 9255
+rect 21249 9195 21315 9289
+rect 21349 9161 21406 9255
+rect 21483 9161 22185 9315
+rect 22220 9161 22275 9325
+rect 22309 9289 23013 9325
+rect 23047 9315 23377 9419
+rect 23411 9349 23749 9453
+rect 23789 9477 23856 9634
+rect 23789 9443 23908 9477
+rect 22309 9195 22375 9289
+rect 22409 9161 22443 9255
+rect 22477 9195 22543 9289
+rect 22577 9161 22611 9255
+rect 22645 9195 22711 9289
+rect 22745 9161 22779 9255
+rect 22813 9195 22879 9289
+rect 22913 9161 22970 9255
+rect 23047 9161 23749 9315
+rect 23783 9295 23840 9409
+rect 23874 9309 23908 9443
+rect 23942 9468 24012 9619
+rect 24046 9501 24110 9619
+rect 24167 9503 24217 9671
+rect 23942 9343 23976 9468
+rect 24046 9434 24088 9501
+rect 24262 9469 24312 9637
+rect 24346 9503 24396 9671
+rect 24430 9469 24480 9637
+rect 24514 9503 24564 9671
+rect 24022 9343 24088 9434
+rect 24134 9433 24221 9467
+rect 24262 9435 24577 9469
+rect 24611 9453 25313 9671
+rect 24134 9343 24168 9433
+rect 24202 9359 24494 9393
+rect 24202 9309 24236 9359
+rect 24528 9325 24577 9435
+rect 23874 9275 24236 9309
+rect 24270 9289 24577 9325
+rect 24611 9315 24941 9419
+rect 24975 9349 25313 9453
+rect 25347 9438 25405 9671
+rect 25549 9537 25583 9637
+rect 25617 9571 25683 9671
+rect 25549 9503 25682 9537
+rect 25532 9339 25602 9469
+rect 23790 9161 23840 9261
+rect 23906 9205 23940 9275
+rect 23980 9161 24046 9241
+rect 24080 9205 24114 9275
+rect 24158 9161 24234 9241
+rect 24270 9195 24320 9289
+rect 24354 9161 24388 9255
+rect 24422 9195 24488 9289
+rect 24522 9161 24556 9255
+rect 24611 9161 25313 9315
+rect 25347 9161 25405 9306
+rect 25636 9305 25682 9503
+rect 25549 9271 25682 9305
+rect 25549 9213 25583 9271
+rect 25617 9161 25683 9237
+rect 25717 9213 25762 9637
+rect 25802 9579 25855 9671
+rect 25892 9557 25939 9632
+rect 25988 9582 26202 9616
+rect 25802 9357 25858 9477
+rect 25805 9161 25855 9253
+rect 25892 9251 25926 9557
+rect 25960 9351 26008 9525
+rect 26046 9475 26134 9546
+rect 26168 9459 26202 9582
+rect 26236 9511 26270 9671
+rect 26304 9571 26354 9637
+rect 26399 9577 26576 9611
+rect 26168 9441 26270 9459
+rect 26110 9407 26270 9441
+rect 25960 9285 26076 9351
+rect 26110 9251 26144 9407
+rect 26236 9393 26270 9407
+rect 26178 9357 26212 9363
+rect 26304 9357 26338 9571
+rect 26372 9393 26410 9537
+rect 26444 9459 26508 9525
+rect 26178 9297 26338 9357
+rect 26444 9351 26482 9459
+rect 25892 9217 25958 9251
+rect 25994 9217 26144 9251
+rect 26194 9161 26268 9261
+rect 26304 9251 26338 9297
+rect 26372 9285 26482 9351
+rect 26542 9393 26576 9577
+rect 26612 9571 26655 9671
+rect 26748 9510 26782 9635
+rect 26839 9515 26875 9671
+rect 26610 9478 26782 9510
+rect 26610 9444 26832 9478
+rect 26798 9393 26832 9444
+rect 26911 9471 26978 9623
+rect 27014 9505 27048 9671
+rect 27082 9471 27148 9623
+rect 27182 9505 27216 9671
+rect 26911 9437 27245 9471
+rect 27279 9453 28348 9671
+rect 28383 9453 28717 9671
+rect 28761 9535 28811 9637
+rect 28845 9569 28895 9671
+rect 28929 9535 28979 9637
+rect 29013 9569 29063 9671
+rect 29097 9603 29315 9637
+rect 29097 9535 29147 9603
+rect 29265 9571 29315 9603
+rect 29369 9571 29419 9671
+rect 29453 9603 29671 9637
+rect 29453 9571 29503 9603
+rect 28761 9501 29147 9535
+rect 26542 9359 26760 9393
+rect 26798 9359 27162 9393
+rect 26542 9251 26576 9359
+rect 26798 9325 26832 9359
+rect 26732 9291 26832 9325
+rect 27196 9324 27245 9437
+rect 26304 9217 26396 9251
+rect 26442 9217 26576 9251
+rect 26639 9161 26673 9267
+rect 26732 9203 26804 9291
+rect 26911 9290 27245 9324
+rect 27279 9315 27795 9419
+rect 27829 9349 28348 9453
+rect 28383 9315 28533 9419
+rect 28567 9349 28717 9453
+rect 28751 9433 29071 9467
+rect 29105 9435 29147 9501
+rect 29181 9537 29231 9569
+rect 29537 9537 29587 9569
+rect 28751 9359 28849 9433
+rect 29037 9399 29071 9433
+rect 29181 9427 29258 9537
+rect 29361 9501 29587 9537
+rect 29621 9501 29671 9603
+rect 29705 9503 29755 9671
+rect 29789 9535 29839 9637
+rect 29873 9577 29923 9671
+rect 29957 9535 30007 9637
+rect 29789 9501 30007 9535
+rect 30041 9509 30091 9671
+rect 29361 9477 29395 9501
+rect 29325 9443 29395 9477
+rect 29957 9475 30007 9501
+rect 28895 9359 29003 9399
+rect 29037 9393 29073 9399
+rect 29037 9359 29113 9393
+rect 29181 9325 29223 9427
+rect 29325 9393 29363 9443
+rect 29429 9433 29727 9467
+rect 29429 9409 29463 9433
+rect 29257 9359 29363 9393
+rect 29397 9359 29463 9409
+rect 29497 9359 29621 9399
+rect 29655 9359 29727 9433
+rect 29761 9393 29826 9467
+rect 29957 9427 30118 9475
+rect 30223 9453 30925 9671
+rect 29761 9359 30022 9393
+rect 29325 9325 29363 9359
+rect 30056 9325 30118 9427
+rect 26839 9161 26873 9257
+rect 26911 9205 26978 9290
+rect 27013 9161 27047 9256
+rect 27082 9205 27149 9290
+rect 27183 9161 27217 9256
+rect 27279 9161 28348 9315
+rect 28383 9161 28717 9315
+rect 28769 9161 28803 9323
+rect 28837 9239 28887 9325
+rect 28921 9291 29239 9325
+rect 28921 9273 28988 9291
+rect 28837 9195 29071 9239
+rect 29105 9161 29139 9255
+rect 29173 9195 29239 9291
+rect 29325 9289 29679 9325
+rect 29273 9161 29411 9255
+rect 29445 9195 29511 9289
+rect 29545 9161 29579 9255
+rect 29613 9195 29679 9289
+rect 29713 9161 29747 9323
+rect 29781 9289 30118 9325
+rect 30223 9315 30553 9419
+rect 30587 9349 30925 9453
+rect 30959 9438 31017 9671
+rect 31069 9537 31103 9637
+rect 31137 9571 31203 9671
+rect 31069 9503 31202 9537
+rect 31052 9339 31122 9469
+rect 29781 9199 29847 9289
+rect 29881 9161 29915 9255
+rect 29949 9199 30015 9289
+rect 30049 9161 30083 9255
+rect 30223 9161 30925 9315
+rect 30959 9161 31017 9306
+rect 31156 9305 31202 9503
+rect 31069 9271 31202 9305
+rect 31069 9213 31103 9271
+rect 31137 9161 31203 9237
+rect 31237 9213 31282 9637
+rect 31322 9579 31375 9671
+rect 31412 9557 31459 9632
+rect 31508 9582 31722 9616
+rect 31322 9357 31378 9477
+rect 31325 9161 31375 9253
+rect 31412 9251 31446 9557
+rect 31480 9351 31528 9525
+rect 31566 9475 31654 9546
+rect 31688 9459 31722 9582
+rect 31756 9511 31790 9671
+rect 31824 9571 31874 9637
+rect 31919 9577 32096 9611
+rect 31688 9441 31790 9459
+rect 31630 9407 31790 9441
+rect 31480 9285 31596 9351
+rect 31630 9251 31664 9407
+rect 31756 9393 31790 9407
+rect 31698 9357 31732 9363
+rect 31824 9357 31858 9571
+rect 31892 9393 31930 9537
+rect 31964 9459 32028 9525
+rect 31698 9297 31858 9357
+rect 31964 9351 32002 9459
+rect 31412 9217 31478 9251
+rect 31514 9217 31664 9251
+rect 31714 9161 31788 9261
+rect 31824 9251 31858 9297
+rect 31892 9285 32002 9351
+rect 32062 9393 32096 9577
+rect 32132 9571 32175 9671
+rect 32268 9510 32302 9635
+rect 32359 9515 32395 9671
+rect 32130 9478 32302 9510
+rect 32130 9444 32352 9478
+rect 32318 9393 32352 9444
+rect 32431 9471 32498 9623
+rect 32534 9505 32568 9671
+rect 32602 9471 32668 9623
+rect 32702 9505 32736 9671
+rect 32431 9437 32765 9471
+rect 32799 9453 33501 9671
+rect 33535 9453 33777 9671
+rect 33829 9537 33863 9637
+rect 33897 9571 33963 9671
+rect 33829 9503 33962 9537
+rect 32062 9359 32280 9393
+rect 32318 9359 32682 9393
+rect 32062 9251 32096 9359
+rect 32318 9325 32352 9359
+rect 32252 9291 32352 9325
+rect 32716 9324 32765 9437
+rect 31824 9217 31916 9251
+rect 31962 9217 32096 9251
+rect 32159 9161 32193 9267
+rect 32252 9203 32324 9291
+rect 32431 9290 32765 9324
+rect 32799 9315 33129 9419
+rect 33163 9349 33501 9453
+rect 32359 9161 32393 9257
+rect 32431 9205 32498 9290
+rect 32533 9161 32567 9256
+rect 32602 9205 32669 9290
+rect 32703 9161 32737 9256
+rect 32799 9161 33501 9315
+rect 33535 9311 33639 9419
+rect 33673 9345 33777 9453
+rect 33812 9339 33882 9469
+rect 33535 9161 33777 9311
+rect 33916 9305 33962 9503
+rect 33829 9271 33962 9305
+rect 33829 9213 33863 9271
+rect 33897 9161 33963 9237
+rect 33997 9213 34042 9637
+rect 34082 9579 34135 9671
+rect 34172 9557 34219 9632
+rect 34268 9582 34482 9616
+rect 34082 9357 34138 9477
+rect 34085 9161 34135 9253
+rect 34172 9251 34206 9557
+rect 34240 9351 34288 9525
+rect 34326 9475 34414 9546
+rect 34448 9459 34482 9582
+rect 34516 9511 34550 9671
+rect 34584 9571 34634 9637
+rect 34679 9577 34856 9611
+rect 34448 9441 34550 9459
+rect 34390 9407 34550 9441
+rect 34240 9285 34356 9351
+rect 34390 9251 34424 9407
+rect 34516 9393 34550 9407
+rect 34458 9357 34492 9363
+rect 34584 9357 34618 9571
+rect 34652 9393 34690 9537
+rect 34724 9459 34788 9525
+rect 34458 9297 34618 9357
+rect 34724 9351 34762 9459
+rect 34172 9217 34238 9251
+rect 34274 9217 34424 9251
+rect 34474 9161 34548 9261
+rect 34584 9251 34618 9297
+rect 34652 9285 34762 9351
+rect 34822 9393 34856 9577
+rect 34892 9571 34935 9671
+rect 35028 9510 35062 9635
+rect 35119 9515 35155 9671
+rect 34890 9478 35062 9510
+rect 34890 9444 35112 9478
+rect 35078 9393 35112 9444
+rect 35294 9505 35328 9671
+rect 35462 9505 35496 9671
+rect 35559 9453 36261 9671
+rect 36295 9453 36537 9671
+rect 34822 9359 35040 9393
+rect 35078 9359 35442 9393
+rect 34822 9251 34856 9359
+rect 35078 9325 35112 9359
+rect 35012 9291 35112 9325
+rect 34584 9217 34676 9251
+rect 34722 9217 34856 9251
+rect 34919 9161 34953 9267
+rect 35012 9203 35084 9291
+rect 35559 9315 35889 9419
+rect 35923 9349 36261 9453
+rect 35119 9161 35153 9257
+rect 35293 9161 35327 9256
+rect 35463 9161 35497 9256
+rect 35559 9161 36261 9315
+rect 36295 9311 36399 9419
+rect 36433 9345 36537 9453
+rect 36571 9438 36629 9671
+rect 36663 9453 36905 9671
+rect 36957 9537 36991 9637
+rect 37025 9571 37091 9671
+rect 36957 9503 37090 9537
+rect 36663 9311 36767 9419
+rect 36801 9345 36905 9453
+rect 36940 9339 37010 9469
+rect 36295 9161 36537 9311
+rect 36571 9161 36629 9306
+rect 36663 9161 36905 9311
+rect 37044 9305 37090 9503
+rect 36957 9271 37090 9305
+rect 36957 9213 36991 9271
+rect 37025 9161 37091 9237
+rect 37125 9213 37170 9637
+rect 37210 9579 37263 9671
+rect 37300 9557 37347 9632
+rect 37396 9582 37610 9616
+rect 37210 9357 37266 9477
+rect 37213 9161 37263 9253
+rect 37300 9251 37334 9557
+rect 37368 9351 37416 9525
+rect 37454 9475 37542 9546
+rect 37576 9459 37610 9582
+rect 37644 9511 37678 9671
+rect 37712 9571 37762 9637
+rect 37807 9577 37984 9611
+rect 37576 9441 37678 9459
+rect 37518 9407 37678 9441
+rect 37368 9285 37484 9351
+rect 37518 9251 37552 9407
+rect 37644 9393 37678 9407
+rect 37586 9357 37620 9363
+rect 37712 9357 37746 9571
+rect 37780 9393 37818 9537
+rect 37852 9459 37916 9525
+rect 37586 9297 37746 9357
+rect 37852 9351 37890 9459
+rect 37300 9217 37366 9251
+rect 37402 9217 37552 9251
+rect 37602 9161 37676 9261
+rect 37712 9251 37746 9297
+rect 37780 9285 37890 9351
+rect 37950 9393 37984 9577
+rect 38020 9571 38063 9671
+rect 38156 9510 38190 9635
+rect 38247 9515 38283 9671
+rect 38018 9478 38190 9510
+rect 38018 9444 38240 9478
+rect 38206 9393 38240 9444
+rect 38319 9471 38386 9623
+rect 38422 9505 38456 9671
+rect 38490 9471 38556 9623
+rect 38590 9505 38624 9671
+rect 38319 9437 38653 9471
+rect 38687 9453 39389 9671
+rect 39441 9537 39475 9637
+rect 39509 9571 39575 9671
+rect 39441 9503 39574 9537
+rect 37950 9359 38168 9393
+rect 38206 9359 38570 9393
+rect 37950 9251 37984 9359
+rect 38206 9325 38240 9359
+rect 38140 9291 38240 9325
+rect 38604 9324 38653 9437
+rect 37712 9217 37804 9251
+rect 37850 9217 37984 9251
+rect 38047 9161 38081 9267
+rect 38140 9203 38212 9291
+rect 38319 9290 38653 9324
+rect 38687 9315 39017 9419
+rect 39051 9349 39389 9453
+rect 39424 9339 39494 9469
+rect 38247 9161 38281 9257
+rect 38319 9205 38386 9290
+rect 38421 9161 38455 9256
+rect 38490 9205 38557 9290
+rect 38591 9161 38625 9256
+rect 38687 9161 39389 9315
+rect 39528 9305 39574 9503
+rect 39441 9271 39574 9305
+rect 39441 9213 39475 9271
+rect 39509 9161 39575 9237
+rect 39609 9213 39654 9637
+rect 39694 9579 39747 9671
+rect 39784 9557 39831 9632
+rect 39880 9582 40094 9616
+rect 39694 9357 39750 9477
+rect 39697 9161 39747 9253
+rect 39784 9251 39818 9557
+rect 39852 9351 39900 9525
+rect 39938 9475 40026 9546
+rect 40060 9459 40094 9582
+rect 40128 9511 40162 9671
+rect 40196 9571 40246 9637
+rect 40291 9577 40468 9611
+rect 40060 9441 40162 9459
+rect 40002 9407 40162 9441
+rect 39852 9285 39968 9351
+rect 40002 9251 40036 9407
+rect 40128 9393 40162 9407
+rect 40070 9357 40104 9363
+rect 40196 9357 40230 9571
+rect 40264 9393 40302 9537
+rect 40336 9459 40400 9525
+rect 40070 9297 40230 9357
+rect 40336 9351 40374 9459
+rect 39784 9217 39850 9251
+rect 39886 9217 40036 9251
+rect 40086 9161 40160 9261
+rect 40196 9251 40230 9297
+rect 40264 9285 40374 9351
+rect 40434 9393 40468 9577
+rect 40504 9571 40547 9671
+rect 40640 9510 40674 9635
+rect 40731 9515 40767 9671
+rect 40502 9478 40674 9510
+rect 40502 9444 40724 9478
+rect 40690 9393 40724 9444
+rect 40803 9471 40870 9623
+rect 40906 9505 40940 9671
+rect 40974 9471 41040 9623
+rect 41074 9505 41108 9671
+rect 40803 9437 41137 9471
+rect 41171 9453 41873 9671
+rect 41907 9453 42149 9671
+rect 40434 9359 40652 9393
+rect 40690 9359 41054 9393
+rect 40434 9251 40468 9359
+rect 40690 9325 40724 9359
+rect 40624 9291 40724 9325
+rect 41088 9324 41137 9437
+rect 40196 9217 40288 9251
+rect 40334 9217 40468 9251
+rect 40531 9161 40565 9267
+rect 40624 9203 40696 9291
+rect 40803 9290 41137 9324
+rect 41171 9315 41501 9419
+rect 41535 9349 41873 9453
+rect 40731 9161 40765 9257
+rect 40803 9205 40870 9290
+rect 40905 9161 40939 9256
+rect 40974 9205 41041 9290
+rect 41075 9161 41109 9256
+rect 41171 9161 41873 9315
+rect 41907 9311 42011 9419
+rect 42045 9345 42149 9453
+rect 42183 9438 42241 9671
+rect 42281 9477 42348 9634
+rect 42281 9443 42400 9477
+rect 41907 9161 42149 9311
+rect 42183 9161 42241 9306
+rect 42275 9295 42332 9409
+rect 42366 9309 42400 9443
+rect 42434 9468 42504 9619
+rect 42538 9501 42602 9619
+rect 42659 9503 42709 9671
+rect 42434 9343 42468 9468
+rect 42538 9434 42580 9501
+rect 42754 9469 42804 9637
+rect 42838 9503 42888 9671
+rect 42922 9469 42972 9637
+rect 43006 9503 43056 9671
+rect 42514 9343 42580 9434
+rect 42626 9433 42713 9467
+rect 42754 9435 43069 9469
+rect 43103 9453 43805 9671
+rect 42626 9343 42660 9433
+rect 42694 9359 42986 9393
+rect 42694 9309 42728 9359
+rect 43020 9325 43069 9435
+rect 42366 9275 42728 9309
+rect 42762 9289 43069 9325
+rect 43103 9315 43433 9419
+rect 43467 9349 43805 9453
+rect 43845 9412 43895 9611
+rect 43931 9494 43967 9637
+rect 44001 9531 44091 9671
+rect 44126 9494 44162 9637
+rect 44204 9531 44270 9671
+rect 44312 9496 44350 9637
+rect 44384 9531 44450 9671
+rect 44484 9497 44522 9637
+rect 44556 9531 44622 9671
+rect 44484 9496 44633 9497
+rect 43931 9460 44278 9494
+rect 44236 9415 44278 9460
+rect 44312 9451 44633 9496
+rect 44667 9453 45736 9671
+rect 45771 9453 46105 9671
+rect 43845 9343 43997 9412
+rect 42282 9161 42332 9261
+rect 42398 9205 42432 9275
+rect 42472 9161 42538 9241
+rect 42572 9205 42606 9275
+rect 42650 9161 42726 9241
+rect 42762 9195 42812 9289
+rect 42846 9161 42880 9255
+rect 42914 9195 42980 9289
+rect 43014 9161 43048 9255
+rect 43103 9161 43805 9315
+rect 43915 9257 43982 9305
+rect 44035 9293 44093 9412
+rect 44127 9343 44202 9409
+rect 44236 9348 44543 9415
+rect 44236 9305 44278 9348
+rect 44577 9313 44633 9451
+rect 44129 9267 44278 9305
+rect 44312 9267 44633 9313
+rect 44667 9315 45183 9419
+rect 45217 9349 45736 9453
+rect 45771 9315 45921 9419
+rect 45955 9349 46105 9453
+rect 46145 9477 46212 9634
+rect 46145 9443 46264 9477
+rect 44129 9257 44167 9267
+rect 43915 9219 44167 9257
+rect 44312 9247 44350 9267
+rect 43915 9195 43982 9219
+rect 44203 9161 44269 9233
+rect 44384 9161 44450 9233
+rect 44484 9195 44522 9267
+rect 44556 9161 44622 9233
+rect 44667 9161 45736 9315
+rect 45771 9161 46105 9315
+rect 46139 9295 46196 9409
+rect 46230 9309 46264 9443
+rect 46298 9468 46368 9619
+rect 46402 9501 46466 9619
+rect 46523 9503 46573 9671
+rect 46298 9343 46332 9468
+rect 46402 9434 46444 9501
+rect 46618 9469 46668 9637
+rect 46702 9503 46752 9671
+rect 46786 9469 46836 9637
+rect 46870 9503 46920 9671
+rect 46378 9343 46444 9434
+rect 46490 9433 46577 9467
+rect 46618 9435 46933 9469
+rect 46967 9453 47669 9671
+rect 46490 9343 46524 9433
+rect 46558 9359 46850 9393
+rect 46558 9309 46592 9359
+rect 46884 9325 46933 9435
+rect 46230 9275 46592 9309
+rect 46626 9289 46933 9325
+rect 46967 9315 47297 9419
+rect 47331 9349 47669 9453
+rect 47795 9438 47853 9671
+rect 47897 9535 47947 9637
+rect 47981 9569 48031 9671
+rect 48065 9535 48115 9637
+rect 48149 9569 48199 9671
+rect 48233 9603 48451 9637
+rect 48233 9535 48283 9603
+rect 48401 9571 48451 9603
+rect 48505 9571 48555 9671
+rect 48589 9603 48807 9637
+rect 48589 9571 48639 9603
+rect 47897 9501 48283 9535
+rect 47887 9433 48207 9467
+rect 48241 9435 48283 9501
+rect 48317 9537 48367 9569
+rect 48673 9537 48723 9569
+rect 47887 9359 47985 9433
+rect 48031 9359 48139 9399
+rect 48173 9393 48207 9433
+rect 48317 9427 48394 9537
+rect 48497 9501 48723 9537
+rect 48757 9501 48807 9603
+rect 48841 9503 48891 9671
+rect 48925 9535 48975 9637
+rect 49009 9577 49059 9671
+rect 49093 9535 49143 9637
+rect 48925 9501 49143 9535
+rect 49177 9509 49227 9671
+rect 48497 9477 48531 9501
+rect 48461 9443 48531 9477
+rect 49093 9475 49143 9501
+rect 48173 9359 48249 9393
+rect 48317 9325 48359 9427
+rect 48461 9393 48499 9443
+rect 48565 9433 48863 9467
+rect 48565 9409 48599 9433
+rect 48393 9359 48499 9393
+rect 48533 9359 48599 9409
+rect 48633 9359 48757 9399
+rect 48791 9359 48863 9433
+rect 48897 9393 48962 9467
+rect 49093 9427 49254 9475
+rect 49359 9453 50061 9671
+rect 48897 9359 49158 9393
+rect 48461 9325 48499 9359
+rect 49192 9325 49254 9427
+rect 46146 9161 46196 9261
+rect 46262 9205 46296 9275
+rect 46336 9161 46402 9241
+rect 46436 9205 46470 9275
+rect 46514 9161 46590 9241
+rect 46626 9195 46676 9289
+rect 46710 9161 46744 9255
+rect 46778 9195 46844 9289
+rect 46878 9161 46912 9255
+rect 46967 9161 47669 9315
+rect 47795 9161 47853 9306
+rect 47905 9161 47939 9323
+rect 47973 9239 48023 9325
+rect 48057 9291 48375 9325
+rect 48057 9273 48124 9291
+rect 47973 9195 48207 9239
+rect 48241 9161 48275 9255
+rect 48309 9195 48375 9291
+rect 48461 9289 48815 9325
+rect 48409 9161 48547 9255
+rect 48581 9195 48647 9289
+rect 48681 9161 48715 9255
+rect 48749 9195 48815 9289
+rect 48849 9161 48883 9323
+rect 48917 9289 49254 9325
+rect 49359 9315 49689 9419
+rect 49723 9349 50061 9453
+rect 50101 9477 50168 9634
+rect 50254 9535 50324 9619
+rect 50245 9501 50324 9535
+rect 50101 9443 50220 9477
+rect 48917 9199 48983 9289
+rect 49017 9161 49051 9255
+rect 49085 9199 49151 9289
+rect 49185 9161 49219 9255
+rect 49359 9161 50061 9315
+rect 50095 9295 50152 9409
+rect 50186 9309 50220 9443
+rect 50254 9468 50324 9501
+rect 50358 9501 50422 9619
+rect 50479 9503 50529 9671
+rect 50574 9603 50624 9637
+rect 50567 9569 50624 9603
+rect 50254 9343 50288 9468
+rect 50358 9434 50400 9501
+rect 50574 9469 50624 9569
+rect 50658 9503 50708 9671
+rect 50742 9469 50792 9637
+rect 50826 9503 50876 9671
+rect 50334 9343 50400 9434
+rect 50446 9433 50533 9467
+rect 50574 9435 50889 9469
+rect 50923 9453 51625 9671
+rect 50446 9343 50480 9433
+rect 50514 9359 50806 9393
+rect 50514 9309 50548 9359
+rect 50840 9325 50889 9435
+rect 50186 9275 50548 9309
+rect 50582 9289 50889 9325
+rect 50923 9315 51253 9419
+rect 51287 9349 51625 9453
+rect 51673 9477 51745 9637
+rect 51854 9511 51888 9671
+rect 51930 9545 51996 9637
+rect 52030 9579 52064 9671
+rect 52098 9545 52164 9637
+rect 51930 9511 52164 9545
+rect 52198 9511 52232 9671
+rect 52098 9477 52164 9511
+rect 51673 9443 51965 9477
+rect 52098 9443 52269 9477
+rect 52303 9453 53372 9671
+rect 50102 9161 50152 9261
+rect 50218 9205 50252 9275
+rect 50292 9161 50358 9241
+rect 50392 9205 50426 9275
+rect 50470 9161 50546 9241
+rect 50582 9195 50632 9289
+rect 50666 9161 50700 9255
+rect 50734 9195 50800 9289
+rect 50834 9161 50868 9255
+rect 50923 9161 51625 9315
+rect 51660 9297 51711 9409
+rect 51745 9309 51781 9443
+rect 51815 9343 51890 9409
+rect 51924 9393 51965 9443
+rect 51924 9359 52166 9393
+rect 52200 9325 52269 9443
+rect 51663 9161 51711 9263
+rect 51745 9202 51811 9309
+rect 51854 9161 51888 9309
+rect 51930 9291 52269 9325
+rect 52303 9315 52819 9419
+rect 52853 9349 53372 9453
+rect 53407 9438 53465 9671
+rect 53517 9485 53551 9637
+rect 53594 9519 53660 9671
+rect 53517 9451 53660 9485
+rect 53694 9456 53745 9637
+rect 53499 9341 53570 9415
+rect 53626 9409 53660 9451
+rect 53626 9343 53677 9409
+rect 51930 9197 51996 9291
+rect 52030 9161 52064 9257
+rect 52098 9197 52164 9291
+rect 52198 9161 52232 9257
+rect 52303 9161 53372 9315
+rect 53407 9161 53465 9306
+rect 53626 9305 53660 9343
+rect 53711 9310 53745 9456
+rect 53780 9441 53832 9671
+rect 53867 9453 54569 9671
+rect 53517 9271 53660 9305
+rect 53517 9195 53551 9271
+rect 53594 9161 53660 9237
+rect 53694 9195 53745 9310
+rect 53780 9161 53832 9329
+rect 53867 9315 54197 9419
+rect 54231 9349 54569 9453
+rect 54604 9477 54659 9637
+rect 54693 9511 54759 9671
+rect 54793 9477 54827 9637
+rect 54861 9511 54911 9671
+rect 54945 9603 55364 9637
+rect 54945 9477 55011 9603
+rect 54604 9435 55011 9477
+rect 55045 9493 55079 9569
+rect 55113 9531 55179 9603
+rect 55213 9493 55247 9569
+rect 55281 9527 55364 9603
+rect 55045 9433 55397 9493
+rect 55431 9453 56500 9671
+rect 56535 9453 56777 9671
+rect 54614 9359 54946 9399
+rect 55010 9359 55283 9399
+rect 55317 9325 55397 9433
+rect 53867 9161 54569 9315
+rect 54604 9161 54659 9325
+rect 54693 9289 55397 9325
+rect 55431 9315 55947 9419
+rect 55981 9349 56500 9453
+rect 54693 9195 54759 9289
+rect 54793 9161 54827 9255
+rect 54861 9195 54927 9289
+rect 54961 9161 54995 9255
+rect 55029 9195 55095 9289
+rect 55129 9161 55163 9255
+rect 55197 9195 55263 9289
+rect 55297 9161 55354 9255
+rect 55431 9161 56500 9315
+rect 56535 9311 56639 9419
+rect 56673 9345 56777 9453
+rect 56535 9161 56777 9311
+rect 56811 9195 56961 9637
+rect 57022 9509 57072 9671
+rect 57106 9467 57156 9637
+rect 57190 9503 57240 9671
+rect 57274 9467 57324 9637
+rect 57358 9569 57512 9671
+rect 57546 9603 57764 9637
+rect 57546 9569 57596 9603
+rect 57714 9569 57764 9603
+rect 57798 9569 57864 9671
+rect 57898 9603 58116 9637
+rect 57898 9569 57948 9603
+rect 57630 9535 57680 9569
+rect 57982 9535 58032 9569
+rect 56995 9433 57324 9467
+rect 57358 9501 58032 9535
+rect 58066 9501 58116 9603
+rect 56995 9325 57052 9433
+rect 57358 9399 57424 9501
+rect 57086 9359 57424 9399
+rect 57462 9433 57814 9467
+rect 57462 9359 57569 9433
+rect 57603 9359 57714 9399
+rect 57748 9359 57814 9433
+rect 57848 9433 58125 9467
+rect 58159 9435 58200 9671
+rect 58283 9453 58985 9671
+rect 57848 9359 57914 9433
+rect 58091 9399 58125 9433
+rect 57958 9359 58057 9399
+rect 58091 9359 58249 9399
+rect 57366 9325 57424 9359
+rect 56995 9289 57332 9325
+rect 57366 9291 57772 9325
+rect 57030 9161 57064 9255
+rect 57098 9197 57164 9289
+rect 57198 9161 57232 9255
+rect 57266 9195 57332 9289
+rect 57461 9273 57772 9291
+rect 57806 9291 58208 9325
+rect 57366 9161 57400 9255
+rect 57806 9239 57872 9291
+rect 57974 9289 58208 9291
+rect 57454 9195 57872 9239
+rect 57906 9161 57940 9255
+rect 57974 9195 58040 9289
+rect 58074 9161 58108 9255
+rect 58142 9195 58208 9289
+rect 58283 9315 58613 9419
+rect 58647 9349 58985 9453
+rect 59019 9438 59077 9671
+rect 59112 9477 59167 9637
+rect 59201 9511 59267 9671
+rect 59301 9477 59335 9637
+rect 59369 9511 59419 9671
+rect 59453 9603 59872 9637
+rect 59453 9477 59519 9603
+rect 59112 9435 59519 9477
+rect 59553 9493 59587 9569
+rect 59621 9531 59687 9603
+rect 59721 9493 59755 9569
+rect 59789 9527 59872 9603
+rect 59553 9433 59905 9493
+rect 59939 9453 60641 9671
+rect 59518 9359 59791 9399
+rect 59825 9325 59905 9433
+rect 58283 9161 58985 9315
+rect 59019 9161 59077 9306
+rect 59112 9161 59167 9325
+rect 59201 9289 59905 9325
+rect 59939 9315 60269 9419
+rect 60303 9349 60641 9453
+rect 60676 9477 60731 9637
+rect 60765 9511 60831 9671
+rect 60865 9477 60899 9637
+rect 60933 9511 60983 9671
+rect 61017 9603 61436 9637
+rect 61017 9477 61083 9603
+rect 60676 9435 61083 9477
+rect 61117 9493 61151 9569
+rect 61185 9531 61251 9603
+rect 61285 9493 61319 9569
+rect 61353 9527 61436 9603
+rect 61117 9433 61469 9493
+rect 61503 9453 62205 9671
+rect 60686 9359 61018 9399
+rect 61082 9359 61355 9399
+rect 61389 9325 61469 9433
+rect 59201 9195 59267 9289
+rect 59301 9161 59335 9255
+rect 59369 9195 59435 9289
+rect 59469 9161 59503 9255
+rect 59537 9195 59603 9289
+rect 59637 9161 59671 9255
+rect 59705 9195 59771 9289
+rect 59805 9161 59862 9255
+rect 59939 9161 60641 9315
+rect 60676 9161 60731 9325
+rect 60765 9289 61469 9325
+rect 61503 9315 61833 9419
+rect 61867 9349 62205 9453
+rect 62240 9477 62295 9637
+rect 62329 9511 62395 9671
+rect 62429 9477 62463 9637
+rect 62497 9511 62547 9671
+rect 62581 9603 63000 9637
+rect 62581 9477 62647 9603
+rect 62240 9435 62647 9477
+rect 62681 9493 62715 9569
+rect 62749 9531 62815 9603
+rect 62849 9493 62883 9569
+rect 62917 9527 63000 9603
+rect 62681 9433 63033 9493
+rect 63067 9453 64136 9671
+rect 64171 9453 64505 9671
+rect 62250 9359 62582 9399
+rect 62646 9359 62919 9399
+rect 62953 9325 63033 9433
+rect 60765 9195 60831 9289
+rect 60865 9161 60899 9255
+rect 60933 9195 60999 9289
+rect 61033 9161 61067 9255
+rect 61101 9195 61167 9289
+rect 61201 9161 61235 9255
+rect 61269 9195 61335 9289
+rect 61369 9161 61426 9255
+rect 61503 9161 62205 9315
+rect 62240 9161 62295 9325
+rect 62329 9289 63033 9325
+rect 63067 9315 63583 9419
+rect 63617 9349 64136 9453
+rect 64171 9315 64321 9419
+rect 64355 9349 64505 9453
+rect 64631 9438 64689 9671
+rect 64724 9477 64779 9637
+rect 64813 9511 64879 9671
+rect 64913 9477 64947 9637
+rect 64981 9511 65031 9671
+rect 65065 9603 65484 9637
+rect 65065 9477 65131 9603
+rect 64724 9435 65131 9477
+rect 65165 9493 65199 9569
+rect 65233 9531 65299 9603
+rect 65333 9493 65367 9569
+rect 65401 9527 65484 9603
+rect 65165 9433 65517 9493
+rect 65551 9453 66253 9671
+rect 64734 9359 65066 9399
+rect 65130 9359 65403 9399
+rect 65437 9325 65517 9433
+rect 62329 9195 62395 9289
+rect 62429 9161 62463 9255
+rect 62497 9195 62563 9289
+rect 62597 9161 62631 9255
+rect 62665 9195 62731 9289
+rect 62765 9161 62799 9255
+rect 62833 9195 62899 9289
+rect 62933 9161 62990 9255
+rect 63067 9161 64136 9315
+rect 64171 9161 64505 9315
+rect 64631 9161 64689 9306
+rect 64724 9161 64779 9325
+rect 64813 9289 65517 9325
+rect 65551 9315 65881 9419
+rect 65915 9349 66253 9453
+rect 66288 9477 66343 9637
+rect 66377 9511 66443 9671
+rect 66477 9477 66511 9637
+rect 66545 9511 66595 9671
+rect 66629 9603 67048 9637
+rect 66629 9477 66695 9603
+rect 66288 9435 66695 9477
+rect 66729 9493 66763 9569
+rect 66797 9531 66863 9603
+rect 66897 9493 66931 9569
+rect 66965 9527 67048 9603
+rect 66729 9433 67081 9493
+rect 67115 9453 67817 9671
+rect 66298 9359 66630 9399
+rect 66694 9359 66967 9399
+rect 67001 9325 67081 9433
+rect 64813 9195 64879 9289
+rect 64913 9161 64947 9255
+rect 64981 9195 65047 9289
+rect 65081 9161 65115 9255
+rect 65149 9195 65215 9289
+rect 65249 9161 65283 9255
+rect 65317 9195 65383 9289
+rect 65417 9161 65474 9255
+rect 65551 9161 66253 9315
+rect 66288 9161 66343 9325
+rect 66377 9289 67081 9325
+rect 67115 9315 67445 9419
+rect 67479 9349 67817 9453
+rect 67852 9477 67907 9637
+rect 67941 9511 68007 9671
+rect 68041 9477 68075 9637
+rect 68109 9511 68159 9671
+rect 68193 9603 68612 9637
+rect 68193 9477 68259 9603
+rect 67852 9435 68259 9477
+rect 68293 9493 68327 9569
+rect 68361 9531 68427 9603
+rect 68461 9493 68495 9569
+rect 68529 9527 68612 9603
+rect 68293 9433 68645 9493
+rect 68679 9453 69748 9671
+rect 69783 9453 70117 9671
+rect 67862 9359 68194 9399
+rect 68258 9359 68531 9399
+rect 68565 9325 68645 9433
+rect 66377 9195 66443 9289
+rect 66477 9161 66511 9255
+rect 66545 9195 66611 9289
+rect 66645 9161 66679 9255
+rect 66713 9195 66779 9289
+rect 66813 9161 66847 9255
+rect 66881 9195 66947 9289
+rect 66981 9161 67038 9255
+rect 67115 9161 67817 9315
+rect 67852 9161 67907 9325
+rect 67941 9289 68645 9325
+rect 68679 9315 69195 9419
+rect 69229 9349 69748 9453
+rect 69783 9315 69933 9419
+rect 69967 9349 70117 9453
+rect 70243 9438 70301 9671
+rect 70336 9477 70391 9637
+rect 70425 9511 70491 9671
+rect 70525 9477 70559 9637
+rect 70593 9511 70643 9671
+rect 70677 9603 71096 9637
+rect 70677 9477 70743 9603
+rect 70336 9435 70743 9477
+rect 70777 9493 70811 9569
+rect 70845 9531 70911 9603
+rect 70945 9493 70979 9569
+rect 71013 9527 71096 9603
+rect 70777 9433 71129 9493
+rect 71163 9453 71865 9671
+rect 70346 9359 70678 9399
+rect 70742 9359 71015 9399
+rect 71049 9325 71129 9433
+rect 67941 9195 68007 9289
+rect 68041 9161 68075 9255
+rect 68109 9195 68175 9289
+rect 68209 9161 68243 9255
+rect 68277 9195 68343 9289
+rect 68377 9161 68411 9255
+rect 68445 9195 68511 9289
+rect 68545 9161 68602 9255
+rect 68679 9161 69748 9315
+rect 69783 9161 70117 9315
+rect 70243 9161 70301 9306
+rect 70336 9161 70391 9325
+rect 70425 9289 71129 9325
+rect 71163 9315 71493 9419
+rect 71527 9349 71865 9453
+rect 71900 9477 71955 9637
+rect 71989 9511 72055 9671
+rect 72089 9477 72123 9637
+rect 72157 9511 72207 9671
+rect 72241 9603 72660 9637
+rect 72241 9477 72307 9603
+rect 71900 9435 72307 9477
+rect 72341 9493 72375 9569
+rect 72409 9531 72475 9603
+rect 72509 9493 72543 9569
+rect 72577 9527 72660 9603
+rect 72341 9433 72693 9493
+rect 72727 9453 73429 9671
+rect 71910 9359 72242 9399
+rect 72306 9359 72579 9399
+rect 72613 9325 72693 9433
+rect 70425 9195 70491 9289
+rect 70525 9161 70559 9255
+rect 70593 9195 70659 9289
+rect 70693 9161 70727 9255
+rect 70761 9195 70827 9289
+rect 70861 9161 70895 9255
+rect 70929 9195 70995 9289
+rect 71029 9161 71086 9255
+rect 71163 9161 71865 9315
+rect 71900 9161 71955 9325
+rect 71989 9289 72693 9325
+rect 72727 9315 73057 9419
+rect 73091 9349 73429 9453
+rect 73464 9477 73519 9637
+rect 73553 9511 73619 9671
+rect 73653 9477 73687 9637
+rect 73721 9511 73771 9671
+rect 73805 9603 74224 9637
+rect 73805 9477 73871 9603
+rect 73464 9435 73871 9477
+rect 73905 9493 73939 9569
+rect 73973 9531 74039 9603
+rect 74073 9493 74107 9569
+rect 74141 9527 74224 9603
+rect 73905 9433 74257 9493
+rect 74291 9453 75360 9671
+rect 75395 9453 75729 9671
+rect 73474 9359 73806 9399
+rect 73870 9359 74143 9399
+rect 74177 9325 74257 9433
+rect 71989 9195 72055 9289
+rect 72089 9161 72123 9255
+rect 72157 9195 72223 9289
+rect 72257 9161 72291 9255
+rect 72325 9195 72391 9289
+rect 72425 9161 72459 9255
+rect 72493 9195 72559 9289
+rect 72593 9161 72650 9255
+rect 72727 9161 73429 9315
+rect 73464 9161 73519 9325
+rect 73553 9289 74257 9325
+rect 74291 9315 74807 9419
+rect 74841 9349 75360 9453
+rect 75395 9315 75545 9419
+rect 75579 9349 75729 9453
+rect 75855 9438 75913 9671
+rect 75985 9526 76051 9671
+rect 73553 9195 73619 9289
+rect 73653 9161 73687 9255
+rect 73721 9195 73787 9289
+rect 73821 9161 73855 9255
+rect 73889 9195 73955 9289
+rect 73989 9161 74023 9255
+rect 74057 9195 74123 9289
+rect 74157 9161 74214 9255
+rect 74291 9161 75360 9315
+rect 75395 9161 75729 9315
+rect 75855 9161 75913 9306
+rect 75947 9195 76051 9492
+rect 76223 9453 76925 9671
+rect 76997 9526 77063 9671
+rect 76223 9315 76553 9419
+rect 76587 9349 76925 9453
+rect 76085 9161 76153 9293
+rect 76223 9161 76925 9315
+rect 76959 9195 77063 9492
+rect 77097 9327 77201 9637
+rect 77235 9453 77937 9671
+rect 78009 9526 78075 9671
+rect 77235 9315 77565 9419
+rect 77599 9349 77937 9453
+rect 77097 9161 77165 9293
+rect 77235 9161 77937 9315
+rect 77971 9195 78075 9492
+rect 78109 9327 78213 9637
+rect 78247 9453 78949 9671
+rect 79021 9526 79087 9671
+rect 78247 9315 78577 9419
+rect 78611 9349 78949 9453
+rect 78109 9161 78177 9293
+rect 78247 9161 78949 9315
+rect 78983 9195 79087 9492
+rect 79259 9453 79961 9671
+rect 80033 9526 80099 9671
+rect 79259 9315 79589 9419
+rect 79623 9349 79961 9453
+rect 79121 9161 79189 9293
+rect 79259 9161 79961 9315
+rect 79995 9195 80099 9492
+rect 80133 9327 80237 9637
+rect 80271 9453 81340 9671
+rect 80271 9315 80787 9419
+rect 80821 9349 81340 9453
+rect 81467 9438 81525 9671
+rect 81597 9526 81663 9671
+rect 80133 9161 80201 9293
+rect 80271 9161 81340 9315
+rect 81467 9161 81525 9306
+rect 81559 9195 81663 9492
+rect 81697 9327 81801 9637
+rect 81835 9453 82537 9671
+rect 82609 9526 82675 9671
+rect 81835 9315 82165 9419
+rect 82199 9349 82537 9453
+rect 81697 9161 81765 9293
+rect 81835 9161 82537 9315
+rect 82571 9195 82675 9492
+rect 82709 9327 82813 9637
+rect 82847 9453 83549 9671
+rect 83621 9526 83687 9671
+rect 82847 9315 83177 9419
+rect 83211 9349 83549 9453
+rect 82709 9161 82777 9293
+rect 82847 9161 83549 9315
+rect 83583 9195 83687 9492
+rect 83721 9327 83825 9637
+rect 83859 9453 84561 9671
+rect 84633 9526 84699 9671
+rect 83859 9315 84189 9419
+rect 84223 9349 84561 9453
+rect 83721 9161 83789 9293
+rect 83859 9161 84561 9315
+rect 84595 9195 84699 9492
+rect 84733 9327 84837 9637
+rect 84871 9453 85573 9671
+rect 85645 9526 85711 9671
+rect 84871 9315 85201 9419
+rect 85235 9349 85573 9453
+rect 84733 9161 84801 9293
+rect 84871 9161 85573 9315
+rect 85607 9195 85711 9492
+rect 85745 9327 85849 9637
+rect 85883 9453 86952 9671
+rect 85883 9315 86399 9419
+rect 86433 9349 86952 9453
+rect 87079 9438 87137 9671
+rect 87209 9526 87275 9671
+rect 85745 9161 85813 9293
+rect 85883 9161 86952 9315
+rect 87079 9161 87137 9306
+rect 87171 9195 87275 9492
+rect 87447 9453 88149 9671
+rect 88221 9526 88287 9671
+rect 87447 9315 87777 9419
+rect 87811 9349 88149 9453
+rect 87309 9161 87377 9293
+rect 87447 9161 88149 9315
+rect 88183 9195 88287 9492
+rect 88321 9327 88425 9637
+rect 88459 9453 89161 9671
+rect 89233 9526 89299 9671
+rect 88459 9315 88789 9419
+rect 88823 9349 89161 9453
+rect 88321 9161 88389 9293
+rect 88459 9161 89161 9315
+rect 89195 9195 89299 9492
+rect 89333 9327 89437 9637
+rect 89471 9453 90173 9671
+rect 90245 9526 90311 9671
+rect 89471 9315 89801 9419
+rect 89835 9349 90173 9453
+rect 89333 9161 89401 9293
+rect 89471 9161 90173 9315
+rect 90207 9195 90311 9492
+rect 90345 9327 90449 9637
+rect 90483 9453 91185 9671
+rect 91257 9526 91323 9671
+rect 90483 9315 90813 9419
+rect 90847 9349 91185 9453
+rect 90345 9161 90413 9293
+rect 90483 9161 91185 9315
+rect 91219 9195 91323 9492
+rect 91357 9327 91461 9637
+rect 91495 9453 92564 9671
+rect 91495 9315 92011 9419
+rect 92045 9349 92564 9453
+rect 92691 9438 92749 9671
+rect 92821 9526 92887 9671
+rect 91357 9161 91425 9293
+rect 91495 9161 92564 9315
+rect 92691 9161 92749 9306
+rect 92783 9195 92887 9492
+rect 93059 9453 93761 9671
+rect 93833 9526 93899 9671
+rect 93059 9315 93389 9419
+rect 93423 9349 93761 9453
+rect 92921 9161 92989 9293
+rect 93059 9161 93761 9315
+rect 93795 9195 93899 9492
+rect 93933 9327 94037 9637
+rect 94071 9453 94773 9671
+rect 94845 9526 94911 9671
+rect 94071 9315 94401 9419
+rect 94435 9349 94773 9453
+rect 93933 9161 94001 9293
+rect 94071 9161 94773 9315
+rect 94807 9195 94911 9492
+rect 94945 9327 95049 9637
+rect 95083 9453 95785 9671
+rect 95857 9526 95923 9671
+rect 95083 9315 95413 9419
+rect 95447 9349 95785 9453
+rect 94945 9161 95013 9293
+rect 95083 9161 95785 9315
+rect 95819 9195 95923 9492
+rect 95957 9327 96061 9637
+rect 96095 9453 96797 9671
+rect 96869 9526 96935 9671
+rect 96095 9315 96425 9419
+rect 96459 9349 96797 9453
+rect 95957 9161 96025 9293
+rect 96095 9161 96797 9315
+rect 96831 9195 96935 9492
+rect 96969 9327 97073 9637
+rect 97107 9453 98176 9671
+rect 97107 9315 97623 9419
+rect 97657 9349 98176 9453
+rect 98303 9438 98361 9671
+rect 98433 9526 98499 9671
+rect 96969 9161 97037 9293
+rect 97107 9161 98176 9315
+rect 98303 9161 98361 9306
+rect 98395 9195 98499 9492
+rect 98533 9327 98637 9637
+rect 98671 9453 99373 9671
+rect 99445 9526 99511 9671
+rect 98671 9315 99001 9419
+rect 99035 9349 99373 9453
+rect 98533 9161 98601 9293
+rect 98671 9161 99373 9315
+rect 99407 9195 99511 9492
+rect 99545 9327 99649 9637
+rect 99683 9453 100385 9671
+rect 100457 9526 100523 9671
+rect 99683 9315 100013 9419
+rect 100047 9349 100385 9453
+rect 99545 9161 99613 9293
+rect 99683 9161 100385 9315
+rect 100419 9195 100523 9492
+rect 100557 9327 100661 9637
+rect 100695 9453 101397 9671
+rect 101469 9526 101535 9671
+rect 100695 9315 101025 9419
+rect 101059 9349 101397 9453
+rect 100557 9161 100625 9293
+rect 100695 9161 101397 9315
+rect 101431 9195 101535 9492
+rect 101569 9327 101673 9637
+rect 101707 9453 102409 9671
+rect 102481 9526 102547 9671
+rect 101707 9315 102037 9419
+rect 102071 9349 102409 9453
+rect 101569 9161 101637 9293
+rect 101707 9161 102409 9315
+rect 102443 9195 102547 9492
+rect 102719 9453 103788 9671
+rect 102719 9315 103235 9419
+rect 103269 9349 103788 9453
+rect 103915 9438 103973 9671
+rect 104045 9526 104111 9671
+rect 102581 9161 102649 9293
+rect 102719 9161 103788 9315
+rect 103915 9161 103973 9306
+rect 104007 9195 104111 9492
+rect 104145 9327 104249 9637
+rect 104283 9453 104985 9671
+rect 105057 9526 105123 9671
+rect 104283 9315 104613 9419
+rect 104647 9349 104985 9453
+rect 104145 9161 104213 9293
+rect 104283 9161 104985 9315
+rect 105019 9195 105123 9492
+rect 105157 9327 105261 9637
+rect 105295 9453 105997 9671
+rect 106069 9526 106135 9671
+rect 105295 9315 105625 9419
+rect 105659 9349 105997 9453
+rect 105157 9161 105225 9293
+rect 105295 9161 105997 9315
+rect 106031 9195 106135 9492
+rect 106169 9327 106273 9637
+rect 106307 9453 107009 9671
+rect 107081 9526 107147 9671
+rect 106307 9315 106637 9419
+rect 106671 9349 107009 9453
+rect 106169 9161 106237 9293
+rect 106307 9161 107009 9315
+rect 107043 9195 107147 9492
+rect 107181 9327 107285 9637
+rect 107319 9453 108021 9671
+rect 108093 9526 108159 9671
+rect 107319 9315 107649 9419
+rect 107683 9349 108021 9453
+rect 107181 9161 107249 9293
+rect 107319 9161 108021 9315
+rect 108055 9195 108159 9492
+rect 108193 9327 108297 9637
+rect 108331 9453 109400 9671
+rect 108331 9315 108847 9419
+rect 108881 9349 109400 9453
+rect 109527 9438 109585 9671
+rect 109657 9526 109723 9671
+rect 108193 9161 108261 9293
+rect 108331 9161 109400 9315
+rect 109527 9161 109585 9306
+rect 109619 9195 109723 9492
+rect 109757 9327 109861 9637
+rect 109895 9453 110597 9671
+rect 110669 9526 110735 9671
+rect 109895 9315 110225 9419
+rect 110259 9349 110597 9453
+rect 109757 9161 109825 9293
+rect 109895 9161 110597 9315
+rect 110631 9195 110735 9492
+rect 110769 9327 110873 9637
+rect 110907 9453 111609 9671
+rect 111681 9526 111747 9671
+rect 110907 9315 111237 9419
+rect 111271 9349 111609 9453
+rect 110769 9161 110837 9293
+rect 110907 9161 111609 9315
+rect 111643 9195 111747 9492
+rect 111781 9327 111885 9637
+rect 111919 9453 112621 9671
+rect 112693 9526 112759 9671
+rect 111919 9315 112249 9419
+rect 112283 9349 112621 9453
+rect 111781 9161 111849 9293
+rect 111919 9161 112621 9315
+rect 112655 9195 112759 9492
+rect 112793 9327 112897 9637
+rect 112931 9453 113633 9671
+rect 113705 9526 113771 9671
+rect 112931 9315 113261 9419
+rect 113295 9349 113633 9453
+rect 112793 9161 112861 9293
+rect 112931 9161 113633 9315
+rect 113667 9195 113771 9492
+rect 113805 9327 113909 9637
+rect 113943 9453 115012 9671
+rect 113943 9315 114459 9419
+rect 114493 9349 115012 9453
+rect 115139 9438 115197 9671
+rect 115269 9526 115335 9671
+rect 113805 9161 113873 9293
+rect 113943 9161 115012 9315
+rect 115139 9161 115197 9306
+rect 115231 9195 115335 9492
+rect 115369 9327 115473 9637
+rect 115507 9453 116209 9671
+rect 116281 9526 116347 9671
+rect 115507 9315 115837 9419
+rect 115871 9349 116209 9453
+rect 115369 9161 115437 9293
+rect 115507 9161 116209 9315
+rect 116243 9195 116347 9492
+rect 116381 9327 116485 9637
+rect 116519 9453 117221 9671
+rect 117293 9526 117359 9671
+rect 116519 9315 116849 9419
+rect 116883 9349 117221 9453
+rect 116381 9161 116449 9293
+rect 116519 9161 117221 9315
+rect 117255 9195 117359 9492
+rect 117393 9327 117497 9637
+rect 117531 9453 118233 9671
+rect 118305 9526 118371 9671
+rect 117531 9315 117861 9419
+rect 117895 9349 118233 9453
+rect 117393 9161 117461 9293
+rect 117531 9161 118233 9315
+rect 118267 9195 118371 9492
+rect 118405 9327 118509 9637
+rect 118543 9453 119245 9671
+rect 119317 9526 119383 9671
+rect 118543 9315 118873 9419
+rect 118907 9349 119245 9453
+rect 118405 9161 118473 9293
+rect 118543 9161 119245 9315
+rect 119279 9195 119383 9492
+rect 119417 9327 119521 9637
+rect 119555 9453 120624 9671
+rect 119555 9315 120071 9419
+rect 120105 9349 120624 9453
+rect 120751 9438 120809 9671
+rect 120881 9526 120947 9671
+rect 119417 9161 119485 9293
+rect 119555 9161 120624 9315
+rect 120751 9161 120809 9306
+rect 120843 9195 120947 9492
+rect 120981 9327 121085 9637
+rect 121119 9453 121821 9671
+rect 121893 9526 121959 9671
+rect 121119 9315 121449 9419
+rect 121483 9349 121821 9453
+rect 120981 9161 121049 9293
+rect 121119 9161 121821 9315
+rect 121855 9195 121959 9492
+rect 121993 9327 122097 9637
+rect 122131 9453 122833 9671
+rect 122905 9526 122971 9671
+rect 122131 9315 122461 9419
+rect 122495 9349 122833 9453
+rect 121993 9161 122061 9293
+rect 122131 9161 122833 9315
+rect 122867 9195 122971 9492
+rect 123005 9327 123109 9637
+rect 123143 9453 123845 9671
+rect 123917 9526 123983 9671
+rect 123143 9315 123473 9419
+rect 123507 9349 123845 9453
+rect 123005 9161 123073 9293
+rect 123143 9161 123845 9315
+rect 123879 9195 123983 9492
+rect 124017 9327 124121 9637
+rect 124155 9453 124857 9671
+rect 124929 9526 124995 9671
+rect 124155 9315 124485 9419
+rect 124519 9349 124857 9453
+rect 124017 9161 124085 9293
+rect 124155 9161 124857 9315
+rect 124891 9195 124995 9492
+rect 125029 9327 125133 9637
+rect 125167 9453 125869 9671
+rect 125167 9315 125497 9419
+rect 125531 9349 125869 9453
+rect 125995 9453 126237 9671
+rect 125995 9345 126099 9453
+rect 125029 9161 125097 9293
+rect 125167 9161 125869 9315
+rect 126133 9311 126237 9419
+rect 125995 9161 126237 9311
+rect 8494 9127 126254 9161
+rect 8511 8977 8753 9127
+rect 8511 8869 8615 8977
+rect 8787 8973 9856 9127
+rect 9891 8973 10960 9127
+rect 10995 8973 11329 9127
+rect 11363 8982 11421 9127
+rect 11455 8973 12524 9127
+rect 12559 8973 13628 9127
+rect 13663 8973 14181 9127
+rect 14215 8982 14273 9127
+rect 14307 8973 15376 9127
+rect 15411 8977 15653 9127
+rect 15691 9025 15739 9127
+rect 8649 8835 8753 8943
+rect 8787 8869 9303 8973
+rect 9337 8835 9856 8939
+rect 9891 8869 10407 8973
+rect 10441 8835 10960 8939
+rect 10995 8869 11145 8973
+rect 11179 8835 11329 8939
+rect 11455 8869 11971 8973
+rect 8511 8617 8753 8835
+rect 8787 8617 9856 8835
+rect 9891 8617 10960 8835
+rect 10995 8617 11329 8835
+rect 11363 8617 11421 8850
+rect 12005 8835 12524 8939
+rect 12559 8869 13075 8973
+rect 13109 8835 13628 8939
+rect 13663 8869 13905 8973
+rect 13939 8835 14181 8939
+rect 14307 8869 14823 8973
+rect 11455 8617 12524 8835
+rect 12559 8617 13628 8835
+rect 13663 8617 14181 8835
+rect 14215 8617 14273 8850
+rect 14857 8835 15376 8939
+rect 15411 8869 15515 8977
+rect 15549 8835 15653 8943
+rect 15688 8879 15739 8991
+rect 15773 8979 15839 9086
+rect 15882 8979 15916 9127
+rect 15958 8997 16024 9091
+rect 16058 9031 16092 9127
+rect 16126 8997 16192 9091
+rect 16226 9031 16260 9127
+rect 15773 8845 15809 8979
+rect 15958 8963 16297 8997
+rect 15843 8879 15918 8945
+rect 15952 8895 16194 8929
+rect 15952 8845 15993 8895
+rect 16228 8845 16297 8963
+rect 16331 8973 17033 9127
+rect 17067 8982 17125 9127
+rect 16331 8869 16661 8973
+rect 17351 8963 17397 9127
+rect 17431 8967 17497 9093
+rect 14307 8617 15376 8835
+rect 15411 8617 15653 8835
+rect 15701 8811 15993 8845
+rect 16126 8811 16297 8845
+rect 16695 8835 17033 8939
+rect 17347 8879 17413 8929
+rect 15701 8651 15773 8811
+rect 16126 8777 16192 8811
+rect 15882 8617 15916 8777
+rect 15958 8743 16192 8777
+rect 15958 8651 16024 8743
+rect 16058 8617 16092 8709
+rect 16126 8651 16192 8743
+rect 16226 8617 16260 8777
+rect 16331 8617 17033 8835
+rect 17067 8617 17125 8850
+rect 17447 8847 17497 8967
+rect 17531 8963 17573 9127
+rect 17619 8973 18321 9127
+rect 17619 8869 17949 8973
+rect 18356 8963 18411 9127
+rect 18445 8999 18511 9093
+rect 18545 9033 18579 9127
+rect 18613 8999 18679 9093
+rect 18713 9033 18747 9127
+rect 18781 8999 18847 9093
+rect 18881 9033 18915 9127
+rect 18949 8999 19015 9093
+rect 19049 9033 19106 9127
+rect 18445 8963 19149 8999
+rect 17351 8617 17397 8845
+rect 17431 8651 17497 8847
+rect 17531 8617 17573 8845
+rect 17983 8835 18321 8939
+rect 18762 8889 19035 8929
+rect 19069 8855 19149 8963
+rect 19183 8973 19885 9127
+rect 19919 8982 19977 9127
+rect 20121 9017 20155 9093
+rect 20198 9051 20264 9127
+rect 20121 8983 20264 9017
+rect 19183 8869 19513 8973
+rect 17619 8617 18321 8835
+rect 18356 8811 18763 8853
+rect 18356 8651 18411 8811
+rect 18445 8617 18511 8777
+rect 18545 8651 18579 8811
+rect 18613 8617 18663 8777
+rect 18697 8685 18763 8811
+rect 18797 8795 19149 8855
+rect 19547 8835 19885 8939
+rect 20103 8873 20174 8947
+rect 20230 8945 20264 8983
+rect 20298 8978 20349 9093
+rect 20230 8879 20281 8945
+rect 18797 8719 18831 8795
+rect 18865 8685 18931 8757
+rect 18965 8719 18999 8795
+rect 19033 8685 19116 8761
+rect 18697 8651 19116 8685
+rect 19183 8617 19885 8835
+rect 19919 8617 19977 8850
+rect 20230 8837 20264 8879
+rect 20121 8803 20264 8837
+rect 20315 8832 20349 8978
+rect 20384 8959 20436 9127
+rect 20471 8973 21173 9127
+rect 21214 9027 21264 9127
+rect 21330 9013 21364 9083
+rect 21404 9047 21470 9127
+rect 21504 9013 21538 9083
+rect 21582 9047 21658 9127
+rect 20471 8869 20801 8973
+rect 20121 8651 20155 8803
+rect 20198 8617 20264 8769
+rect 20298 8651 20349 8832
+rect 20384 8617 20436 8847
+rect 20835 8835 21173 8939
+rect 21207 8879 21264 8993
+rect 21298 8979 21660 9013
+rect 21298 8845 21332 8979
+rect 20471 8617 21173 8835
+rect 21213 8811 21332 8845
+rect 21366 8820 21400 8945
+rect 21446 8854 21512 8945
+rect 21213 8654 21280 8811
+rect 21366 8719 21436 8820
+rect 21357 8685 21436 8719
+rect 21366 8669 21436 8685
+rect 21470 8787 21512 8854
+rect 21558 8855 21592 8945
+rect 21626 8929 21660 8979
+rect 21694 8999 21744 9093
+rect 21778 9033 21812 9127
+rect 21846 8999 21912 9093
+rect 21946 9033 21980 9127
+rect 21694 8963 22001 8999
+rect 21626 8895 21918 8929
+rect 21558 8821 21645 8855
+rect 21952 8853 22001 8963
+rect 22035 8973 22737 9127
+rect 22771 8982 22829 9127
+rect 23054 9027 23104 9127
+rect 23170 9013 23204 9083
+rect 23244 9047 23310 9127
+rect 23344 9013 23378 9083
+rect 23422 9047 23498 9127
+rect 22035 8869 22365 8973
+rect 21686 8819 22001 8853
+rect 22399 8835 22737 8939
+rect 23047 8879 23104 8993
+rect 23138 8979 23500 9013
+rect 21470 8669 21534 8787
+rect 21591 8617 21641 8785
+rect 21686 8651 21736 8819
+rect 21770 8617 21820 8785
+rect 21854 8651 21904 8819
+rect 21938 8617 21988 8785
+rect 22035 8617 22737 8835
+rect 22771 8617 22829 8850
+rect 23138 8845 23172 8979
+rect 23053 8811 23172 8845
+rect 23206 8820 23240 8945
+rect 23286 8854 23352 8945
+rect 23053 8654 23120 8811
+rect 23206 8787 23276 8820
+rect 23197 8753 23276 8787
+rect 23206 8669 23276 8753
+rect 23310 8787 23352 8854
+rect 23398 8855 23432 8945
+rect 23466 8929 23500 8979
+rect 23534 8999 23584 9093
+rect 23618 9033 23652 9127
+rect 23686 8999 23752 9093
+rect 23786 9033 23820 9127
+rect 23534 8963 23841 8999
+rect 23466 8895 23758 8929
+rect 23398 8821 23485 8855
+rect 23792 8853 23841 8963
+rect 23875 8973 24577 9127
+rect 23875 8869 24205 8973
+rect 24619 8963 24665 9127
+rect 24699 8967 24765 9093
+rect 23526 8819 23841 8853
+rect 24239 8835 24577 8939
+rect 24615 8879 24681 8929
+rect 24715 8847 24765 8967
+rect 24799 8963 24841 9127
+rect 24887 8973 25589 9127
+rect 25623 8982 25681 9127
+rect 25722 9027 25772 9127
+rect 25838 9013 25872 9083
+rect 25912 9047 25978 9127
+rect 26012 9013 26046 9083
+rect 26090 9047 26166 9127
+rect 24887 8869 25217 8973
+rect 23310 8669 23374 8787
+rect 23431 8617 23481 8785
+rect 23526 8651 23576 8819
+rect 23610 8617 23660 8785
+rect 23694 8651 23744 8819
+rect 23778 8617 23828 8785
+rect 23875 8617 24577 8835
+rect 24619 8617 24665 8845
+rect 24699 8651 24765 8847
+rect 24799 8617 24841 8845
+rect 25251 8835 25589 8939
+rect 25715 8879 25772 8993
+rect 25806 8979 26168 9013
+rect 24887 8617 25589 8835
+rect 25623 8617 25681 8850
+rect 25806 8845 25840 8979
+rect 25721 8811 25840 8845
+rect 25874 8820 25908 8945
+rect 25954 8854 26020 8945
+rect 25721 8654 25788 8811
+rect 25874 8669 25944 8820
+rect 25978 8787 26020 8854
+rect 26066 8855 26100 8945
+rect 26134 8929 26168 8979
+rect 26202 8999 26252 9093
+rect 26286 9033 26320 9127
+rect 26354 8999 26420 9093
+rect 26454 9033 26488 9127
+rect 26202 8963 26509 8999
+rect 26134 8895 26426 8929
+rect 26066 8821 26153 8855
+rect 26460 8853 26509 8963
+rect 26543 8973 27245 9127
+rect 27389 9017 27423 9093
+rect 27466 9051 27532 9127
+rect 27389 8983 27532 9017
+rect 26543 8869 26873 8973
+rect 26194 8819 26509 8853
+rect 26907 8835 27245 8939
+rect 27371 8873 27442 8947
+rect 27498 8945 27532 8983
+rect 27566 8978 27617 9093
+rect 27498 8879 27549 8945
+rect 27498 8837 27532 8879
+rect 25978 8669 26042 8787
+rect 26099 8617 26149 8785
+rect 26194 8651 26244 8819
+rect 26278 8617 26328 8785
+rect 26362 8651 26412 8819
+rect 26446 8617 26496 8785
+rect 26543 8617 27245 8835
+rect 27389 8803 27532 8837
+rect 27583 8832 27617 8978
+rect 27652 8959 27704 9127
+rect 27739 8973 28441 9127
+rect 28475 8982 28533 9127
+rect 28677 9017 28711 9093
+rect 28754 9051 28820 9127
+rect 28677 8983 28820 9017
+rect 27739 8869 28069 8973
+rect 27389 8651 27423 8803
+rect 27466 8617 27532 8769
+rect 27566 8651 27617 8832
+rect 27652 8617 27704 8847
+rect 28103 8835 28441 8939
+rect 28659 8873 28730 8947
+rect 28786 8945 28820 8983
+rect 28854 8978 28905 9093
+rect 28786 8879 28837 8945
+rect 27739 8617 28441 8835
+rect 28475 8617 28533 8850
+rect 28786 8837 28820 8879
+rect 28677 8803 28820 8837
+rect 28871 8832 28905 8978
+rect 28940 8959 28992 9127
+rect 29027 8973 29729 9127
+rect 29027 8869 29357 8973
+rect 29764 8963 29819 9127
+rect 29853 8999 29919 9093
+rect 29953 9033 29987 9127
+rect 30021 8999 30087 9093
+rect 30121 9033 30155 9127
+rect 30189 8999 30255 9093
+rect 30289 9033 30323 9127
+rect 30357 8999 30423 9093
+rect 30457 9033 30514 9127
+rect 29853 8963 30557 8999
+rect 28677 8651 28711 8803
+rect 28754 8617 28820 8769
+rect 28854 8651 28905 8832
+rect 28940 8617 28992 8847
+rect 29391 8835 29729 8939
+rect 29774 8889 30106 8929
+rect 30170 8889 30443 8929
+rect 30477 8855 30557 8963
+rect 30591 8973 31293 9127
+rect 31327 8982 31385 9127
+rect 31437 9017 31471 9075
+rect 31505 9051 31571 9127
+rect 31437 8983 31570 9017
+rect 30591 8869 30921 8973
+rect 29027 8617 29729 8835
+rect 29764 8811 30171 8853
+rect 29764 8651 29819 8811
+rect 29853 8617 29919 8777
+rect 29953 8651 29987 8811
+rect 30021 8617 30071 8777
+rect 30105 8685 30171 8811
+rect 30205 8795 30557 8855
+rect 30955 8835 31293 8939
+rect 30205 8719 30239 8795
+rect 30273 8685 30339 8757
+rect 30373 8719 30407 8795
+rect 30441 8685 30524 8761
+rect 30105 8651 30524 8685
+rect 30591 8617 31293 8835
+rect 31327 8617 31385 8850
+rect 31420 8819 31490 8949
+rect 31524 8785 31570 8983
+rect 31437 8751 31570 8785
+rect 31437 8651 31471 8751
+rect 31505 8617 31571 8717
+rect 31605 8651 31650 9075
+rect 31693 9035 31743 9127
+rect 31780 9037 31846 9071
+rect 31882 9037 32032 9071
+rect 31690 8811 31746 8931
+rect 31780 8731 31814 9037
+rect 31848 8937 31964 9003
+rect 31848 8763 31896 8937
+rect 31998 8881 32032 9037
+rect 32082 9027 32156 9127
+rect 32192 9037 32284 9071
+rect 32330 9037 32464 9071
+rect 32192 8991 32226 9037
+rect 32066 8931 32226 8991
+rect 32260 8937 32370 9003
+rect 32066 8925 32100 8931
+rect 32124 8881 32158 8895
+rect 31998 8847 32158 8881
+rect 32056 8829 32158 8847
+rect 31934 8742 32022 8813
+rect 31690 8617 31743 8709
+rect 31780 8656 31827 8731
+rect 32056 8706 32090 8829
+rect 31876 8672 32090 8706
+rect 32124 8617 32158 8777
+rect 32192 8717 32226 8931
+rect 32260 8751 32298 8895
+rect 32332 8829 32370 8937
+rect 32430 8929 32464 9037
+rect 32527 9021 32561 9127
+rect 32620 8997 32692 9085
+rect 32727 9031 32761 9127
+rect 32799 8998 32866 9083
+rect 32901 9032 32935 9127
+rect 32970 8998 33037 9083
+rect 33071 9032 33105 9127
+rect 32620 8963 32720 8997
+rect 32799 8964 33133 8998
+rect 32686 8929 32720 8963
+rect 32430 8895 32648 8929
+rect 32686 8895 33050 8929
+rect 32332 8763 32396 8829
+rect 32192 8651 32242 8717
+rect 32430 8711 32464 8895
+rect 32686 8844 32720 8895
+rect 33084 8851 33133 8964
+rect 33167 8973 33869 9127
+rect 33903 8977 34145 9127
+rect 34179 8982 34237 9127
+rect 33167 8869 33497 8973
+rect 32498 8810 32720 8844
+rect 32799 8817 33133 8851
+rect 33531 8835 33869 8939
+rect 33903 8869 34007 8977
+rect 34271 8973 34605 9127
+rect 34041 8835 34145 8943
+rect 34271 8869 34421 8973
+rect 34749 8965 34783 9127
+rect 34817 9049 35051 9093
+rect 34817 8963 34867 9049
+rect 35085 9033 35119 9127
+rect 34901 8997 34968 9015
+rect 35153 8997 35219 9093
+rect 35253 9033 35391 9127
+rect 35425 8999 35491 9093
+rect 35525 9033 35559 9127
+rect 35593 8999 35659 9093
+rect 34901 8963 35219 8997
+rect 35305 8963 35659 8999
+rect 35693 8965 35727 9127
+rect 35761 8999 35827 9089
+rect 35861 9033 35895 9127
+rect 35929 8999 35995 9089
+rect 36029 9033 36063 9127
+rect 35761 8963 36098 8999
+rect 32498 8778 32670 8810
+rect 32287 8677 32464 8711
+rect 32500 8617 32543 8717
+rect 32636 8653 32670 8778
+rect 32727 8617 32763 8773
+rect 32799 8665 32866 8817
+rect 32902 8617 32936 8783
+rect 32970 8665 33036 8817
+rect 33070 8617 33104 8783
+rect 33167 8617 33869 8835
+rect 33903 8617 34145 8835
+rect 34179 8617 34237 8850
+rect 34455 8835 34605 8939
+rect 34271 8617 34605 8835
+rect 34731 8855 34829 8929
+rect 34875 8889 34983 8929
+rect 35017 8895 35093 8929
+rect 35017 8855 35051 8895
+rect 34731 8821 35051 8855
+rect 35161 8861 35203 8963
+rect 35305 8929 35343 8963
+rect 35237 8895 35343 8929
+rect 35085 8787 35127 8853
+rect 34741 8753 35127 8787
+rect 34741 8651 34791 8753
+rect 34825 8617 34875 8719
+rect 34909 8651 34959 8753
+rect 34993 8617 35043 8719
+rect 35077 8685 35127 8753
+rect 35161 8751 35238 8861
+rect 35305 8845 35343 8895
+rect 35377 8879 35443 8929
+rect 35477 8889 35601 8929
+rect 35409 8855 35443 8879
+rect 35635 8855 35707 8929
+rect 35305 8811 35375 8845
+rect 35409 8821 35707 8855
+rect 35741 8895 36002 8929
+rect 35741 8821 35806 8895
+rect 36036 8861 36098 8963
+rect 36203 8973 36905 9127
+rect 37031 8982 37089 9127
+rect 37233 9017 37267 9075
+rect 37301 9051 37367 9127
+rect 37233 8983 37366 9017
+rect 36203 8869 36533 8973
+rect 35341 8787 35375 8811
+rect 35937 8813 36098 8861
+rect 36567 8835 36905 8939
+rect 35937 8787 35987 8813
+rect 35341 8751 35567 8787
+rect 35161 8719 35211 8751
+rect 35517 8719 35567 8751
+rect 35245 8685 35295 8717
+rect 35077 8651 35295 8685
+rect 35349 8617 35399 8717
+rect 35433 8685 35483 8717
+rect 35601 8685 35651 8787
+rect 35433 8651 35651 8685
+rect 35685 8617 35735 8785
+rect 35769 8753 35987 8787
+rect 35769 8651 35819 8753
+rect 35853 8617 35903 8711
+rect 35937 8651 35987 8753
+rect 36021 8617 36071 8779
+rect 36203 8617 36905 8835
+rect 37031 8617 37089 8850
+rect 37216 8819 37286 8949
+rect 37320 8785 37366 8983
+rect 37233 8751 37366 8785
+rect 37233 8651 37267 8751
+rect 37301 8617 37367 8717
+rect 37401 8651 37446 9075
+rect 37489 9035 37539 9127
+rect 37576 9037 37642 9071
+rect 37678 9037 37828 9071
+rect 37486 8811 37542 8931
+rect 37576 8731 37610 9037
+rect 37644 8937 37760 9003
+rect 37644 8763 37692 8937
+rect 37794 8881 37828 9037
+rect 37878 9027 37952 9127
+rect 37988 9037 38080 9071
+rect 38126 9037 38260 9071
+rect 37988 8991 38022 9037
+rect 37862 8931 38022 8991
+rect 38056 8937 38166 9003
+rect 37862 8925 37896 8931
+rect 37920 8881 37954 8895
+rect 37794 8847 37954 8881
+rect 37852 8829 37954 8847
+rect 37730 8742 37818 8813
+rect 37486 8617 37539 8709
+rect 37576 8656 37623 8731
+rect 37852 8706 37886 8829
+rect 37672 8672 37886 8706
+rect 37920 8617 37954 8777
+rect 37988 8717 38022 8931
+rect 38056 8751 38094 8895
+rect 38128 8829 38166 8937
+rect 38226 8929 38260 9037
+rect 38323 9021 38357 9127
+rect 38416 8997 38488 9085
+rect 38523 9031 38557 9127
+rect 38595 8998 38662 9083
+rect 38697 9032 38731 9127
+rect 38766 8998 38833 9083
+rect 38867 9032 38901 9127
+rect 38416 8963 38516 8997
+rect 38595 8964 38929 8998
+rect 38482 8929 38516 8963
+rect 38226 8895 38444 8929
+rect 38482 8895 38846 8929
+rect 38128 8763 38192 8829
+rect 37988 8651 38038 8717
+rect 38226 8711 38260 8895
+rect 38482 8844 38516 8895
+rect 38880 8851 38929 8964
+rect 38963 8973 39665 9127
+rect 39883 8982 39941 9127
+rect 39975 8973 40677 9127
+rect 40807 9055 40875 9127
+rect 40981 9055 41047 9127
+rect 41168 9055 41323 9127
+rect 41361 9021 41395 9093
+rect 41437 9055 41503 9127
+rect 40815 8987 41133 9021
+rect 41193 9010 41395 9021
+rect 41603 9010 41659 9063
+rect 41193 8987 41659 9010
+rect 38963 8869 39293 8973
+rect 38294 8810 38516 8844
+rect 38595 8817 38929 8851
+rect 39327 8835 39665 8939
+rect 39975 8869 40305 8973
+rect 38294 8778 38466 8810
+rect 38083 8677 38260 8711
+rect 38296 8617 38339 8717
+rect 38432 8653 38466 8778
+rect 38523 8617 38559 8773
+rect 38595 8665 38662 8817
+rect 38698 8617 38732 8783
+rect 38766 8665 38832 8817
+rect 38866 8617 38900 8783
+rect 38963 8617 39665 8835
+rect 39883 8617 39941 8850
+rect 40339 8835 40677 8939
+rect 39975 8617 40677 8835
+rect 40815 8827 40912 8987
+rect 41193 8945 41227 8987
+rect 41361 8976 41659 8987
+rect 40946 8879 41227 8945
+rect 40815 8793 41133 8827
+rect 40809 8617 40875 8757
+rect 40911 8707 40945 8793
+rect 40981 8617 41047 8757
+rect 41083 8707 41133 8793
+rect 41169 8617 41219 8841
+rect 41265 8839 41327 8945
+rect 41277 8685 41311 8805
+rect 41361 8719 41395 8976
+rect 41775 8967 41831 9127
+rect 41907 8973 42609 9127
+rect 42735 8982 42793 9127
+rect 42903 9069 42970 9093
+rect 42903 9031 43155 9069
+rect 43191 9055 43257 9127
+rect 43372 9055 43438 9127
+rect 42903 8983 42970 9031
+rect 43117 9021 43155 9031
+rect 43300 9021 43338 9041
+rect 43472 9021 43510 9093
+rect 43544 9055 43610 9127
+rect 41471 8889 41550 8942
+rect 41584 8889 41689 8942
+rect 41443 8774 41479 8855
+rect 41515 8842 41550 8889
+rect 41723 8886 41806 8933
+rect 41723 8842 41773 8886
+rect 41907 8869 42237 8973
+rect 41515 8808 41773 8842
+rect 41807 8774 41858 8852
+rect 42271 8835 42609 8939
+rect 42833 8876 42985 8945
+rect 43023 8876 43081 8995
+rect 43117 8983 43266 9021
+rect 43115 8879 43190 8945
+rect 43224 8940 43266 8983
+rect 43300 8975 43621 9021
+rect 41443 8740 41858 8774
+rect 41443 8685 41479 8740
+rect 41277 8651 41479 8685
+rect 41513 8617 41579 8689
+rect 41613 8651 41647 8740
+rect 41681 8617 41747 8689
+rect 41792 8665 41858 8740
+rect 41907 8617 42609 8835
+rect 42735 8617 42793 8850
+rect 42833 8677 42883 8876
+rect 43224 8873 43531 8940
+rect 43224 8828 43266 8873
+rect 43565 8837 43621 8975
+rect 43655 8973 44357 9127
+rect 44409 9017 44443 9093
+rect 44486 9051 44552 9127
+rect 44409 8983 44552 9017
+rect 43655 8869 43985 8973
+rect 42919 8794 43266 8828
+rect 42919 8651 42955 8794
+rect 42989 8617 43079 8757
+rect 43114 8651 43150 8794
+rect 43300 8792 43621 8837
+rect 44019 8835 44357 8939
+rect 44391 8873 44462 8947
+rect 44518 8945 44552 8983
+rect 44586 8978 44637 9093
+rect 44518 8879 44569 8945
+rect 44518 8837 44552 8879
+rect 43192 8617 43258 8757
+rect 43300 8651 43338 8792
+rect 43472 8791 43621 8792
+rect 43372 8617 43438 8757
+rect 43472 8651 43510 8791
+rect 43544 8617 43610 8757
+rect 43655 8617 44357 8835
+rect 44409 8803 44552 8837
+rect 44603 8832 44637 8978
+rect 44672 8959 44724 9127
+rect 44759 8973 45461 9127
+rect 45587 8982 45645 9127
+rect 45679 8999 45747 9093
+rect 45781 9033 45815 9127
+rect 45849 8999 45915 9093
+rect 45949 9033 46089 9127
+rect 44759 8869 45089 8973
+rect 45679 8963 46080 8999
+rect 46123 8997 46189 9091
+rect 46223 9033 46257 9127
+rect 46291 8997 46357 9091
+rect 46391 9033 46425 9127
+rect 46123 8963 46473 8997
+rect 44409 8651 44443 8803
+rect 44486 8617 44552 8769
+rect 44586 8651 44637 8832
+rect 44672 8617 44724 8847
+rect 45123 8835 45461 8939
+rect 46036 8929 46080 8963
+rect 45679 8879 45747 8929
+rect 45781 8879 45873 8929
+rect 45907 8879 46002 8929
+rect 46036 8895 46381 8929
+rect 44759 8617 45461 8835
+rect 45587 8617 45645 8850
+rect 45679 8685 45747 8845
+rect 45781 8734 45828 8879
+rect 46036 8845 46080 8895
+rect 46151 8853 46185 8855
+rect 46415 8853 46473 8963
+rect 46507 8973 47209 9127
+rect 47261 9017 47295 9093
+rect 47338 9051 47404 9127
+rect 47261 8983 47404 9017
+rect 46507 8869 46837 8973
+rect 45862 8811 46080 8845
+rect 46131 8819 46473 8853
+rect 46871 8835 47209 8939
+rect 47243 8873 47314 8947
+rect 47370 8945 47404 8983
+rect 47438 8978 47489 9093
+rect 47370 8879 47421 8945
+rect 47370 8837 47404 8879
+rect 45862 8685 45915 8811
+rect 45679 8651 45915 8685
+rect 45949 8617 46089 8777
+rect 46131 8651 46181 8819
+rect 46215 8617 46265 8785
+rect 46299 8651 46349 8819
+rect 46383 8617 46433 8785
+rect 46507 8617 47209 8835
+rect 47261 8803 47404 8837
+rect 47455 8832 47489 8978
+rect 47524 8959 47576 9127
+rect 47611 8973 48313 9127
+rect 48439 8982 48497 9127
+rect 48535 9025 48583 9127
+rect 47611 8869 47941 8973
+rect 47261 8651 47295 8803
+rect 47338 8617 47404 8769
+rect 47438 8651 47489 8832
+rect 47524 8617 47576 8847
+rect 47975 8835 48313 8939
+rect 48532 8879 48583 8991
+rect 48617 8979 48683 9086
+rect 48726 8979 48760 9127
+rect 48802 8997 48868 9091
+rect 48902 9031 48936 9127
+rect 48970 8997 49036 9091
+rect 49070 9031 49104 9127
+rect 47611 8617 48313 8835
+rect 48439 8617 48497 8850
+rect 48617 8845 48653 8979
+rect 48802 8963 49141 8997
+rect 48687 8879 48762 8945
+rect 48796 8895 49038 8929
+rect 48796 8845 48837 8895
+rect 49072 8845 49141 8963
+rect 49175 8973 49877 9127
+rect 49915 9025 49963 9127
+rect 49175 8869 49505 8973
+rect 48545 8811 48837 8845
+rect 48970 8811 49141 8845
+rect 49539 8835 49877 8939
+rect 49912 8879 49963 8991
+rect 49997 8979 50063 9086
+rect 50106 8979 50140 9127
+rect 50182 8997 50248 9091
+rect 50282 9031 50316 9127
+rect 50350 8997 50416 9091
+rect 50450 9031 50484 9127
+rect 49997 8845 50033 8979
+rect 50182 8963 50521 8997
+rect 50067 8879 50142 8945
+rect 50176 8895 50418 8929
+rect 50176 8845 50217 8895
+rect 50452 8845 50521 8963
+rect 50555 8973 51257 9127
+rect 51291 8982 51349 9127
+rect 51387 9025 51435 9127
+rect 50555 8869 50885 8973
+rect 48545 8651 48617 8811
+rect 48970 8777 49036 8811
+rect 48726 8617 48760 8777
+rect 48802 8743 49036 8777
+rect 48802 8651 48868 8743
+rect 48902 8617 48936 8709
+rect 48970 8651 49036 8743
+rect 49070 8617 49104 8777
+rect 49175 8617 49877 8835
+rect 49925 8811 50217 8845
+rect 50350 8811 50521 8845
+rect 50919 8835 51257 8939
+rect 51384 8879 51435 8991
+rect 51469 8979 51535 9086
+rect 51578 8979 51612 9127
+rect 51654 8997 51720 9091
+rect 51754 9031 51788 9127
+rect 51822 8997 51888 9091
+rect 51922 9031 51956 9127
+rect 49925 8651 49997 8811
+rect 50350 8777 50416 8811
+rect 50106 8617 50140 8777
+rect 50182 8743 50416 8777
+rect 50182 8651 50248 8743
+rect 50282 8617 50316 8709
+rect 50350 8651 50416 8743
+rect 50450 8617 50484 8777
+rect 50555 8617 51257 8835
+rect 51291 8617 51349 8850
+rect 51469 8845 51505 8979
+rect 51654 8963 51993 8997
+rect 51539 8879 51614 8945
+rect 51648 8895 51890 8929
+rect 51648 8845 51689 8895
+rect 51924 8845 51993 8963
+rect 52027 8973 52729 9127
+rect 52781 9017 52815 9093
+rect 52858 9051 52924 9127
+rect 52781 8983 52924 9017
+rect 52027 8869 52357 8973
+rect 51397 8811 51689 8845
+rect 51822 8811 51993 8845
+rect 52391 8835 52729 8939
+rect 52763 8873 52834 8947
+rect 52890 8945 52924 8983
+rect 52958 8978 53009 9093
+rect 52890 8879 52941 8945
+rect 52890 8837 52924 8879
+rect 51397 8651 51469 8811
+rect 51822 8777 51888 8811
+rect 51578 8617 51612 8777
+rect 51654 8743 51888 8777
+rect 51654 8651 51720 8743
+rect 51754 8617 51788 8709
+rect 51822 8651 51888 8743
+rect 51922 8617 51956 8777
+rect 52027 8617 52729 8835
+rect 52781 8803 52924 8837
+rect 52975 8832 53009 8978
+rect 53044 8959 53096 9127
+rect 53131 8973 53833 9127
+rect 53867 8977 54109 9127
+rect 54143 8982 54201 9127
+rect 54253 9017 54287 9093
+rect 54330 9051 54396 9127
+rect 54253 8983 54396 9017
+rect 53131 8869 53461 8973
+rect 52781 8651 52815 8803
+rect 52858 8617 52924 8769
+rect 52958 8651 53009 8832
+rect 53044 8617 53096 8847
+rect 53495 8835 53833 8939
+rect 53867 8869 53971 8977
+rect 54005 8835 54109 8943
+rect 54235 8873 54306 8947
+rect 54362 8945 54396 8983
+rect 54430 8978 54481 9093
+rect 54362 8879 54413 8945
+rect 53131 8617 53833 8835
+rect 53867 8617 54109 8835
+rect 54143 8617 54201 8850
+rect 54362 8837 54396 8879
+rect 54253 8803 54396 8837
+rect 54447 8832 54481 8978
+rect 54516 8959 54568 9127
+rect 54603 8973 55305 9127
+rect 54603 8869 54933 8973
+rect 55340 8963 55395 9127
+rect 55429 8999 55495 9093
+rect 55529 9033 55563 9127
+rect 55597 8999 55663 9093
+rect 55697 9033 55731 9127
+rect 55765 8999 55831 9093
+rect 55865 9033 55899 9127
+rect 55933 8999 55999 9093
+rect 56033 9033 56090 9127
+rect 55429 8963 56133 8999
+rect 54253 8651 54287 8803
+rect 54330 8617 54396 8769
+rect 54430 8651 54481 8832
+rect 54516 8617 54568 8847
+rect 54967 8835 55305 8939
+rect 55350 8889 55682 8929
+rect 55746 8889 56019 8929
+rect 56053 8855 56133 8963
+rect 56167 8973 56869 9127
+rect 56995 8982 57053 9127
+rect 56167 8869 56497 8973
+rect 57088 8963 57143 9127
+rect 57177 8999 57243 9093
+rect 57277 9033 57311 9127
+rect 57345 8999 57411 9093
+rect 57445 9033 57479 9127
+rect 57513 8999 57579 9093
+rect 57613 9033 57647 9127
+rect 57681 8999 57747 9093
+rect 57781 9033 57838 9127
+rect 57177 8963 57881 8999
+rect 54603 8617 55305 8835
+rect 55340 8811 55747 8853
+rect 55340 8651 55395 8811
+rect 55429 8617 55495 8777
+rect 55529 8651 55563 8811
+rect 55597 8617 55647 8777
+rect 55681 8685 55747 8811
+rect 55781 8795 56133 8855
+rect 56531 8835 56869 8939
+rect 57098 8889 57430 8929
+rect 57494 8889 57767 8929
+rect 57801 8855 57881 8963
+rect 57915 8973 58617 9127
+rect 58669 9017 58703 9093
+rect 58746 9051 58812 9127
+rect 58669 8983 58812 9017
+rect 57915 8869 58245 8973
+rect 55781 8719 55815 8795
+rect 55849 8685 55915 8757
+rect 55949 8719 55983 8795
+rect 56017 8685 56100 8761
+rect 55681 8651 56100 8685
+rect 56167 8617 56869 8835
+rect 56995 8617 57053 8850
+rect 57088 8811 57495 8853
+rect 57088 8651 57143 8811
+rect 57177 8617 57243 8777
+rect 57277 8651 57311 8811
+rect 57345 8617 57395 8777
+rect 57429 8685 57495 8811
+rect 57529 8795 57881 8855
+rect 58279 8835 58617 8939
+rect 58651 8873 58722 8947
+rect 58778 8945 58812 8983
+rect 58846 8978 58897 9093
+rect 58778 8879 58829 8945
+rect 58778 8837 58812 8879
+rect 57529 8719 57563 8795
+rect 57597 8685 57663 8757
+rect 57697 8719 57731 8795
+rect 57765 8685 57848 8761
+rect 57429 8651 57848 8685
+rect 57915 8617 58617 8835
+rect 58669 8803 58812 8837
+rect 58863 8832 58897 8978
+rect 58932 8959 58984 9127
+rect 59019 8973 59721 9127
+rect 59847 8982 59905 9127
+rect 59019 8869 59349 8973
+rect 59940 8963 59995 9127
+rect 60029 8999 60095 9093
+rect 60129 9033 60163 9127
+rect 60197 8999 60263 9093
+rect 60297 9033 60331 9127
+rect 60365 8999 60431 9093
+rect 60465 9033 60499 9127
+rect 60533 8999 60599 9093
+rect 60633 9033 60690 9127
+rect 60029 8963 60733 8999
+rect 58669 8651 58703 8803
+rect 58746 8617 58812 8769
+rect 58846 8651 58897 8832
+rect 58932 8617 58984 8847
+rect 59383 8835 59721 8939
+rect 59950 8889 60282 8929
+rect 60346 8889 60619 8929
+rect 60653 8855 60733 8963
+rect 60767 8973 61469 9127
+rect 61521 9017 61555 9093
+rect 61598 9051 61664 9127
+rect 61521 8983 61664 9017
+rect 60767 8869 61097 8973
+rect 59019 8617 59721 8835
+rect 59847 8617 59905 8850
+rect 59940 8811 60347 8853
+rect 59940 8651 59995 8811
+rect 60029 8617 60095 8777
+rect 60129 8651 60163 8811
+rect 60197 8617 60247 8777
+rect 60281 8685 60347 8811
+rect 60381 8795 60733 8855
+rect 61131 8835 61469 8939
+rect 61503 8873 61574 8947
+rect 61630 8945 61664 8983
+rect 61698 8978 61749 9093
+rect 61630 8879 61681 8945
+rect 61630 8837 61664 8879
+rect 60381 8719 60415 8795
+rect 60449 8685 60515 8757
+rect 60549 8719 60583 8795
+rect 60617 8685 60700 8761
+rect 60281 8651 60700 8685
+rect 60767 8617 61469 8835
+rect 61521 8803 61664 8837
+rect 61715 8832 61749 8978
+rect 61784 8959 61836 9127
+rect 61871 8973 62573 9127
+rect 62699 8982 62757 9127
+rect 61871 8869 62201 8973
+rect 62792 8963 62847 9127
+rect 62881 8999 62947 9093
+rect 62981 9033 63015 9127
+rect 63049 8999 63115 9093
+rect 63149 9033 63183 9127
+rect 63217 8999 63283 9093
+rect 63317 9033 63351 9127
+rect 63385 8999 63451 9093
+rect 63485 9033 63542 9127
+rect 62881 8963 63585 8999
+rect 61521 8651 61555 8803
+rect 61598 8617 61664 8769
+rect 61698 8651 61749 8832
+rect 61784 8617 61836 8847
+rect 62235 8835 62573 8939
+rect 62802 8889 63134 8929
+rect 63198 8889 63471 8929
+rect 63505 8855 63585 8963
+rect 63619 8973 64321 9127
+rect 64373 9017 64407 9093
+rect 64450 9051 64516 9127
+rect 64373 8983 64516 9017
+rect 63619 8869 63949 8973
+rect 61871 8617 62573 8835
+rect 62699 8617 62757 8850
+rect 62792 8811 63199 8853
+rect 62792 8651 62847 8811
+rect 62881 8617 62947 8777
+rect 62981 8651 63015 8811
+rect 63049 8617 63099 8777
+rect 63133 8685 63199 8811
+rect 63233 8795 63585 8855
+rect 63983 8835 64321 8939
+rect 64355 8873 64426 8947
+rect 64482 8945 64516 8983
+rect 64550 8978 64601 9093
+rect 64482 8879 64533 8945
+rect 64482 8837 64516 8879
+rect 63233 8719 63267 8795
+rect 63301 8685 63367 8757
+rect 63401 8719 63435 8795
+rect 63469 8685 63552 8761
+rect 63133 8651 63552 8685
+rect 63619 8617 64321 8835
+rect 64373 8803 64516 8837
+rect 64567 8832 64601 8978
+rect 64636 8959 64688 9127
+rect 64723 8973 65425 9127
+rect 65551 8982 65609 9127
+rect 64723 8869 65053 8973
+rect 65644 8963 65699 9127
+rect 65733 8999 65799 9093
+rect 65833 9033 65867 9127
+rect 65901 8999 65967 9093
+rect 66001 9033 66035 9127
+rect 66069 8999 66135 9093
+rect 66169 9033 66203 9127
+rect 66237 8999 66303 9093
+rect 66337 9033 66394 9127
+rect 65733 8963 66437 8999
+rect 64373 8651 64407 8803
+rect 64450 8617 64516 8769
+rect 64550 8651 64601 8832
+rect 64636 8617 64688 8847
+rect 65087 8835 65425 8939
+rect 65654 8889 65986 8929
+rect 66050 8889 66323 8929
+rect 66357 8855 66437 8963
+rect 66471 8973 67173 9127
+rect 67225 9017 67259 9093
+rect 67302 9051 67368 9127
+rect 67225 8983 67368 9017
+rect 66471 8869 66801 8973
+rect 64723 8617 65425 8835
+rect 65551 8617 65609 8850
+rect 65644 8811 66051 8853
+rect 65644 8651 65699 8811
+rect 65733 8617 65799 8777
+rect 65833 8651 65867 8811
+rect 65901 8617 65951 8777
+rect 65985 8685 66051 8811
+rect 66085 8795 66437 8855
+rect 66835 8835 67173 8939
+rect 67207 8873 67278 8947
+rect 67334 8945 67368 8983
+rect 67402 8978 67453 9093
+rect 67334 8879 67385 8945
+rect 67334 8837 67368 8879
+rect 66085 8719 66119 8795
+rect 66153 8685 66219 8757
+rect 66253 8719 66287 8795
+rect 66321 8685 66404 8761
+rect 65985 8651 66404 8685
+rect 66471 8617 67173 8835
+rect 67225 8803 67368 8837
+rect 67419 8832 67453 8978
+rect 67488 8959 67540 9127
+rect 67575 8973 68277 9127
+rect 68403 8982 68461 9127
+rect 68495 8973 68829 9127
+rect 67575 8869 67905 8973
+rect 67225 8651 67259 8803
+rect 67302 8617 67368 8769
+rect 67402 8651 67453 8832
+rect 67488 8617 67540 8847
+rect 67939 8835 68277 8939
+rect 68495 8869 68645 8973
+rect 67575 8617 68277 8835
+rect 68403 8617 68461 8850
+rect 68679 8835 68829 8939
+rect 68495 8617 68829 8835
+rect 68955 8651 69105 9093
+rect 69174 9033 69208 9127
+rect 69242 8999 69308 9091
+rect 69342 9033 69376 9127
+rect 69410 8999 69476 9093
+rect 69510 9033 69544 9127
+rect 69598 9049 70016 9093
+rect 69139 8963 69476 8999
+rect 69605 8997 69916 9015
+rect 69510 8963 69916 8997
+rect 69950 8997 70016 9049
+rect 70050 9033 70084 9127
+rect 70118 8999 70184 9093
+rect 70218 9033 70252 9127
+rect 70286 8999 70352 9093
+rect 70118 8997 70352 8999
+rect 69950 8963 70352 8997
+rect 70427 8973 71129 9127
+rect 71255 8982 71313 9127
+rect 69139 8855 69196 8963
+rect 69510 8929 69568 8963
+rect 69230 8889 69568 8929
+rect 69139 8821 69468 8855
+rect 69166 8617 69216 8779
+rect 69250 8651 69300 8821
+rect 69334 8617 69384 8785
+rect 69418 8651 69468 8821
+rect 69502 8787 69568 8889
+rect 69606 8855 69713 8929
+rect 69747 8889 69858 8929
+rect 69892 8855 69958 8929
+rect 69606 8821 69958 8855
+rect 69992 8855 70058 8929
+rect 70102 8889 70201 8929
+rect 70235 8889 70393 8929
+rect 70235 8855 70269 8889
+rect 70427 8869 70757 8973
+rect 71348 8963 71403 9127
+rect 71437 8999 71503 9093
+rect 71537 9033 71571 9127
+rect 71605 8999 71671 9093
+rect 71705 9033 71739 9127
+rect 71773 8999 71839 9093
+rect 71873 9033 71907 9127
+rect 71941 8999 72007 9093
+rect 72041 9033 72098 9127
+rect 71437 8963 72141 8999
+rect 69992 8821 70269 8855
+rect 69502 8753 70176 8787
+rect 69774 8719 69824 8753
+rect 70126 8719 70176 8753
+rect 69502 8617 69656 8719
+rect 69690 8685 69740 8719
+rect 69858 8685 69908 8719
+rect 69690 8651 69908 8685
+rect 69942 8617 70008 8719
+rect 70042 8685 70092 8719
+rect 70210 8685 70260 8787
+rect 70042 8651 70260 8685
+rect 70303 8617 70344 8853
+rect 70791 8835 71129 8939
+rect 71358 8889 71690 8929
+rect 71754 8889 72027 8929
+rect 72061 8855 72141 8963
+rect 72175 8973 72877 9127
+rect 72175 8869 72505 8973
+rect 72919 8963 72965 9127
+rect 72999 8967 73065 9093
+rect 70427 8617 71129 8835
+rect 71255 8617 71313 8850
+rect 71348 8811 71755 8853
+rect 71348 8651 71403 8811
+rect 71437 8617 71503 8777
+rect 71537 8651 71571 8811
+rect 71605 8617 71655 8777
+rect 71689 8685 71755 8811
+rect 71789 8795 72141 8855
+rect 72539 8835 72877 8939
+rect 72915 8879 72981 8929
+rect 73015 8847 73065 8967
+rect 73099 8963 73141 9127
+rect 73187 8973 73889 9127
+rect 74107 8982 74165 9127
+rect 73187 8869 73517 8973
+rect 74200 8963 74255 9127
+rect 74289 8999 74355 9093
+rect 74389 9033 74423 9127
+rect 74457 8999 74523 9093
+rect 74557 9033 74591 9127
+rect 74625 8999 74691 9093
+rect 74725 9033 74759 9127
+rect 74793 8999 74859 9093
+rect 74893 9033 74950 9127
+rect 74289 8963 74993 8999
+rect 71789 8719 71823 8795
+rect 71857 8685 71923 8757
+rect 71957 8719 71991 8795
+rect 72025 8685 72108 8761
+rect 71689 8651 72108 8685
+rect 72175 8617 72877 8835
+rect 72919 8617 72965 8845
+rect 72999 8651 73065 8847
+rect 73099 8617 73141 8845
+rect 73551 8835 73889 8939
+rect 74210 8889 74542 8929
+rect 74606 8889 74879 8929
+rect 74913 8855 74993 8963
+rect 75027 8973 75729 9127
+rect 75027 8869 75357 8973
+rect 73187 8617 73889 8835
+rect 74107 8617 74165 8850
+rect 74200 8811 74607 8853
+rect 74200 8651 74255 8811
+rect 74289 8617 74355 8777
+rect 74389 8651 74423 8811
+rect 74457 8617 74507 8777
+rect 74541 8685 74607 8811
+rect 74641 8795 74993 8855
+rect 75391 8835 75729 8939
+rect 74641 8719 74675 8795
+rect 74709 8685 74775 8757
+rect 74809 8719 74843 8795
+rect 74877 8685 74960 8761
+rect 74541 8651 74960 8685
+rect 75027 8617 75729 8835
+rect 75763 8796 75867 9093
+rect 75901 8995 75969 9127
+rect 76039 8973 76741 9127
+rect 76959 8982 77017 9127
+rect 75801 8617 75867 8762
+rect 75901 8651 76005 8961
+rect 76039 8869 76369 8973
+rect 76403 8835 76741 8939
+rect 76039 8617 76741 8835
+rect 76959 8617 77017 8850
+rect 77051 8796 77155 9093
+rect 77189 8995 77257 9127
+rect 77327 8973 78029 9127
+rect 77089 8617 77155 8762
+rect 77189 8651 77293 8961
+rect 77327 8869 77657 8973
+rect 77691 8835 78029 8939
+rect 77327 8617 78029 8835
+rect 78063 8796 78167 9093
+rect 78201 8995 78269 9127
+rect 78339 8973 79408 9127
+rect 79443 8973 79777 9127
+rect 79811 8982 79869 9127
+rect 79903 8973 80972 9127
+rect 81007 8973 82076 9127
+rect 82111 8973 82629 9127
+rect 82663 8982 82721 9127
+rect 82755 8973 83824 9127
+rect 83859 8973 84928 9127
+rect 84963 8973 85481 9127
+rect 85515 8982 85573 9127
+rect 85607 8973 86676 9127
+rect 86711 8973 87780 9127
+rect 87815 8973 88333 9127
+rect 88367 8982 88425 9127
+rect 88459 8973 89528 9127
+rect 89563 8973 90632 9127
+rect 90667 8973 91185 9127
+rect 91219 8982 91277 9127
+rect 91311 8973 92380 9127
+rect 92415 8973 93484 9127
+rect 93519 8973 94037 9127
+rect 94071 8982 94129 9127
+rect 94163 8973 95232 9127
+rect 95267 8973 96336 9127
+rect 96371 8973 96889 9127
+rect 96923 8982 96981 9127
+rect 97015 8973 98084 9127
+rect 98119 8973 99188 9127
+rect 99223 8973 99741 9127
+rect 99775 8982 99833 9127
+rect 99867 8973 100201 9127
+rect 78101 8617 78167 8762
+rect 78201 8651 78305 8961
+rect 78339 8869 78855 8973
+rect 78889 8835 79408 8939
+rect 79443 8869 79593 8973
+rect 79627 8835 79777 8939
+rect 79903 8869 80419 8973
+rect 78339 8617 79408 8835
+rect 79443 8617 79777 8835
+rect 79811 8617 79869 8850
+rect 80453 8835 80972 8939
+rect 81007 8869 81523 8973
+rect 81557 8835 82076 8939
+rect 82111 8869 82353 8973
+rect 82387 8835 82629 8939
+rect 82755 8869 83271 8973
+rect 79903 8617 80972 8835
+rect 81007 8617 82076 8835
+rect 82111 8617 82629 8835
+rect 82663 8617 82721 8850
+rect 83305 8835 83824 8939
+rect 83859 8869 84375 8973
+rect 84409 8835 84928 8939
+rect 84963 8869 85205 8973
+rect 85239 8835 85481 8939
+rect 85607 8869 86123 8973
+rect 82755 8617 83824 8835
+rect 83859 8617 84928 8835
+rect 84963 8617 85481 8835
+rect 85515 8617 85573 8850
+rect 86157 8835 86676 8939
+rect 86711 8869 87227 8973
+rect 87261 8835 87780 8939
+rect 87815 8869 88057 8973
+rect 88091 8835 88333 8939
+rect 88459 8869 88975 8973
+rect 85607 8617 86676 8835
+rect 86711 8617 87780 8835
+rect 87815 8617 88333 8835
+rect 88367 8617 88425 8850
+rect 89009 8835 89528 8939
+rect 89563 8869 90079 8973
+rect 90113 8835 90632 8939
+rect 90667 8869 90909 8973
+rect 90943 8835 91185 8939
+rect 91311 8869 91827 8973
+rect 88459 8617 89528 8835
+rect 89563 8617 90632 8835
+rect 90667 8617 91185 8835
+rect 91219 8617 91277 8850
+rect 91861 8835 92380 8939
+rect 92415 8869 92931 8973
+rect 92965 8835 93484 8939
+rect 93519 8869 93761 8973
+rect 93795 8835 94037 8939
+rect 94163 8869 94679 8973
+rect 91311 8617 92380 8835
+rect 92415 8617 93484 8835
+rect 93519 8617 94037 8835
+rect 94071 8617 94129 8850
+rect 94713 8835 95232 8939
+rect 95267 8869 95783 8973
+rect 95817 8835 96336 8939
+rect 96371 8869 96613 8973
+rect 96647 8835 96889 8939
+rect 97015 8869 97531 8973
+rect 94163 8617 95232 8835
+rect 95267 8617 96336 8835
+rect 96371 8617 96889 8835
+rect 96923 8617 96981 8850
+rect 97565 8835 98084 8939
+rect 98119 8869 98635 8973
+rect 98669 8835 99188 8939
+rect 99223 8869 99465 8973
+rect 99499 8835 99741 8939
+rect 99867 8869 100017 8973
+rect 97015 8617 98084 8835
+rect 98119 8617 99188 8835
+rect 99223 8617 99741 8835
+rect 99775 8617 99833 8850
+rect 100051 8835 100201 8939
+rect 99867 8617 100201 8835
+rect 100327 8796 100431 9093
+rect 100465 8995 100533 9127
+rect 100603 8973 101672 9127
+rect 101707 8973 102409 9127
+rect 102627 8982 102685 9127
+rect 102719 8973 103788 9127
+rect 103823 8973 104892 9127
+rect 104927 8973 105445 9127
+rect 105479 8982 105537 9127
+rect 105571 8973 106640 9127
+rect 106675 8973 107744 9127
+rect 107779 8973 108297 9127
+rect 108331 8982 108389 9127
+rect 108423 8973 109492 9127
+rect 109527 8973 110596 9127
+rect 110631 8973 111149 9127
+rect 111183 8982 111241 9127
+rect 111275 8973 112344 9127
+rect 112379 8973 113448 9127
+rect 113483 8973 114001 9127
+rect 114035 8982 114093 9127
+rect 114127 8973 115196 9127
+rect 115231 8973 116300 9127
+rect 116335 8973 116853 9127
+rect 116887 8982 116945 9127
+rect 100365 8617 100431 8762
+rect 100465 8651 100569 8961
+rect 100603 8869 101119 8973
+rect 101153 8835 101672 8939
+rect 101707 8869 102037 8973
+rect 102071 8835 102409 8939
+rect 102719 8869 103235 8973
+rect 100603 8617 101672 8835
+rect 101707 8617 102409 8835
+rect 102627 8617 102685 8850
+rect 103269 8835 103788 8939
+rect 103823 8869 104339 8973
+rect 104373 8835 104892 8939
+rect 104927 8869 105169 8973
+rect 105203 8835 105445 8939
+rect 105571 8869 106087 8973
+rect 102719 8617 103788 8835
+rect 103823 8617 104892 8835
+rect 104927 8617 105445 8835
+rect 105479 8617 105537 8850
+rect 106121 8835 106640 8939
+rect 106675 8869 107191 8973
+rect 107225 8835 107744 8939
+rect 107779 8869 108021 8973
+rect 108055 8835 108297 8939
+rect 108423 8869 108939 8973
+rect 105571 8617 106640 8835
+rect 106675 8617 107744 8835
+rect 107779 8617 108297 8835
+rect 108331 8617 108389 8850
+rect 108973 8835 109492 8939
+rect 109527 8869 110043 8973
+rect 110077 8835 110596 8939
+rect 110631 8869 110873 8973
+rect 110907 8835 111149 8939
+rect 111275 8869 111791 8973
+rect 108423 8617 109492 8835
+rect 109527 8617 110596 8835
+rect 110631 8617 111149 8835
+rect 111183 8617 111241 8850
+rect 111825 8835 112344 8939
+rect 112379 8869 112895 8973
+rect 112929 8835 113448 8939
+rect 113483 8869 113725 8973
+rect 113759 8835 114001 8939
+rect 114127 8869 114643 8973
+rect 111275 8617 112344 8835
+rect 112379 8617 113448 8835
+rect 113483 8617 114001 8835
+rect 114035 8617 114093 8850
+rect 114677 8835 115196 8939
+rect 115231 8869 115747 8973
+rect 115781 8835 116300 8939
+rect 116335 8869 116577 8973
+rect 116611 8835 116853 8939
+rect 114127 8617 115196 8835
+rect 115231 8617 116300 8835
+rect 116335 8617 116853 8835
+rect 116887 8617 116945 8850
+rect 117163 8796 117267 9093
+rect 117301 8995 117369 9127
+rect 117439 8973 118508 9127
+rect 118543 8973 119612 9127
+rect 119739 8982 119797 9127
+rect 119831 8973 120900 9127
+rect 120935 8973 122004 9127
+rect 122039 8973 122557 9127
+rect 122591 8982 122649 9127
+rect 122683 8973 123752 9127
+rect 123787 8973 124305 9127
+rect 117201 8617 117267 8762
+rect 117301 8651 117405 8961
+rect 117439 8869 117955 8973
+rect 117989 8835 118508 8939
+rect 118543 8869 119059 8973
+rect 119093 8835 119612 8939
+rect 119831 8869 120347 8973
+rect 117439 8617 118508 8835
+rect 118543 8617 119612 8835
+rect 119739 8617 119797 8850
+rect 120381 8835 120900 8939
+rect 120935 8869 121451 8973
+rect 121485 8835 122004 8939
+rect 122039 8869 122281 8973
+rect 122315 8835 122557 8939
+rect 122683 8869 123199 8973
+rect 119831 8617 120900 8835
+rect 120935 8617 122004 8835
+rect 122039 8617 122557 8835
+rect 122591 8617 122649 8850
+rect 123233 8835 123752 8939
+rect 123787 8869 124029 8973
+rect 124063 8835 124305 8939
+rect 122683 8617 123752 8835
+rect 123787 8617 124305 8835
+rect 124431 8796 124535 9093
+rect 124569 8995 124637 9127
+rect 124707 8973 125409 9127
+rect 125443 8982 125501 9127
+rect 125535 8973 125869 9127
+rect 125995 8977 126237 9127
+rect 124469 8617 124535 8762
+rect 124707 8869 125037 8973
+rect 125071 8835 125409 8939
+rect 125535 8869 125685 8973
+rect 124707 8617 125409 8835
+rect 125443 8617 125501 8850
+rect 125719 8835 125869 8939
+rect 125535 8617 125869 8835
+rect 125995 8835 126099 8943
+rect 126133 8869 126237 8977
+rect 125995 8617 126237 8835
+rect 8494 8583 126254 8617
+rect 31155 8141 31189 8447
+rect 32627 7937 32661 8379
+rect 35019 7733 35053 8379
+rect 43023 7801 43057 8515
+rect 44311 7869 44345 8515
+rect 44771 8345 44897 8379
+rect 44955 8243 44989 8447
+rect 44679 8209 44989 8243
+rect 51671 7937 51705 8175
+<< metal1 >>
+rect 8882 122168 8934 122220
+rect 9894 121828 9946 121880
+rect 11636 10750 11688 10802
+rect 11700 10750 11752 10802
+rect 11764 10750 11816 10802
+rect 11828 10750 11880 10802
+rect 42356 10750 42408 10802
+rect 42420 10750 42472 10802
+rect 42484 10750 42536 10802
+rect 42548 10750 42600 10802
+rect 73076 10750 73128 10802
+rect 73140 10750 73192 10802
+rect 73204 10750 73256 10802
+rect 73268 10750 73320 10802
+rect 103796 10750 103848 10802
+rect 103860 10750 103912 10802
+rect 103924 10750 103976 10802
+rect 103988 10750 104040 10802
+rect 10170 10512 10222 10564
+rect 13022 10512 13074 10564
+rect 14586 10512 14638 10564
+rect 18542 10648 18594 10700
+rect 17622 10580 17674 10632
+rect 27742 10648 27794 10700
+rect 10630 10444 10682 10496
+rect 17070 10444 17122 10496
+rect 18358 10512 18410 10564
+rect 19830 10580 19882 10632
+rect 26638 10580 26690 10632
+rect 27834 10580 27886 10632
+rect 29030 10648 29082 10700
+rect 30410 10648 30462 10700
+rect 30502 10648 30554 10700
+rect 32710 10648 32762 10700
+rect 36850 10648 36902 10700
+rect 37310 10648 37362 10700
+rect 37678 10648 37730 10700
+rect 29674 10580 29726 10632
+rect 42186 10648 42238 10700
+rect 43842 10648 43894 10700
+rect 49638 10648 49690 10700
+rect 49822 10648 49874 10700
+rect 52030 10648 52082 10700
+rect 19738 10512 19790 10564
+rect 20014 10512 20066 10564
+rect 21486 10512 21538 10564
+rect 24338 10512 24390 10564
+rect 22682 10444 22734 10496
+rect 22866 10444 22918 10496
+rect 28386 10512 28438 10564
+rect 30778 10512 30830 10564
+rect 25166 10444 25218 10496
+rect 25718 10444 25770 10496
+rect 26454 10444 26506 10496
+rect 27926 10444 27978 10496
+rect 29950 10444 30002 10496
+rect 31330 10512 31382 10564
+rect 31790 10512 31842 10564
+rect 34090 10444 34142 10496
+rect 34366 10512 34418 10564
+rect 35746 10512 35798 10564
+rect 36390 10444 36442 10496
+rect 36758 10444 36810 10496
+rect 38138 10512 38190 10564
+rect 42462 10512 42514 10564
+rect 39518 10444 39570 10496
+rect 40530 10444 40582 10496
+rect 9434 10308 9486 10360
+rect 16334 10376 16386 10428
+rect 25074 10376 25126 10428
+rect 25534 10376 25586 10428
+rect 27374 10376 27426 10428
+rect 28018 10376 28070 10428
+rect 10348 10308 10354 10360
+rect 10406 10348 10412 10360
+rect 15408 10348 15414 10360
+rect 10406 10320 15414 10348
+rect 10406 10308 10412 10320
+rect 15408 10308 15414 10320
+rect 15466 10308 15472 10360
+rect 15506 10308 15558 10360
+rect 17990 10308 18042 10360
+rect 21670 10308 21722 10360
+rect 23878 10308 23930 10360
+rect 26638 10308 26690 10360
+rect 27742 10308 27794 10360
+rect 30962 10376 31014 10428
+rect 35654 10376 35706 10428
+rect 34820 10308 34826 10360
+rect 34878 10348 34884 10360
+rect 36568 10348 36574 10360
+rect 34878 10320 36574 10348
+rect 34878 10308 34884 10320
+rect 36568 10308 36574 10320
+rect 36626 10308 36632 10360
+rect 38138 10376 38190 10428
+rect 38322 10308 38374 10360
+rect 39426 10308 39478 10360
+rect 42646 10444 42698 10496
+rect 44026 10444 44078 10496
+rect 45774 10580 45826 10632
+rect 47614 10580 47666 10632
+rect 47798 10580 47850 10632
+rect 50558 10580 50610 10632
+rect 40806 10308 40858 10360
+rect 41082 10308 41134 10360
+rect 45038 10308 45090 10360
+rect 45314 10444 45366 10496
+rect 45774 10444 45826 10496
+rect 48626 10512 48678 10564
+rect 51294 10512 51346 10564
+rect 47062 10444 47114 10496
+rect 47614 10444 47666 10496
+rect 46050 10376 46102 10428
+rect 47154 10376 47206 10428
+rect 49822 10444 49874 10496
+rect 49914 10444 49966 10496
+rect 51754 10444 51806 10496
+rect 52398 10648 52450 10700
+rect 55894 10648 55946 10700
+rect 55986 10648 56038 10700
+rect 58102 10648 58154 10700
+rect 59752 10648 59758 10700
+rect 59810 10688 59816 10700
+rect 59810 10660 65686 10688
+rect 59810 10648 59816 10660
+rect 53686 10580 53738 10632
+rect 60586 10580 60638 10632
+rect 52398 10512 52450 10564
+rect 54606 10512 54658 10564
+rect 55434 10512 55486 10564
+rect 55526 10512 55578 10564
+rect 56814 10512 56866 10564
+rect 57642 10512 57694 10564
+rect 65554 10580 65606 10632
+rect 65658 10620 65686 10660
+rect 65738 10648 65790 10700
+rect 70062 10648 70114 10700
+rect 68032 10620 68038 10632
+rect 65658 10592 68038 10620
+rect 68032 10580 68038 10592
+rect 68090 10580 68096 10632
+rect 54054 10444 54106 10496
+rect 54514 10444 54566 10496
+rect 54882 10444 54934 10496
+rect 55066 10444 55118 10496
+rect 56538 10444 56590 10496
+rect 45406 10308 45458 10360
+rect 48350 10308 48402 10360
+rect 48994 10308 49046 10360
+rect 50282 10308 50334 10360
+rect 50374 10308 50426 10360
+rect 50742 10308 50794 10360
+rect 51294 10308 51346 10360
+rect 56354 10376 56406 10428
+rect 56722 10444 56774 10496
+rect 57090 10444 57142 10496
+rect 59114 10444 59166 10496
+rect 57274 10376 57326 10428
+rect 54974 10308 55026 10360
+rect 56078 10308 56130 10360
+rect 57550 10308 57602 10360
+rect 59666 10444 59718 10496
+rect 63070 10512 63122 10564
+rect 64174 10512 64226 10564
+rect 61782 10444 61834 10496
+rect 61966 10444 62018 10496
+rect 62334 10444 62386 10496
+rect 63438 10444 63490 10496
+rect 63622 10444 63674 10496
+rect 64726 10444 64778 10496
+rect 65370 10512 65422 10564
+rect 65922 10512 65974 10564
+rect 67026 10512 67078 10564
+rect 68590 10580 68642 10632
+rect 69234 10512 69286 10564
+rect 70522 10580 70574 10632
+rect 74386 10648 74438 10700
+rect 76410 10648 76462 10700
+rect 71534 10512 71586 10564
+rect 71718 10512 71770 10564
+rect 65554 10444 65606 10496
+rect 65830 10444 65882 10496
+rect 66014 10444 66066 10496
+rect 66474 10444 66526 10496
+rect 66566 10444 66618 10496
+rect 66842 10376 66894 10428
+rect 68682 10444 68734 10496
+rect 70338 10444 70390 10496
+rect 70706 10444 70758 10496
+rect 71626 10444 71678 10496
+rect 71902 10376 71954 10428
+rect 73742 10444 73794 10496
+rect 74570 10444 74622 10496
+rect 75490 10580 75542 10632
+rect 75030 10512 75082 10564
+rect 77514 10444 77566 10496
+rect 77698 10444 77750 10496
+rect 126182 10444 126234 10496
+rect 61782 10308 61834 10360
+rect 62426 10308 62478 10360
+rect 63622 10308 63674 10360
+rect 63714 10308 63766 10360
+rect 65462 10308 65514 10360
+rect 65646 10308 65698 10360
+rect 66014 10308 66066 10360
+rect 66566 10308 66618 10360
+rect 67210 10308 67262 10360
+rect 70982 10308 71034 10360
+rect 78710 10376 78762 10428
+rect 77146 10308 77198 10360
+rect 26996 10206 27048 10258
+rect 27060 10206 27112 10258
+rect 27124 10206 27176 10258
+rect 27188 10206 27240 10258
+rect 57716 10206 57768 10258
+rect 57780 10206 57832 10258
+rect 57844 10206 57896 10258
+rect 57908 10206 57960 10258
+rect 88436 10206 88488 10258
+rect 88500 10206 88552 10258
+rect 88564 10206 88616 10258
+rect 88628 10206 88680 10258
+rect 119156 10206 119208 10258
+rect 119220 10206 119272 10258
+rect 119284 10206 119336 10258
+rect 119348 10206 119400 10258
+rect 11366 10104 11418 10156
+rect 14494 10104 14546 10156
+rect 19738 10104 19790 10156
+rect 20106 10104 20158 10156
+rect 12010 10036 12062 10088
+rect 17346 10036 17398 10088
+rect 12378 9900 12430 9952
+rect 15598 9968 15650 10020
+rect 15782 9968 15834 10020
+rect 16150 9968 16202 10020
+rect 18358 9968 18410 10020
+rect 21210 10036 21262 10088
+rect 19922 9968 19974 10020
+rect 17990 9900 18042 9952
+rect 18266 9900 18318 9952
+rect 21210 9900 21262 9952
+rect 22406 9968 22458 10020
+rect 26638 10104 26690 10156
+rect 31422 10104 31474 10156
+rect 32526 10104 32578 10156
+rect 26178 10036 26230 10088
+rect 28386 10036 28438 10088
+rect 22498 9900 22550 9952
+rect 24062 9968 24114 10020
+rect 25258 9968 25310 10020
+rect 26730 9968 26782 10020
+rect 27926 9968 27978 10020
+rect 29766 10036 29818 10088
+rect 32434 10036 32486 10088
+rect 38966 10104 39018 10156
+rect 38322 10036 38374 10088
+rect 42738 10104 42790 10156
+rect 49914 10104 49966 10156
+rect 50006 10104 50058 10156
+rect 51386 10104 51438 10156
+rect 51938 10104 51990 10156
+rect 54514 10104 54566 10156
+rect 56538 10104 56590 10156
+rect 29582 9968 29634 10020
+rect 25166 9900 25218 9952
+rect 26086 9900 26138 9952
+rect 26914 9900 26966 9952
+rect 30778 9900 30830 9952
+rect 31054 9968 31106 10020
+rect 32618 9968 32670 10020
+rect 34182 9968 34234 10020
+rect 36390 9968 36442 10020
+rect 38414 9968 38466 10020
+rect 38874 9968 38926 10020
+rect 41082 9968 41134 10020
+rect 42646 9968 42698 10020
+rect 42922 9968 42974 10020
+rect 43934 9968 43986 10020
+rect 44946 9968 44998 10020
+rect 13298 9832 13350 9884
+rect 18174 9832 18226 9884
+rect 14034 9764 14086 9816
+rect 17254 9764 17306 9816
+rect 17438 9764 17490 9816
+rect 19370 9764 19422 9816
+rect 21762 9764 21814 9816
+rect 29668 9804 29674 9816
+rect 29629 9776 29674 9804
+rect 29668 9764 29674 9776
+rect 29726 9764 29732 9816
+rect 30134 9764 30186 9816
+rect 35194 9900 35246 9952
+rect 38230 9900 38282 9952
+rect 37034 9764 37086 9816
+rect 38690 9832 38742 9884
+rect 37770 9764 37822 9816
+rect 39518 9900 39570 9952
+rect 42094 9900 42146 9952
+rect 40530 9832 40582 9884
+rect 44670 9832 44722 9884
+rect 46142 10036 46194 10088
+rect 45774 9968 45826 10020
+rect 47798 10036 47850 10088
+rect 48258 9968 48310 10020
+rect 48442 9968 48494 10020
+rect 50558 9968 50610 10020
+rect 51386 9968 51438 10020
+rect 51570 10036 51622 10088
+rect 51754 10036 51806 10088
+rect 52122 9968 52174 10020
+rect 53134 9968 53186 10020
+rect 54422 9968 54474 10020
+rect 55158 10036 55210 10088
+rect 58378 10104 58430 10156
+rect 58470 10104 58522 10156
+rect 56078 9968 56130 10020
+rect 56446 9968 56498 10020
+rect 56630 9968 56682 10020
+rect 59022 10036 59074 10088
+rect 56998 9968 57050 10020
+rect 57550 9968 57602 10020
+rect 58562 9968 58614 10020
+rect 58838 9968 58890 10020
+rect 59850 9968 59902 10020
+rect 60678 9968 60730 10020
+rect 63622 10104 63674 10156
+rect 66382 10104 66434 10156
+rect 63806 10036 63858 10088
+rect 65094 10036 65146 10088
+rect 65186 10036 65238 10088
+rect 45406 9900 45458 9952
+rect 46970 9900 47022 9952
+rect 47154 9832 47206 9884
+rect 51938 9900 51990 9952
+rect 39426 9764 39478 9816
+rect 39518 9764 39570 9816
+rect 40438 9764 40490 9816
+rect 40622 9764 40674 9816
+rect 41082 9764 41134 9816
+rect 43566 9764 43618 9816
+rect 45682 9764 45734 9816
+rect 48350 9764 48402 9816
+rect 48626 9764 48678 9816
+rect 49270 9832 49322 9884
+rect 54054 9900 54106 9952
+rect 55250 9900 55302 9952
+rect 57550 9832 57602 9884
+rect 59298 9900 59350 9952
+rect 60862 9900 60914 9952
+rect 62150 9900 62202 9952
+rect 59022 9832 59074 9884
+rect 51938 9764 51990 9816
+rect 54054 9764 54106 9816
+rect 54882 9764 54934 9816
+rect 55250 9764 55302 9816
+rect 56630 9764 56682 9816
+rect 58102 9764 58154 9816
+rect 58470 9764 58522 9816
+rect 62978 9764 63030 9816
+rect 65370 9968 65422 10020
+rect 65646 10036 65698 10088
+rect 66290 9968 66342 10020
+rect 67210 9968 67262 10020
+rect 67486 9968 67538 10020
+rect 69050 10036 69102 10088
+rect 69602 10104 69654 10156
+rect 70982 10104 71034 10156
+rect 71068 10104 71074 10156
+rect 71126 10144 71132 10156
+rect 73368 10144 73374 10156
+rect 71126 10116 73374 10144
+rect 71126 10104 71132 10116
+rect 73368 10104 73374 10116
+rect 73426 10104 73432 10156
+rect 69510 10036 69562 10088
+rect 70614 9968 70666 10020
+rect 71810 10036 71862 10088
+rect 74938 10104 74990 10156
+rect 75214 10104 75266 10156
+rect 76410 10104 76462 10156
+rect 78986 10104 79038 10156
+rect 68682 9900 68734 9952
+rect 65462 9764 65514 9816
+rect 67756 9804 67762 9816
+rect 67717 9776 67762 9804
+rect 67756 9764 67762 9776
+rect 67814 9764 67820 9816
+rect 68032 9832 68038 9884
+rect 68090 9872 68096 9884
+rect 68090 9844 68722 9872
+rect 68090 9832 68096 9844
+rect 68694 9816 68722 9844
+rect 68866 9832 68918 9884
+rect 70614 9832 70666 9884
+rect 76502 10036 76554 10088
+rect 76594 10036 76646 10088
+rect 78250 10036 78302 10088
+rect 73742 9968 73794 10020
+rect 72086 9900 72138 9952
+rect 75030 9968 75082 10020
+rect 80182 9968 80234 10020
+rect 73374 9832 73426 9884
+rect 68590 9764 68642 9816
+rect 68676 9764 68682 9816
+rect 68734 9764 68740 9816
+rect 70062 9764 70114 9816
+rect 71534 9764 71586 9816
+rect 71902 9764 71954 9816
+rect 78434 9764 78486 9816
+rect 79170 9764 79222 9816
+rect 80642 9764 80694 9816
+rect 82850 9764 82902 9816
+rect 85794 9764 85846 9816
+rect 87266 9764 87318 9816
+rect 89474 9764 89526 9816
+rect 91682 9764 91734 9816
+rect 93890 9764 93942 9816
+rect 96098 9764 96150 9816
+rect 97570 9764 97622 9816
+rect 102722 9764 102774 9816
+rect 104194 9764 104246 9816
+rect 107138 9764 107190 9816
+rect 108610 9764 108662 9816
+rect 110818 9764 110870 9816
+rect 112934 9764 112986 9816
+rect 114406 9764 114458 9816
+rect 119558 9764 119610 9816
+rect 121024 9804 121030 9816
+rect 120985 9776 121030 9804
+rect 121024 9764 121030 9776
+rect 121082 9764 121088 9816
+rect 123974 9764 124026 9816
+rect 125446 9764 125498 9816
+rect 11636 9662 11688 9714
+rect 11700 9662 11752 9714
+rect 11764 9662 11816 9714
+rect 11828 9662 11880 9714
+rect 42356 9662 42408 9714
+rect 42420 9662 42472 9714
+rect 42484 9662 42536 9714
+rect 42548 9662 42600 9714
+rect 73076 9662 73128 9714
+rect 73140 9662 73192 9714
+rect 73204 9662 73256 9714
+rect 73268 9662 73320 9714
+rect 103796 9662 103848 9714
+rect 103860 9662 103912 9714
+rect 103924 9662 103976 9714
+rect 103988 9662 104040 9714
+rect 9152 9560 9158 9612
+rect 9210 9600 9216 9612
+rect 15592 9600 15598 9612
+rect 9210 9572 15598 9600
+rect 9210 9560 9216 9572
+rect 15592 9560 15598 9572
+rect 15650 9560 15656 9612
+rect 16794 9560 16846 9612
+rect 19830 9560 19882 9612
+rect 19916 9560 19922 9612
+rect 19974 9600 19980 9612
+rect 19974 9572 21342 9600
+rect 19974 9560 19980 9572
+rect 11090 9492 11142 9544
+rect 8422 9424 8474 9476
+rect 15690 9424 15742 9476
+rect 17806 9424 17858 9476
+rect 8698 9356 8750 9408
+rect 9618 9288 9670 9340
+rect 12746 9288 12798 9340
+rect 18082 9356 18134 9408
+rect 18358 9492 18410 9544
+rect 21118 9492 21170 9544
+rect 21314 9532 21342 9572
+rect 21394 9560 21446 9612
+rect 29306 9560 29358 9612
+rect 29392 9560 29398 9612
+rect 29450 9600 29456 9612
+rect 29668 9600 29674 9612
+rect 29450 9572 29674 9600
+rect 29450 9560 29456 9572
+rect 29668 9560 29674 9572
+rect 29726 9560 29732 9612
+rect 29950 9560 30002 9612
+rect 30686 9560 30738 9612
+rect 35378 9560 35430 9612
+rect 36942 9560 36994 9612
+rect 42738 9560 42790 9612
+rect 43934 9560 43986 9612
+rect 44762 9560 44814 9612
+rect 44946 9560 44998 9612
+rect 46142 9560 46194 9612
+rect 46786 9560 46838 9612
+rect 46878 9560 46930 9612
+rect 48074 9560 48126 9612
+rect 22676 9532 22682 9544
+rect 21314 9504 22682 9532
+rect 22676 9492 22682 9504
+rect 22734 9492 22740 9544
+rect 18266 9424 18318 9476
+rect 23878 9492 23930 9544
+rect 24062 9492 24114 9544
+rect 25166 9492 25218 9544
+rect 18634 9356 18686 9408
+rect 22958 9424 23010 9476
+rect 21210 9356 21262 9408
+rect 8146 9220 8198 9272
+rect 16978 9288 17030 9340
+rect 20474 9288 20526 9340
+rect 22498 9356 22550 9408
+rect 23602 9424 23654 9476
+rect 23694 9424 23746 9476
+rect 26914 9492 26966 9544
+rect 25718 9424 25770 9476
+rect 23878 9356 23930 9408
+rect 27374 9424 27426 9476
+rect 26172 9356 26178 9408
+rect 26230 9396 26236 9408
+rect 28104 9396 28110 9408
+rect 26230 9368 28110 9396
+rect 26230 9356 26236 9368
+rect 28104 9356 28110 9368
+rect 28162 9356 28168 9408
+rect 28754 9356 28806 9408
+rect 29490 9492 29542 9544
+rect 33446 9492 33498 9544
+rect 30778 9424 30830 9476
+rect 34820 9492 34826 9544
+rect 34878 9532 34884 9544
+rect 35191 9532 35249 9541
+rect 34878 9504 35249 9532
+rect 34878 9492 34884 9504
+rect 35191 9495 35249 9504
+rect 37954 9492 38006 9544
+rect 43566 9492 43618 9544
+rect 43842 9492 43894 9544
+rect 45314 9492 45366 9544
+rect 45682 9492 45734 9544
+rect 47798 9492 47850 9544
+rect 49362 9560 49414 9612
+rect 49454 9560 49506 9612
+rect 53686 9560 53738 9612
+rect 34182 9424 34234 9476
+rect 29030 9356 29082 9408
+rect 22590 9288 22642 9340
+rect 23142 9288 23194 9340
+rect 23786 9288 23838 9340
+rect 24154 9288 24206 9340
+rect 17070 9220 17122 9272
+rect 17254 9220 17306 9272
+rect 18542 9220 18594 9272
+rect 19646 9220 19698 9272
+rect 20934 9220 20986 9272
+rect 27558 9288 27610 9340
+rect 29490 9356 29542 9408
+rect 32066 9356 32118 9408
+rect 35562 9356 35614 9408
+rect 36942 9356 36994 9408
+rect 41082 9424 41134 9476
+rect 38966 9356 39018 9408
+rect 39426 9356 39478 9408
+rect 43658 9424 43710 9476
+rect 46050 9424 46102 9476
+rect 46142 9424 46194 9476
+rect 46326 9424 46378 9476
+rect 46602 9424 46654 9476
+rect 46970 9424 47022 9476
+rect 48534 9492 48586 9544
+rect 50742 9492 50794 9544
+rect 52858 9492 52910 9544
+rect 55250 9560 55302 9612
+rect 56722 9560 56774 9612
+rect 54882 9492 54934 9544
+rect 61966 9492 62018 9544
+rect 62334 9560 62386 9612
+rect 63530 9560 63582 9612
+rect 65186 9560 65238 9612
+rect 66750 9560 66802 9612
+rect 66842 9560 66894 9612
+rect 66474 9492 66526 9544
+rect 28202 9220 28254 9272
+rect 28478 9220 28530 9272
+rect 31330 9220 31382 9272
+rect 32066 9220 32118 9272
+rect 33170 9220 33222 9272
+rect 39334 9288 39386 9340
+rect 40438 9288 40490 9340
+rect 42830 9356 42882 9408
+rect 44026 9356 44078 9408
+rect 45682 9356 45734 9408
+rect 48074 9356 48126 9408
+rect 45498 9288 45550 9340
+rect 46234 9288 46286 9340
+rect 46326 9288 46378 9340
+rect 46694 9288 46746 9340
+rect 46786 9288 46838 9340
+rect 47614 9288 47666 9340
+rect 47798 9288 47850 9340
+rect 49086 9424 49138 9476
+rect 50466 9424 50518 9476
+rect 48718 9356 48770 9408
+rect 48810 9356 48862 9408
+rect 50374 9356 50426 9408
+rect 50650 9356 50702 9408
+rect 51202 9356 51254 9408
+rect 52214 9356 52266 9408
+rect 54146 9356 54198 9408
+rect 50926 9288 50978 9340
+rect 51294 9288 51346 9340
+rect 55158 9356 55210 9408
+rect 56814 9356 56866 9408
+rect 42922 9220 42974 9272
+rect 45682 9220 45734 9272
+rect 49270 9220 49322 9272
+rect 49362 9220 49414 9272
+rect 52490 9220 52542 9272
+rect 57366 9288 57418 9340
+rect 56906 9220 56958 9272
+rect 57090 9220 57142 9272
+rect 58470 9424 58522 9476
+rect 58654 9424 58706 9476
+rect 57826 9356 57878 9408
+rect 58194 9356 58246 9408
+rect 59387 9359 59445 9405
+rect 59402 9328 59430 9359
+rect 59666 9356 59718 9408
+rect 59942 9356 59994 9408
+rect 63254 9424 63306 9476
+rect 60304 9328 60310 9340
+rect 59402 9300 60310 9328
+rect 60304 9288 60310 9300
+rect 60362 9288 60368 9340
+rect 60586 9220 60638 9272
+rect 61230 9356 61282 9408
+rect 62886 9356 62938 9408
+rect 62978 9356 63030 9408
+rect 67670 9424 67722 9476
+rect 68590 9560 68642 9612
+rect 72362 9560 72414 9612
+rect 72454 9560 72506 9612
+rect 76042 9560 76094 9612
+rect 67848 9492 67854 9544
+rect 67906 9532 67912 9544
+rect 76131 9532 76189 9541
+rect 67906 9504 76189 9532
+rect 67906 9492 67912 9504
+rect 76131 9495 76189 9504
+rect 70154 9424 70206 9476
+rect 65278 9356 65330 9408
+rect 66566 9356 66618 9408
+rect 66658 9356 66710 9408
+rect 68038 9356 68090 9408
+rect 66198 9288 66250 9340
+rect 66842 9288 66894 9340
+rect 62242 9220 62294 9272
+rect 62518 9220 62570 9272
+rect 64818 9220 64870 9272
+rect 65094 9220 65146 9272
+rect 67762 9220 67814 9272
+rect 67946 9220 67998 9272
+rect 68314 9356 68366 9408
+rect 69510 9356 69562 9408
+rect 68498 9288 68550 9340
+rect 70706 9356 70758 9408
+rect 69142 9220 69194 9272
+rect 71350 9288 71402 9340
+rect 70522 9220 70574 9272
+rect 71534 9220 71586 9272
+rect 73558 9288 73610 9340
+rect 73834 9356 73886 9408
+rect 74110 9424 74162 9476
+rect 74754 9424 74806 9476
+rect 76956 9424 76962 9476
+rect 77014 9464 77020 9476
+rect 79167 9464 79225 9473
+rect 77014 9436 79225 9464
+rect 77014 9424 77020 9436
+rect 79167 9427 79225 9436
+rect 79906 9356 79958 9408
+rect 81378 9356 81430 9408
+rect 82114 9356 82166 9408
+rect 83586 9356 83638 9408
+rect 84322 9356 84374 9408
+rect 85058 9356 85110 9408
+rect 86524 9356 86530 9408
+rect 86582 9396 86588 9408
+rect 87355 9396 87413 9405
+rect 86582 9368 87413 9396
+rect 86582 9356 86588 9368
+rect 87355 9359 87413 9368
+rect 88002 9356 88054 9408
+rect 88738 9356 88790 9408
+rect 90210 9356 90262 9408
+rect 90946 9356 90998 9408
+rect 92412 9356 92418 9408
+rect 92470 9396 92476 9408
+rect 92967 9396 93025 9405
+rect 92470 9368 93025 9396
+rect 92470 9356 92476 9368
+rect 92967 9359 93025 9368
+rect 93154 9356 93206 9408
+rect 94626 9356 94678 9408
+rect 95362 9356 95414 9408
+rect 96834 9356 96886 9408
+rect 98306 9356 98358 9408
+rect 99042 9356 99094 9408
+rect 99778 9356 99830 9408
+rect 101250 9356 101302 9408
+rect 101980 9356 101986 9408
+rect 102038 9396 102044 9408
+rect 102627 9396 102685 9405
+rect 102038 9368 102685 9396
+rect 102038 9356 102044 9368
+rect 102627 9359 102685 9368
+rect 103458 9356 103510 9408
+rect 104930 9356 104982 9408
+rect 105666 9356 105718 9408
+rect 106402 9356 106454 9408
+rect 107874 9356 107926 9408
+rect 109346 9356 109398 9408
+rect 110082 9356 110134 9408
+rect 111554 9356 111606 9408
+rect 112290 9356 112342 9408
+rect 113670 9356 113722 9408
+rect 115142 9356 115194 9408
+rect 115878 9356 115930 9408
+rect 116614 9356 116666 9408
+rect 118086 9356 118138 9408
+rect 118822 9356 118874 9408
+rect 120294 9356 120346 9408
+rect 121766 9356 121818 9408
+rect 122502 9356 122554 9408
+rect 123238 9356 123290 9408
+rect 124710 9356 124762 9408
+rect 75030 9288 75082 9340
+rect 76226 9288 76278 9340
+rect 77974 9288 78026 9340
+rect 74018 9220 74070 9272
+rect 77238 9220 77290 9272
+rect 77330 9220 77382 9272
+rect 79722 9220 79774 9272
+rect 124612 9220 124618 9272
+rect 124670 9260 124676 9272
+rect 126912 9260 126918 9272
+rect 124670 9232 126918 9260
+rect 124670 9220 124676 9232
+rect 126912 9220 126918 9232
+rect 126970 9220 126976 9272
+rect 26996 9118 27048 9170
+rect 27060 9118 27112 9170
+rect 27124 9118 27176 9170
+rect 27188 9118 27240 9170
+rect 57716 9118 57768 9170
+rect 57780 9118 57832 9170
+rect 57844 9118 57896 9170
+rect 57908 9118 57960 9170
+rect 88436 9118 88488 9170
+rect 88500 9118 88552 9170
+rect 88564 9118 88616 9170
+rect 88628 9118 88680 9170
+rect 119156 9118 119208 9170
+rect 119220 9118 119272 9170
+rect 119284 9118 119336 9170
+rect 119348 9118 119400 9170
+rect 7962 8812 8014 8864
+rect 15690 8948 15742 9000
+rect 17898 8948 17950 9000
+rect 19462 8948 19514 9000
+rect 13114 8880 13166 8932
+rect 18174 8880 18226 8932
+rect 18355 8883 18413 8929
+rect 12562 8744 12614 8796
+rect 15592 8744 15598 8796
+rect 15650 8784 15656 8796
+rect 18370 8784 18398 8883
+rect 18818 8880 18870 8932
+rect 20106 8880 20158 8932
+rect 20382 8948 20434 9000
+rect 22038 8948 22090 9000
+rect 25626 9016 25678 9068
+rect 28202 9016 28254 9068
+rect 23050 8880 23102 8932
+rect 23694 8812 23746 8864
+rect 24706 8948 24758 9000
+rect 25534 8948 25586 9000
+rect 27190 8880 27242 8932
+rect 27374 8880 27426 8932
+rect 27466 8880 27518 8932
+rect 37218 9016 37270 9068
+rect 38230 9016 38282 9068
+rect 43290 9016 43342 9068
+rect 45222 9016 45274 9068
+rect 32526 8948 32578 9000
+rect 34458 8948 34510 9000
+rect 37034 8948 37086 9000
+rect 25810 8812 25862 8864
+rect 30778 8880 30830 8932
+rect 34918 8880 34970 8932
+rect 35654 8880 35706 8932
+rect 36942 8880 36994 8932
+rect 41174 8880 41226 8932
+rect 42002 8948 42054 9000
+rect 41634 8880 41686 8932
+rect 43842 8948 43894 9000
+rect 46418 9016 46470 9068
+rect 51846 9016 51898 9068
+rect 48166 8948 48218 9000
+rect 43014 8880 43066 8932
+rect 43290 8880 43342 8932
+rect 44210 8880 44262 8932
+rect 44302 8880 44354 8932
+rect 45682 8880 45734 8932
+rect 45958 8880 46010 8932
+rect 47154 8880 47206 8932
+rect 47798 8880 47850 8932
+rect 48718 8880 48770 8932
+rect 49454 8948 49506 9000
+rect 15650 8756 18398 8784
+rect 15650 8744 15656 8756
+rect 17070 8676 17122 8728
+rect 23878 8744 23930 8796
+rect 24062 8676 24114 8728
+rect 24706 8676 24758 8728
+rect 26362 8676 26414 8728
+rect 27374 8744 27426 8796
+rect 31238 8812 31290 8864
+rect 31330 8744 31382 8796
+rect 34826 8812 34878 8864
+rect 35930 8812 35982 8864
+rect 41542 8812 41594 8864
+rect 46142 8812 46194 8864
+rect 46602 8812 46654 8864
+rect 51570 8880 51622 8932
+rect 51754 8880 51806 8932
+rect 54146 8880 54198 8932
+rect 58746 9016 58798 9068
+rect 42738 8744 42790 8796
+rect 46510 8744 46562 8796
+rect 47522 8744 47574 8796
+rect 48994 8744 49046 8796
+rect 53594 8812 53646 8864
+rect 51662 8744 51714 8796
+rect 55158 8812 55210 8864
+rect 55894 8880 55946 8932
+rect 58470 8948 58522 9000
+rect 58562 8948 58614 9000
+rect 59574 9016 59626 9068
+rect 59850 9016 59902 9068
+rect 61046 9016 61098 9068
+rect 61138 9016 61190 9068
+rect 68400 9016 68406 9068
+rect 68458 9056 68464 9068
+rect 69872 9056 69878 9068
+rect 68458 9028 69878 9056
+rect 68458 9016 68464 9028
+rect 69872 9016 69878 9028
+rect 69930 9016 69936 9068
+rect 71442 9016 71494 9068
+rect 71626 9016 71678 9068
+rect 57734 8880 57786 8932
+rect 59758 8880 59810 8932
+rect 62518 8948 62570 9000
+rect 61230 8880 61282 8932
+rect 65278 8948 65330 9000
+rect 58562 8812 58614 8864
+rect 59666 8812 59718 8864
+rect 60402 8812 60454 8864
+rect 32618 8676 32670 8728
+rect 32802 8676 32854 8728
+rect 43290 8676 43342 8728
+rect 44394 8676 44446 8728
+rect 47338 8676 47390 8728
+rect 52950 8676 53002 8728
+rect 62702 8744 62754 8796
+rect 63162 8812 63214 8864
+rect 63990 8744 64042 8796
+rect 59666 8676 59718 8728
+rect 61690 8676 61742 8728
+rect 61966 8676 62018 8728
+rect 63530 8676 63582 8728
+rect 67302 8880 67354 8932
+rect 69050 8880 69102 8932
+rect 68590 8812 68642 8864
+rect 67670 8744 67722 8796
+rect 70430 8880 70482 8932
+rect 71626 8880 71678 8932
+rect 71718 8880 71770 8932
+rect 76226 8880 76278 8932
+rect 77238 8880 77290 8932
+rect 124612 8920 124618 8932
+rect 124573 8892 124618 8920
+rect 124612 8880 124618 8892
+rect 124670 8880 124676 8932
+rect 66658 8676 66710 8728
+rect 67394 8676 67446 8728
+rect 71626 8676 71678 8728
+rect 74294 8676 74346 8728
+rect 75950 8676 76002 8728
+rect 76226 8744 76278 8796
+rect 80918 8676 80970 8728
+rect 100514 8676 100566 8728
+rect 117350 8676 117402 8728
+rect 11636 8574 11688 8626
+rect 11700 8574 11752 8626
+rect 11764 8574 11816 8626
+rect 11828 8574 11880 8626
+rect 42356 8574 42408 8626
+rect 42420 8574 42472 8626
+rect 42484 8574 42536 8626
+rect 42548 8574 42600 8626
+rect 73076 8574 73128 8626
+rect 73140 8574 73192 8626
+rect 73204 8574 73256 8626
+rect 73268 8574 73320 8626
+rect 103796 8574 103848 8626
+rect 103860 8574 103912 8626
+rect 103924 8574 103976 8626
+rect 103988 8574 104040 8626
+rect 15506 8472 15558 8524
+rect 23050 8472 23102 8524
+rect 23510 8472 23562 8524
+rect 16978 8404 17030 8456
+rect 27466 8404 27518 8456
+rect 27558 8404 27610 8456
+rect 31330 8472 31382 8524
+rect 42738 8472 42790 8524
+rect 43014 8472 43066 8524
+rect 44302 8472 44354 8524
+rect 44394 8472 44446 8524
+rect 47430 8472 47482 8524
+rect 48718 8472 48770 8524
+rect 35654 8404 35706 8456
+rect 46602 8404 46654 8456
+rect 56446 8472 56498 8524
+rect 67394 8472 67446 8524
+rect 57458 8404 57510 8456
+rect 57918 8404 57970 8456
+rect 60218 8404 60270 8456
+rect 65922 8404 65974 8456
+rect 71442 8472 71494 8524
+rect 70430 8404 70482 8456
+rect 80458 8404 80510 8456
+rect 17254 8336 17306 8388
+rect 32894 8336 32946 8388
+rect 40254 8336 40306 8388
+rect 52950 8336 53002 8388
+rect 54790 8336 54842 8388
+rect 60402 8336 60454 8388
+rect 68866 8336 68918 8388
+rect 75950 8336 76002 8388
+rect 7502 8268 7554 8320
+rect 69050 8268 69102 8320
+rect 17438 8200 17490 8252
+rect 35102 8200 35154 8252
+rect 41634 8200 41686 8252
+rect 47982 8200 48034 8252
+rect 68590 8200 68642 8252
+rect 23694 8132 23746 8184
+rect 27374 8132 27426 8184
+rect 28754 8132 28806 8184
+rect 30962 8132 31014 8184
+rect 32526 8132 32578 8184
+rect 32618 8132 32670 8184
+rect 56078 8132 56130 8184
+rect 63162 8132 63214 8184
+rect 67302 8132 67354 8184
+rect 71626 8132 71678 8184
+rect 22314 8064 22366 8116
+rect 46142 8064 46194 8116
+rect 48994 8064 49046 8116
+rect 61690 8064 61742 8116
+rect 62242 8064 62294 8116
+rect 64726 8064 64778 8116
+rect 65830 8064 65882 8116
+rect 73834 8064 73886 8116
+rect 24706 7996 24758 8048
+rect 33814 7996 33866 8048
+rect 34826 7996 34878 8048
+rect 44118 7996 44170 8048
+rect 45682 7996 45734 8048
+rect 57090 7996 57142 8048
+rect 68222 7996 68274 8048
+rect 74570 7996 74622 8048
+rect 17714 7928 17766 7980
+rect 26086 7928 26138 7980
+rect 26362 7928 26414 7980
+rect 31146 7928 31198 7980
+rect 35838 7928 35890 7980
+rect 46142 7928 46194 7980
+rect 51018 7928 51070 7980
+rect 54146 7928 54198 7980
+rect 58562 7928 58614 7980
+rect 58654 7928 58706 7980
+rect 60770 7928 60822 7980
+rect 66566 7928 66618 7980
+rect 68406 7928 68458 7980
+rect 23418 7860 23470 7912
+rect 23602 7860 23654 7912
+rect 32802 7860 32854 7912
+rect 33078 7860 33130 7912
+rect 47614 7860 47666 7912
+rect 49178 7860 49230 7912
+rect 51294 7860 51346 7912
+rect 55342 7860 55394 7912
+rect 56354 7860 56406 7912
+rect 59850 7860 59902 7912
+rect 21210 7792 21262 7844
+rect 25350 7792 25402 7844
+rect 27000 7792 27006 7844
+rect 27058 7832 27064 7844
+rect 30864 7832 30870 7844
+rect 27058 7804 30870 7832
+rect 27058 7792 27064 7804
+rect 30864 7792 30870 7804
+rect 30922 7792 30928 7844
+rect 31698 7792 31750 7844
+rect 34182 7792 34234 7844
+rect 34550 7792 34602 7844
+rect 49086 7792 49138 7844
+rect 50098 7792 50150 7844
+rect 57274 7792 57326 7844
+rect 58378 7792 58430 7844
+rect 58470 7792 58522 7844
+rect 59574 7792 59626 7844
+rect 27190 7724 27242 7776
+rect 34366 7724 34418 7776
+rect 44578 7724 44630 7776
+rect 56256 7724 56262 7776
+rect 56314 7764 56320 7776
+rect 59108 7764 59114 7776
+rect 56314 7736 59114 7764
+rect 56314 7724 56320 7736
+rect 59108 7724 59114 7736
+rect 59166 7724 59172 7776
+rect 25534 7656 25586 7708
+rect 25994 7656 26046 7708
+rect 29490 7656 29542 7708
+rect 35194 7656 35246 7708
+rect 56538 7656 56590 7708
+rect 65002 7656 65054 7708
+rect 30962 7588 31014 7640
+rect 35010 7588 35062 7640
+rect 69602 7520 69654 7572
+rect 71902 7520 71954 7572
+rect 29950 7452 30002 7504
+rect 35746 7452 35798 7504
+<< via1 >>
+rect 10354 10308 10406 10360
+rect 15414 10308 15466 10360
+rect 34826 10308 34878 10360
+rect 36574 10308 36626 10360
+rect 59758 10648 59810 10700
+rect 68038 10580 68090 10632
+rect 29674 9764 29726 9816
+rect 71074 10104 71126 10156
+rect 73374 10104 73426 10156
+rect 67762 9764 67814 9816
+rect 68038 9832 68090 9884
+rect 68682 9764 68734 9816
+rect 121030 9764 121082 9816
+rect 9158 9560 9210 9612
+rect 15598 9560 15650 9612
+rect 19922 9560 19974 9612
+rect 29398 9560 29450 9612
+rect 29674 9560 29726 9612
+rect 22682 9492 22734 9544
+rect 26178 9356 26230 9408
+rect 28110 9356 28162 9408
+rect 34826 9492 34878 9544
+rect 60310 9288 60362 9340
+rect 67854 9492 67906 9544
+rect 76962 9424 77014 9476
+rect 86530 9356 86582 9408
+rect 92418 9356 92470 9408
+rect 101986 9356 102038 9408
+rect 124618 9220 124670 9272
+rect 126918 9220 126970 9272
+rect 15598 8744 15650 8796
+rect 68406 9016 68458 9068
+rect 69878 9016 69930 9068
+rect 124618 8880 124670 8932
+rect 27006 7792 27058 7844
+rect 30870 7792 30922 7844
+rect 56262 7724 56314 7776
+rect 59114 7724 59166 7776
+<< obsm1 >>
+rect 8494 123880 126792 123976
+rect 10800 123432 126792 123880
+rect 8494 123336 126792 123432
+rect 10800 122888 126792 123336
+rect 8494 122792 126792 122888
+rect 10800 122344 126792 122792
+rect 8494 122248 126792 122344
+rect 8876 122168 8882 122220
+rect 8934 122208 8940 122220
+rect 10800 122208 126792 122248
+rect 8934 122180 126792 122208
+rect 8934 122168 8940 122180
+rect 9888 121828 9894 121880
+rect 9946 121868 9952 121880
+rect 10800 121868 126792 122180
+rect 9946 121840 126792 121868
+rect 9946 121828 9952 121840
+rect 10800 121800 126792 121840
+rect 8494 121704 126792 121800
+rect 10800 121256 126792 121704
+rect 8494 121160 126792 121256
+rect 10800 120712 126792 121160
+rect 8494 120616 126792 120712
+rect 10800 120168 126792 120616
+rect 8494 120072 126792 120168
+rect 10800 119624 126792 120072
+rect 8494 119528 126792 119624
+rect 10800 119080 126792 119528
+rect 8494 118984 126792 119080
+rect 10800 118536 126792 118984
+rect 8494 118440 126792 118536
+rect 10800 117992 126792 118440
+rect 8494 117896 126792 117992
+rect 10800 117448 126792 117896
+rect 8494 117352 126792 117448
+rect 10800 116904 126792 117352
+rect 8494 116808 126792 116904
+rect 10800 116360 126792 116808
+rect 8494 116264 126792 116360
+rect 10800 115816 126792 116264
+rect 8494 115720 126792 115816
+rect 10800 115272 126792 115720
+rect 8494 115176 126792 115272
+rect 10800 114728 126792 115176
+rect 8494 114632 126792 114728
+rect 10800 114184 126792 114632
+rect 8494 114088 126792 114184
+rect 10800 113640 126792 114088
+rect 8494 113544 126792 113640
+rect 10800 113096 126792 113544
+rect 8494 113000 126792 113096
+rect 10800 112552 126792 113000
+rect 8494 112456 126792 112552
+rect 10800 112008 126792 112456
+rect 8494 111912 126792 112008
+rect 10800 111464 126792 111912
+rect 8494 111368 126792 111464
+rect 10800 110920 126792 111368
+rect 8494 110824 126792 110920
+rect 10800 110376 126792 110824
+rect 8494 110280 126792 110376
+rect 10800 109832 126792 110280
+rect 8494 109736 126792 109832
+rect 10800 109288 126792 109736
+rect 8494 109192 126792 109288
+rect 10800 108744 126792 109192
+rect 8494 108648 126792 108744
+rect 10800 108200 126792 108648
+rect 8494 108104 126792 108200
+rect 10800 107656 126792 108104
+rect 8494 107560 126792 107656
+rect 10800 107112 126792 107560
+rect 8494 107016 126792 107112
+rect 10800 106568 126792 107016
+rect 8494 106472 126792 106568
+rect 10800 106024 126792 106472
+rect 8494 105928 126792 106024
+rect 10800 105480 126792 105928
+rect 8494 105384 126792 105480
+rect 10800 104936 126792 105384
+rect 8494 104840 126792 104936
+rect 10800 104392 126792 104840
+rect 8494 104296 126792 104392
+rect 10800 103848 126792 104296
+rect 8494 103752 126792 103848
+rect 10800 103304 126792 103752
+rect 8494 103208 126792 103304
+rect 10800 102760 126792 103208
+rect 8494 102664 126792 102760
+rect 10800 102216 126792 102664
+rect 8494 102120 126792 102216
+rect 10800 101672 126792 102120
+rect 8494 101576 126792 101672
+rect 10800 101128 126792 101576
+rect 8494 101032 126792 101128
+rect 10800 100584 126792 101032
+rect 8494 100488 126792 100584
+rect 10800 100040 126792 100488
+rect 8494 99944 126792 100040
+rect 10800 99496 126792 99944
+rect 8494 99400 126792 99496
+rect 10800 98952 126792 99400
+rect 8494 98856 126792 98952
+rect 10800 98408 126792 98856
+rect 8494 98312 126792 98408
+rect 10800 97864 126792 98312
+rect 8494 97768 126792 97864
+rect 10800 97320 126792 97768
+rect 8494 97224 126792 97320
+rect 10800 96776 126792 97224
+rect 8494 96680 126792 96776
+rect 10800 96232 126792 96680
+rect 8494 96136 126792 96232
+rect 10800 95688 126792 96136
+rect 8494 95592 126792 95688
+rect 10800 95144 126792 95592
+rect 8494 95048 126792 95144
+rect 10800 94600 126792 95048
+rect 8494 94504 126792 94600
+rect 10800 94056 126792 94504
+rect 8494 93960 126792 94056
+rect 10800 93512 126792 93960
+rect 8494 93416 126792 93512
+rect 10800 92968 126792 93416
+rect 8494 92872 126792 92968
+rect 10800 92424 126792 92872
+rect 8494 92328 126792 92424
+rect 10800 91880 126792 92328
+rect 8494 91784 126792 91880
+rect 10800 91336 126792 91784
+rect 8494 91240 126792 91336
+rect 10800 90792 126792 91240
+rect 8494 90696 126792 90792
+rect 10800 90248 126792 90696
+rect 8494 90152 126792 90248
+rect 10800 89704 126792 90152
+rect 8494 89608 126792 89704
+rect 10800 89160 126792 89608
+rect 8494 89064 126792 89160
+rect 10800 88616 126792 89064
+rect 8494 88520 126792 88616
+rect 10800 88072 126792 88520
+rect 8494 87976 126792 88072
+rect 10800 87528 126792 87976
+rect 8494 87432 126792 87528
+rect 10800 86984 126792 87432
+rect 8494 86888 126792 86984
+rect 10800 86440 126792 86888
+rect 8494 86344 126792 86440
+rect 10800 85896 126792 86344
+rect 8494 85800 126792 85896
+rect 10800 85352 126792 85800
+rect 8494 85256 126792 85352
+rect 10800 84808 126792 85256
+rect 8494 84712 126792 84808
+rect 10800 84264 126792 84712
+rect 8494 84168 126792 84264
+rect 10800 83720 126792 84168
+rect 8494 83624 126792 83720
+rect 10800 83176 126792 83624
+rect 8494 83080 126792 83176
+rect 10800 82632 126792 83080
+rect 8494 82536 126792 82632
+rect 10800 82088 126792 82536
+rect 8494 81992 126792 82088
+rect 10800 81544 126792 81992
+rect 8494 81448 126792 81544
+rect 10800 81000 126792 81448
+rect 8494 80904 126792 81000
+rect 10800 80456 126792 80904
+rect 8494 80360 126792 80456
+rect 10800 79912 126792 80360
+rect 8494 79816 126792 79912
+rect 10800 79368 126792 79816
+rect 8494 79272 126792 79368
+rect 10800 78824 126792 79272
+rect 8494 78728 126792 78824
+rect 10800 78280 126792 78728
+rect 8494 78184 126792 78280
+rect 10800 77736 126792 78184
+rect 8494 77640 126792 77736
+rect 10800 77192 126792 77640
+rect 8494 77096 126792 77192
+rect 10800 76648 126792 77096
+rect 8494 76552 126792 76648
+rect 10800 76104 126792 76552
+rect 8494 76008 126792 76104
+rect 10800 75560 126792 76008
+rect 8494 75464 126792 75560
+rect 10800 75016 126792 75464
+rect 8494 74920 126792 75016
+rect 10800 74472 126792 74920
+rect 8494 74376 126792 74472
+rect 10800 73928 126792 74376
+rect 8494 73832 126792 73928
+rect 10800 73384 126792 73832
+rect 8494 73288 126792 73384
+rect 10800 72840 126792 73288
+rect 8494 72744 126792 72840
+rect 10800 72296 126792 72744
+rect 8494 72200 126792 72296
+rect 10800 71752 126792 72200
+rect 8494 71656 126792 71752
+rect 10800 71208 126792 71656
+rect 8494 71112 126792 71208
+rect 10800 70664 126792 71112
+rect 8494 70568 126792 70664
+rect 10800 70120 126792 70568
+rect 8494 70024 126792 70120
+rect 10800 69576 126792 70024
+rect 8494 69480 126792 69576
+rect 10800 69032 126792 69480
+rect 8494 68936 126792 69032
+rect 10800 68488 126792 68936
+rect 8494 68392 126792 68488
+rect 10800 67944 126792 68392
+rect 8494 67848 126792 67944
+rect 10800 67400 126792 67848
+rect 8494 67304 126792 67400
+rect 10800 66856 126792 67304
+rect 8494 66760 126792 66856
+rect 10800 66312 126792 66760
+rect 8494 66216 126792 66312
+rect 10800 65768 126792 66216
+rect 8494 65672 126792 65768
+rect 10800 65224 126792 65672
+rect 8494 65128 126792 65224
+rect 10800 64680 126792 65128
+rect 8494 64584 126792 64680
+rect 10800 64136 126792 64584
+rect 8494 64040 126792 64136
+rect 10800 63592 126792 64040
+rect 8494 63496 126792 63592
+rect 10800 63048 126792 63496
+rect 8494 62952 126792 63048
+rect 10800 62504 126792 62952
+rect 8494 62408 126792 62504
+rect 10800 61960 126792 62408
+rect 8494 61864 126792 61960
+rect 10800 61416 126792 61864
+rect 8494 61320 126792 61416
+rect 10800 60872 126792 61320
+rect 8494 60776 126792 60872
+rect 10800 60328 126792 60776
+rect 8494 60232 126792 60328
+rect 10800 59784 126792 60232
+rect 8494 59688 126792 59784
+rect 10800 59240 126792 59688
+rect 8494 59144 126792 59240
+rect 10800 58696 126792 59144
+rect 8494 58600 126792 58696
+rect 10800 58152 126792 58600
+rect 8494 58056 126792 58152
+rect 10800 57608 126792 58056
+rect 8494 57512 126792 57608
+rect 10800 57064 126792 57512
+rect 8494 56968 126792 57064
+rect 10800 56520 126792 56968
+rect 8494 56424 126792 56520
+rect 10800 55976 126792 56424
+rect 8494 55880 126792 55976
+rect 10800 55432 126792 55880
+rect 8494 55336 126792 55432
+rect 10800 54888 126792 55336
+rect 8494 54792 126792 54888
+rect 10800 54344 126792 54792
+rect 8494 54248 126792 54344
+rect 10800 53800 126792 54248
+rect 8494 53704 126792 53800
+rect 10800 53256 126792 53704
+rect 8494 53160 126792 53256
+rect 10800 52712 126792 53160
+rect 8494 52616 126792 52712
+rect 10800 52168 126792 52616
+rect 8494 52072 126792 52168
+rect 10800 51624 126792 52072
+rect 8494 51528 126792 51624
+rect 10800 51080 126792 51528
+rect 8494 50984 126792 51080
+rect 10800 50536 126792 50984
+rect 8494 50440 126792 50536
+rect 10800 49992 126792 50440
+rect 8494 49896 126792 49992
+rect 10800 49448 126792 49896
+rect 8494 49352 126792 49448
+rect 10800 48904 126792 49352
+rect 8494 48808 126792 48904
+rect 10800 48360 126792 48808
+rect 8494 48264 126792 48360
+rect 10800 47816 126792 48264
+rect 8494 47720 126792 47816
+rect 10800 47272 126792 47720
+rect 8494 47176 126792 47272
+rect 10800 46728 126792 47176
+rect 8494 46632 126792 46728
+rect 10800 46184 126792 46632
+rect 8494 46088 126792 46184
+rect 10800 45640 126792 46088
+rect 8494 45544 126792 45640
+rect 10800 45096 126792 45544
+rect 8494 45000 126792 45096
+rect 10800 44552 126792 45000
+rect 8494 44456 126792 44552
+rect 10800 44008 126792 44456
+rect 8494 43912 126792 44008
+rect 10800 43464 126792 43912
+rect 8494 43368 126792 43464
+rect 10800 42920 126792 43368
+rect 8494 42824 126792 42920
+rect 10800 42376 126792 42824
+rect 8494 42280 126792 42376
+rect 10800 41832 126792 42280
+rect 8494 41736 126792 41832
+rect 10800 41288 126792 41736
+rect 8494 41192 126792 41288
+rect 10800 40744 126792 41192
+rect 8494 40648 126792 40744
+rect 10800 40200 126792 40648
+rect 8494 40104 126792 40200
+rect 10800 39656 126792 40104
+rect 8494 39560 126792 39656
+rect 10800 39112 126792 39560
+rect 8494 39016 126792 39112
+rect 10800 38568 126792 39016
+rect 8494 38472 126792 38568
+rect 10800 38024 126792 38472
+rect 8494 37928 126792 38024
+rect 10800 37480 126792 37928
+rect 8494 37384 126792 37480
+rect 10800 36936 126792 37384
+rect 8494 36840 126792 36936
+rect 10800 36392 126792 36840
+rect 8494 36296 126792 36392
+rect 10800 35848 126792 36296
+rect 8494 35752 126792 35848
+rect 10800 35304 126792 35752
+rect 8494 35208 126792 35304
+rect 10800 34760 126792 35208
+rect 8494 34664 126792 34760
+rect 10800 34216 126792 34664
+rect 8494 34120 126792 34216
+rect 10800 33672 126792 34120
+rect 8494 33576 126792 33672
+rect 10800 33128 126792 33576
+rect 8494 33032 126792 33128
+rect 10800 32584 126792 33032
+rect 8494 32488 126792 32584
+rect 10800 32040 126792 32488
+rect 8494 31944 126792 32040
+rect 10800 31496 126792 31944
+rect 8494 31400 126792 31496
+rect 10800 30952 126792 31400
+rect 8494 30856 126792 30952
+rect 10800 30408 126792 30856
+rect 8494 30312 126792 30408
+rect 10800 29864 126792 30312
+rect 8494 29768 126792 29864
+rect 10800 29320 126792 29768
+rect 8494 29224 126792 29320
+rect 10800 28776 126792 29224
+rect 8494 28680 126792 28776
+rect 10800 28232 126792 28680
+rect 8494 28136 126792 28232
+rect 10800 27688 126792 28136
+rect 8494 27592 126792 27688
+rect 10800 27144 126792 27592
+rect 8494 27048 126792 27144
+rect 10800 26600 126792 27048
+rect 8494 26504 126792 26600
+rect 10800 26056 126792 26504
+rect 8494 25960 126792 26056
+rect 10800 25512 126792 25960
+rect 8494 25416 126792 25512
+rect 10800 24968 126792 25416
+rect 8494 24872 126792 24968
+rect 10800 24424 126792 24872
+rect 8494 24328 126792 24424
+rect 10800 23880 126792 24328
+rect 8494 23784 126792 23880
+rect 10800 23336 126792 23784
+rect 8494 23240 126792 23336
+rect 10800 22792 126792 23240
+rect 8494 22696 126792 22792
+rect 10800 22248 126792 22696
+rect 8494 22152 126792 22248
+rect 10800 21704 126792 22152
+rect 8494 21608 126792 21704
+rect 10800 21160 126792 21608
+rect 8494 21064 126792 21160
+rect 10800 20616 126792 21064
+rect 8494 20520 126792 20616
+rect 10800 20072 126792 20520
+rect 8494 19976 126792 20072
+rect 10800 19528 126792 19976
+rect 8494 19432 126792 19528
+rect 10800 18984 126792 19432
+rect 8494 18888 126792 18984
+rect 10800 18440 126792 18888
+rect 8494 18344 126792 18440
+rect 10800 17896 126792 18344
+rect 8494 17800 126792 17896
+rect 10800 17352 126792 17800
+rect 8494 17256 126792 17352
+rect 10800 16808 126792 17256
+rect 8494 16712 126792 16808
+rect 10800 16264 126792 16712
+rect 8494 16168 126792 16264
+rect 10800 15720 126792 16168
+rect 8494 15624 126792 15720
+rect 10800 15176 126792 15624
+rect 8494 15080 126792 15176
+rect 10800 14632 126792 15080
+rect 8494 14536 126792 14632
+rect 10800 14088 126792 14536
+rect 8494 13992 126792 14088
+rect 10800 13544 126792 13992
+rect 8494 13448 126792 13544
+rect 10800 13000 126792 13448
+rect 8494 12904 126792 13000
+rect 10800 12456 126792 12904
+rect 8494 12360 126792 12456
+rect 10800 11912 126792 12360
+rect 8494 11816 126792 11912
+rect 10800 11368 126792 11816
+rect 8494 11272 126792 11368
+rect 10800 10824 126792 11272
+rect 8494 10802 126792 10824
+rect 8494 10750 11636 10802
+rect 11688 10750 11700 10802
+rect 11752 10750 11764 10802
+rect 11816 10750 11828 10802
+rect 11880 10750 42356 10802
+rect 42408 10750 42420 10802
+rect 42472 10750 42484 10802
+rect 42536 10750 42548 10802
+rect 42600 10750 73076 10802
+rect 73128 10750 73140 10802
+rect 73192 10750 73204 10802
+rect 73256 10750 73268 10802
+rect 73320 10750 103796 10802
+rect 103848 10750 103860 10802
+rect 103912 10750 103924 10802
+rect 103976 10750 103988 10802
+rect 104040 10800 126792 10802
+rect 104040 10750 126254 10800
+rect 8494 10728 126254 10750
+rect 18536 10688 18542 10700
+rect 16438 10660 18542 10688
+rect 10164 10512 10170 10564
+rect 10222 10552 10228 10564
+rect 13016 10552 13022 10564
+rect 10222 10524 13022 10552
+rect 10222 10512 10228 10524
+rect 13016 10512 13022 10524
+rect 13074 10512 13080 10564
+rect 14580 10512 14586 10564
+rect 14638 10552 14644 10564
+rect 16438 10552 16466 10660
+rect 18536 10648 18542 10660
+rect 18594 10648 18600 10700
+rect 27736 10688 27742 10700
+rect 18646 10660 27742 10688
+rect 16608 10620 16666 10629
+rect 17072 10620 17130 10629
+rect 17348 10620 17406 10629
+rect 16608 10592 17406 10620
+rect 16608 10583 16666 10592
+rect 17072 10583 17130 10592
+rect 17348 10583 17406 10592
+rect 17616 10580 17622 10632
+rect 17674 10620 17680 10632
+rect 18646 10620 18674 10660
+rect 27736 10648 27742 10660
+rect 27794 10648 27800 10700
+rect 27938 10660 28886 10688
+rect 19824 10620 19830 10632
+rect 17674 10592 18674 10620
+rect 19658 10592 19830 10620
+rect 17674 10580 17680 10592
+rect 14638 10524 16466 10552
+rect 16515 10552 16573 10561
+rect 16515 10524 17202 10552
+rect 14638 10512 14644 10524
+rect 16515 10515 16573 10524
+rect 10624 10444 10630 10496
+rect 10682 10484 10688 10496
+rect 15503 10484 15561 10493
+rect 10682 10456 15561 10484
+rect 10682 10444 10688 10456
+rect 15503 10447 15561 10456
+rect 16791 10484 16849 10493
+rect 17064 10484 17070 10496
+rect 16791 10456 17070 10484
+rect 16791 10447 16849 10456
+rect 17064 10444 17070 10456
+rect 17122 10444 17128 10496
+rect 17174 10484 17202 10524
+rect 18352 10512 18358 10564
+rect 18410 10552 18416 10564
+rect 19658 10552 19686 10592
+rect 19824 10580 19830 10592
+rect 19882 10580 19888 10632
+rect 19920 10620 19978 10629
+rect 20384 10620 20442 10629
+rect 20660 10620 20718 10629
+rect 19920 10592 20718 10620
+rect 19920 10583 19978 10592
+rect 20384 10583 20442 10592
+rect 20660 10583 20718 10592
+rect 22404 10620 22462 10629
+rect 22868 10620 22926 10629
+rect 23144 10620 23202 10629
+rect 22404 10592 23202 10620
+rect 22404 10583 22462 10592
+rect 22868 10583 22926 10592
+rect 23144 10583 23202 10592
+rect 25532 10620 25590 10629
+rect 25996 10620 26054 10629
+rect 26272 10620 26330 10629
+rect 25532 10592 26330 10620
+rect 25532 10583 25590 10592
+rect 25996 10583 26054 10592
+rect 26272 10583 26330 10592
+rect 26632 10580 26638 10632
+rect 26690 10620 26696 10632
+rect 27828 10620 27834 10632
+rect 26690 10592 27834 10620
+rect 26690 10580 26696 10592
+rect 27828 10580 27834 10592
+rect 27886 10620 27892 10632
+rect 27938 10620 27966 10660
+rect 27886 10592 27966 10620
+rect 28016 10620 28074 10629
+rect 28480 10620 28538 10629
+rect 28756 10620 28814 10629
+rect 28016 10592 28814 10620
+rect 28858 10620 28886 10660
+rect 29024 10648 29030 10700
+rect 29082 10688 29088 10700
+rect 30404 10688 30410 10700
+rect 29082 10660 30410 10688
+rect 29082 10648 29088 10660
+rect 30404 10648 30410 10660
+rect 30462 10648 30468 10700
+rect 30496 10648 30502 10700
+rect 30554 10688 30560 10700
+rect 32431 10688 32489 10697
+rect 30554 10660 32489 10688
+rect 30554 10648 30560 10660
+rect 32431 10651 32489 10660
+rect 32704 10648 32710 10700
+rect 32762 10688 32768 10700
+rect 36844 10688 36850 10700
+rect 32762 10660 36850 10688
+rect 32762 10648 32768 10660
+rect 36844 10648 36850 10660
+rect 36902 10688 36908 10700
+rect 37304 10688 37310 10700
+rect 36902 10660 37310 10688
+rect 36902 10648 36908 10660
+rect 37304 10648 37310 10660
+rect 37362 10648 37368 10700
+rect 37672 10648 37678 10700
+rect 37730 10688 37736 10700
+rect 37730 10660 41858 10688
+rect 37730 10648 37736 10660
+rect 29668 10620 29674 10632
+rect 28858 10592 29674 10620
+rect 27886 10580 27892 10592
+rect 28016 10583 28074 10592
+rect 28480 10583 28538 10592
+rect 28756 10583 28814 10592
+rect 29668 10580 29674 10592
+rect 29726 10580 29732 10632
+rect 31144 10620 31202 10629
+rect 31608 10620 31666 10629
+rect 31884 10620 31942 10629
+rect 31144 10592 31942 10620
+rect 31144 10583 31202 10592
+rect 31608 10583 31666 10592
+rect 31884 10583 31942 10592
+rect 34180 10620 34238 10629
+rect 34644 10620 34702 10629
+rect 34920 10620 34978 10629
+rect 34180 10592 34978 10620
+rect 34180 10583 34238 10592
+rect 34644 10583 34702 10592
+rect 34920 10583 34978 10592
+rect 37124 10620 37182 10629
+rect 37588 10620 37646 10629
+rect 37864 10620 37922 10629
+rect 37124 10592 37922 10620
+rect 37124 10583 37182 10592
+rect 37588 10583 37646 10592
+rect 37864 10583 37922 10592
+rect 39700 10620 39758 10629
+rect 40164 10620 40222 10629
+rect 40440 10620 40498 10629
+rect 39700 10592 40498 10620
+rect 41830 10620 41858 10660
+rect 42180 10648 42186 10700
+rect 42238 10688 42244 10700
+rect 42238 10660 43790 10688
+rect 42238 10648 42244 10660
+rect 43762 10620 43790 10660
+rect 43836 10648 43842 10700
+rect 43894 10688 43900 10700
+rect 49632 10688 49638 10700
+rect 43894 10660 49638 10688
+rect 43894 10648 43900 10660
+rect 49632 10648 49638 10660
+rect 49690 10648 49696 10700
+rect 49816 10648 49822 10700
+rect 49874 10688 49880 10700
+rect 52024 10688 52030 10700
+rect 49874 10660 52030 10688
+rect 49874 10648 49880 10660
+rect 52024 10648 52030 10660
+rect 52082 10648 52088 10700
+rect 52134 10660 52346 10688
+rect 41830 10592 43606 10620
+rect 43762 10592 45538 10620
+rect 39700 10583 39758 10592
+rect 40164 10583 40222 10592
+rect 40440 10583 40498 10592
+rect 18410 10524 19686 10552
+rect 18410 10512 18416 10524
+rect 19732 10512 19738 10564
+rect 19790 10552 19796 10564
+rect 20008 10552 20014 10564
+rect 19790 10524 20014 10552
+rect 19790 10512 19796 10524
+rect 20008 10512 20014 10524
+rect 20066 10512 20072 10564
+rect 20103 10552 20161 10561
+rect 21480 10552 21486 10564
+rect 20103 10524 21486 10552
+rect 20103 10515 20161 10524
+rect 21480 10512 21486 10524
+rect 21538 10512 21544 10564
+rect 22587 10552 22645 10561
+rect 24332 10552 24338 10564
+rect 22587 10524 24338 10552
+rect 22587 10515 22645 10524
+rect 24332 10512 24338 10524
+rect 24390 10512 24396 10564
+rect 26819 10552 26877 10561
+rect 24442 10524 26877 10552
+rect 19827 10484 19885 10493
+rect 22311 10484 22369 10493
+rect 22676 10484 22682 10496
+rect 17174 10456 22682 10484
+rect 19827 10447 19885 10456
+rect 22311 10447 22369 10456
+rect 22676 10444 22682 10456
+rect 22734 10444 22740 10496
+rect 22860 10444 22866 10496
+rect 22918 10484 22924 10496
+rect 24442 10484 24470 10524
+rect 26819 10515 26877 10524
+rect 28380 10512 28386 10564
+rect 28438 10552 28444 10564
+rect 29303 10552 29361 10561
+rect 28438 10524 29361 10552
+rect 28438 10512 28444 10524
+rect 29303 10515 29361 10524
+rect 30772 10512 30778 10564
+rect 30830 10552 30836 10564
+rect 31051 10552 31109 10561
+rect 31324 10552 31330 10564
+rect 30830 10524 31109 10552
+rect 31285 10524 31330 10552
+rect 30830 10512 30836 10524
+rect 31051 10515 31109 10524
+rect 22918 10456 24470 10484
+rect 22918 10444 22924 10456
+rect 25160 10444 25166 10496
+rect 25218 10484 25224 10496
+rect 25439 10484 25497 10493
+rect 25712 10484 25718 10496
+rect 25218 10456 25497 10484
+rect 25673 10456 25718 10484
+rect 25218 10444 25224 10456
+rect 25439 10447 25497 10456
+rect 25712 10444 25718 10456
+rect 25770 10444 25776 10496
+rect 26448 10444 26454 10496
+rect 26506 10484 26512 10496
+rect 27920 10484 27926 10496
+rect 26506 10456 27926 10484
+rect 26506 10444 26512 10456
+rect 27920 10444 27926 10456
+rect 27978 10444 27984 10496
+rect 28199 10484 28257 10493
+rect 29944 10484 29950 10496
+rect 28199 10456 29950 10484
+rect 28199 10447 28257 10456
+rect 29944 10444 29950 10456
+rect 30002 10444 30008 10496
+rect 31066 10484 31094 10515
+rect 31324 10512 31330 10524
+rect 31382 10512 31388 10564
+rect 31784 10512 31790 10564
+rect 31842 10552 31848 10564
+rect 34360 10552 34366 10564
+rect 31842 10524 34222 10552
+rect 34321 10524 34366 10552
+rect 31842 10512 31848 10524
+rect 34084 10484 34090 10496
+rect 31066 10456 34090 10484
+rect 34084 10444 34090 10456
+rect 34142 10444 34148 10496
+rect 34194 10484 34222 10524
+rect 34360 10512 34366 10524
+rect 34418 10512 34424 10564
+rect 35467 10515 35525 10561
+rect 35482 10484 35510 10515
+rect 35740 10512 35746 10564
+rect 35798 10552 35804 10564
+rect 37307 10552 37365 10561
+rect 38132 10552 38138 10564
+rect 35798 10524 37258 10552
+rect 35798 10512 35804 10524
+rect 34194 10456 35510 10484
+rect 36384 10444 36390 10496
+rect 36442 10484 36448 10496
+rect 36752 10484 36758 10496
+rect 36442 10456 36758 10484
+rect 36442 10444 36448 10456
+rect 36752 10444 36758 10456
+rect 36810 10484 36816 10496
+rect 37031 10484 37089 10493
+rect 36810 10456 37089 10484
+rect 37230 10484 37258 10524
+rect 37307 10524 38138 10552
+rect 37307 10515 37365 10524
+rect 38132 10512 38138 10524
+rect 38190 10512 38196 10564
+rect 42091 10552 42149 10561
+rect 42456 10552 42462 10564
+rect 38242 10524 42149 10552
+rect 42417 10524 42462 10552
+rect 38242 10484 38270 10524
+rect 42091 10515 42149 10524
+rect 42456 10512 42462 10524
+rect 42514 10512 42520 10564
+rect 42920 10552 42978 10561
+rect 43476 10552 43534 10561
+rect 42566 10524 42870 10552
+rect 37230 10456 38270 10484
+rect 36810 10444 36816 10456
+rect 37031 10447 37089 10456
+rect 39512 10444 39518 10496
+rect 39570 10484 39576 10496
+rect 39607 10484 39665 10493
+rect 39570 10456 39665 10484
+rect 39570 10444 39576 10456
+rect 39607 10447 39665 10456
+rect 39883 10484 39941 10493
+rect 40524 10484 40530 10496
+rect 39883 10456 40530 10484
+rect 39883 10447 39941 10456
+rect 40524 10444 40530 10456
+rect 40582 10444 40588 10496
+rect 42566 10484 42594 10524
+rect 40634 10456 42594 10484
+rect 16328 10416 16334 10428
+rect 10274 10388 16334 10416
+rect 9428 10308 9434 10360
+rect 9486 10348 9492 10360
+rect 10274 10348 10302 10388
+rect 16328 10376 16334 10388
+rect 16386 10376 16392 10428
+rect 16700 10416 16758 10425
+rect 16980 10416 17038 10425
+rect 17348 10416 17406 10425
+rect 16700 10388 17406 10416
+rect 16700 10379 16758 10388
+rect 16980 10379 17038 10388
+rect 17348 10379 17406 10388
+rect 20012 10416 20070 10425
+rect 20292 10416 20350 10425
+rect 20660 10416 20718 10425
+rect 20012 10388 20718 10416
+rect 20012 10379 20070 10388
+rect 20292 10379 20350 10388
+rect 20660 10379 20718 10388
+rect 22496 10416 22554 10425
+rect 22776 10416 22834 10425
+rect 23144 10416 23202 10425
+rect 22496 10388 23202 10416
+rect 22496 10379 22554 10388
+rect 22776 10379 22834 10388
+rect 23144 10379 23202 10388
+rect 25068 10376 25074 10428
+rect 25126 10416 25132 10428
+rect 25528 10416 25534 10428
+rect 25126 10388 25534 10416
+rect 25126 10376 25132 10388
+rect 25528 10376 25534 10388
+rect 25586 10376 25592 10428
+rect 25624 10416 25682 10425
+rect 25904 10416 25962 10425
+rect 26272 10416 26330 10425
+rect 25624 10388 26330 10416
+rect 25624 10379 25682 10388
+rect 25904 10379 25962 10388
+rect 26272 10379 26330 10388
+rect 27368 10376 27374 10428
+rect 27426 10416 27432 10428
+rect 28012 10416 28018 10428
+rect 27426 10388 28018 10416
+rect 27426 10376 27432 10388
+rect 28012 10376 28018 10388
+rect 28070 10376 28076 10428
+rect 28108 10416 28166 10425
+rect 28388 10416 28446 10425
+rect 28756 10416 28814 10425
+rect 30956 10416 30962 10428
+rect 28108 10388 28814 10416
+rect 28108 10379 28166 10388
+rect 28388 10379 28446 10388
+rect 28756 10379 28814 10388
+rect 28858 10388 30962 10416
+rect 9486 10320 10302 10348
+rect 9486 10308 9492 10320
+rect 15500 10308 15506 10360
+rect 15558 10348 15564 10360
+rect 15595 10348 15653 10357
+rect 15558 10320 15653 10348
+rect 16346 10348 16374 10376
+rect 17895 10348 17953 10357
+rect 16346 10320 17953 10348
+rect 15558 10308 15564 10320
+rect 15595 10311 15653 10320
+rect 17895 10311 17953 10320
+rect 17984 10308 17990 10360
+rect 18042 10348 18048 10360
+rect 21207 10348 21265 10357
+rect 18042 10320 21265 10348
+rect 18042 10308 18048 10320
+rect 21207 10311 21265 10320
+rect 21664 10308 21670 10360
+rect 21722 10348 21728 10360
+rect 23691 10348 23749 10357
+rect 21722 10320 23749 10348
+rect 21722 10308 21728 10320
+rect 23691 10311 23749 10320
+rect 23872 10308 23878 10360
+rect 23930 10348 23936 10360
+rect 26632 10348 26638 10360
+rect 23930 10320 26638 10348
+rect 23930 10308 23936 10320
+rect 26632 10308 26638 10320
+rect 26690 10308 26696 10360
+rect 27736 10308 27742 10360
+rect 27794 10348 27800 10360
+rect 28858 10348 28886 10388
+rect 30956 10376 30962 10388
+rect 31014 10376 31020 10428
+rect 31236 10416 31294 10425
+rect 31516 10416 31574 10425
+rect 31884 10416 31942 10425
+rect 31236 10388 31942 10416
+rect 31236 10379 31294 10388
+rect 31516 10379 31574 10388
+rect 31884 10379 31942 10388
+rect 34272 10416 34330 10425
+rect 34552 10416 34610 10425
+rect 34920 10416 34978 10425
+rect 34272 10388 34978 10416
+rect 34272 10379 34330 10388
+rect 34552 10379 34610 10388
+rect 34920 10379 34978 10388
+rect 35648 10376 35654 10428
+rect 35706 10416 35712 10428
+rect 37216 10416 37274 10425
+rect 37496 10416 37554 10425
+rect 37864 10416 37922 10425
+rect 35706 10388 37166 10416
+rect 35706 10376 35712 10388
+rect 27794 10320 28886 10348
+rect 27794 10308 27800 10320
+rect 37138 10348 37166 10388
+rect 37216 10388 37922 10416
+rect 37216 10379 37274 10388
+rect 37496 10379 37554 10388
+rect 37864 10379 37922 10388
+rect 38132 10376 38138 10428
+rect 38190 10416 38196 10428
+rect 39792 10416 39850 10425
+rect 40072 10416 40130 10425
+rect 40440 10416 40498 10425
+rect 38190 10388 38454 10416
+rect 38190 10376 38196 10388
+rect 38316 10348 38322 10360
+rect 37138 10320 38322 10348
+rect 38316 10308 38322 10320
+rect 38374 10308 38380 10360
+rect 38426 10357 38454 10388
+rect 39792 10388 40498 10416
+rect 39792 10379 39850 10388
+rect 40072 10379 40130 10388
+rect 40440 10379 40498 10388
+rect 38411 10311 38469 10357
+rect 39420 10308 39426 10360
+rect 39478 10348 39484 10360
+rect 40634 10348 40662 10456
+rect 42640 10444 42646 10496
+rect 42698 10484 42704 10496
+rect 42842 10484 42870 10524
+rect 42920 10524 43534 10552
+rect 43578 10552 43606 10592
+rect 43578 10524 45170 10552
+rect 42920 10515 42978 10524
+rect 43476 10515 43534 10524
+rect 43103 10484 43161 10493
+rect 42698 10456 42743 10484
+rect 42842 10456 43161 10484
+rect 42698 10444 42704 10456
+rect 43103 10447 43161 10456
+rect 43195 10484 43253 10493
+rect 44020 10484 44026 10496
+rect 43195 10456 44026 10484
+rect 43195 10447 43253 10456
+rect 44020 10444 44026 10456
+rect 44078 10444 44084 10496
+rect 45142 10493 45170 10524
+rect 45219 10515 45277 10561
+rect 45510 10552 45538 10592
+rect 45768 10580 45774 10632
+rect 45826 10620 45832 10632
+rect 47608 10620 47614 10632
+rect 45826 10592 47614 10620
+rect 45826 10580 45832 10592
+rect 47608 10580 47614 10592
+rect 47666 10580 47672 10632
+rect 47792 10580 47798 10632
+rect 47850 10620 47856 10632
+rect 50552 10620 50558 10632
+rect 47850 10592 50558 10620
+rect 47850 10580 47856 10592
+rect 50552 10580 50558 10592
+rect 50610 10580 50616 10632
+rect 52134 10620 52162 10660
+rect 51582 10592 52162 10620
+rect 46967 10552 47025 10561
+rect 48620 10552 48626 10564
+rect 45510 10524 46458 10552
+rect 44667 10447 44725 10493
+rect 45127 10447 45185 10493
+rect 42091 10416 42149 10425
+rect 44682 10416 44710 10447
+rect 42091 10388 44710 10416
+rect 42091 10379 42149 10388
+rect 39478 10320 40662 10348
+rect 39478 10308 39484 10320
+rect 40800 10308 40806 10360
+rect 40858 10348 40864 10360
+rect 40987 10348 41045 10357
+rect 40858 10320 41045 10348
+rect 40858 10308 40864 10320
+rect 40987 10311 41045 10320
+rect 41076 10308 41082 10360
+rect 41134 10348 41140 10360
+rect 43655 10348 43713 10357
+rect 41134 10320 43713 10348
+rect 41134 10308 41140 10320
+rect 43655 10311 43713 10320
+rect 45032 10308 45038 10360
+rect 45090 10348 45096 10360
+rect 45234 10348 45262 10515
+rect 45308 10444 45314 10496
+rect 45366 10484 45372 10496
+rect 45768 10484 45774 10496
+rect 45366 10456 45774 10484
+rect 45366 10444 45372 10456
+rect 45768 10444 45774 10456
+rect 45826 10484 45832 10496
+rect 46430 10493 46458 10524
+rect 46967 10524 48626 10552
+rect 46967 10515 47025 10524
+rect 48620 10512 48626 10524
+rect 48678 10512 48684 10564
+rect 49452 10552 49510 10561
+rect 50008 10552 50066 10561
+rect 49452 10524 50066 10552
+rect 49452 10515 49510 10524
+rect 50008 10515 50066 10524
+rect 51288 10512 51294 10564
+rect 51346 10552 51352 10564
+rect 51346 10524 51391 10552
+rect 51346 10512 51352 10524
+rect 46231 10484 46289 10493
+rect 45826 10456 46289 10484
+rect 45826 10444 45832 10456
+rect 46231 10447 46289 10456
+rect 46415 10447 46473 10493
+rect 46507 10484 46565 10493
+rect 47056 10484 47062 10496
+rect 46507 10456 47062 10484
+rect 46507 10447 46565 10456
+rect 47056 10444 47062 10456
+rect 47114 10444 47120 10496
+rect 47608 10444 47614 10496
+rect 47666 10484 47672 10496
+rect 47887 10484 47945 10493
+rect 47666 10456 47945 10484
+rect 47666 10444 47672 10456
+rect 47887 10447 47945 10456
+rect 48991 10447 49049 10493
+rect 49175 10484 49233 10493
+rect 49727 10484 49785 10493
+rect 49816 10484 49822 10496
+rect 49175 10456 49822 10484
+rect 49175 10447 49233 10456
+rect 49727 10447 49785 10456
+rect 46044 10376 46050 10428
+rect 46102 10416 46108 10428
+rect 47148 10416 47154 10428
+rect 46102 10388 47154 10416
+rect 46102 10376 46108 10388
+rect 47148 10376 47154 10388
+rect 47206 10376 47212 10428
+rect 49006 10416 49034 10447
+rect 49816 10444 49822 10456
+rect 49874 10444 49880 10496
+rect 49908 10444 49914 10496
+rect 49966 10484 49972 10496
+rect 51383 10484 51441 10493
+rect 51582 10484 51610 10592
+rect 51660 10552 51718 10561
+rect 52216 10552 52274 10561
+rect 51660 10524 52274 10552
+rect 51660 10515 51718 10524
+rect 52216 10515 52274 10524
+rect 49966 10456 50011 10484
+rect 51383 10456 51610 10484
+rect 49966 10444 49972 10456
+rect 51383 10447 51441 10456
+rect 51748 10444 51754 10496
+rect 51806 10484 51812 10496
+rect 51843 10484 51901 10493
+rect 51806 10456 51901 10484
+rect 51806 10444 51812 10456
+rect 51843 10447 51901 10456
+rect 51935 10484 51993 10493
+rect 52318 10484 52346 10660
+rect 52392 10648 52398 10700
+rect 52450 10688 52456 10700
+rect 55888 10688 55894 10700
+rect 52450 10660 55894 10688
+rect 52450 10648 52456 10660
+rect 55888 10648 55894 10660
+rect 55946 10648 55952 10700
+rect 55980 10648 55986 10700
+rect 56038 10688 56044 10700
+rect 58096 10688 58102 10700
+rect 56038 10660 58102 10688
+rect 56038 10648 56044 10660
+rect 58096 10648 58102 10660
+rect 58154 10648 58160 10700
+rect 53680 10580 53686 10632
+rect 53738 10620 53744 10632
+rect 60580 10620 60586 10632
+rect 53738 10592 60586 10620
+rect 53738 10580 53744 10592
+rect 60580 10580 60586 10592
+rect 60638 10580 60644 10632
+rect 65548 10620 65554 10632
+rect 61150 10592 65554 10620
+rect 52392 10512 52398 10564
+rect 52450 10552 52456 10564
+rect 54600 10552 54606 10564
+rect 52450 10524 52495 10552
+rect 53422 10524 54606 10552
+rect 52450 10512 52456 10524
+rect 53422 10484 53450 10524
+rect 54600 10512 54606 10524
+rect 54658 10512 54664 10564
+rect 54696 10552 54754 10561
+rect 55252 10552 55310 10561
+rect 55428 10552 55434 10564
+rect 54696 10524 55310 10552
+rect 55389 10524 55434 10552
+rect 54696 10515 54754 10524
+rect 55252 10515 55310 10524
+rect 55428 10512 55434 10524
+rect 55486 10512 55492 10564
+rect 55520 10512 55526 10564
+rect 55578 10552 55584 10564
+rect 56808 10552 56814 10564
+rect 55578 10524 56814 10552
+rect 55578 10512 55584 10524
+rect 56808 10512 56814 10524
+rect 56866 10512 56872 10564
+rect 56904 10552 56962 10561
+rect 57460 10552 57518 10561
+rect 57636 10552 57642 10564
+rect 56904 10524 57518 10552
+rect 57597 10524 57642 10552
+rect 56904 10515 56962 10524
+rect 57460 10515 57518 10524
+rect 57636 10512 57642 10524
+rect 57694 10512 57700 10564
+rect 61150 10552 61178 10592
+rect 65548 10580 65554 10592
+rect 65606 10580 65612 10632
+rect 65732 10648 65738 10700
+rect 65790 10688 65796 10700
+rect 70056 10688 70062 10700
+rect 65790 10660 70062 10688
+rect 65790 10648 65796 10660
+rect 70056 10648 70062 10660
+rect 70114 10648 70120 10700
+rect 70442 10660 74334 10688
+rect 68584 10620 68590 10632
+rect 68418 10592 68590 10620
+rect 61688 10552 61746 10561
+rect 62244 10552 62302 10561
+rect 59310 10524 61178 10552
+rect 61426 10524 61638 10552
+rect 51935 10456 53450 10484
+rect 51935 10447 51993 10456
+rect 54048 10444 54054 10496
+rect 54106 10484 54112 10496
+rect 54419 10484 54477 10493
+rect 54106 10456 54477 10484
+rect 54106 10444 54112 10456
+rect 54419 10447 54477 10456
+rect 54508 10444 54514 10496
+rect 54566 10484 54572 10496
+rect 54876 10484 54882 10496
+rect 54566 10456 54611 10484
+rect 54837 10456 54882 10484
+rect 54566 10444 54572 10456
+rect 54876 10444 54882 10456
+rect 54934 10444 54940 10496
+rect 54971 10484 55029 10493
+rect 55060 10484 55066 10496
+rect 54971 10456 55066 10484
+rect 54971 10447 55029 10456
+rect 55060 10444 55066 10456
+rect 55118 10484 55124 10496
+rect 56532 10484 56538 10496
+rect 55118 10456 56538 10484
+rect 55118 10444 55124 10456
+rect 56532 10444 56538 10456
+rect 56590 10444 56596 10496
+rect 56627 10447 56685 10493
+rect 56348 10416 56354 10428
+rect 49006 10388 51610 10416
+rect 45090 10320 45262 10348
+rect 45090 10308 45096 10320
+rect 45400 10308 45406 10360
+rect 45458 10348 45464 10360
+rect 48071 10348 48129 10357
+rect 45458 10320 48129 10348
+rect 45458 10308 45464 10320
+rect 48071 10311 48129 10320
+rect 48344 10308 48350 10360
+rect 48402 10348 48408 10360
+rect 48988 10348 48994 10360
+rect 48402 10320 48994 10348
+rect 48402 10308 48408 10320
+rect 48988 10308 48994 10320
+rect 49046 10308 49052 10360
+rect 50187 10348 50245 10357
+rect 50276 10348 50282 10360
+rect 50187 10320 50282 10348
+rect 50187 10311 50245 10320
+rect 50276 10308 50282 10320
+rect 50334 10308 50340 10360
+rect 50368 10308 50374 10360
+rect 50426 10348 50432 10360
+rect 50736 10348 50742 10360
+rect 50426 10320 50742 10348
+rect 50426 10308 50432 10320
+rect 50736 10308 50742 10320
+rect 50794 10348 50800 10360
+rect 51288 10348 51294 10360
+rect 50794 10320 51294 10348
+rect 50794 10308 50800 10320
+rect 51288 10308 51294 10320
+rect 51346 10308 51352 10360
+rect 51582 10348 51610 10388
+rect 52502 10388 56354 10416
+rect 52502 10348 52530 10388
+rect 56348 10376 56354 10388
+rect 56406 10376 56412 10428
+rect 56642 10416 56670 10447
+rect 56716 10444 56722 10496
+rect 56774 10484 56780 10496
+rect 57084 10484 57090 10496
+rect 56774 10456 56819 10484
+rect 57045 10456 57090 10484
+rect 56774 10444 56780 10456
+rect 57084 10444 57090 10456
+rect 57142 10444 57148 10496
+rect 57179 10484 57237 10493
+rect 59108 10484 59114 10496
+rect 57179 10456 59114 10484
+rect 57179 10447 57237 10456
+rect 57194 10416 57222 10447
+rect 59108 10444 59114 10456
+rect 59166 10444 59172 10496
+rect 56642 10388 57222 10416
+rect 57268 10376 57274 10428
+rect 57326 10416 57332 10428
+rect 59310 10416 59338 10524
+rect 59387 10447 59445 10493
+rect 59660 10484 59666 10496
+rect 59621 10456 59666 10484
+rect 57326 10388 59338 10416
+rect 57326 10376 57332 10388
+rect 51582 10320 52530 10348
+rect 54968 10308 54974 10360
+rect 55026 10348 55032 10360
+rect 56072 10348 56078 10360
+rect 55026 10320 56078 10348
+rect 55026 10308 55032 10320
+rect 56072 10308 56078 10320
+rect 56130 10308 56136 10360
+rect 57544 10308 57550 10360
+rect 57602 10348 57608 10360
+rect 59203 10348 59261 10357
+rect 57602 10320 59261 10348
+rect 59402 10348 59430 10447
+rect 59660 10444 59666 10456
+rect 59718 10444 59724 10496
+rect 61426 10493 61454 10524
+rect 61411 10447 61469 10493
+rect 61503 10447 61561 10493
+rect 61610 10484 61638 10524
+rect 61688 10524 62302 10552
+rect 61688 10515 61746 10524
+rect 62244 10515 62302 10524
+rect 63064 10512 63070 10564
+rect 63122 10552 63128 10564
+rect 64168 10552 64174 10564
+rect 63122 10524 64174 10552
+rect 63122 10512 63128 10524
+rect 64168 10512 64174 10524
+rect 64226 10512 64232 10564
+rect 64278 10524 64858 10552
+rect 61776 10484 61782 10496
+rect 61610 10456 61782 10484
+rect 61518 10416 61546 10447
+rect 61776 10444 61782 10456
+rect 61834 10444 61840 10496
+rect 61960 10444 61966 10496
+rect 62018 10484 62024 10496
+rect 62147 10484 62205 10493
+rect 62328 10484 62334 10496
+rect 62018 10456 62063 10484
+rect 62147 10456 62334 10484
+rect 62018 10444 62024 10456
+rect 62147 10447 62205 10456
+rect 62328 10444 62334 10456
+rect 62386 10444 62392 10496
+rect 63432 10484 63438 10496
+rect 63393 10456 63438 10484
+rect 63432 10444 63438 10456
+rect 63490 10444 63496 10496
+rect 63616 10444 63622 10496
+rect 63674 10484 63680 10496
+rect 64278 10484 64306 10524
+rect 64720 10484 64726 10496
+rect 63674 10456 64306 10484
+rect 64681 10456 64726 10484
+rect 63674 10444 63680 10456
+rect 64720 10444 64726 10456
+rect 64778 10444 64784 10496
+rect 64830 10484 64858 10524
+rect 65364 10512 65370 10564
+rect 65422 10552 65428 10564
+rect 65916 10552 65922 10564
+rect 65422 10524 65922 10552
+rect 65422 10512 65428 10524
+rect 65916 10512 65922 10524
+rect 65974 10512 65980 10564
+rect 66288 10552 66346 10561
+rect 66844 10552 66902 10561
+rect 67020 10552 67026 10564
+rect 66288 10524 66902 10552
+rect 66981 10524 67026 10552
+rect 66288 10515 66346 10524
+rect 66844 10515 66902 10524
+rect 67020 10512 67026 10524
+rect 67078 10512 67084 10564
+rect 68127 10552 68185 10561
+rect 68418 10552 68446 10592
+rect 68584 10580 68590 10592
+rect 68642 10580 68648 10632
+rect 68127 10524 68446 10552
+rect 68496 10552 68554 10561
+rect 69052 10552 69110 10561
+rect 69228 10552 69234 10564
+rect 68496 10524 69110 10552
+rect 69189 10524 69234 10552
+rect 68127 10515 68185 10524
+rect 68496 10515 68554 10524
+rect 69052 10515 69110 10524
+rect 69228 10512 69234 10524
+rect 69286 10512 69292 10564
+rect 70442 10561 70470 10660
+rect 70516 10580 70522 10632
+rect 70574 10620 70580 10632
+rect 74306 10620 74334 10660
+rect 74380 10648 74386 10700
+rect 74438 10688 74444 10700
+rect 76404 10688 76410 10700
+rect 74438 10660 76410 10688
+rect 74438 10648 74444 10660
+rect 76404 10648 76410 10660
+rect 76462 10648 76468 10700
+rect 70574 10592 73138 10620
+rect 74306 10592 74702 10620
+rect 70574 10580 70580 10592
+rect 70427 10515 70485 10561
+rect 70796 10552 70854 10561
+rect 71352 10552 71410 10561
+rect 71528 10552 71534 10564
+rect 70796 10524 71410 10552
+rect 71489 10524 71534 10552
+rect 70796 10515 70854 10524
+rect 71352 10515 71410 10524
+rect 71528 10512 71534 10524
+rect 71586 10512 71592 10564
+rect 71712 10512 71718 10564
+rect 71770 10552 71776 10564
+rect 73110 10561 73138 10592
+rect 71770 10524 73046 10552
+rect 71770 10512 71776 10524
+rect 65548 10484 65554 10496
+rect 64830 10456 65554 10484
+rect 65548 10444 65554 10456
+rect 65606 10444 65612 10496
+rect 65824 10484 65830 10496
+rect 65785 10456 65830 10484
+rect 65824 10444 65830 10456
+rect 65882 10444 65888 10496
+rect 66008 10484 66014 10496
+rect 65969 10456 66014 10484
+rect 66008 10444 66014 10456
+rect 66066 10444 66072 10496
+rect 66468 10484 66474 10496
+rect 66429 10456 66474 10484
+rect 66468 10444 66474 10456
+rect 66526 10444 66532 10496
+rect 66560 10444 66566 10496
+rect 66618 10484 66624 10496
+rect 68204 10484 68262 10493
+rect 68676 10484 68682 10496
+rect 66618 10456 66663 10484
+rect 68204 10456 68538 10484
+rect 68637 10456 68682 10484
+rect 66618 10444 66624 10456
+rect 68204 10447 68262 10456
+rect 66836 10416 66842 10428
+rect 61518 10388 66842 10416
+rect 66836 10376 66842 10388
+rect 66894 10376 66900 10428
+rect 68510 10416 68538 10456
+rect 68676 10444 68682 10456
+rect 68734 10444 68740 10496
+rect 68771 10484 68829 10493
+rect 70332 10484 70338 10496
+rect 68771 10456 70338 10484
+rect 68771 10447 68829 10456
+rect 68786 10416 68814 10447
+rect 70332 10444 70338 10456
+rect 70390 10444 70396 10496
+rect 70519 10447 70577 10493
+rect 68510 10388 68814 10416
+rect 70534 10416 70562 10447
+rect 70700 10444 70706 10496
+rect 70758 10484 70764 10496
+rect 70979 10484 71037 10493
+rect 70758 10456 71037 10484
+rect 70758 10444 70764 10456
+rect 70979 10447 71037 10456
+rect 71071 10484 71129 10493
+rect 71620 10484 71626 10496
+rect 71071 10456 71626 10484
+rect 71071 10447 71129 10456
+rect 71086 10416 71114 10447
+rect 71620 10444 71626 10456
+rect 71678 10444 71684 10496
+rect 73018 10493 73046 10524
+rect 73095 10515 73153 10561
+rect 72819 10447 72877 10493
+rect 73003 10484 73061 10493
+rect 73736 10484 73742 10496
+rect 73003 10456 73742 10484
+rect 73003 10447 73061 10456
+rect 70534 10388 71114 10416
+rect 71896 10376 71902 10428
+rect 71954 10416 71960 10428
+rect 72834 10416 72862 10447
+rect 73736 10444 73742 10456
+rect 73794 10444 73800 10496
+rect 74383 10447 74441 10493
+rect 74564 10484 74570 10496
+rect 74525 10456 74570 10484
+rect 74398 10416 74426 10447
+rect 74564 10444 74570 10456
+rect 74622 10444 74628 10496
+rect 74674 10484 74702 10592
+rect 75484 10580 75490 10632
+rect 75542 10620 75548 10632
+rect 77143 10620 77201 10629
+rect 75542 10592 77201 10620
+rect 75542 10580 75548 10592
+rect 77143 10583 77201 10592
+rect 75024 10512 75030 10564
+rect 75082 10552 75088 10564
+rect 76131 10552 76189 10561
+rect 75082 10524 76189 10552
+rect 75082 10512 75088 10524
+rect 76131 10515 76189 10524
+rect 77508 10484 77514 10496
+rect 74674 10456 77514 10484
+rect 77508 10444 77514 10456
+rect 77566 10444 77572 10496
+rect 77692 10444 77698 10496
+rect 77750 10484 77756 10496
+rect 78155 10484 78213 10493
+rect 77750 10456 78213 10484
+rect 77750 10444 77756 10456
+rect 78155 10447 78213 10456
+rect 124983 10484 125041 10493
+rect 126176 10484 126182 10496
+rect 124983 10456 126182 10484
+rect 124983 10447 125041 10456
+rect 126176 10444 126182 10456
+rect 126234 10444 126240 10496
+rect 78704 10416 78710 10428
+rect 71954 10388 72770 10416
+rect 72834 10388 74334 10416
+rect 74398 10388 78710 10416
+rect 71954 10376 71960 10388
+rect 61776 10348 61782 10360
+rect 59402 10320 61782 10348
+rect 57602 10308 57608 10320
+rect 59203 10311 59261 10320
+rect 61776 10308 61782 10320
+rect 61834 10308 61840 10360
+rect 62420 10348 62426 10360
+rect 62381 10320 62426 10348
+rect 62420 10308 62426 10320
+rect 62478 10308 62484 10360
+rect 63616 10348 63622 10360
+rect 63577 10320 63622 10348
+rect 63616 10308 63622 10320
+rect 63674 10308 63680 10360
+rect 63708 10308 63714 10360
+rect 63766 10348 63772 10360
+rect 64907 10348 64965 10357
+rect 63766 10320 64965 10348
+rect 63766 10308 63772 10320
+rect 64907 10311 64965 10320
+rect 65456 10308 65462 10360
+rect 65514 10348 65520 10360
+rect 65640 10348 65646 10360
+rect 65514 10320 65646 10348
+rect 65514 10308 65520 10320
+rect 65640 10308 65646 10320
+rect 65698 10308 65704 10360
+rect 66008 10308 66014 10360
+rect 66066 10348 66072 10360
+rect 66560 10348 66566 10360
+rect 66066 10320 66566 10348
+rect 66066 10308 66072 10320
+rect 66560 10308 66566 10320
+rect 66618 10308 66624 10360
+rect 67204 10308 67210 10360
+rect 67262 10348 67268 10360
+rect 70976 10348 70982 10360
+rect 67262 10320 70982 10348
+rect 67262 10308 67268 10320
+rect 70976 10308 70982 10320
+rect 71034 10308 71040 10360
+rect 72742 10348 72770 10388
+rect 74199 10348 74257 10357
+rect 72742 10320 74257 10348
+rect 74306 10348 74334 10388
+rect 78704 10376 78710 10388
+rect 78762 10376 78768 10428
+rect 77140 10348 77146 10360
+rect 74306 10320 77146 10348
+rect 74199 10311 74257 10320
+rect 77140 10308 77146 10320
+rect 77198 10308 77204 10360
+rect 8494 10258 126254 10280
+rect 8494 10206 26996 10258
+rect 27048 10206 27060 10258
+rect 27112 10206 27124 10258
+rect 27176 10206 27188 10258
+rect 27240 10206 57716 10258
+rect 57768 10206 57780 10258
+rect 57832 10206 57844 10258
+rect 57896 10206 57908 10258
+rect 57960 10206 88436 10258
+rect 88488 10206 88500 10258
+rect 88552 10206 88564 10258
+rect 88616 10206 88628 10258
+rect 88680 10206 119156 10258
+rect 119208 10206 119220 10258
+rect 119272 10206 119284 10258
+rect 119336 10206 119348 10258
+rect 119400 10206 126254 10258
+rect 8494 10184 126254 10206
+rect 11360 10104 11366 10156
+rect 11418 10144 11424 10156
+rect 14488 10144 14494 10156
+rect 11418 10116 14494 10144
+rect 11418 10104 11424 10116
+rect 14488 10104 14494 10116
+rect 14546 10104 14552 10156
+rect 14675 10144 14733 10153
+rect 19732 10144 19738 10156
+rect 14675 10116 19738 10144
+rect 14675 10107 14733 10116
+rect 19732 10104 19738 10116
+rect 19790 10104 19796 10156
+rect 20100 10104 20106 10156
+rect 20158 10144 20164 10156
+rect 20158 10116 22630 10144
+rect 20158 10104 20164 10116
+rect 12004 10036 12010 10088
+rect 12062 10076 12068 10088
+rect 17340 10076 17346 10088
+rect 12062 10048 17346 10076
+rect 12062 10036 12068 10048
+rect 17340 10036 17346 10048
+rect 17398 10036 17404 10088
+rect 18448 10076 18506 10085
+rect 18728 10076 18786 10085
+rect 19096 10076 19154 10085
+rect 21204 10076 21210 10088
+rect 18448 10048 19154 10076
+rect 18448 10039 18506 10048
+rect 18728 10039 18786 10048
+rect 19096 10039 19154 10048
+rect 19198 10048 21210 10076
+rect 14583 9971 14641 10017
+rect 12372 9900 12378 9952
+rect 12430 9940 12436 9952
+rect 14598 9940 14626 9971
+rect 15592 9968 15598 10020
+rect 15650 10008 15656 10020
+rect 15650 9980 15695 10008
+rect 15650 9968 15656 9980
+rect 15776 9968 15782 10020
+rect 15834 10008 15840 10020
+rect 16144 10008 16150 10020
+rect 15834 9980 15879 10008
+rect 16105 9980 16150 10008
+rect 15834 9968 15840 9980
+rect 16144 9968 16150 9980
+rect 16202 9968 16208 10020
+rect 17159 10008 17217 10017
+rect 18352 10008 18358 10020
+rect 17159 9980 18358 10008
+rect 17159 9971 17217 9980
+rect 18352 9968 18358 9980
+rect 18410 9968 18416 10020
+rect 18539 10008 18597 10017
+rect 19198 10008 19226 10048
+rect 21204 10036 21210 10048
+rect 21262 10036 21268 10088
+rect 18539 9980 19226 10008
+rect 18539 9971 18597 9980
+rect 19916 9968 19922 10020
+rect 19974 10008 19980 10020
+rect 21023 10008 21081 10017
+rect 19974 9980 21081 10008
+rect 19974 9968 19980 9980
+rect 21023 9971 21081 9980
+rect 21575 9971 21633 10017
+rect 21759 10008 21817 10017
+rect 22400 10008 22406 10020
+rect 21759 9980 22406 10008
+rect 21759 9971 21817 9980
+rect 17984 9940 17990 9952
+rect 12430 9912 17990 9940
+rect 12430 9900 12436 9912
+rect 17984 9900 17990 9912
+rect 18042 9900 18048 9952
+rect 18260 9940 18266 9952
+rect 18221 9912 18266 9940
+rect 18260 9900 18266 9912
+rect 18318 9900 18324 9952
+rect 21204 9900 21210 9952
+rect 21262 9940 21268 9952
+rect 21590 9940 21618 9971
+rect 22400 9968 22406 9980
+rect 22458 9968 22464 10020
+rect 22602 10008 22630 10116
+rect 26632 10104 26638 10156
+rect 26690 10144 26696 10156
+rect 26727 10144 26785 10153
+rect 26690 10116 26785 10144
+rect 26690 10104 26696 10116
+rect 26727 10107 26785 10116
+rect 31416 10104 31422 10156
+rect 31474 10144 31480 10156
+rect 32520 10144 32526 10156
+rect 31474 10116 32526 10144
+rect 31474 10104 31480 10116
+rect 32520 10104 32526 10116
+rect 32578 10104 32584 10156
+rect 38960 10144 38966 10156
+rect 32630 10116 38966 10144
+rect 22864 10076 22922 10085
+rect 23144 10076 23202 10085
+rect 23512 10076 23570 10085
+rect 22864 10048 23570 10076
+rect 22864 10039 22922 10048
+rect 23144 10039 23202 10048
+rect 23512 10039 23570 10048
+rect 25348 10076 25406 10085
+rect 25628 10076 25686 10085
+rect 25996 10076 26054 10085
+rect 25348 10048 26054 10076
+rect 25348 10039 25406 10048
+rect 25628 10039 25686 10048
+rect 25996 10039 26054 10048
+rect 26172 10036 26178 10088
+rect 26230 10076 26236 10088
+rect 28380 10076 28386 10088
+rect 26230 10048 28386 10076
+rect 26230 10036 26236 10048
+rect 28380 10036 28386 10048
+rect 28438 10036 28444 10088
+rect 28476 10076 28534 10085
+rect 28756 10076 28814 10085
+rect 29124 10076 29182 10085
+rect 29760 10076 29766 10088
+rect 28476 10048 29182 10076
+rect 28476 10039 28534 10048
+rect 28756 10039 28814 10048
+rect 29124 10039 29182 10048
+rect 29226 10048 29766 10076
+rect 22679 10008 22737 10017
+rect 22602 9980 22737 10008
+rect 22679 9971 22737 9980
+rect 22955 10008 23013 10017
+rect 24056 10008 24062 10020
+rect 22955 9980 24062 10008
+rect 22955 9971 23013 9980
+rect 22492 9940 22498 9952
+rect 21262 9912 22498 9940
+rect 21262 9900 21268 9912
+rect 22492 9900 22498 9912
+rect 22550 9900 22556 9952
+rect 22694 9940 22722 9971
+rect 24056 9968 24062 9980
+rect 24114 9968 24120 10020
+rect 25252 9968 25258 10020
+rect 25310 9968 25316 10020
+rect 25439 10008 25497 10017
+rect 26724 10008 26730 10020
+rect 25439 9980 26730 10008
+rect 25439 9971 25497 9980
+rect 26724 9968 26730 9980
+rect 26782 9968 26788 10020
+rect 27920 9968 27926 10020
+rect 27978 10008 27984 10020
+rect 28291 10008 28349 10017
+rect 27978 9980 28349 10008
+rect 27978 9968 27984 9980
+rect 28291 9971 28349 9980
+rect 28567 10008 28625 10017
+rect 29226 10008 29254 10048
+rect 29760 10036 29766 10048
+rect 29818 10036 29824 10088
+rect 30960 10076 31018 10085
+rect 31240 10076 31298 10085
+rect 31608 10076 31666 10085
+rect 30960 10048 31666 10076
+rect 30960 10039 31018 10048
+rect 31240 10039 31298 10048
+rect 31608 10039 31666 10048
+rect 32428 10036 32434 10088
+rect 32486 10076 32492 10088
+rect 32630 10076 32658 10116
+rect 38960 10104 38966 10116
+rect 39018 10104 39024 10156
+rect 39070 10116 40570 10144
+rect 32486 10048 32658 10076
+rect 34088 10076 34146 10085
+rect 34368 10076 34426 10085
+rect 34736 10076 34794 10085
+rect 34088 10048 34794 10076
+rect 32486 10036 32492 10048
+rect 34088 10039 34146 10048
+rect 34368 10039 34426 10048
+rect 34736 10039 34794 10048
+rect 36572 10076 36630 10085
+rect 36852 10076 36910 10085
+rect 37220 10076 37278 10085
+rect 36572 10048 37278 10076
+rect 36572 10039 36630 10048
+rect 36852 10039 36910 10048
+rect 37220 10039 37278 10048
+rect 38316 10036 38322 10088
+rect 38374 10076 38380 10088
+rect 39070 10076 39098 10116
+rect 38374 10048 39098 10076
+rect 39700 10076 39758 10085
+rect 39980 10076 40038 10085
+rect 40348 10076 40406 10085
+rect 39700 10048 40406 10076
+rect 40542 10076 40570 10116
+rect 42732 10104 42738 10156
+rect 42790 10144 42796 10156
+rect 49908 10144 49914 10156
+rect 42790 10116 49914 10144
+rect 42790 10104 42796 10116
+rect 49908 10104 49914 10116
+rect 49966 10104 49972 10156
+rect 50000 10104 50006 10156
+rect 50058 10144 50064 10156
+rect 51380 10144 51386 10156
+rect 50058 10116 51386 10144
+rect 50058 10104 50064 10116
+rect 51380 10104 51386 10116
+rect 51438 10104 51444 10156
+rect 51932 10144 51938 10156
+rect 51893 10116 51938 10144
+rect 51932 10104 51938 10116
+rect 51990 10104 51996 10156
+rect 54508 10104 54514 10156
+rect 54566 10144 54572 10156
+rect 56532 10144 56538 10156
+rect 54566 10116 56538 10144
+rect 54566 10104 54572 10116
+rect 56532 10104 56538 10116
+rect 56590 10104 56596 10156
+rect 58372 10144 58378 10156
+rect 58298 10116 58378 10144
+rect 46136 10076 46142 10088
+rect 40542 10048 45078 10076
+rect 46097 10048 46142 10076
+rect 38374 10036 38380 10048
+rect 39700 10039 39758 10048
+rect 39980 10039 40038 10048
+rect 40348 10039 40406 10048
+rect 28567 9980 29254 10008
+rect 28567 9971 28625 9980
+rect 29576 9968 29582 10020
+rect 29634 10008 29640 10020
+rect 31048 10008 31054 10020
+rect 29634 9980 30910 10008
+rect 31009 9980 31054 10008
+rect 29634 9968 29640 9980
+rect 25160 9940 25166 9952
+rect 22694 9912 25166 9940
+rect 25160 9900 25166 9912
+rect 25218 9900 25224 9952
+rect 25270 9940 25298 9968
+rect 26080 9940 26086 9952
+rect 25270 9912 26086 9940
+rect 26080 9900 26086 9912
+rect 26138 9940 26144 9952
+rect 26908 9940 26914 9952
+rect 26138 9912 26914 9940
+rect 26138 9900 26144 9912
+rect 26908 9900 26914 9912
+rect 26966 9900 26972 9952
+rect 30772 9940 30778 9952
+rect 30733 9912 30778 9940
+rect 30772 9900 30778 9912
+rect 30830 9900 30836 9952
+rect 30882 9940 30910 9980
+rect 31048 9968 31054 9980
+rect 31106 9968 31112 10020
+rect 32612 9968 32618 10020
+rect 32670 10008 32676 10020
+rect 33903 10008 33961 10017
+rect 34176 10008 34182 10020
+rect 32670 9980 33961 10008
+rect 34137 9980 34182 10008
+rect 32670 9968 32676 9980
+rect 33903 9971 33961 9980
+rect 33918 9940 33946 9971
+rect 34176 9968 34182 9980
+rect 34234 9968 34240 10020
+rect 36384 10008 36390 10020
+rect 34286 9980 36390 10008
+rect 34286 9940 34314 9980
+rect 36384 9968 36390 9980
+rect 36442 10008 36448 10020
+rect 36663 10008 36721 10017
+rect 38408 10008 38414 10020
+rect 36442 9980 36487 10008
+rect 36663 9980 38414 10008
+rect 36442 9968 36448 9980
+rect 36663 9971 36721 9980
+rect 38408 9968 38414 9980
+rect 38466 9968 38472 10020
+rect 38868 9968 38874 10020
+rect 38926 10008 38932 10020
+rect 39055 10008 39113 10017
+rect 38926 9980 39113 10008
+rect 38926 9968 38932 9980
+rect 39055 9971 39113 9980
+rect 39791 10008 39849 10017
+rect 41076 10008 41082 10020
+rect 39791 9980 41082 10008
+rect 39791 9971 39849 9980
+rect 41076 9968 41082 9980
+rect 41134 9968 41140 10020
+rect 42183 10008 42241 10017
+rect 42640 10008 42646 10020
+rect 42183 9980 42646 10008
+rect 42183 9971 42241 9980
+rect 42640 9968 42646 9980
+rect 42698 10008 42704 10020
+rect 42735 10008 42793 10017
+rect 42916 10008 42922 10020
+rect 42698 9980 42793 10008
+rect 42877 9980 42922 10008
+rect 42698 9968 42704 9980
+rect 42735 9971 42793 9980
+rect 42916 9968 42922 9980
+rect 42974 9968 42980 10020
+rect 43928 9968 43934 10020
+rect 43986 10008 43992 10020
+rect 44940 10008 44946 10020
+rect 43986 9980 44946 10008
+rect 43986 9968 43992 9980
+rect 44940 9968 44946 9980
+rect 44998 9968 45004 10020
+rect 30882 9912 32290 9940
+rect 33918 9912 34314 9940
+rect 13292 9832 13298 9884
+rect 13350 9872 13356 9884
+rect 18168 9872 18174 9884
+rect 13350 9844 18174 9872
+rect 13350 9832 13356 9844
+rect 18168 9832 18174 9844
+rect 18226 9832 18232 9884
+rect 18356 9872 18414 9881
+rect 18820 9872 18878 9881
+rect 19096 9872 19154 9881
+rect 18356 9844 19154 9872
+rect 18356 9835 18414 9844
+rect 18820 9835 18878 9844
+rect 19096 9835 19154 9844
+rect 22772 9872 22830 9881
+rect 23236 9872 23294 9881
+rect 23512 9872 23570 9881
+rect 22772 9844 23570 9872
+rect 22772 9835 22830 9844
+rect 23236 9835 23294 9844
+rect 23512 9835 23570 9844
+rect 25256 9872 25314 9881
+rect 25720 9872 25778 9881
+rect 25996 9872 26054 9881
+rect 25256 9844 26054 9872
+rect 25256 9835 25314 9844
+rect 25720 9835 25778 9844
+rect 25996 9835 26054 9844
+rect 28384 9872 28442 9881
+rect 28848 9872 28906 9881
+rect 29124 9872 29182 9881
+rect 28384 9844 29182 9872
+rect 28384 9835 28442 9844
+rect 28848 9835 28906 9844
+rect 29124 9835 29182 9844
+rect 30868 9872 30926 9881
+rect 31332 9872 31390 9881
+rect 31608 9872 31666 9881
+rect 30868 9844 31666 9872
+rect 30868 9835 30926 9844
+rect 31332 9835 31390 9844
+rect 31608 9835 31666 9844
+rect 14028 9764 14034 9816
+rect 14086 9804 14092 9816
+rect 17248 9804 17254 9816
+rect 14086 9776 17254 9804
+rect 14086 9764 14092 9776
+rect 17248 9764 17254 9776
+rect 17306 9764 17312 9816
+rect 17343 9804 17401 9813
+rect 17432 9804 17438 9816
+rect 17343 9776 17438 9804
+rect 17343 9767 17401 9776
+rect 17432 9764 17438 9776
+rect 17490 9764 17496 9816
+rect 19364 9764 19370 9816
+rect 19422 9804 19428 9816
+rect 19827 9804 19885 9813
+rect 19422 9776 19885 9804
+rect 19422 9764 19428 9776
+rect 19827 9767 19885 9776
+rect 21756 9764 21762 9816
+rect 21814 9804 21820 9816
+rect 24059 9804 24117 9813
+rect 21814 9776 24117 9804
+rect 21814 9764 21820 9776
+rect 24059 9767 24117 9776
+rect 30128 9764 30134 9816
+rect 30186 9804 30192 9816
+rect 32155 9804 32213 9813
+rect 30186 9776 32213 9804
+rect 32262 9804 32290 9912
+rect 35188 9900 35194 9952
+rect 35246 9940 35252 9952
+rect 38224 9940 38230 9952
+rect 35246 9912 38230 9940
+rect 35246 9900 35252 9912
+rect 38224 9900 38230 9912
+rect 38282 9900 38288 9952
+rect 39512 9940 39518 9952
+rect 39346 9912 39518 9940
+rect 33996 9872 34054 9881
+rect 34460 9872 34518 9881
+rect 34736 9872 34794 9881
+rect 33996 9844 34794 9872
+rect 33996 9835 34054 9844
+rect 34460 9835 34518 9844
+rect 34736 9835 34794 9844
+rect 36480 9872 36538 9881
+rect 36944 9872 37002 9881
+rect 37220 9872 37278 9881
+rect 38684 9872 38690 9884
+rect 36480 9844 37278 9872
+rect 36480 9835 36538 9844
+rect 36944 9835 37002 9844
+rect 37220 9835 37278 9844
+rect 37414 9844 38690 9872
+rect 35283 9804 35341 9813
+rect 32262 9776 35341 9804
+rect 30186 9764 30192 9776
+rect 32155 9767 32213 9776
+rect 35283 9767 35341 9776
+rect 37028 9764 37034 9816
+rect 37086 9804 37092 9816
+rect 37414 9804 37442 9844
+rect 38684 9832 38690 9844
+rect 38742 9872 38748 9884
+rect 38871 9872 38929 9881
+rect 38742 9844 38929 9872
+rect 38742 9832 38748 9844
+rect 38871 9835 38929 9844
+rect 37764 9804 37770 9816
+rect 37086 9776 37442 9804
+rect 37725 9776 37770 9804
+rect 37086 9764 37092 9776
+rect 37764 9764 37770 9776
+rect 37822 9764 37828 9816
+rect 38886 9804 38914 9835
+rect 39346 9804 39374 9912
+rect 39512 9900 39518 9912
+rect 39570 9900 39576 9952
+rect 42088 9940 42094 9952
+rect 42049 9912 42094 9940
+rect 42088 9900 42094 9912
+rect 42146 9900 42152 9952
+rect 42460 9940 42518 9949
+rect 43016 9940 43074 9949
+rect 42460 9912 43074 9940
+rect 42460 9903 42518 9912
+rect 43016 9903 43074 9912
+rect 39608 9872 39666 9881
+rect 40072 9872 40130 9881
+rect 40348 9872 40406 9881
+rect 39608 9844 40406 9872
+rect 39608 9835 39666 9844
+rect 40072 9835 40130 9844
+rect 40348 9835 40406 9844
+rect 40524 9832 40530 9884
+rect 40582 9872 40588 9884
+rect 44664 9872 44670 9884
+rect 40582 9844 44670 9872
+rect 40582 9832 40588 9844
+rect 44664 9832 44670 9844
+rect 44722 9832 44728 9884
+rect 45050 9872 45078 10048
+rect 46136 10036 46142 10048
+rect 46194 10036 46200 10088
+rect 47792 10076 47798 10088
+rect 46246 10048 47798 10076
+rect 45768 9968 45774 10020
+rect 45826 10008 45832 10020
+rect 46246 10017 46274 10048
+rect 47792 10036 47798 10048
+rect 47850 10036 47856 10088
+rect 50938 10048 51518 10076
+rect 46047 10008 46105 10017
+rect 45826 9980 46105 10008
+rect 45826 9968 45832 9980
+rect 46047 9971 46105 9980
+rect 46231 9971 46289 10017
+rect 47703 10008 47761 10017
+rect 48252 10008 48258 10020
+rect 47703 9980 48258 10008
+rect 47703 9971 47761 9980
+rect 48252 9968 48258 9980
+rect 48310 9968 48316 10020
+rect 48436 10008 48442 10020
+rect 48397 9980 48442 10008
+rect 48436 9968 48442 9980
+rect 48494 9968 48500 10020
+rect 50552 9968 50558 10020
+rect 50610 10008 50616 10020
+rect 50938 10017 50966 10048
+rect 50739 10008 50797 10017
+rect 50610 9980 50797 10008
+rect 50610 9968 50616 9980
+rect 50739 9971 50797 9980
+rect 50923 9971 50981 10017
+rect 51380 10008 51386 10020
+rect 51341 9980 51386 10008
+rect 51380 9968 51386 9980
+rect 51438 9968 51444 10020
+rect 51490 10017 51518 10048
+rect 51564 10036 51570 10088
+rect 51622 10076 51628 10088
+rect 51748 10076 51754 10088
+rect 51622 10048 51754 10076
+rect 51622 10036 51628 10048
+rect 51748 10036 51754 10048
+rect 51806 10036 51812 10088
+rect 54250 10048 54830 10076
+rect 51475 10008 51533 10017
+rect 52116 10008 52122 10020
+rect 51475 9980 52122 10008
+rect 51475 9971 51533 9980
+rect 52116 9968 52122 9980
+rect 52174 9968 52180 10020
+rect 52947 10008 53005 10017
+rect 53128 10008 53134 10020
+rect 52947 9980 53134 10008
+rect 52947 9971 53005 9980
+rect 53128 9968 53134 9980
+rect 53186 9968 53192 10020
+rect 54250 10017 54278 10048
+rect 54235 9971 54293 10017
+rect 54416 9968 54422 10020
+rect 54474 10008 54480 10020
+rect 54802 10017 54830 10048
+rect 55152 10036 55158 10088
+rect 55210 10076 55216 10088
+rect 58298 10076 58326 10116
+rect 58372 10104 58378 10116
+rect 58430 10104 58436 10156
+rect 58464 10104 58470 10156
+rect 58522 10144 58528 10156
+rect 62975 10144 63033 10153
+rect 63616 10144 63622 10156
+rect 58522 10116 63033 10144
+rect 58522 10104 58528 10116
+rect 62975 10107 63033 10116
+rect 63450 10116 63622 10144
+rect 55210 10048 58326 10076
+rect 55210 10036 55216 10048
+rect 54695 10008 54753 10017
+rect 54474 9980 54753 10008
+rect 54474 9968 54480 9980
+rect 54695 9971 54753 9980
+rect 54787 10008 54845 10017
+rect 56072 10008 56078 10020
+rect 54787 9980 56078 10008
+rect 54787 9971 54845 9980
+rect 56072 9968 56078 9980
+rect 56130 10008 56136 10020
+rect 56440 10008 56446 10020
+rect 56130 9980 56446 10008
+rect 56130 9968 56136 9980
+rect 56440 9968 56446 9980
+rect 56498 9968 56504 10020
+rect 56624 10008 56630 10020
+rect 56585 9980 56630 10008
+rect 56624 9968 56630 9980
+rect 56682 9968 56688 10020
+rect 56826 10017 56854 10048
+rect 59016 10036 59022 10088
+rect 59074 10076 59080 10088
+rect 59203 10076 59261 10085
+rect 59074 10048 59261 10076
+rect 59074 10036 59080 10048
+rect 59203 10039 59261 10048
+rect 56811 9971 56869 10017
+rect 56992 9968 56998 10020
+rect 57050 10008 57056 10020
+rect 57544 10008 57550 10020
+rect 57050 9980 57550 10008
+rect 57050 9968 57056 9980
+rect 57544 9968 57550 9980
+rect 57602 9968 57608 10020
+rect 58099 10008 58157 10017
+rect 58556 10008 58562 10020
+rect 58099 9980 58562 10008
+rect 58099 9971 58157 9980
+rect 58556 9968 58562 9980
+rect 58614 10008 58620 10020
+rect 58651 10008 58709 10017
+rect 58832 10008 58838 10020
+rect 58614 9980 58709 10008
+rect 58793 9980 58838 10008
+rect 58614 9968 58620 9980
+rect 58651 9971 58709 9980
+rect 58832 9968 58838 9980
+rect 58890 9968 58896 10020
+rect 59844 9968 59850 10020
+rect 59902 10008 59908 10020
+rect 60123 10008 60181 10017
+rect 60672 10008 60678 10020
+rect 59902 9980 60181 10008
+rect 60633 9980 60678 10008
+rect 59902 9968 59908 9980
+rect 60123 9971 60181 9980
+rect 60672 9968 60678 9980
+rect 60730 9968 60736 10020
+rect 63450 10017 63478 10116
+rect 63616 10104 63622 10116
+rect 63674 10144 63680 10156
+rect 66376 10144 66382 10156
+rect 63674 10116 66382 10144
+rect 63674 10104 63680 10116
+rect 66376 10104 66382 10116
+rect 66434 10104 66440 10156
+rect 68878 10116 69458 10144
+rect 63800 10036 63806 10088
+rect 63858 10076 63864 10088
+rect 65088 10076 65094 10088
+rect 63858 10048 65094 10076
+rect 63858 10036 63864 10048
+rect 65088 10036 65094 10048
+rect 65146 10036 65152 10088
+rect 65180 10036 65186 10088
+rect 65238 10076 65244 10088
+rect 65238 10048 65594 10076
+rect 65238 10036 65244 10048
+rect 63159 9971 63217 10017
+rect 63435 9971 63493 10017
+rect 64815 10008 64873 10017
+rect 65364 10008 65370 10020
+rect 64815 9980 65370 10008
+rect 64815 9971 64873 9980
+rect 45400 9900 45406 9952
+rect 45458 9940 45464 9952
+rect 46964 9940 46970 9952
+rect 45458 9912 46970 9940
+rect 45458 9900 45464 9912
+rect 46964 9900 46970 9912
+rect 47022 9900 47028 9952
+rect 47611 9903 47669 9949
+rect 47980 9940 48038 9949
+rect 48536 9940 48594 9949
+rect 47980 9912 48594 9940
+rect 47980 9903 48038 9912
+rect 48536 9903 48594 9912
+rect 51200 9940 51258 9949
+rect 51756 9940 51814 9949
+rect 51200 9912 51814 9940
+rect 51200 9903 51258 9912
+rect 51756 9903 51814 9912
+rect 47148 9872 47154 9884
+rect 45050 9844 47154 9872
+rect 47148 9832 47154 9844
+rect 47206 9832 47212 9884
+rect 47626 9872 47654 9903
+rect 51932 9900 51938 9952
+rect 51990 9940 51996 9952
+rect 54048 9940 54054 9952
+rect 51990 9912 53266 9940
+rect 54009 9912 54054 9940
+rect 51990 9900 51996 9912
+rect 47626 9844 48942 9872
+rect 39420 9804 39426 9816
+rect 38886 9776 39426 9804
+rect 39420 9764 39426 9776
+rect 39478 9764 39484 9816
+rect 39512 9764 39518 9816
+rect 39570 9804 39576 9816
+rect 40432 9804 40438 9816
+rect 39570 9776 40438 9804
+rect 39570 9764 39576 9776
+rect 40432 9764 40438 9776
+rect 40490 9764 40496 9816
+rect 40616 9764 40622 9816
+rect 40674 9804 40680 9816
+rect 40895 9804 40953 9813
+rect 40674 9776 40953 9804
+rect 40674 9764 40680 9776
+rect 40895 9767 40953 9776
+rect 41076 9764 41082 9816
+rect 41134 9804 41140 9816
+rect 43195 9804 43253 9813
+rect 41134 9776 43253 9804
+rect 41134 9764 41140 9776
+rect 43195 9767 43253 9776
+rect 43560 9764 43566 9816
+rect 43618 9804 43624 9816
+rect 45676 9804 45682 9816
+rect 43618 9776 45682 9804
+rect 43618 9764 43624 9776
+rect 45676 9764 45682 9776
+rect 45734 9764 45740 9816
+rect 46415 9804 46473 9813
+rect 48344 9804 48350 9816
+rect 46415 9776 48350 9804
+rect 46415 9767 46473 9776
+rect 48344 9764 48350 9776
+rect 48402 9764 48408 9816
+rect 48620 9764 48626 9816
+rect 48678 9804 48684 9816
+rect 48715 9804 48773 9813
+rect 48678 9776 48773 9804
+rect 48914 9804 48942 9844
+rect 49264 9832 49270 9884
+rect 49322 9872 49328 9884
+rect 53131 9872 53189 9881
+rect 49322 9844 53189 9872
+rect 53238 9872 53266 9912
+rect 54048 9900 54054 9912
+rect 54106 9900 54112 9952
+rect 54512 9940 54570 9949
+rect 55068 9940 55126 9949
+rect 54512 9912 55126 9940
+rect 54512 9903 54570 9912
+rect 55068 9903 55126 9912
+rect 55244 9900 55250 9952
+rect 55302 9940 55308 9952
+rect 56903 9940 56961 9949
+rect 55302 9912 56961 9940
+rect 55302 9900 55308 9912
+rect 56903 9903 56961 9912
+rect 58007 9903 58065 9949
+rect 58376 9940 58434 9949
+rect 58932 9940 58990 9949
+rect 58376 9912 58990 9940
+rect 58376 9903 58434 9912
+rect 58932 9903 58990 9912
+rect 57544 9872 57550 9884
+rect 53238 9844 57550 9872
+rect 49322 9832 49328 9844
+rect 53131 9835 53189 9844
+rect 57544 9832 57550 9844
+rect 57602 9832 57608 9884
+rect 58022 9872 58050 9903
+rect 59292 9900 59298 9952
+rect 59350 9940 59356 9952
+rect 60583 9940 60641 9949
+rect 59350 9912 60641 9940
+rect 59350 9900 59356 9912
+rect 60583 9903 60641 9912
+rect 60856 9900 60862 9952
+rect 60914 9940 60920 9952
+rect 62144 9940 62150 9952
+rect 60914 9912 62150 9940
+rect 60914 9900 60920 9912
+rect 62144 9900 62150 9912
+rect 62202 9900 62208 9952
+rect 59016 9872 59022 9884
+rect 58022 9844 59022 9872
+rect 59016 9832 59022 9844
+rect 59074 9832 59080 9884
+rect 51932 9804 51938 9816
+rect 48914 9776 51938 9804
+rect 48678 9764 48684 9776
+rect 48715 9767 48773 9776
+rect 51932 9764 51938 9776
+rect 51990 9764 51996 9816
+rect 54048 9764 54054 9816
+rect 54106 9804 54112 9816
+rect 54876 9804 54882 9816
+rect 54106 9776 54882 9804
+rect 54106 9764 54112 9776
+rect 54876 9764 54882 9776
+rect 54934 9764 54940 9816
+rect 55244 9764 55250 9816
+rect 55302 9804 55308 9816
+rect 55302 9776 55347 9804
+rect 55302 9764 55308 9776
+rect 56624 9764 56630 9816
+rect 56682 9804 56688 9816
+rect 58096 9804 58102 9816
+rect 56682 9776 58102 9804
+rect 56682 9764 56688 9776
+rect 58096 9764 58102 9776
+rect 58154 9764 58160 9816
+rect 58464 9764 58470 9816
+rect 58522 9804 58528 9816
+rect 62972 9804 62978 9816
+rect 58522 9776 62978 9804
+rect 58522 9764 58528 9776
+rect 62972 9764 62978 9776
+rect 63030 9764 63036 9816
+rect 63174 9804 63202 9971
+rect 65364 9968 65370 9980
+rect 65422 10008 65428 10020
+rect 65566 10017 65594 10048
+rect 65640 10036 65646 10088
+rect 65698 10076 65704 10088
+rect 65919 10076 65977 10085
+rect 65698 10048 65977 10076
+rect 65698 10036 65704 10048
+rect 65919 10039 65977 10048
+rect 65422 9980 65467 10008
+rect 65422 9968 65428 9980
+rect 65551 9971 65609 10017
+rect 66284 9968 66290 10020
+rect 66342 10008 66348 10020
+rect 67204 10008 67210 10020
+rect 66342 9980 67210 10008
+rect 66342 9968 66348 9980
+rect 67204 9968 67210 9980
+rect 67262 9968 67268 10020
+rect 67480 9968 67486 10020
+rect 67538 10008 67544 10020
+rect 68878 10017 68906 10116
+rect 69044 10036 69050 10088
+rect 69102 10076 69108 10088
+rect 69102 10048 69366 10076
+rect 69102 10036 69108 10048
+rect 69338 10017 69366 10048
+rect 69430 10017 69458 10116
+rect 69596 10104 69602 10156
+rect 69654 10144 69660 10156
+rect 69875 10144 69933 10153
+rect 70976 10144 70982 10156
+rect 69654 10116 69933 10144
+rect 70937 10116 70982 10144
+rect 69654 10104 69660 10116
+rect 69875 10107 69933 10116
+rect 70976 10104 70982 10116
+rect 71034 10104 71040 10156
+rect 74932 10144 74938 10156
+rect 73478 10116 74938 10144
+rect 69504 10036 69510 10088
+rect 69562 10076 69568 10088
+rect 69562 10048 71390 10076
+rect 69562 10036 69568 10048
+rect 67575 10008 67633 10017
+rect 67538 9980 67633 10008
+rect 67538 9968 67544 9980
+rect 67575 9971 67633 9980
+rect 68863 9971 68921 10017
+rect 69329 9971 69387 10017
+rect 69415 10008 69473 10017
+rect 70608 10008 70614 10020
+rect 69415 9980 70614 10008
+rect 69415 9971 69473 9980
+rect 70608 9968 70614 9980
+rect 70666 10008 70672 10020
+rect 71362 10017 71390 10048
+rect 71804 10036 71810 10088
+rect 71862 10076 71868 10088
+rect 73478 10076 73506 10116
+rect 74932 10104 74938 10116
+rect 74990 10104 74996 10156
+rect 75027 10144 75085 10153
+rect 75208 10144 75214 10156
+rect 75027 10116 75214 10144
+rect 75027 10107 75085 10116
+rect 75208 10104 75214 10116
+rect 75266 10104 75272 10156
+rect 76404 10104 76410 10156
+rect 76462 10144 76468 10156
+rect 78980 10144 78986 10156
+rect 76462 10116 78986 10144
+rect 76462 10104 76468 10116
+rect 78980 10104 78986 10116
+rect 79038 10104 79044 10156
+rect 76496 10076 76502 10088
+rect 71862 10048 73506 10076
+rect 73570 10048 76502 10076
+rect 71862 10036 71868 10048
+rect 70666 9980 70746 10008
+rect 70666 9968 70672 9980
+rect 64723 9903 64781 9949
+rect 65092 9940 65150 9949
+rect 65648 9940 65706 9949
+rect 65092 9912 65706 9940
+rect 65092 9903 65150 9912
+rect 65648 9903 65706 9912
+rect 64738 9872 64766 9903
+rect 68676 9900 68682 9952
+rect 68734 9940 68740 9952
+rect 69140 9940 69198 9949
+rect 69696 9940 69754 9949
+rect 68734 9912 68779 9940
+rect 69140 9912 69754 9940
+rect 68734 9900 68740 9912
+rect 69140 9903 69198 9912
+rect 69696 9903 69754 9912
+rect 64738 9844 67894 9872
+rect 65456 9804 65462 9816
+rect 63174 9776 65462 9804
+rect 65456 9764 65462 9776
+rect 65514 9764 65520 9816
+rect 67866 9804 67894 9844
+rect 68860 9832 68866 9884
+rect 68918 9872 68924 9884
+rect 70608 9872 70614 9884
+rect 68918 9844 70614 9872
+rect 68918 9832 68924 9844
+rect 70608 9832 70614 9844
+rect 70666 9832 70672 9884
+rect 70718 9872 70746 9980
+rect 71163 9971 71221 10017
+rect 71347 9971 71405 10017
+rect 73463 10008 73521 10017
+rect 73570 10008 73598 10048
+rect 76496 10036 76502 10048
+rect 76554 10036 76560 10088
+rect 76588 10036 76594 10088
+rect 76646 10076 76652 10088
+rect 78244 10076 78250 10088
+rect 76646 10048 78250 10076
+rect 76646 10036 76652 10048
+rect 78244 10036 78250 10048
+rect 78302 10036 78308 10088
+rect 73463 9980 73598 10008
+rect 73463 9971 73521 9980
+rect 71178 9940 71206 9971
+rect 73736 9968 73742 10020
+rect 73794 10017 73800 10020
+rect 73794 9971 73843 10017
+rect 74935 9971 74993 10017
+rect 73794 9968 73800 9971
+rect 72080 9940 72086 9952
+rect 71178 9912 72086 9940
+rect 72080 9900 72086 9912
+rect 72138 9900 72144 9952
+rect 73647 9940 73705 9949
+rect 72190 9912 73705 9940
+rect 74950 9940 74978 9971
+rect 75024 9968 75030 10020
+rect 75082 10008 75088 10020
+rect 75211 10008 75269 10017
+rect 80176 10008 80182 10020
+rect 75082 9980 75269 10008
+rect 75082 9968 75088 9980
+rect 75211 9971 75269 9980
+rect 75410 9980 80182 10008
+rect 75410 9940 75438 9980
+rect 80176 9968 80182 9980
+rect 80234 9968 80240 10020
+rect 74950 9912 75438 9940
+rect 72190 9872 72218 9912
+rect 73647 9903 73705 9912
+rect 70718 9844 72218 9872
+rect 73368 9832 73374 9884
+rect 73426 9872 73432 9884
+rect 77511 9872 77569 9881
+rect 73426 9844 77569 9872
+rect 73426 9832 73432 9844
+rect 77511 9835 77569 9844
+rect 68584 9804 68590 9816
+rect 67866 9776 68590 9804
+rect 68584 9764 68590 9776
+rect 68642 9764 68648 9816
+rect 70056 9764 70062 9816
+rect 70114 9804 70120 9816
+rect 71528 9804 71534 9816
+rect 70114 9776 71534 9804
+rect 70114 9764 70120 9776
+rect 71528 9764 71534 9776
+rect 71586 9764 71592 9816
+rect 71896 9764 71902 9816
+rect 71954 9804 71960 9816
+rect 76499 9804 76557 9813
+rect 71954 9776 76557 9804
+rect 71954 9764 71960 9776
+rect 76499 9767 76557 9776
+rect 78428 9764 78434 9816
+rect 78486 9804 78492 9816
+rect 78983 9804 79041 9813
+rect 78486 9776 79041 9804
+rect 78486 9764 78492 9776
+rect 78983 9767 79041 9776
+rect 79164 9764 79170 9816
+rect 79222 9804 79228 9816
+rect 79995 9804 80053 9813
+rect 79222 9776 80053 9804
+rect 79222 9764 79228 9776
+rect 79995 9767 80053 9776
+rect 80636 9764 80642 9816
+rect 80694 9804 80700 9816
+rect 81007 9804 81065 9813
+rect 82844 9804 82850 9816
+rect 80694 9776 81065 9804
+rect 82805 9776 82850 9804
+rect 80694 9764 80700 9776
+rect 81007 9767 81065 9776
+rect 82844 9764 82850 9776
+rect 82902 9764 82908 9816
+rect 85788 9804 85794 9816
+rect 85749 9776 85794 9804
+rect 85788 9764 85794 9776
+rect 85846 9764 85852 9816
+rect 87260 9804 87266 9816
+rect 87221 9776 87266 9804
+rect 87260 9764 87266 9776
+rect 87318 9764 87324 9816
+rect 89468 9764 89474 9816
+rect 89526 9804 89532 9816
+rect 90207 9804 90265 9813
+rect 91676 9804 91682 9816
+rect 89526 9776 90265 9804
+rect 91637 9776 91682 9804
+rect 89526 9764 89532 9776
+rect 90207 9767 90265 9776
+rect 91676 9764 91682 9776
+rect 91734 9764 91740 9816
+rect 93884 9804 93890 9816
+rect 93845 9776 93890 9804
+rect 93884 9764 93890 9776
+rect 93942 9764 93948 9816
+rect 96092 9804 96098 9816
+rect 96053 9776 96098 9804
+rect 96092 9764 96098 9776
+rect 96150 9764 96156 9816
+rect 97564 9804 97570 9816
+rect 97525 9776 97570 9804
+rect 97564 9764 97570 9776
+rect 97622 9764 97628 9816
+rect 102716 9804 102722 9816
+rect 102677 9776 102722 9804
+rect 102716 9764 102722 9776
+rect 102774 9764 102780 9816
+rect 104188 9804 104194 9816
+rect 104149 9776 104194 9804
+rect 104188 9764 104194 9776
+rect 104246 9764 104252 9816
+rect 107132 9804 107138 9816
+rect 107093 9776 107138 9804
+rect 107132 9764 107138 9776
+rect 107190 9764 107196 9816
+rect 108604 9804 108610 9816
+rect 108565 9776 108610 9804
+rect 108604 9764 108610 9776
+rect 108662 9764 108668 9816
+rect 110812 9804 110818 9816
+rect 110773 9776 110818 9804
+rect 110812 9764 110818 9776
+rect 110870 9764 110876 9816
+rect 112928 9804 112934 9816
+rect 112889 9776 112934 9804
+rect 112928 9764 112934 9776
+rect 112986 9764 112992 9816
+rect 114400 9804 114406 9816
+rect 114361 9776 114406 9804
+rect 114400 9764 114406 9776
+rect 114458 9764 114464 9816
+rect 119552 9804 119558 9816
+rect 119513 9776 119558 9804
+rect 119552 9764 119558 9776
+rect 119610 9764 119616 9816
+rect 123968 9804 123974 9816
+rect 123929 9776 123974 9804
+rect 123968 9764 123974 9776
+rect 124026 9764 124032 9816
+rect 124983 9804 125041 9813
+rect 125440 9804 125446 9816
+rect 124983 9776 125446 9804
+rect 124983 9767 125041 9776
+rect 125440 9764 125446 9776
+rect 125498 9764 125504 9816
+rect 8494 9714 126254 9736
+rect 8494 9662 11636 9714
+rect 11688 9662 11700 9714
+rect 11752 9662 11764 9714
+rect 11816 9662 11828 9714
+rect 11880 9662 42356 9714
+rect 42408 9662 42420 9714
+rect 42472 9662 42484 9714
+rect 42536 9662 42548 9714
+rect 42600 9662 73076 9714
+rect 73128 9662 73140 9714
+rect 73192 9662 73204 9714
+rect 73256 9662 73268 9714
+rect 73320 9662 103796 9714
+rect 103848 9662 103860 9714
+rect 103912 9662 103924 9714
+rect 103976 9662 103988 9714
+rect 104040 9662 126254 9714
+rect 8494 9640 126254 9662
+rect 16788 9560 16794 9612
+rect 16846 9600 16852 9612
+rect 19824 9600 19830 9612
+rect 16846 9572 19830 9600
+rect 16846 9560 16852 9572
+rect 19824 9560 19830 9572
+rect 19882 9560 19888 9612
+rect 11084 9492 11090 9544
+rect 11142 9532 11148 9544
+rect 11142 9504 18214 9532
+rect 11142 9492 11148 9504
+rect 8416 9424 8422 9476
+rect 8474 9464 8480 9476
+rect 15503 9464 15561 9473
+rect 8474 9436 15561 9464
+rect 8474 9424 8480 9436
+rect 15503 9427 15561 9436
+rect 15684 9424 15690 9476
+rect 15742 9464 15748 9476
+rect 17800 9464 17806 9476
+rect 15742 9436 17806 9464
+rect 15742 9424 15748 9436
+rect 17800 9424 17806 9436
+rect 17858 9424 17864 9476
+rect 8692 9356 8698 9408
+rect 8750 9396 8756 9408
+rect 14491 9396 14549 9405
+rect 8750 9368 14549 9396
+rect 8750 9356 8756 9368
+rect 14491 9359 14549 9368
+rect 15595 9359 15653 9405
+rect 17067 9396 17125 9405
+rect 18076 9396 18082 9408
+rect 17067 9368 18082 9396
+rect 17067 9359 17125 9368
+rect 9612 9288 9618 9340
+rect 9670 9328 9676 9340
+rect 12740 9328 12746 9340
+rect 9670 9300 12746 9328
+rect 9670 9288 9676 9300
+rect 12740 9288 12746 9300
+rect 12798 9288 12804 9340
+rect 15610 9328 15638 9359
+rect 18076 9356 18082 9368
+rect 18134 9356 18140 9408
+rect 18186 9405 18214 9504
+rect 18352 9492 18358 9544
+rect 18410 9532 18416 9544
+rect 21112 9532 21118 9544
+rect 18410 9504 21118 9532
+rect 18410 9492 18416 9504
+rect 21112 9492 21118 9504
+rect 21170 9492 21176 9544
+rect 21388 9560 21394 9612
+rect 21446 9600 21452 9612
+rect 29300 9600 29306 9612
+rect 21446 9572 29306 9600
+rect 21446 9560 21452 9572
+rect 29300 9560 29306 9572
+rect 29358 9560 29364 9612
+rect 29944 9600 29950 9612
+rect 29905 9572 29950 9600
+rect 29944 9560 29950 9572
+rect 30002 9560 30008 9612
+rect 30680 9560 30686 9612
+rect 30738 9600 30744 9612
+rect 35372 9600 35378 9612
+rect 30738 9572 35378 9600
+rect 30738 9560 30744 9572
+rect 35372 9560 35378 9572
+rect 35430 9560 35436 9612
+rect 36936 9560 36942 9612
+rect 36994 9600 37000 9612
+rect 42551 9600 42609 9609
+rect 42732 9600 42738 9612
+rect 36994 9572 42738 9600
+rect 36994 9560 37000 9572
+rect 42551 9563 42609 9572
+rect 42732 9560 42738 9572
+rect 42790 9560 42796 9612
+rect 43928 9560 43934 9612
+rect 43986 9600 43992 9612
+rect 44756 9600 44762 9612
+rect 43986 9572 44762 9600
+rect 43986 9560 43992 9572
+rect 44756 9560 44762 9572
+rect 44814 9560 44820 9612
+rect 44940 9560 44946 9612
+rect 44998 9600 45004 9612
+rect 46136 9600 46142 9612
+rect 44998 9572 46142 9600
+rect 44998 9560 45004 9572
+rect 46136 9560 46142 9572
+rect 46194 9560 46200 9612
+rect 46415 9600 46473 9609
+rect 46780 9600 46786 9612
+rect 46415 9572 46786 9600
+rect 46415 9563 46473 9572
+rect 46780 9560 46786 9572
+rect 46838 9560 46844 9612
+rect 46872 9560 46878 9612
+rect 46930 9600 46936 9612
+rect 48068 9600 48074 9612
+rect 46930 9572 48074 9600
+rect 46930 9560 46936 9572
+rect 48068 9560 48074 9572
+rect 48126 9560 48132 9612
+rect 49356 9600 49362 9612
+rect 48454 9572 49362 9600
+rect 23872 9532 23878 9544
+rect 22786 9504 23878 9532
+rect 18260 9424 18266 9476
+rect 18318 9464 18324 9476
+rect 18907 9464 18965 9473
+rect 22786 9464 22814 9504
+rect 23872 9492 23878 9504
+rect 23930 9492 23936 9544
+rect 24056 9532 24062 9544
+rect 24017 9504 24062 9532
+rect 24056 9492 24062 9504
+rect 24114 9492 24120 9544
+rect 25160 9492 25166 9544
+rect 25218 9532 25224 9544
+rect 25624 9532 25682 9541
+rect 26088 9532 26146 9541
+rect 26364 9532 26422 9541
+rect 26908 9532 26914 9544
+rect 25218 9504 25574 9532
+rect 25218 9492 25224 9504
+rect 22952 9464 22958 9476
+rect 18318 9436 18766 9464
+rect 18318 9424 18324 9436
+rect 18171 9359 18229 9405
+rect 18628 9396 18634 9408
+rect 18589 9368 18634 9396
+rect 18628 9356 18634 9368
+rect 18686 9356 18692 9408
+rect 18738 9396 18766 9436
+rect 18907 9436 22814 9464
+rect 22913 9436 22958 9464
+rect 18907 9427 18965 9436
+rect 22952 9424 22958 9436
+rect 23010 9424 23016 9476
+rect 23596 9464 23602 9476
+rect 23154 9436 23602 9464
+rect 20655 9396 20713 9405
+rect 21204 9396 21210 9408
+rect 18738 9368 20713 9396
+rect 21165 9368 21210 9396
+rect 20655 9359 20713 9368
+rect 21204 9356 21210 9368
+rect 21262 9356 21268 9408
+rect 22311 9359 22369 9405
+rect 12850 9300 15638 9328
+rect 16055 9328 16113 9337
+rect 16972 9328 16978 9340
+rect 16055 9300 16978 9328
+rect 8140 9220 8146 9272
+rect 8198 9260 8204 9272
+rect 12850 9260 12878 9300
+rect 16055 9291 16113 9300
+rect 16972 9288 16978 9300
+rect 17030 9288 17036 9340
+rect 20468 9288 20474 9340
+rect 20526 9328 20532 9340
+rect 22326 9328 22354 9359
+rect 22492 9356 22498 9408
+rect 22550 9396 22556 9408
+rect 22771 9396 22829 9405
+rect 23154 9396 23182 9436
+rect 23596 9424 23602 9436
+rect 23654 9424 23660 9476
+rect 23688 9424 23694 9476
+rect 23746 9464 23752 9476
+rect 25546 9473 25574 9504
+rect 25624 9504 26422 9532
+rect 26869 9504 26914 9532
+rect 25624 9495 25682 9504
+rect 26088 9495 26146 9504
+rect 26364 9495 26422 9504
+rect 26908 9492 26914 9504
+rect 26966 9492 26972 9544
+rect 29484 9532 29490 9544
+rect 28950 9504 29490 9532
+rect 24151 9464 24209 9473
+rect 23746 9436 24209 9464
+rect 23746 9424 23752 9436
+rect 24151 9427 24209 9436
+rect 25538 9427 25596 9473
+rect 25712 9424 25718 9476
+rect 25770 9464 25776 9476
+rect 25807 9464 25865 9473
+rect 27368 9464 27374 9476
+rect 25770 9436 25865 9464
+rect 25770 9424 25776 9436
+rect 25807 9427 25865 9436
+rect 25914 9436 27374 9464
+rect 22550 9368 23182 9396
+rect 22550 9356 22556 9368
+rect 22771 9359 22829 9368
+rect 23872 9356 23878 9408
+rect 23930 9405 23936 9408
+rect 23930 9359 23988 9405
+rect 24519 9396 24577 9405
+rect 25914 9396 25942 9436
+rect 27368 9424 27374 9436
+rect 27426 9424 27432 9476
+rect 24519 9368 25942 9396
+rect 24519 9359 24577 9368
+rect 23930 9356 23936 9359
+rect 28748 9356 28754 9408
+rect 28806 9396 28812 9408
+rect 28950 9405 28978 9504
+rect 29484 9492 29490 9504
+rect 29542 9492 29548 9544
+rect 31144 9532 31202 9541
+rect 31608 9532 31666 9541
+rect 31884 9532 31942 9541
+rect 31144 9504 31942 9532
+rect 31144 9495 31202 9504
+rect 31608 9495 31666 9504
+rect 31884 9495 31942 9504
+rect 33440 9492 33446 9544
+rect 33498 9532 33504 9544
+rect 33904 9532 33962 9541
+rect 34368 9532 34426 9541
+rect 34644 9532 34702 9541
+rect 33498 9504 33854 9532
+rect 33498 9492 33504 9504
+rect 29212 9464 29270 9473
+rect 29768 9464 29826 9473
+rect 29212 9436 29826 9464
+rect 29212 9427 29270 9436
+rect 29768 9427 29826 9436
+rect 30772 9424 30778 9476
+rect 30830 9464 30836 9476
+rect 31051 9464 31109 9473
+rect 30830 9436 31109 9464
+rect 33826 9464 33854 9504
+rect 33904 9504 34702 9532
+rect 33904 9495 33962 9504
+rect 34368 9495 34426 9504
+rect 34644 9495 34702 9504
+rect 37032 9532 37090 9541
+rect 37496 9532 37554 9541
+rect 37772 9532 37830 9541
+rect 37032 9504 37830 9532
+rect 37032 9495 37090 9504
+rect 37496 9495 37554 9504
+rect 37772 9495 37830 9504
+rect 37948 9492 37954 9544
+rect 38006 9532 38012 9544
+rect 38319 9532 38377 9541
+rect 38006 9504 38377 9532
+rect 38006 9492 38012 9504
+rect 38319 9495 38377 9504
+rect 39516 9532 39574 9541
+rect 39980 9532 40038 9541
+rect 40256 9532 40314 9541
+rect 39516 9504 40314 9532
+rect 39516 9495 39574 9504
+rect 39980 9495 40038 9504
+rect 40256 9495 40314 9504
+rect 42919 9532 42977 9541
+rect 43560 9532 43566 9544
+rect 42919 9504 43566 9532
+rect 42919 9495 42977 9504
+rect 43560 9492 43566 9504
+rect 43618 9492 43624 9544
+rect 43836 9532 43842 9544
+rect 43749 9504 43842 9532
+rect 43836 9492 43842 9504
+rect 43894 9532 43900 9544
+rect 45308 9532 45314 9544
+rect 43894 9504 45314 9532
+rect 43894 9492 43900 9504
+rect 45308 9492 45314 9504
+rect 45366 9492 45372 9544
+rect 45676 9492 45682 9544
+rect 45734 9532 45740 9544
+rect 47792 9532 47798 9544
+rect 45734 9504 47798 9532
+rect 45734 9492 45740 9504
+rect 47792 9492 47798 9504
+rect 47850 9492 47856 9544
+rect 48454 9532 48482 9572
+rect 49356 9560 49362 9572
+rect 49414 9560 49420 9612
+rect 49448 9560 49454 9612
+rect 49506 9600 49512 9612
+rect 50555 9600 50613 9609
+rect 53680 9600 53686 9612
+rect 49506 9572 50613 9600
+rect 53641 9572 53686 9600
+rect 49506 9560 49512 9572
+rect 50555 9563 50613 9572
+rect 53680 9560 53686 9572
+rect 53738 9560 53744 9612
+rect 55244 9600 55250 9612
+rect 54250 9572 55250 9600
+rect 47902 9504 48482 9532
+rect 34081 9464 34139 9473
+rect 33826 9436 34139 9464
+rect 30830 9424 30836 9436
+rect 31051 9427 31109 9436
+rect 34081 9427 34139 9436
+rect 34176 9424 34182 9476
+rect 34234 9464 34240 9476
+rect 37215 9464 37273 9473
+rect 41076 9464 41082 9476
+rect 34234 9436 37074 9464
+rect 34234 9424 34240 9436
+rect 28935 9396 28993 9405
+rect 28806 9368 28993 9396
+rect 28806 9356 28812 9368
+rect 28935 9359 28993 9368
+rect 29024 9356 29030 9408
+rect 29082 9396 29088 9408
+rect 29082 9368 29127 9396
+rect 29082 9356 29088 9368
+rect 29395 9359 29453 9405
+rect 20526 9300 22354 9328
+rect 20526 9288 20532 9300
+rect 22584 9288 22590 9340
+rect 22642 9328 22648 9340
+rect 23136 9328 23142 9340
+rect 22642 9300 23142 9328
+rect 22642 9288 22648 9300
+rect 23136 9288 23142 9300
+rect 23194 9288 23200 9340
+rect 23780 9328 23786 9340
+rect 23741 9300 23786 9328
+rect 23780 9288 23786 9300
+rect 23838 9288 23844 9340
+rect 24148 9288 24154 9340
+rect 24206 9328 24212 9340
+rect 25716 9328 25774 9337
+rect 25996 9328 26054 9337
+rect 26364 9328 26422 9337
+rect 24206 9300 24562 9328
+rect 24206 9288 24212 9300
+rect 8198 9232 12878 9260
+rect 14583 9260 14641 9269
+rect 17064 9260 17070 9272
+rect 14583 9232 17070 9260
+rect 8198 9220 8204 9232
+rect 14583 9223 14641 9232
+rect 17064 9220 17070 9232
+rect 17122 9220 17128 9272
+rect 17248 9260 17254 9272
+rect 17209 9232 17254 9260
+rect 17248 9220 17254 9232
+rect 17306 9220 17312 9272
+rect 18536 9220 18542 9272
+rect 18594 9260 18600 9272
+rect 19640 9260 19646 9272
+rect 18594 9232 19646 9260
+rect 18594 9220 18600 9232
+rect 19640 9220 19646 9232
+rect 19698 9220 19704 9272
+rect 20928 9260 20934 9272
+rect 20889 9232 20934 9260
+rect 20928 9220 20934 9232
+rect 20986 9220 20992 9272
+rect 24534 9260 24562 9300
+rect 25716 9300 26422 9328
+rect 25716 9291 25774 9300
+rect 25996 9291 26054 9300
+rect 26364 9291 26422 9300
+rect 27552 9288 27558 9340
+rect 27610 9328 27616 9340
+rect 29410 9328 29438 9359
+rect 29484 9356 29490 9408
+rect 29542 9396 29548 9408
+rect 31327 9396 31385 9405
+rect 32060 9396 32066 9408
+rect 29542 9368 29587 9396
+rect 31327 9368 32066 9396
+rect 29542 9356 29548 9368
+rect 31327 9359 31385 9368
+rect 32060 9356 32066 9368
+rect 32118 9356 32124 9408
+rect 33811 9396 33869 9405
+rect 35556 9396 35562 9408
+rect 33811 9368 35562 9396
+rect 33811 9359 33869 9368
+rect 35556 9356 35562 9368
+rect 35614 9396 35620 9408
+rect 36936 9396 36942 9408
+rect 35614 9368 36942 9396
+rect 35614 9356 35620 9368
+rect 36936 9356 36942 9368
+rect 36994 9356 37000 9408
+rect 37046 9396 37074 9436
+rect 37215 9436 41082 9464
+rect 37215 9427 37273 9436
+rect 41076 9424 41082 9436
+rect 41134 9424 41140 9476
+rect 43652 9464 43658 9476
+rect 41830 9436 43658 9464
+rect 38960 9396 38966 9408
+rect 37046 9368 38966 9396
+rect 38960 9356 38966 9368
+rect 39018 9356 39024 9408
+rect 39420 9396 39426 9408
+rect 39381 9368 39426 9396
+rect 39420 9356 39426 9368
+rect 39478 9356 39484 9408
+rect 39699 9396 39757 9405
+rect 41830 9396 41858 9436
+rect 43652 9424 43658 9436
+rect 43710 9424 43716 9476
+rect 44575 9464 44633 9473
+rect 46044 9464 46050 9476
+rect 44575 9436 46050 9464
+rect 44575 9427 44633 9436
+rect 46044 9424 46050 9436
+rect 46102 9424 46108 9476
+rect 46136 9424 46142 9476
+rect 46194 9424 46200 9476
+rect 46320 9473 46326 9476
+rect 46286 9427 46326 9473
+rect 46320 9424 46326 9427
+rect 46378 9424 46384 9476
+rect 46507 9464 46565 9473
+rect 46596 9464 46602 9476
+rect 46507 9436 46602 9464
+rect 46507 9427 46565 9436
+rect 46596 9424 46602 9436
+rect 46654 9424 46660 9476
+rect 46875 9464 46933 9473
+rect 46964 9464 46970 9476
+rect 46875 9436 46970 9464
+rect 46875 9427 46933 9436
+rect 46964 9424 46970 9436
+rect 47022 9424 47028 9476
+rect 47902 9473 47930 9504
+rect 48528 9492 48534 9544
+rect 48586 9532 48592 9544
+rect 50233 9532 50291 9541
+rect 48586 9504 50291 9532
+rect 48586 9492 48592 9504
+rect 50233 9495 50291 9504
+rect 50371 9532 50429 9541
+rect 50736 9532 50742 9544
+rect 50371 9504 50742 9532
+rect 50371 9495 50429 9504
+rect 50736 9492 50742 9504
+rect 50794 9492 50800 9544
+rect 52852 9492 52858 9544
+rect 52910 9532 52916 9544
+rect 54250 9532 54278 9572
+rect 55244 9560 55250 9572
+rect 55302 9560 55308 9612
+rect 56716 9560 56722 9612
+rect 56774 9600 56780 9612
+rect 56774 9572 62282 9600
+rect 56774 9560 56780 9572
+rect 52910 9504 54278 9532
+rect 52910 9492 52916 9504
+rect 54876 9492 54882 9544
+rect 54934 9532 54940 9544
+rect 61960 9532 61966 9544
+rect 54934 9504 61966 9532
+rect 54934 9492 54940 9504
+rect 61960 9492 61966 9504
+rect 62018 9492 62024 9544
+rect 62254 9532 62282 9572
+rect 62328 9560 62334 9612
+rect 62386 9600 62392 9612
+rect 63524 9600 63530 9612
+rect 62386 9572 63530 9600
+rect 62386 9560 62392 9572
+rect 63524 9560 63530 9572
+rect 63582 9560 63588 9612
+rect 65180 9560 65186 9612
+rect 65238 9600 65244 9612
+rect 66744 9600 66750 9612
+rect 65238 9572 66750 9600
+rect 65238 9560 65244 9572
+rect 66744 9560 66750 9572
+rect 66802 9560 66808 9612
+rect 66836 9560 66842 9612
+rect 66894 9600 66900 9612
+rect 66894 9572 67802 9600
+rect 66894 9560 66900 9572
+rect 66468 9532 66474 9544
+rect 62254 9504 66474 9532
+rect 66468 9492 66474 9504
+rect 66526 9492 66532 9544
+rect 47887 9427 47945 9473
+rect 48348 9464 48406 9473
+rect 48904 9464 48962 9473
+rect 49080 9464 49086 9476
+rect 47994 9436 48298 9464
+rect 39699 9368 41858 9396
+rect 39699 9359 39757 9368
+rect 42422 9359 42480 9405
+rect 42614 9396 42672 9405
+rect 42824 9396 42830 9408
+rect 42614 9368 42830 9396
+rect 42614 9359 42672 9368
+rect 27610 9300 29438 9328
+rect 31236 9328 31294 9337
+rect 31516 9328 31574 9337
+rect 31884 9328 31942 9337
+rect 31236 9300 31942 9328
+rect 27610 9288 27616 9300
+rect 31236 9291 31294 9300
+rect 31516 9291 31574 9300
+rect 31884 9291 31942 9300
+rect 33996 9328 34054 9337
+rect 34276 9328 34334 9337
+rect 34644 9328 34702 9337
+rect 37124 9328 37182 9337
+rect 37404 9328 37462 9337
+rect 37772 9328 37830 9337
+rect 39328 9328 39334 9340
+rect 33996 9300 34702 9328
+rect 33996 9291 34054 9300
+rect 34276 9291 34334 9300
+rect 34644 9291 34702 9300
+rect 34746 9300 35326 9328
+rect 28196 9260 28202 9272
+rect 24534 9232 28202 9260
+rect 28196 9220 28202 9232
+rect 28254 9220 28260 9272
+rect 28472 9220 28478 9272
+rect 28530 9260 28536 9272
+rect 31324 9260 31330 9272
+rect 28530 9232 31330 9260
+rect 28530 9220 28536 9232
+rect 31324 9220 31330 9232
+rect 31382 9220 31388 9272
+rect 32060 9220 32066 9272
+rect 32118 9260 32124 9272
+rect 32431 9260 32489 9269
+rect 32118 9232 32489 9260
+rect 32118 9220 32124 9232
+rect 32431 9223 32489 9232
+rect 33164 9220 33170 9272
+rect 33222 9260 33228 9272
+rect 34746 9260 34774 9300
+rect 33222 9232 34774 9260
+rect 35298 9260 35326 9300
+rect 37124 9300 37830 9328
+rect 37124 9291 37182 9300
+rect 37404 9291 37462 9300
+rect 37772 9291 37830 9300
+rect 37874 9300 39334 9328
+rect 37874 9260 37902 9300
+rect 39328 9288 39334 9300
+rect 39386 9288 39392 9340
+rect 39608 9328 39666 9337
+rect 39888 9328 39946 9337
+rect 40256 9328 40314 9337
+rect 39608 9300 40314 9328
+rect 39608 9291 39666 9300
+rect 39888 9291 39946 9300
+rect 40256 9291 40314 9300
+rect 40432 9288 40438 9340
+rect 40490 9328 40496 9340
+rect 41079 9328 41137 9337
+rect 40490 9300 41137 9328
+rect 40490 9288 40496 9300
+rect 41079 9291 41137 9300
+rect 42275 9291 42333 9337
+rect 42437 9328 42465 9359
+rect 42824 9356 42830 9368
+rect 42882 9356 42888 9408
+rect 44020 9396 44026 9408
+rect 43981 9368 44026 9396
+rect 44020 9356 44026 9368
+rect 44078 9356 44084 9408
+rect 44115 9396 44173 9405
+rect 45676 9396 45682 9408
+rect 44115 9368 45682 9396
+rect 44115 9359 44173 9368
+rect 45676 9356 45682 9368
+rect 45734 9356 45740 9408
+rect 46154 9396 46182 9424
+rect 47994 9396 48022 9436
+rect 46154 9368 48022 9396
+rect 48068 9356 48074 9408
+rect 48126 9396 48132 9408
+rect 48126 9368 48171 9396
+rect 48126 9356 48132 9368
+rect 45492 9328 45498 9340
+rect 42437 9300 45498 9328
+rect 35298 9232 37902 9260
+rect 42290 9260 42318 9291
+rect 45492 9288 45498 9300
+rect 45550 9288 45556 9340
+rect 46139 9328 46197 9337
+rect 46228 9328 46234 9340
+rect 46139 9300 46234 9328
+rect 46139 9291 46197 9300
+rect 46228 9288 46234 9300
+rect 46286 9288 46292 9340
+rect 46320 9288 46326 9340
+rect 46378 9328 46384 9340
+rect 46688 9328 46694 9340
+rect 46378 9300 46694 9328
+rect 46378 9288 46384 9300
+rect 46688 9288 46694 9300
+rect 46746 9288 46752 9340
+rect 46780 9288 46786 9340
+rect 46838 9328 46844 9340
+rect 47608 9328 47614 9340
+rect 46838 9300 47614 9328
+rect 46838 9288 46844 9300
+rect 47608 9288 47614 9300
+rect 47666 9328 47672 9340
+rect 47792 9328 47798 9340
+rect 47666 9300 47798 9328
+rect 47666 9288 47672 9300
+rect 47792 9288 47798 9300
+rect 47850 9288 47856 9340
+rect 48270 9328 48298 9436
+rect 48348 9436 48962 9464
+rect 49041 9436 49086 9464
+rect 48348 9427 48406 9436
+rect 48904 9427 48962 9436
+rect 49080 9424 49086 9436
+rect 49138 9424 49144 9476
+rect 50460 9464 50466 9476
+rect 50421 9436 50466 9464
+rect 50460 9424 50466 9436
+rect 50518 9424 50524 9476
+rect 58464 9464 58470 9476
+rect 50846 9436 57590 9464
+rect 48537 9359 48595 9405
+rect 48623 9396 48681 9405
+rect 48712 9396 48718 9408
+rect 48623 9368 48718 9396
+rect 48623 9359 48681 9368
+rect 48546 9328 48574 9359
+rect 48712 9356 48718 9368
+rect 48770 9356 48776 9408
+rect 48804 9356 48810 9408
+rect 48862 9396 48868 9408
+rect 50095 9396 50153 9405
+rect 50368 9396 50374 9408
+rect 48862 9368 49310 9396
+rect 48862 9356 48868 9368
+rect 48270 9300 48574 9328
+rect 49282 9328 49310 9368
+rect 50095 9368 50374 9396
+rect 50095 9359 50153 9368
+rect 50368 9356 50374 9368
+rect 50426 9356 50432 9408
+rect 50644 9356 50650 9408
+rect 50702 9396 50708 9408
+rect 50846 9396 50874 9436
+rect 50702 9368 50874 9396
+rect 50702 9356 50708 9368
+rect 51196 9356 51202 9408
+rect 51254 9396 51260 9408
+rect 51843 9396 51901 9405
+rect 52208 9396 52214 9408
+rect 51254 9368 51901 9396
+rect 52169 9368 52214 9396
+rect 51254 9356 51260 9368
+rect 51843 9359 51901 9368
+rect 52208 9356 52214 9368
+rect 52266 9356 52272 9408
+rect 53499 9396 53557 9405
+rect 54140 9396 54146 9408
+rect 53499 9368 54146 9396
+rect 53499 9359 53557 9368
+rect 54140 9356 54146 9368
+rect 54198 9356 54204 9408
+rect 54879 9359 54937 9405
+rect 55152 9396 55158 9408
+rect 55113 9368 55158 9396
+rect 50920 9328 50926 9340
+rect 49282 9300 50926 9328
+rect 50920 9288 50926 9300
+rect 50978 9288 50984 9340
+rect 51288 9288 51294 9340
+rect 51346 9328 51352 9340
+rect 51659 9328 51717 9337
+rect 54894 9328 54922 9359
+rect 55152 9356 55158 9368
+rect 55210 9356 55216 9408
+rect 56808 9396 56814 9408
+rect 56769 9368 56814 9396
+rect 56808 9356 56814 9368
+rect 56866 9396 56872 9408
+rect 57455 9396 57513 9405
+rect 56866 9368 57513 9396
+rect 56866 9356 56872 9368
+rect 57455 9359 57513 9368
+rect 57360 9328 57366 9340
+rect 51346 9300 51717 9328
+rect 51346 9288 51352 9300
+rect 51659 9291 51717 9300
+rect 52410 9300 54830 9328
+rect 54894 9300 57366 9328
+rect 42916 9260 42922 9272
+rect 42290 9232 42922 9260
+rect 33222 9220 33228 9232
+rect 42916 9220 42922 9232
+rect 42974 9220 42980 9272
+rect 45676 9220 45682 9272
+rect 45734 9260 45740 9272
+rect 49264 9260 49270 9272
+rect 45734 9232 49270 9260
+rect 45734 9220 45740 9232
+rect 49264 9220 49270 9232
+rect 49322 9220 49328 9272
+rect 49356 9220 49362 9272
+rect 49414 9260 49420 9272
+rect 52410 9260 52438 9300
+rect 49414 9232 52438 9260
+rect 49414 9220 49420 9232
+rect 52484 9220 52490 9272
+rect 52542 9260 52548 9272
+rect 54695 9260 54753 9269
+rect 52542 9232 54753 9260
+rect 54802 9260 54830 9300
+rect 57360 9288 57366 9300
+rect 57418 9288 57424 9340
+rect 56900 9260 56906 9272
+rect 54802 9232 56906 9260
+rect 52542 9220 52548 9232
+rect 54695 9223 54753 9232
+rect 56900 9220 56906 9232
+rect 56958 9220 56964 9272
+rect 57084 9260 57090 9272
+rect 57045 9232 57090 9260
+rect 57084 9220 57090 9232
+rect 57142 9220 57148 9272
+rect 57562 9260 57590 9436
+rect 57654 9436 58470 9464
+rect 57654 9405 57682 9436
+rect 58464 9424 58470 9436
+rect 58522 9424 58528 9476
+rect 58648 9424 58654 9476
+rect 58706 9464 58712 9476
+rect 59571 9464 59629 9473
+rect 63248 9464 63254 9476
+rect 58706 9436 59629 9464
+rect 58706 9424 58712 9436
+rect 59571 9427 59629 9436
+rect 60966 9436 63254 9464
+rect 57639 9359 57697 9405
+rect 57820 9356 57826 9408
+rect 57878 9396 57884 9408
+rect 58007 9396 58065 9405
+rect 58188 9396 58194 9408
+rect 57878 9368 58065 9396
+rect 58149 9368 58194 9396
+rect 57878 9356 57884 9368
+rect 58007 9359 58065 9368
+rect 58188 9356 58194 9368
+rect 58246 9356 58252 9408
+rect 59660 9396 59666 9408
+rect 59621 9368 59666 9396
+rect 59660 9356 59666 9368
+rect 59718 9356 59724 9408
+rect 59936 9356 59942 9408
+rect 59994 9396 60000 9408
+rect 60966 9405 60994 9436
+rect 63248 9424 63254 9436
+rect 63306 9424 63312 9476
+rect 67664 9464 67670 9476
+rect 63358 9436 67670 9464
+rect 59994 9368 60810 9396
+rect 59994 9356 60000 9368
+rect 59126 9300 59338 9328
+rect 59126 9260 59154 9300
+rect 57562 9232 59154 9260
+rect 59310 9260 59338 9300
+rect 60580 9260 60586 9272
+rect 59310 9232 60586 9260
+rect 60580 9220 60586 9232
+rect 60638 9220 60644 9272
+rect 60782 9269 60810 9368
+rect 60951 9359 61009 9405
+rect 61224 9396 61230 9408
+rect 61185 9368 61230 9396
+rect 61224 9356 61230 9368
+rect 61282 9356 61288 9408
+rect 62239 9359 62297 9405
+rect 62791 9396 62849 9405
+rect 62880 9396 62886 9408
+rect 62791 9368 62886 9396
+rect 62791 9359 62849 9368
+rect 62254 9272 62282 9359
+rect 62880 9356 62886 9368
+rect 62938 9356 62944 9408
+rect 62972 9356 62978 9408
+rect 63030 9396 63036 9408
+rect 63358 9396 63386 9436
+rect 67664 9424 67670 9436
+rect 67722 9424 67728 9476
+rect 67774 9464 67802 9572
+rect 68584 9560 68590 9612
+rect 68642 9600 68648 9612
+rect 72356 9600 72362 9612
+rect 68642 9572 72362 9600
+rect 68642 9560 68648 9572
+rect 72356 9560 72362 9572
+rect 72414 9560 72420 9612
+rect 72448 9560 72454 9612
+rect 72506 9600 72512 9612
+rect 76036 9600 76042 9612
+rect 72506 9572 76042 9600
+rect 72506 9560 72512 9572
+rect 76036 9560 76042 9572
+rect 76094 9560 76100 9612
+rect 78155 9532 78213 9541
+rect 76330 9504 78213 9532
+rect 70148 9464 70154 9476
+rect 67774 9436 70154 9464
+rect 70148 9424 70154 9436
+rect 70206 9424 70212 9476
+rect 70810 9436 72402 9464
+rect 63030 9368 63386 9396
+rect 63030 9356 63036 9368
+rect 64999 9359 65057 9405
+rect 65272 9396 65278 9408
+rect 65233 9368 65278 9396
+rect 65014 9328 65042 9359
+rect 65272 9356 65278 9368
+rect 65330 9356 65336 9408
+rect 66560 9396 66566 9408
+rect 66521 9368 66566 9396
+rect 66560 9356 66566 9368
+rect 66618 9356 66624 9408
+rect 66652 9356 66658 9408
+rect 66710 9396 66716 9408
+rect 66747 9396 66805 9405
+rect 68032 9396 68038 9408
+rect 66710 9368 68038 9396
+rect 66710 9356 66716 9368
+rect 66747 9359 66805 9368
+rect 68032 9356 68038 9368
+rect 68090 9356 68096 9408
+rect 68127 9396 68185 9405
+rect 68127 9368 68247 9396
+rect 68127 9359 68185 9368
+rect 66192 9328 66198 9340
+rect 65014 9300 66198 9328
+rect 66192 9288 66198 9300
+rect 66250 9288 66256 9340
+rect 66836 9288 66842 9340
+rect 66894 9328 66900 9340
+rect 67023 9328 67081 9337
+rect 66894 9300 67081 9328
+rect 66894 9288 66900 9300
+rect 67023 9291 67081 9300
+rect 60767 9223 60825 9269
+rect 62236 9220 62242 9272
+rect 62294 9220 62300 9272
+rect 62512 9260 62518 9272
+rect 62473 9232 62518 9260
+rect 62512 9220 62518 9232
+rect 62570 9220 62576 9272
+rect 64812 9260 64818 9272
+rect 64773 9232 64818 9260
+rect 64812 9220 64818 9232
+rect 64870 9220 64876 9272
+rect 65088 9220 65094 9272
+rect 65146 9260 65152 9272
+rect 67756 9260 67762 9272
+rect 65146 9232 67762 9260
+rect 65146 9220 65152 9232
+rect 67756 9220 67762 9232
+rect 67814 9220 67820 9272
+rect 67940 9260 67946 9272
+rect 67901 9232 67946 9260
+rect 67940 9220 67946 9232
+rect 67998 9220 68004 9272
+rect 68219 9260 68247 9368
+rect 68308 9356 68314 9408
+rect 68366 9396 68372 9408
+rect 68403 9396 68461 9405
+rect 69504 9396 69510 9408
+rect 68366 9368 69510 9396
+rect 68366 9356 68372 9368
+rect 68403 9359 68461 9368
+rect 69504 9356 69510 9368
+rect 69562 9356 69568 9408
+rect 70611 9359 70669 9405
+rect 68492 9288 68498 9340
+rect 68550 9328 68556 9340
+rect 70626 9328 70654 9359
+rect 70700 9356 70706 9408
+rect 70758 9396 70764 9408
+rect 70810 9405 70838 9436
+rect 72374 9405 72402 9436
+rect 73662 9436 74058 9464
+rect 70795 9396 70853 9405
+rect 70758 9368 70853 9396
+rect 70758 9356 70764 9368
+rect 70795 9359 70853 9368
+rect 72175 9359 72233 9405
+rect 72359 9359 72417 9405
+rect 71344 9328 71350 9340
+rect 68550 9300 70470 9328
+rect 70626 9300 71350 9328
+rect 68550 9288 68556 9300
+rect 69136 9260 69142 9272
+rect 68219 9232 69142 9260
+rect 69136 9220 69142 9232
+rect 69194 9220 69200 9272
+rect 70442 9269 70470 9300
+rect 71344 9288 71350 9300
+rect 71402 9288 71408 9340
+rect 72190 9328 72218 9359
+rect 73552 9328 73558 9340
+rect 71454 9300 72126 9328
+rect 72190 9300 73558 9328
+rect 70427 9223 70485 9269
+rect 70516 9220 70522 9272
+rect 70574 9260 70580 9272
+rect 71454 9260 71482 9300
+rect 70574 9232 71482 9260
+rect 70574 9220 70580 9232
+rect 71528 9220 71534 9272
+rect 71586 9260 71592 9272
+rect 71991 9260 72049 9269
+rect 71586 9232 72049 9260
+rect 72098 9260 72126 9300
+rect 73552 9288 73558 9300
+rect 73610 9288 73616 9340
+rect 73662 9260 73690 9436
+rect 73739 9359 73797 9405
+rect 73754 9328 73782 9359
+rect 73828 9356 73834 9408
+rect 73886 9396 73892 9408
+rect 73923 9396 73981 9405
+rect 73886 9368 73981 9396
+rect 74030 9396 74058 9436
+rect 74104 9424 74110 9476
+rect 74162 9464 74168 9476
+rect 74162 9436 74207 9464
+rect 74162 9424 74168 9436
+rect 74748 9424 74754 9476
+rect 74806 9464 74812 9476
+rect 76330 9464 76358 9504
+rect 78155 9495 78213 9504
+rect 74806 9436 76358 9464
+rect 74806 9424 74812 9436
+rect 77143 9396 77201 9405
+rect 74030 9368 77201 9396
+rect 73886 9356 73892 9368
+rect 73923 9359 73981 9368
+rect 77143 9359 77201 9368
+rect 79900 9356 79906 9408
+rect 79958 9396 79964 9408
+rect 80179 9396 80237 9405
+rect 79958 9368 80237 9396
+rect 79958 9356 79964 9368
+rect 80179 9359 80237 9368
+rect 81372 9356 81378 9408
+rect 81430 9396 81436 9408
+rect 81743 9396 81801 9405
+rect 81430 9368 81801 9396
+rect 81430 9356 81436 9368
+rect 81743 9359 81801 9368
+rect 82108 9356 82114 9408
+rect 82166 9396 82172 9408
+rect 82755 9396 82813 9405
+rect 82166 9368 82813 9396
+rect 82166 9356 82172 9368
+rect 82755 9359 82813 9368
+rect 83580 9356 83586 9408
+rect 83638 9396 83644 9408
+rect 83767 9396 83825 9405
+rect 83638 9368 83825 9396
+rect 83638 9356 83644 9368
+rect 83767 9359 83825 9368
+rect 84316 9356 84322 9408
+rect 84374 9396 84380 9408
+rect 84779 9396 84837 9405
+rect 84374 9368 84837 9396
+rect 84374 9356 84380 9368
+rect 84779 9359 84837 9368
+rect 85052 9356 85058 9408
+rect 85110 9396 85116 9408
+rect 85791 9396 85849 9405
+rect 85110 9368 85849 9396
+rect 85110 9356 85116 9368
+rect 85791 9359 85849 9368
+rect 87996 9356 88002 9408
+rect 88054 9396 88060 9408
+rect 88367 9396 88425 9405
+rect 88054 9368 88425 9396
+rect 88054 9356 88060 9368
+rect 88367 9359 88425 9368
+rect 88732 9356 88738 9408
+rect 88790 9396 88796 9408
+rect 89379 9396 89437 9405
+rect 88790 9368 89437 9396
+rect 88790 9356 88796 9368
+rect 89379 9359 89437 9368
+rect 90204 9356 90210 9408
+rect 90262 9396 90268 9408
+rect 90391 9396 90449 9405
+rect 90262 9368 90449 9396
+rect 90262 9356 90268 9368
+rect 90391 9359 90449 9368
+rect 90940 9356 90946 9408
+rect 90998 9396 91004 9408
+rect 91403 9396 91461 9405
+rect 90998 9368 91461 9396
+rect 90998 9356 91004 9368
+rect 91403 9359 91461 9368
+rect 93148 9356 93154 9408
+rect 93206 9396 93212 9408
+rect 93979 9396 94037 9405
+rect 93206 9368 94037 9396
+rect 93206 9356 93212 9368
+rect 93979 9359 94037 9368
+rect 94620 9356 94626 9408
+rect 94678 9396 94684 9408
+rect 94991 9396 95049 9405
+rect 94678 9368 95049 9396
+rect 94678 9356 94684 9368
+rect 94991 9359 95049 9368
+rect 95356 9356 95362 9408
+rect 95414 9396 95420 9408
+rect 96003 9396 96061 9405
+rect 95414 9368 96061 9396
+rect 95414 9356 95420 9368
+rect 96003 9359 96061 9368
+rect 96828 9356 96834 9408
+rect 96886 9396 96892 9408
+rect 97015 9396 97073 9405
+rect 96886 9368 97073 9396
+rect 96886 9356 96892 9368
+rect 97015 9359 97073 9368
+rect 98300 9356 98306 9408
+rect 98358 9396 98364 9408
+rect 98579 9396 98637 9405
+rect 98358 9368 98637 9396
+rect 98358 9356 98364 9368
+rect 98579 9359 98637 9368
+rect 99036 9356 99042 9408
+rect 99094 9396 99100 9408
+rect 99591 9396 99649 9405
+rect 99094 9368 99649 9396
+rect 99094 9356 99100 9368
+rect 99591 9359 99649 9368
+rect 99772 9356 99778 9408
+rect 99830 9396 99836 9408
+rect 100603 9396 100661 9405
+rect 99830 9368 100661 9396
+rect 99830 9356 99836 9368
+rect 100603 9359 100661 9368
+rect 101244 9356 101250 9408
+rect 101302 9396 101308 9408
+rect 101615 9396 101673 9405
+rect 101302 9368 101673 9396
+rect 101302 9356 101308 9368
+rect 101615 9359 101673 9368
+rect 103452 9356 103458 9408
+rect 103510 9396 103516 9408
+rect 104191 9396 104249 9405
+rect 103510 9368 104249 9396
+rect 103510 9356 103516 9368
+rect 104191 9359 104249 9368
+rect 104924 9356 104930 9408
+rect 104982 9396 104988 9408
+rect 105203 9396 105261 9405
+rect 104982 9368 105261 9396
+rect 104982 9356 104988 9368
+rect 105203 9359 105261 9368
+rect 105660 9356 105666 9408
+rect 105718 9396 105724 9408
+rect 106215 9396 106273 9405
+rect 105718 9368 106273 9396
+rect 105718 9356 105724 9368
+rect 106215 9359 106273 9368
+rect 106396 9356 106402 9408
+rect 106454 9396 106460 9408
+rect 107227 9396 107285 9405
+rect 106454 9368 107285 9396
+rect 106454 9356 106460 9368
+rect 107227 9359 107285 9368
+rect 107868 9356 107874 9408
+rect 107926 9396 107932 9408
+rect 108239 9396 108297 9405
+rect 107926 9368 108297 9396
+rect 107926 9356 107932 9368
+rect 108239 9359 108297 9368
+rect 109340 9356 109346 9408
+rect 109398 9396 109404 9408
+rect 109803 9396 109861 9405
+rect 109398 9368 109861 9396
+rect 109398 9356 109404 9368
+rect 109803 9359 109861 9368
+rect 110076 9356 110082 9408
+rect 110134 9396 110140 9408
+rect 110815 9396 110873 9405
+rect 110134 9368 110873 9396
+rect 110134 9356 110140 9368
+rect 110815 9359 110873 9368
+rect 111548 9356 111554 9408
+rect 111606 9396 111612 9408
+rect 111827 9396 111885 9405
+rect 111606 9368 111885 9396
+rect 111606 9356 111612 9368
+rect 111827 9359 111885 9368
+rect 112284 9356 112290 9408
+rect 112342 9396 112348 9408
+rect 112839 9396 112897 9405
+rect 112342 9368 112897 9396
+rect 112342 9356 112348 9368
+rect 112839 9359 112897 9368
+rect 113664 9356 113670 9408
+rect 113722 9396 113728 9408
+rect 113851 9396 113909 9405
+rect 113722 9368 113909 9396
+rect 113722 9356 113728 9368
+rect 113851 9359 113909 9368
+rect 115136 9356 115142 9408
+rect 115194 9396 115200 9408
+rect 115415 9396 115473 9405
+rect 115194 9368 115473 9396
+rect 115194 9356 115200 9368
+rect 115415 9359 115473 9368
+rect 115872 9356 115878 9408
+rect 115930 9396 115936 9408
+rect 116427 9396 116485 9405
+rect 115930 9368 116485 9396
+rect 115930 9356 115936 9368
+rect 116427 9359 116485 9368
+rect 116608 9356 116614 9408
+rect 116666 9396 116672 9408
+rect 117439 9396 117497 9405
+rect 116666 9368 117497 9396
+rect 116666 9356 116672 9368
+rect 117439 9359 117497 9368
+rect 118080 9356 118086 9408
+rect 118138 9396 118144 9408
+rect 118451 9396 118509 9405
+rect 118138 9368 118509 9396
+rect 118138 9356 118144 9368
+rect 118451 9359 118509 9368
+rect 118816 9356 118822 9408
+rect 118874 9396 118880 9408
+rect 119463 9396 119521 9405
+rect 118874 9368 119521 9396
+rect 118874 9356 118880 9368
+rect 119463 9359 119521 9368
+rect 120288 9356 120294 9408
+rect 120346 9396 120352 9408
+rect 121027 9396 121085 9405
+rect 120346 9368 121085 9396
+rect 120346 9356 120352 9368
+rect 121027 9359 121085 9368
+rect 121760 9356 121766 9408
+rect 121818 9396 121824 9408
+rect 122039 9396 122097 9405
+rect 121818 9368 122097 9396
+rect 121818 9356 121824 9368
+rect 122039 9359 122097 9368
+rect 122496 9356 122502 9408
+rect 122554 9396 122560 9408
+rect 123051 9396 123109 9405
+rect 122554 9368 123109 9396
+rect 122554 9356 122560 9368
+rect 123051 9359 123109 9368
+rect 123232 9356 123238 9408
+rect 123290 9396 123296 9408
+rect 124063 9396 124121 9405
+rect 123290 9368 124121 9396
+rect 123290 9356 123296 9368
+rect 124063 9359 124121 9368
+rect 124704 9356 124710 9408
+rect 124762 9396 124768 9408
+rect 125075 9396 125133 9405
+rect 124762 9368 125133 9396
+rect 124762 9356 124768 9368
+rect 125075 9359 125133 9368
+rect 75024 9328 75030 9340
+rect 73754 9300 75030 9328
+rect 75024 9288 75030 9300
+rect 75082 9288 75088 9340
+rect 76220 9288 76226 9340
+rect 76278 9328 76284 9340
+rect 77968 9328 77974 9340
+rect 76278 9300 77974 9328
+rect 76278 9288 76284 9300
+rect 77968 9288 77974 9300
+rect 78026 9288 78032 9340
+rect 72098 9232 73690 9260
+rect 71586 9220 71592 9232
+rect 71991 9223 72049 9232
+rect 74012 9220 74018 9272
+rect 74070 9260 74076 9272
+rect 77232 9260 77238 9272
+rect 74070 9232 77238 9260
+rect 74070 9220 74076 9232
+rect 77232 9220 77238 9232
+rect 77290 9220 77296 9272
+rect 77324 9220 77330 9272
+rect 77382 9260 77388 9272
+rect 79716 9260 79722 9272
+rect 77382 9232 79722 9260
+rect 77382 9220 77388 9232
+rect 79716 9220 79722 9232
+rect 79774 9220 79780 9272
+rect 8494 9170 126254 9192
+rect 8494 9118 26996 9170
+rect 27048 9118 27060 9170
+rect 27112 9118 27124 9170
+rect 27176 9118 27188 9170
+rect 27240 9118 57716 9170
+rect 57768 9118 57780 9170
+rect 57832 9118 57844 9170
+rect 57896 9118 57908 9170
+rect 57960 9118 88436 9170
+rect 88488 9118 88500 9170
+rect 88552 9118 88564 9170
+rect 88616 9118 88628 9170
+rect 88680 9118 119156 9170
+rect 119208 9118 119220 9170
+rect 119272 9118 119284 9170
+rect 119336 9118 119348 9170
+rect 119400 9118 126254 9170
+rect 8494 9096 126254 9118
+rect 20287 9056 20345 9065
+rect 23691 9056 23749 9065
+rect 25620 9056 25626 9068
+rect 12942 9028 20238 9056
+rect 7956 8812 7962 8864
+rect 8014 8852 8020 8864
+rect 12942 8852 12970 9028
+rect 15684 8988 15690 9000
+rect 15645 8960 15690 8988
+rect 15684 8948 15690 8960
+rect 15742 8948 15748 9000
+rect 16239 8988 16297 8997
+rect 17892 8988 17898 9000
+rect 16239 8960 17898 8988
+rect 16239 8951 16297 8960
+rect 17892 8948 17898 8960
+rect 17950 8948 17956 9000
+rect 19091 8988 19149 8997
+rect 19456 8988 19462 9000
+rect 19091 8960 19462 8988
+rect 19091 8951 19149 8960
+rect 19456 8948 19462 8960
+rect 19514 8948 19520 9000
+rect 13108 8880 13114 8932
+rect 13166 8920 13172 8932
+rect 15871 8920 15929 8929
+rect 17335 8920 17393 8929
+rect 13166 8892 15929 8920
+rect 13166 8880 13172 8892
+rect 15871 8883 15929 8892
+rect 15978 8892 17393 8920
+rect 15978 8852 16006 8892
+rect 17335 8883 17393 8892
+rect 17435 8920 17493 8929
+rect 18168 8920 18174 8932
+rect 17435 8892 18174 8920
+rect 17435 8883 17493 8892
+rect 18168 8880 18174 8892
+rect 18226 8880 18232 8932
+rect 18812 8920 18818 8932
+rect 18773 8892 18818 8920
+rect 8014 8824 12970 8852
+rect 13034 8824 16006 8852
+rect 8014 8812 8020 8824
+rect 12556 8744 12562 8796
+rect 12614 8784 12620 8796
+rect 13034 8784 13062 8824
+rect 12614 8756 13062 8784
+rect 12614 8744 12620 8756
+rect 18812 8880 18818 8892
+rect 18870 8880 18876 8932
+rect 20100 8920 20106 8932
+rect 20061 8892 20106 8920
+rect 20100 8880 20106 8892
+rect 20158 8880 20164 8932
+rect 20210 8920 20238 9028
+rect 20287 9028 23550 9056
+rect 20287 9019 20345 9028
+rect 20376 8948 20382 9000
+rect 20434 8988 20440 9000
+rect 21207 8988 21265 8997
+rect 20434 8960 21265 8988
+rect 20434 8948 20440 8960
+rect 21207 8951 21265 8960
+rect 21943 8988 22001 8997
+rect 22032 8988 22038 9000
+rect 21943 8960 22038 8988
+rect 21943 8951 22001 8960
+rect 22032 8948 22038 8960
+rect 22090 8948 22096 9000
+rect 23522 8988 23550 9028
+rect 23691 9028 25626 9056
+rect 23691 9019 23749 9028
+rect 25620 9016 25626 9028
+rect 25678 9016 25684 9068
+rect 28196 9016 28202 9068
+rect 28254 9056 28260 9068
+rect 30039 9056 30097 9065
+rect 37212 9056 37218 9068
+rect 28254 9028 29806 9056
+rect 28254 9016 28260 9028
+rect 24700 8988 24706 9000
+rect 23522 8960 23826 8988
+rect 23044 8920 23050 8932
+rect 20210 8892 21618 8920
+rect 23005 8892 23050 8920
+rect 21590 8861 21618 8892
+rect 23044 8880 23050 8892
+rect 23102 8880 23108 8932
+rect 21575 8852 21633 8861
+rect 23415 8852 23473 8861
+rect 23688 8852 23694 8864
+rect 21575 8824 23694 8852
+rect 21575 8815 21633 8824
+rect 23415 8815 23473 8824
+rect 23688 8812 23694 8824
+rect 23746 8812 23752 8864
+rect 23798 8852 23826 8960
+rect 24626 8960 24706 8988
+rect 24626 8929 24654 8960
+rect 24700 8948 24706 8960
+rect 24758 8948 24764 9000
+rect 25528 8948 25534 9000
+rect 25586 8988 25592 9000
+rect 25715 8988 25773 8997
+rect 25586 8960 25773 8988
+rect 25586 8948 25592 8960
+rect 25715 8951 25773 8960
+rect 24611 8883 24669 8929
+rect 27184 8920 27190 8932
+rect 24718 8892 27190 8920
+rect 24718 8852 24746 8892
+rect 27184 8880 27190 8892
+rect 27242 8880 27248 8932
+rect 27368 8920 27374 8932
+rect 27329 8892 27374 8920
+rect 27368 8880 27374 8892
+rect 27426 8880 27432 8932
+rect 27460 8880 27466 8932
+rect 27518 8920 27524 8932
+rect 29778 8929 29806 9028
+rect 30039 9028 37218 9056
+rect 30039 9019 30097 9028
+rect 37212 9016 37218 9028
+rect 37270 9016 37276 9068
+rect 38224 9016 38230 9068
+rect 38282 9056 38288 9068
+rect 38595 9056 38653 9065
+rect 38282 9028 38653 9056
+rect 38282 9016 38288 9028
+rect 38595 9019 38653 9028
+rect 43284 9016 43290 9068
+rect 43342 9056 43348 9068
+rect 44575 9056 44633 9065
+rect 43342 9028 44633 9056
+rect 43342 9016 43348 9028
+rect 44575 9019 44633 9028
+rect 45216 9016 45222 9068
+rect 45274 9056 45280 9068
+rect 45274 9028 45906 9056
+rect 45274 9016 45280 9028
+rect 31604 8988 31662 8997
+rect 31884 8988 31942 8997
+rect 32252 8988 32310 8997
+rect 31604 8960 32310 8988
+rect 31604 8951 31662 8960
+rect 31884 8951 31942 8960
+rect 32252 8951 32310 8960
+rect 32520 8948 32526 9000
+rect 32578 8988 32584 9000
+rect 34452 8988 34458 9000
+rect 32578 8960 34458 8988
+rect 32578 8948 32584 8960
+rect 34452 8948 34458 8960
+rect 34510 8988 34516 9000
+rect 37028 8988 37034 9000
+rect 34510 8960 37034 8988
+rect 34510 8948 34516 8960
+rect 37028 8948 37034 8960
+rect 37086 8948 37092 9000
+rect 37400 8988 37458 8997
+rect 37680 8988 37738 8997
+rect 38048 8988 38106 8997
+rect 41996 8988 42002 9000
+rect 37400 8960 38106 8988
+rect 37400 8951 37458 8960
+rect 37680 8951 37738 8960
+rect 38048 8951 38106 8960
+rect 41278 8960 42002 8988
+rect 28659 8920 28717 8929
+rect 27518 8892 28717 8920
+rect 27518 8880 27524 8892
+rect 28659 8883 28717 8892
+rect 29763 8883 29821 8929
+rect 30223 8883 30281 8929
+rect 23798 8824 24746 8852
+rect 25804 8812 25810 8864
+rect 25862 8861 25868 8864
+rect 25862 8815 25920 8861
+rect 26083 8852 26141 8861
+rect 30238 8852 30266 8883
+rect 30772 8880 30778 8932
+rect 30830 8920 30836 8932
+rect 31419 8920 31477 8929
+rect 34912 8920 34918 8932
+rect 30830 8892 31477 8920
+rect 34873 8892 34918 8920
+rect 30830 8880 30836 8892
+rect 31419 8883 31477 8892
+rect 34912 8880 34918 8892
+rect 34970 8920 34976 8932
+rect 35467 8920 35525 8929
+rect 35648 8920 35654 8932
+rect 34970 8892 35525 8920
+rect 35609 8892 35654 8920
+rect 34970 8880 34976 8892
+rect 35467 8883 35525 8892
+rect 35648 8880 35654 8892
+rect 35706 8880 35712 8932
+rect 36936 8880 36942 8932
+rect 36994 8920 37000 8932
+rect 37215 8920 37273 8929
+rect 36994 8892 37273 8920
+rect 36994 8880 37000 8892
+rect 37215 8883 37273 8892
+rect 37491 8920 37549 8929
+rect 41168 8920 41174 8932
+rect 37491 8892 41174 8920
+rect 37491 8883 37549 8892
+rect 41168 8880 41174 8892
+rect 41226 8880 41232 8932
+rect 41278 8929 41306 8960
+rect 41996 8948 42002 8960
+rect 42054 8948 42060 9000
+rect 43836 8988 43842 9000
+rect 42934 8960 43842 8988
+rect 41263 8883 41321 8929
+rect 41628 8920 41634 8932
+rect 41589 8892 41634 8920
+rect 41628 8880 41634 8892
+rect 41686 8880 41692 8932
+rect 42934 8929 42962 8960
+rect 43836 8948 43842 8960
+rect 43894 8948 43900 9000
+rect 45878 8988 45906 9028
+rect 46412 9016 46418 9068
+rect 46470 9056 46476 9068
+rect 47427 9056 47485 9065
+rect 51659 9056 51717 9065
+rect 46470 9028 47485 9056
+rect 46470 9016 46476 9028
+rect 47427 9019 47485 9028
+rect 47718 9028 51717 9056
+rect 47718 8988 47746 9028
+rect 51659 9019 51717 9028
+rect 51840 9016 51846 9068
+rect 51898 9056 51904 9068
+rect 55431 9056 55489 9065
+rect 58740 9056 58746 9068
+rect 51898 9028 55489 9056
+rect 51898 9016 51904 9028
+rect 55431 9019 55489 9028
+rect 55630 9028 58746 9056
+rect 45878 8960 47746 8988
+rect 48160 8948 48166 9000
+rect 48218 8988 48224 9000
+rect 48218 8960 48850 8988
+rect 48218 8948 48224 8960
+rect 42919 8883 42977 8929
+rect 43008 8880 43014 8932
+rect 43066 8920 43072 8932
+rect 43144 8920 43202 8929
+rect 43284 8920 43290 8932
+rect 43066 8892 43111 8920
+rect 43144 8892 43290 8920
+rect 43066 8880 43072 8892
+rect 43144 8883 43202 8892
+rect 43284 8880 43290 8892
+rect 43342 8880 43348 8932
+rect 43563 8920 43621 8929
+rect 44204 8920 44210 8932
+rect 43563 8892 44210 8920
+rect 43563 8883 43621 8892
+rect 44204 8880 44210 8892
+rect 44262 8880 44268 8932
+rect 44296 8880 44302 8932
+rect 44354 8920 44360 8932
+rect 44397 8920 44455 8929
+rect 45676 8920 45682 8932
+rect 44354 8892 44455 8920
+rect 45637 8892 45682 8920
+rect 44354 8880 44360 8892
+rect 44397 8883 44455 8892
+rect 45676 8880 45682 8892
+rect 45734 8880 45740 8932
+rect 45952 8920 45958 8932
+rect 45913 8892 45958 8920
+rect 45952 8880 45958 8892
+rect 46010 8880 46016 8932
+rect 47148 8880 47154 8932
+rect 47206 8920 47212 8932
+rect 47243 8920 47301 8929
+rect 47206 8892 47301 8920
+rect 47206 8880 47212 8892
+rect 47243 8883 47301 8892
+rect 47792 8880 47798 8932
+rect 47850 8920 47856 8932
+rect 48531 8920 48589 8929
+rect 48712 8920 48718 8932
+rect 47850 8892 48589 8920
+rect 48673 8892 48718 8920
+rect 47850 8880 47856 8892
+rect 48531 8883 48589 8892
+rect 48712 8880 48718 8892
+rect 48770 8880 48776 8932
+rect 48822 8920 48850 8960
+rect 49448 8948 49454 9000
+rect 49506 8988 49512 9000
+rect 49911 8988 49969 8997
+rect 51383 8988 51441 8997
+rect 49506 8960 49969 8988
+rect 49506 8948 49512 8960
+rect 49911 8951 49969 8960
+rect 50018 8960 51441 8988
+rect 50018 8920 50046 8960
+rect 51383 8951 51441 8960
+rect 48822 8892 50046 8920
+rect 50095 8883 50153 8929
+rect 51564 8920 51570 8932
+rect 51525 8892 51570 8920
+rect 31232 8852 31238 8864
+rect 26083 8824 27414 8852
+rect 26083 8815 26141 8824
+rect 25862 8812 25868 8815
+rect 27386 8796 27414 8824
+rect 27570 8824 31238 8852
+rect 23185 8784 23243 8793
+rect 23872 8784 23878 8796
+rect 21360 8756 23878 8784
+rect 17064 8676 17070 8728
+rect 17122 8716 17128 8728
+rect 21360 8725 21388 8756
+rect 23185 8747 23243 8756
+rect 23872 8744 23878 8756
+rect 23930 8744 23936 8796
+rect 25991 8784 26049 8793
+rect 24074 8756 26488 8784
+rect 24074 8728 24102 8756
+rect 25991 8747 26049 8756
+rect 21345 8716 21403 8725
+rect 17122 8688 21403 8716
+rect 17122 8676 17128 8688
+rect 21345 8679 21403 8688
+rect 21483 8716 21541 8725
+rect 23323 8716 23381 8725
+rect 24056 8716 24062 8728
+rect 21483 8688 24062 8716
+rect 21483 8679 21541 8688
+rect 23323 8679 23381 8688
+rect 24056 8676 24062 8688
+rect 24114 8676 24120 8728
+rect 24700 8716 24706 8728
+rect 24661 8688 24706 8716
+rect 24700 8676 24706 8688
+rect 24758 8676 24764 8728
+rect 26356 8716 26362 8728
+rect 26317 8688 26362 8716
+rect 26356 8676 26362 8688
+rect 26414 8676 26420 8728
+rect 26460 8716 26488 8756
+rect 27368 8744 27374 8796
+rect 27426 8744 27432 8796
+rect 27570 8793 27598 8824
+rect 31232 8812 31238 8824
+rect 31290 8812 31296 8864
+rect 31695 8852 31753 8861
+rect 34820 8852 34826 8864
+rect 31695 8824 33854 8852
+rect 34781 8824 34826 8852
+rect 31695 8815 31753 8824
+rect 27555 8747 27613 8793
+rect 31324 8784 31330 8796
+rect 27662 8756 31330 8784
+rect 27662 8716 27690 8756
+rect 31324 8744 31330 8756
+rect 31382 8744 31388 8796
+rect 31512 8784 31570 8793
+rect 31976 8784 32034 8793
+rect 32252 8784 32310 8793
+rect 31512 8756 32310 8784
+rect 33826 8784 33854 8824
+rect 34820 8812 34826 8824
+rect 34878 8812 34884 8864
+rect 35192 8852 35250 8861
+rect 35748 8852 35806 8861
+rect 35192 8824 35806 8852
+rect 35192 8815 35250 8824
+rect 35748 8815 35806 8824
+rect 35924 8812 35930 8864
+rect 35982 8852 35988 8864
+rect 40803 8852 40861 8861
+rect 35982 8824 40861 8852
+rect 35982 8812 35988 8824
+rect 40803 8815 40861 8824
+rect 41536 8812 41542 8864
+rect 41594 8852 41600 8864
+rect 41723 8852 41781 8861
+rect 46136 8852 46142 8864
+rect 41594 8824 41781 8852
+rect 46097 8824 46142 8852
+rect 41594 8812 41600 8824
+rect 41723 8815 41781 8824
+rect 46136 8812 46142 8824
+rect 46194 8812 46200 8864
+rect 46596 8812 46602 8864
+rect 46654 8852 46660 8864
+rect 48730 8852 48758 8880
+rect 46654 8824 48758 8852
+rect 46654 8812 46660 8824
+rect 49083 8815 49141 8861
+rect 50110 8852 50138 8883
+rect 51564 8880 51570 8892
+rect 51622 8880 51628 8932
+rect 51748 8880 51754 8932
+rect 51806 8920 51812 8932
+rect 52763 8920 52821 8929
+rect 51806 8892 52821 8920
+rect 51806 8880 51812 8892
+rect 52763 8883 52821 8892
+rect 54140 8880 54146 8932
+rect 54198 8920 54204 8932
+rect 55630 8929 55658 9028
+rect 58740 9016 58746 9028
+rect 58798 9016 58804 9068
+rect 58835 9056 58893 9065
+rect 59568 9056 59574 9068
+rect 58835 9028 59574 9056
+rect 58835 9019 58893 9028
+rect 58464 8988 58470 9000
+rect 57378 8960 58470 8988
+rect 54235 8920 54293 8929
+rect 54198 8892 54293 8920
+rect 54198 8880 54204 8892
+rect 54235 8883 54293 8892
+rect 55615 8883 55673 8929
+rect 55799 8883 55857 8929
+rect 53588 8852 53594 8864
+rect 50110 8824 53594 8852
+rect 35835 8784 35893 8793
+rect 33826 8756 35893 8784
+rect 31512 8747 31570 8756
+rect 31976 8747 32034 8756
+rect 32252 8747 32310 8756
+rect 35835 8747 35893 8756
+rect 37308 8784 37366 8793
+rect 37772 8784 37830 8793
+rect 38048 8784 38106 8793
+rect 37308 8756 38106 8784
+rect 37308 8747 37366 8756
+rect 37772 8747 37830 8756
+rect 38048 8747 38106 8756
+rect 42732 8744 42738 8796
+rect 42790 8784 42796 8796
+rect 45771 8784 45829 8793
+rect 46504 8784 46510 8796
+rect 42790 8756 46510 8784
+rect 42790 8744 42796 8756
+rect 45771 8747 45829 8756
+rect 46504 8744 46510 8756
+rect 46562 8744 46568 8796
+rect 47516 8744 47522 8796
+rect 47574 8784 47580 8796
+rect 48988 8784 48994 8796
+rect 47574 8756 48994 8784
+rect 47574 8744 47580 8756
+rect 48988 8744 48994 8756
+rect 49046 8744 49052 8796
+rect 49098 8784 49126 8815
+rect 53588 8812 53594 8824
+rect 53646 8812 53652 8864
+rect 55152 8852 55158 8864
+rect 54434 8824 55158 8852
+rect 51656 8784 51662 8796
+rect 49098 8756 51662 8784
+rect 51656 8744 51662 8756
+rect 51714 8744 51720 8796
+rect 54434 8793 54462 8824
+rect 55152 8812 55158 8824
+rect 55210 8852 55216 8864
+rect 55814 8852 55842 8883
+rect 55888 8880 55894 8932
+rect 55946 8920 55952 8932
+rect 57378 8929 57406 8960
+rect 58464 8948 58470 8960
+rect 58522 8948 58528 9000
+rect 58556 8948 58562 9000
+rect 58614 8988 58620 9000
+rect 58850 8988 58878 9019
+rect 59568 9016 59574 9028
+rect 59626 9016 59632 9068
+rect 59844 9016 59850 9068
+rect 59902 9056 59908 9068
+rect 61040 9056 61046 9068
+rect 59902 9028 61046 9056
+rect 59902 9016 59908 9028
+rect 61040 9016 61046 9028
+rect 61098 9016 61104 9068
+rect 61132 9016 61138 9068
+rect 61190 9056 61196 9068
+rect 65735 9056 65793 9065
+rect 61190 9028 65793 9056
+rect 61190 9016 61196 9028
+rect 65735 9019 65793 9028
+rect 71436 9056 71442 9068
+rect 71397 9028 71442 9056
+rect 71436 9016 71442 9028
+rect 71494 9016 71500 9068
+rect 71620 9016 71626 9068
+rect 71678 9056 71684 9068
+rect 74291 9056 74349 9065
+rect 71678 9028 74349 9056
+rect 71678 9016 71684 9028
+rect 74291 9019 74349 9028
+rect 62512 8988 62518 9000
+rect 58614 8960 58878 8988
+rect 60230 8960 62518 8988
+rect 58614 8948 58620 8960
+rect 57728 8929 57734 8932
+rect 55946 8892 56946 8920
+rect 55946 8880 55952 8892
+rect 55210 8824 55842 8852
+rect 56918 8852 56946 8892
+rect 57363 8883 57421 8929
+rect 57685 8883 57734 8929
+rect 57728 8880 57734 8883
+rect 57786 8880 57792 8932
+rect 58651 8920 58709 8929
+rect 59752 8920 59758 8932
+rect 58574 8892 59758 8920
+rect 58574 8864 58602 8892
+rect 58651 8883 58709 8892
+rect 59752 8880 59758 8892
+rect 59810 8880 59816 8932
+rect 60230 8929 60258 8960
+rect 62512 8948 62518 8960
+rect 62570 8948 62576 9000
+rect 62622 8960 64398 8988
+rect 60215 8883 60273 8929
+rect 60491 8920 60549 8929
+rect 61224 8920 61230 8932
+rect 60491 8892 61230 8920
+rect 60491 8883 60549 8892
+rect 61224 8880 61230 8892
+rect 61282 8880 61288 8932
+rect 61503 8920 61561 8929
+rect 62622 8920 62650 8960
+rect 64370 8929 64398 8960
+rect 65272 8948 65278 9000
+rect 65330 8988 65336 9000
+rect 65330 8960 74702 8988
+rect 65330 8948 65336 8960
+rect 66118 8929 66146 8960
+rect 61503 8892 62650 8920
+rect 61503 8883 61561 8892
+rect 63067 8883 63125 8929
+rect 63343 8883 63401 8929
+rect 64355 8883 64413 8929
+rect 65919 8883 65977 8929
+rect 66103 8883 66161 8929
+rect 67207 8920 67265 8929
+rect 67296 8920 67302 8932
+rect 67207 8892 67302 8920
+rect 67207 8883 67265 8892
+rect 57547 8852 57605 8861
+rect 56918 8824 57605 8852
+rect 55210 8812 55216 8824
+rect 54419 8747 54477 8793
+rect 55814 8784 55842 8824
+rect 57547 8815 57605 8824
+rect 58556 8812 58562 8864
+rect 58614 8812 58620 8864
+rect 59660 8812 59666 8864
+rect 59718 8852 59724 8864
+rect 60396 8852 60402 8864
+rect 59718 8824 60074 8852
+rect 60357 8824 60402 8852
+rect 59718 8812 59724 8824
+rect 60046 8784 60074 8824
+rect 60396 8812 60402 8824
+rect 60454 8812 60460 8864
+rect 61518 8784 61546 8883
+rect 62696 8784 62702 8796
+rect 55814 8756 58602 8784
+rect 60046 8756 61546 8784
+rect 61702 8756 62702 8784
+rect 26460 8688 27690 8716
+rect 28843 8716 28901 8725
+rect 32612 8716 32618 8728
+rect 28843 8688 32618 8716
+rect 28843 8679 28901 8688
+rect 32612 8676 32618 8688
+rect 32670 8676 32676 8728
+rect 32796 8716 32802 8728
+rect 32757 8688 32802 8716
+rect 32796 8676 32802 8688
+rect 32854 8676 32860 8728
+rect 43284 8676 43290 8728
+rect 43342 8716 43348 8728
+rect 44388 8716 44394 8728
+rect 43342 8688 44394 8716
+rect 43342 8676 43348 8688
+rect 44388 8676 44394 8688
+rect 44446 8676 44452 8728
+rect 47332 8676 47338 8728
+rect 47390 8716 47396 8728
+rect 50187 8716 50245 8725
+rect 52944 8716 52950 8728
+rect 47390 8688 50245 8716
+rect 52905 8688 52950 8716
+rect 47390 8676 47396 8688
+rect 50187 8679 50245 8688
+rect 52944 8676 52950 8688
+rect 53002 8676 53008 8728
+rect 58574 8716 58602 8756
+rect 61702 8728 61730 8756
+rect 62696 8744 62702 8756
+rect 62754 8744 62760 8796
+rect 63082 8784 63110 8883
+rect 63156 8812 63162 8864
+rect 63214 8852 63220 8864
+rect 63251 8852 63309 8861
+rect 63214 8824 63309 8852
+rect 63358 8852 63386 8883
+rect 63358 8824 64582 8852
+rect 63214 8812 63220 8824
+rect 63251 8815 63309 8824
+rect 63984 8784 63990 8796
+rect 63082 8756 63990 8784
+rect 63984 8744 63990 8756
+rect 64042 8744 64048 8796
+rect 59660 8716 59666 8728
+rect 58574 8688 59666 8716
+rect 59660 8676 59666 8688
+rect 59718 8676 59724 8728
+rect 61684 8716 61690 8728
+rect 61645 8688 61690 8716
+rect 61684 8676 61690 8688
+rect 61742 8676 61748 8728
+rect 61960 8676 61966 8728
+rect 62018 8716 62024 8728
+rect 63524 8716 63530 8728
+rect 62018 8688 63530 8716
+rect 62018 8676 62024 8688
+rect 63524 8676 63530 8688
+rect 63582 8676 63588 8728
+rect 64554 8725 64582 8824
+rect 65934 8784 65962 8883
+rect 67296 8880 67302 8892
+rect 67354 8880 67360 8932
+rect 69044 8920 69050 8932
+rect 68957 8892 69050 8920
+rect 69044 8880 69050 8892
+rect 69102 8920 69108 8932
+rect 69599 8920 69657 8929
+rect 69102 8892 69657 8920
+rect 69102 8880 69108 8892
+rect 69599 8883 69657 8892
+rect 69783 8883 69841 8929
+rect 70151 8883 70209 8929
+rect 70335 8920 70393 8929
+rect 70424 8920 70430 8932
+rect 70335 8892 70430 8920
+rect 70335 8883 70393 8892
+rect 68584 8812 68590 8864
+rect 68642 8852 68648 8864
+rect 69139 8852 69197 8861
+rect 68642 8824 69197 8852
+rect 68642 8812 68648 8824
+rect 69139 8815 69197 8824
+rect 67664 8784 67670 8796
+rect 65934 8756 67670 8784
+rect 67664 8744 67670 8756
+rect 67722 8744 67728 8796
+rect 69798 8784 69826 8883
+rect 70166 8852 70194 8883
+rect 70424 8880 70430 8892
+rect 70482 8880 70488 8932
+rect 71620 8920 71626 8932
+rect 71581 8892 71626 8920
+rect 71620 8880 71626 8892
+rect 71678 8880 71684 8932
+rect 71712 8880 71718 8932
+rect 71770 8920 71776 8932
+rect 74674 8929 74702 8960
+rect 71807 8920 71865 8929
+rect 71770 8892 71865 8920
+rect 71770 8880 71776 8892
+rect 71807 8883 71865 8892
+rect 72911 8920 72969 8929
+rect 72911 8892 73138 8920
+rect 72911 8883 72969 8892
+rect 73110 8852 73138 8892
+rect 74475 8883 74533 8929
+rect 74659 8883 74717 8929
+rect 76220 8920 76226 8932
+rect 74766 8892 76226 8920
+rect 70166 8824 73138 8852
+rect 74490 8852 74518 8883
+rect 74766 8852 74794 8892
+rect 76220 8880 76226 8892
+rect 76278 8880 76284 8932
+rect 77232 8920 77238 8932
+rect 77193 8892 77238 8920
+rect 77232 8880 77238 8892
+rect 77290 8880 77296 8932
+rect 74490 8824 74794 8852
+rect 73003 8784 73061 8793
+rect 69798 8756 73061 8784
+rect 73110 8784 73138 8824
+rect 73110 8756 76082 8784
+rect 73003 8747 73061 8756
+rect 64539 8716 64597 8725
+rect 66652 8716 66658 8728
+rect 64539 8688 66658 8716
+rect 64539 8679 64597 8688
+rect 66652 8676 66658 8688
+rect 66710 8676 66716 8728
+rect 67388 8716 67394 8728
+rect 67349 8688 67394 8716
+rect 67388 8676 67394 8688
+rect 67446 8676 67452 8728
+rect 71620 8676 71626 8728
+rect 71678 8716 71684 8728
+rect 74288 8716 74294 8728
+rect 71678 8688 74294 8716
+rect 71678 8676 71684 8688
+rect 74288 8676 74294 8688
+rect 74346 8676 74352 8728
+rect 75944 8716 75950 8728
+rect 75905 8688 75950 8716
+rect 75944 8676 75950 8688
+rect 76002 8676 76008 8728
+rect 76054 8716 76082 8756
+rect 76220 8744 76226 8796
+rect 76278 8784 76284 8796
+rect 78247 8784 78305 8793
+rect 76278 8756 78305 8784
+rect 76278 8744 76284 8756
+rect 78247 8747 78305 8756
+rect 80912 8716 80918 8728
+rect 76054 8688 80918 8716
+rect 80912 8676 80918 8688
+rect 80970 8676 80976 8728
+rect 100508 8716 100514 8728
+rect 100469 8688 100514 8716
+rect 100508 8676 100514 8688
+rect 100566 8676 100572 8728
+rect 117344 8716 117350 8728
+rect 117305 8688 117350 8716
+rect 117344 8676 117350 8688
+rect 117402 8676 117408 8728
+rect 8494 8626 126254 8648
+rect 8494 8574 11636 8626
+rect 11688 8574 11700 8626
+rect 11752 8574 11764 8626
+rect 11816 8574 11828 8626
+rect 11880 8574 42356 8626
+rect 42408 8574 42420 8626
+rect 42472 8574 42484 8626
+rect 42536 8574 42548 8626
+rect 42600 8574 73076 8626
+rect 73128 8574 73140 8626
+rect 73192 8574 73204 8626
+rect 73256 8574 73268 8626
+rect 73320 8574 103796 8626
+rect 103848 8574 103860 8626
+rect 103912 8574 103924 8626
+rect 103976 8574 103988 8626
+rect 104040 8574 126254 8626
+rect 8494 8552 126254 8574
+rect 15500 8472 15506 8524
+rect 15558 8512 15564 8524
+rect 23044 8512 23050 8524
+rect 15558 8484 23050 8512
+rect 15558 8472 15564 8484
+rect 23044 8472 23050 8484
+rect 23102 8472 23108 8524
+rect 23504 8472 23510 8524
+rect 23562 8512 23568 8524
+rect 23562 8484 31278 8512
+rect 23562 8472 23568 8484
+rect 16972 8404 16978 8456
+rect 17030 8444 17036 8456
+rect 27460 8444 27466 8456
+rect 17030 8416 27466 8444
+rect 17030 8404 17036 8416
+rect 27460 8404 27466 8416
+rect 27518 8404 27524 8456
+rect 27552 8404 27558 8456
+rect 27610 8444 27616 8456
+rect 31143 8444 31201 8453
+rect 27610 8416 31201 8444
+rect 31250 8444 31278 8484
+rect 31324 8472 31330 8524
+rect 31382 8512 31388 8524
+rect 42732 8512 42738 8524
+rect 31382 8484 42738 8512
+rect 31382 8472 31388 8484
+rect 42732 8472 42738 8484
+rect 42790 8472 42796 8524
+rect 43008 8512 43014 8524
+rect 42969 8484 43014 8512
+rect 43008 8472 43014 8484
+rect 43066 8472 43072 8524
+rect 44296 8512 44302 8524
+rect 44257 8484 44302 8512
+rect 44296 8472 44302 8484
+rect 44354 8472 44360 8524
+rect 44388 8472 44394 8524
+rect 44446 8512 44452 8524
+rect 47424 8512 47430 8524
+rect 44446 8484 47430 8512
+rect 44446 8472 44452 8484
+rect 47424 8472 47430 8484
+rect 47482 8472 47488 8524
+rect 48712 8472 48718 8524
+rect 48770 8512 48776 8524
+rect 48770 8484 56394 8512
+rect 48770 8472 48776 8484
+rect 35648 8444 35654 8456
+rect 31250 8416 35654 8444
+rect 27610 8404 27616 8416
+rect 31143 8407 31201 8416
+rect 35648 8404 35654 8416
+rect 35706 8404 35712 8456
+rect 44943 8444 45001 8453
+rect 46596 8444 46602 8456
+rect 44943 8416 46602 8444
+rect 44943 8407 45001 8416
+rect 46596 8404 46602 8416
+rect 46654 8404 46660 8456
+rect 56366 8444 56394 8484
+rect 56440 8472 56446 8524
+rect 56498 8512 56504 8524
+rect 67388 8512 67394 8524
+rect 56498 8484 67394 8512
+rect 56498 8472 56504 8484
+rect 67388 8472 67394 8484
+rect 67446 8472 67452 8524
+rect 71436 8512 71442 8524
+rect 67498 8484 71442 8512
+rect 57452 8444 57458 8456
+rect 56366 8416 57458 8444
+rect 57452 8404 57458 8416
+rect 57510 8404 57516 8456
+rect 57912 8404 57918 8456
+rect 57970 8444 57976 8456
+rect 60212 8444 60218 8456
+rect 57970 8416 60218 8444
+rect 57970 8404 57976 8416
+rect 60212 8404 60218 8416
+rect 60270 8404 60276 8456
+rect 65916 8404 65922 8456
+rect 65974 8444 65980 8456
+rect 67498 8444 67526 8484
+rect 71436 8472 71442 8484
+rect 71494 8472 71500 8524
+rect 65974 8416 67526 8444
+rect 65974 8404 65980 8416
+rect 70424 8404 70430 8456
+rect 70482 8444 70488 8456
+rect 80452 8444 80458 8456
+rect 70482 8416 80458 8444
+rect 70482 8404 70488 8416
+rect 80452 8404 80458 8416
+rect 80510 8404 80516 8456
+rect 17248 8336 17254 8388
+rect 17306 8376 17312 8388
+rect 32615 8376 32673 8385
+rect 17306 8348 32673 8376
+rect 17306 8336 17312 8348
+rect 32615 8339 32673 8348
+rect 32888 8336 32894 8388
+rect 32946 8376 32952 8388
+rect 35007 8376 35065 8385
+rect 32946 8348 35065 8376
+rect 32946 8336 32952 8348
+rect 35007 8339 35065 8348
+rect 40248 8336 40254 8388
+rect 40306 8376 40312 8388
+rect 44759 8376 44817 8385
+rect 40306 8348 44817 8376
+rect 40306 8336 40312 8348
+rect 44759 8339 44817 8348
+rect 44851 8376 44909 8385
+rect 52944 8376 52950 8388
+rect 44851 8348 52950 8376
+rect 44851 8339 44909 8348
+rect 52944 8336 52950 8348
+rect 53002 8336 53008 8388
+rect 54784 8336 54790 8388
+rect 54842 8376 54848 8388
+rect 60396 8376 60402 8388
+rect 54842 8348 60402 8376
+rect 54842 8336 54848 8348
+rect 60396 8336 60402 8348
+rect 60454 8336 60460 8388
+rect 68860 8336 68866 8388
+rect 68918 8376 68924 8388
+rect 75944 8376 75950 8388
+rect 68918 8348 75950 8376
+rect 68918 8336 68924 8348
+rect 75944 8336 75950 8348
+rect 76002 8336 76008 8388
+rect 7496 8268 7502 8320
+rect 7554 8308 7560 8320
+rect 69044 8308 69050 8320
+rect 7554 8280 69050 8308
+rect 7554 8268 7560 8280
+rect 69044 8268 69050 8280
+rect 69102 8268 69108 8320
+rect 17432 8200 17438 8252
+rect 17490 8240 17496 8252
+rect 35096 8240 35102 8252
+rect 17490 8212 35102 8240
+rect 17490 8200 17496 8212
+rect 35096 8200 35102 8212
+rect 35154 8200 35160 8252
+rect 41628 8200 41634 8252
+rect 41686 8240 41692 8252
+rect 44667 8240 44725 8249
+rect 41686 8212 44725 8240
+rect 41686 8200 41692 8212
+rect 44667 8203 44725 8212
+rect 47976 8200 47982 8252
+rect 48034 8240 48040 8252
+rect 68584 8240 68590 8252
+rect 48034 8212 68590 8240
+rect 48034 8200 48040 8212
+rect 68584 8200 68590 8212
+rect 68642 8200 68648 8252
+rect 23688 8132 23694 8184
+rect 23746 8172 23752 8184
+rect 27368 8172 27374 8184
+rect 23746 8144 27374 8172
+rect 23746 8132 23752 8144
+rect 27368 8132 27374 8144
+rect 27426 8132 27432 8184
+rect 28748 8132 28754 8184
+rect 28806 8172 28812 8184
+rect 30956 8172 30962 8184
+rect 28806 8144 30962 8172
+rect 28806 8132 28812 8144
+rect 30956 8132 30962 8144
+rect 31014 8132 31020 8184
+rect 31143 8172 31201 8181
+rect 32520 8172 32526 8184
+rect 31143 8144 32526 8172
+rect 31143 8135 31201 8144
+rect 32520 8132 32526 8144
+rect 32578 8132 32584 8184
+rect 32612 8132 32618 8184
+rect 32670 8172 32676 8184
+rect 51659 8172 51717 8181
+rect 32670 8144 51717 8172
+rect 32670 8132 32676 8144
+rect 51659 8135 51717 8144
+rect 56072 8132 56078 8184
+rect 56130 8172 56136 8184
+rect 63156 8172 63162 8184
+rect 56130 8144 63162 8172
+rect 56130 8132 56136 8144
+rect 63156 8132 63162 8144
+rect 63214 8132 63220 8184
+rect 67296 8132 67302 8184
+rect 67354 8172 67360 8184
+rect 71620 8172 71626 8184
+rect 67354 8144 71626 8172
+rect 67354 8132 67360 8144
+rect 71620 8132 71626 8144
+rect 71678 8132 71684 8184
+rect 22308 8064 22314 8116
+rect 22366 8104 22372 8116
+rect 46136 8104 46142 8116
+rect 22366 8076 46142 8104
+rect 22366 8064 22372 8076
+rect 46136 8064 46142 8076
+rect 46194 8064 46200 8116
+rect 48988 8064 48994 8116
+rect 49046 8104 49052 8116
+rect 61684 8104 61690 8116
+rect 49046 8076 61690 8104
+rect 49046 8064 49052 8076
+rect 61684 8064 61690 8076
+rect 61742 8064 61748 8116
+rect 62236 8064 62242 8116
+rect 62294 8104 62300 8116
+rect 64720 8104 64726 8116
+rect 62294 8076 64726 8104
+rect 62294 8064 62300 8076
+rect 64720 8064 64726 8076
+rect 64778 8064 64784 8116
+rect 65824 8064 65830 8116
+rect 65882 8104 65888 8116
+rect 73828 8104 73834 8116
+rect 65882 8076 73834 8104
+rect 65882 8064 65888 8076
+rect 73828 8064 73834 8076
+rect 73886 8064 73892 8116
+rect 24700 7996 24706 8048
+rect 24758 8036 24764 8048
+rect 33808 8036 33814 8048
+rect 24758 8008 33814 8036
+rect 24758 7996 24764 8008
+rect 33808 7996 33814 8008
+rect 33866 7996 33872 8048
+rect 34820 7996 34826 8048
+rect 34878 8036 34884 8048
+rect 44112 8036 44118 8048
+rect 34878 8008 44118 8036
+rect 34878 7996 34884 8008
+rect 44112 7996 44118 8008
+rect 44170 7996 44176 8048
+rect 45676 7996 45682 8048
+rect 45734 8036 45740 8048
+rect 57084 8036 57090 8048
+rect 45734 8008 57090 8036
+rect 45734 7996 45740 8008
+rect 57084 7996 57090 8008
+rect 57142 7996 57148 8048
+rect 68216 7996 68222 8048
+rect 68274 8036 68280 8048
+rect 74564 8036 74570 8048
+rect 68274 8008 74570 8036
+rect 68274 7996 68280 8008
+rect 74564 7996 74570 8008
+rect 74622 7996 74628 8048
+rect 17708 7928 17714 7980
+rect 17766 7968 17772 7980
+rect 26080 7968 26086 7980
+rect 17766 7940 26086 7968
+rect 17766 7928 17772 7940
+rect 26080 7928 26086 7940
+rect 26138 7928 26144 7980
+rect 26356 7928 26362 7980
+rect 26414 7968 26420 7980
+rect 31140 7968 31146 7980
+rect 26414 7940 31146 7968
+rect 26414 7928 26420 7940
+rect 31140 7928 31146 7940
+rect 31198 7928 31204 7980
+rect 32615 7968 32673 7977
+rect 35832 7968 35838 7980
+rect 32615 7940 35838 7968
+rect 32615 7931 32673 7940
+rect 35832 7928 35838 7940
+rect 35890 7928 35896 7980
+rect 46136 7928 46142 7980
+rect 46194 7968 46200 7980
+rect 51012 7968 51018 7980
+rect 46194 7940 51018 7968
+rect 46194 7928 46200 7940
+rect 51012 7928 51018 7940
+rect 51070 7928 51076 7980
+rect 51659 7968 51717 7977
+rect 54140 7968 54146 7980
+rect 51659 7940 54146 7968
+rect 51659 7931 51717 7940
+rect 54140 7928 54146 7940
+rect 54198 7968 54204 7980
+rect 58556 7968 58562 7980
+rect 54198 7940 58562 7968
+rect 54198 7928 54204 7940
+rect 58556 7928 58562 7940
+rect 58614 7928 58620 7980
+rect 58648 7928 58654 7980
+rect 58706 7968 58712 7980
+rect 60764 7968 60770 7980
+rect 58706 7940 60770 7968
+rect 58706 7928 58712 7940
+rect 60764 7928 60770 7940
+rect 60822 7928 60828 7980
+rect 66560 7928 66566 7980
+rect 66618 7968 66624 7980
+rect 68400 7968 68406 7980
+rect 66618 7940 68406 7968
+rect 66618 7928 66624 7940
+rect 68400 7928 68406 7940
+rect 68458 7928 68464 7980
+rect 23412 7860 23418 7912
+rect 23470 7900 23476 7912
+rect 23596 7900 23602 7912
+rect 23470 7872 23602 7900
+rect 23470 7860 23476 7872
+rect 23596 7860 23602 7872
+rect 23654 7900 23660 7912
+rect 32796 7900 32802 7912
+rect 23654 7872 32802 7900
+rect 23654 7860 23660 7872
+rect 32796 7860 32802 7872
+rect 32854 7860 32860 7912
+rect 33072 7860 33078 7912
+rect 33130 7900 33136 7912
+rect 44299 7900 44357 7909
+rect 33130 7872 44357 7900
+rect 33130 7860 33136 7872
+rect 44299 7863 44357 7872
+rect 47608 7860 47614 7912
+rect 47666 7900 47672 7912
+rect 49172 7900 49178 7912
+rect 47666 7872 49178 7900
+rect 47666 7860 47672 7872
+rect 49172 7860 49178 7872
+rect 49230 7860 49236 7912
+rect 51288 7860 51294 7912
+rect 51346 7900 51352 7912
+rect 55336 7900 55342 7912
+rect 51346 7872 55342 7900
+rect 51346 7860 51352 7872
+rect 55336 7860 55342 7872
+rect 55394 7860 55400 7912
+rect 56348 7860 56354 7912
+rect 56406 7900 56412 7912
+rect 59844 7900 59850 7912
+rect 56406 7872 59850 7900
+rect 56406 7860 56412 7872
+rect 59844 7860 59850 7872
+rect 59902 7860 59908 7912
+rect 21204 7792 21210 7844
+rect 21262 7832 21268 7844
+rect 25344 7832 25350 7844
+rect 21262 7804 25350 7832
+rect 21262 7792 21268 7804
+rect 25344 7792 25350 7804
+rect 25402 7792 25408 7844
+rect 31692 7792 31698 7844
+rect 31750 7832 31756 7844
+rect 34176 7832 34182 7844
+rect 31750 7804 34182 7832
+rect 31750 7792 31756 7804
+rect 34176 7792 34182 7804
+rect 34234 7792 34240 7844
+rect 34544 7792 34550 7844
+rect 34602 7832 34608 7844
+rect 43011 7832 43069 7841
+rect 34602 7804 43069 7832
+rect 34602 7792 34608 7804
+rect 43011 7795 43069 7804
+rect 49080 7792 49086 7844
+rect 49138 7832 49144 7844
+rect 50092 7832 50098 7844
+rect 49138 7804 50098 7832
+rect 49138 7792 49144 7804
+rect 50092 7792 50098 7804
+rect 50150 7792 50156 7844
+rect 57268 7792 57274 7844
+rect 57326 7832 57332 7844
+rect 58372 7832 58378 7844
+rect 57326 7804 58378 7832
+rect 57326 7792 57332 7804
+rect 58372 7792 58378 7804
+rect 58430 7792 58436 7844
+rect 58464 7792 58470 7844
+rect 58522 7832 58528 7844
+rect 59568 7832 59574 7844
+rect 58522 7804 59574 7832
+rect 58522 7792 58528 7804
+rect 59568 7792 59574 7804
+rect 59626 7792 59632 7844
+rect 27184 7724 27190 7776
+rect 27242 7764 27248 7776
+rect 34360 7764 34366 7776
+rect 27242 7736 34366 7764
+rect 27242 7724 27248 7736
+rect 34360 7724 34366 7736
+rect 34418 7724 34424 7776
+rect 35007 7764 35065 7773
+rect 44572 7764 44578 7776
+rect 35007 7736 44578 7764
+rect 35007 7727 35065 7736
+rect 44572 7724 44578 7736
+rect 44630 7724 44636 7776
+rect 25528 7656 25534 7708
+rect 25586 7696 25592 7708
+rect 25988 7696 25994 7708
+rect 25586 7668 25994 7696
+rect 25586 7656 25592 7668
+rect 25988 7656 25994 7668
+rect 26046 7656 26052 7708
+rect 29484 7656 29490 7708
+rect 29542 7696 29548 7708
+rect 35188 7696 35194 7708
+rect 29542 7668 35194 7696
+rect 29542 7656 29548 7668
+rect 35188 7656 35194 7668
+rect 35246 7656 35252 7708
+rect 56532 7656 56538 7708
+rect 56590 7696 56596 7708
+rect 64996 7696 65002 7708
+rect 56590 7668 65002 7696
+rect 56590 7656 56596 7668
+rect 64996 7656 65002 7668
+rect 65054 7656 65060 7708
+rect 30956 7588 30962 7640
+rect 31014 7628 31020 7640
+rect 35004 7628 35010 7640
+rect 31014 7600 35010 7628
+rect 31014 7588 31020 7600
+rect 35004 7588 35010 7600
+rect 35062 7588 35068 7640
+rect 69596 7520 69602 7572
+rect 69654 7560 69660 7572
+rect 71896 7560 71902 7572
+rect 69654 7532 71902 7560
+rect 69654 7520 69660 7532
+rect 71896 7520 71902 7532
+rect 71954 7520 71960 7572
+rect 29944 7452 29950 7504
+rect 30002 7492 30008 7504
+rect 35740 7492 35746 7504
+rect 30002 7464 35746 7492
+rect 30002 7452 30008 7464
+rect 35740 7452 35746 7464
+rect 35798 7452 35804 7504
+<< metal2 >>
+rect 15610 709944 15666 710424
+rect 31802 709944 31858 710424
+rect 47994 709944 48050 710424
+rect 64278 709944 64334 710424
+rect 80470 709944 80526 710424
+rect 96662 709944 96718 710424
+rect 112946 709944 113002 710424
+rect 129138 709944 129194 710424
+rect 145330 709944 145386 710424
+rect 161614 709944 161670 710424
+rect 177806 709944 177862 710424
+rect 193998 709944 194054 710424
+rect 210282 709944 210338 710424
+rect 226474 709944 226530 710424
+rect 242666 709944 242722 710424
+rect 258950 709944 259006 710424
+rect 275142 709944 275198 710424
+rect 291334 709944 291390 710424
+rect 307618 709944 307674 710424
+rect 323810 709944 323866 710424
+rect 340002 709944 340058 710424
+rect 356286 709944 356342 710424
+rect 372478 709944 372534 710424
+rect 388670 709944 388726 710424
+rect 404954 709944 405010 710424
+rect 421146 709944 421202 710424
+rect 437338 709944 437394 710424
+rect 453622 709944 453678 710424
+rect 469814 709944 469870 710424
+rect 486006 709944 486062 710424
+rect 502290 709944 502346 710424
+rect 518482 709944 518538 710424
+rect 534674 709944 534730 710424
+rect 550958 709944 551014 710424
+rect 567150 709944 567206 710424
+rect 583342 709944 583398 710424
+rect 11610 10750 11636 10802
+rect 11690 10750 11700 10802
+rect 11816 10750 11826 10802
+rect 11880 10750 11906 10802
+rect 11610 10748 11666 10750
+rect 11690 10748 11746 10750
+rect 11770 10748 11826 10750
+rect 11850 10748 11906 10750
+rect 9158 9612 9210 9618
+rect 9158 9554 9210 9560
+rect 7684 9320 7740 9376
+rect 9170 6904 9198 9554
+rect 10354 10360 10406 10366
+rect 10354 10302 10406 10308
+rect 10366 6904 10394 10302
+rect 11610 9714 11666 9716
+rect 11690 9714 11746 9716
+rect 11770 9714 11826 9716
+rect 11850 9714 11906 9716
+rect 11610 9662 11636 9714
+rect 11690 9662 11700 9714
+rect 11816 9662 11826 9714
+rect 11880 9662 11906 9714
+rect 11610 9660 11666 9662
+rect 11690 9660 11746 9662
+rect 11770 9660 11826 9662
+rect 11850 9660 11906 9662
+rect 11610 8626 11666 8628
+rect 11690 8626 11746 8628
+rect 11770 8626 11826 8628
+rect 11850 8626 11906 8628
+rect 11610 8574 11636 8626
+rect 11690 8574 11700 8626
+rect 11816 8574 11826 8626
+rect 11880 8574 11906 8626
+rect 11610 8572 11666 8574
+rect 11690 8572 11746 8574
+rect 11770 8572 11826 8574
+rect 11850 8572 11906 8574
+rect 14492 10000 14548 10056
+rect 13848 9864 13904 9920
+rect 15426 10366 15454 10800
+rect 15414 10360 15466 10366
+rect 15414 10302 15466 10308
+rect 15412 10136 15468 10192
+rect 15598 9612 15650 9618
+rect 15598 9554 15650 9560
+rect 15610 8802 15638 9554
+rect 15780 9864 15836 9920
+rect 15598 8796 15650 8802
+rect 15598 8738 15650 8744
+rect 16884 9864 16940 9920
+rect 16240 9728 16296 9784
+rect 17542 6904 17570 10800
+rect 18172 8932 18228 8968
+rect 18172 8912 18174 8932
+rect 18226 8912 18228 8932
+rect 18724 10000 18780 10056
+rect 19368 10000 19424 10056
+rect 19828 10680 19884 10736
+rect 19922 9612 19974 9618
+rect 19922 9554 19974 9560
+rect 19644 9456 19700 9512
+rect 19934 6904 19962 9554
+rect 20656 10680 20712 10736
+rect 20656 10544 20712 10600
+rect 21760 10136 21816 10192
+rect 21944 10272 22000 10328
+rect 21944 9728 22000 9784
+rect 22128 10408 22184 10464
+rect 22864 10272 22920 10328
+rect 22956 10000 23012 10056
+rect 22864 9864 22920 9920
+rect 22680 9648 22736 9657
+rect 22680 9583 22736 9592
+rect 22694 9550 22722 9583
+rect 22682 9544 22734 9550
+rect 22682 9486 22734 9492
+rect 23232 9728 23288 9784
+rect 23876 9728 23932 9784
+rect 23876 8796 23932 8832
+rect 23876 8776 23878 8796
+rect 23930 8776 23932 8796
+rect 25164 10272 25220 10328
+rect 25532 10544 25588 10600
+rect 25532 8948 25534 8968
+rect 25586 8948 25588 8968
+rect 25532 8912 25588 8948
+rect 25808 8812 25810 8832
+rect 25862 8812 25864 8832
+rect 25808 8776 25864 8812
+rect 26176 9456 26232 9512
+rect 26178 9408 26230 9414
+rect 26178 9350 26230 9356
+rect 26190 7594 26218 9350
+rect 25822 7566 26218 7594
+rect 25822 6904 25850 7566
+rect 26452 10272 26508 10328
+rect 26970 10258 27026 10260
+rect 27050 10258 27106 10260
+rect 27130 10258 27186 10260
+rect 27210 10258 27266 10260
+rect 26970 10206 26996 10258
+rect 27050 10206 27060 10258
+rect 27176 10206 27186 10258
+rect 27240 10206 27266 10258
+rect 26970 10204 27026 10206
+rect 27050 10204 27106 10206
+rect 27130 10204 27186 10206
+rect 27210 10204 27266 10206
+rect 27280 9728 27336 9784
+rect 26970 9170 27026 9172
+rect 27050 9170 27106 9172
+rect 27130 9170 27186 9172
+rect 27210 9170 27266 9172
+rect 26970 9118 26996 9170
+rect 27050 9118 27060 9170
+rect 27176 9118 27186 9170
+rect 27240 9118 27266 9170
+rect 26970 9116 27026 9118
+rect 27050 9116 27106 9118
+rect 27130 9116 27186 9118
+rect 27210 9116 27266 9118
+rect 27006 7844 27058 7850
+rect 27006 7786 27058 7792
+rect 27018 6904 27046 7786
+rect 27662 9657 27690 10800
+rect 27648 9648 27704 9657
+rect 27648 9583 27704 9592
+rect 28016 9456 28072 9512
+rect 29120 10680 29176 10736
+rect 28110 9408 28162 9414
+rect 28110 9350 28162 9356
+rect 28122 9249 28150 9350
+rect 28108 9240 28164 9249
+rect 28108 9175 28164 9184
+rect 29304 10408 29360 10464
+rect 29674 9816 29726 9822
+rect 29674 9758 29726 9764
+rect 29396 9648 29452 9657
+rect 29686 9618 29714 9758
+rect 30132 10408 30188 10464
+rect 30500 10272 30556 10328
+rect 30698 10042 30726 10800
+rect 30960 10272 31016 10328
+rect 30698 10014 30910 10042
+rect 30224 9728 30280 9784
+rect 29396 9583 29398 9592
+rect 29450 9583 29452 9592
+rect 29674 9612 29726 9618
+rect 29398 9554 29450 9560
+rect 29674 9554 29726 9560
+rect 30882 7850 30910 10014
+rect 31604 10408 31660 10464
+rect 31328 9864 31384 9920
+rect 30870 7844 30922 7850
+rect 30870 7786 30922 7792
+rect 31788 10564 31844 10600
+rect 31788 10544 31790 10564
+rect 31842 10544 31844 10564
+rect 31880 10000 31936 10056
+rect 31604 9456 31660 9512
+rect 31788 9456 31844 9512
+rect 32432 10136 32488 10192
+rect 32984 10000 33040 10056
+rect 34088 10544 34144 10600
+rect 34654 9634 34682 10800
+rect 34838 10366 34866 10800
+rect 34826 10360 34878 10366
+rect 34826 10302 34878 10308
+rect 34654 9606 34866 9634
+rect 34838 9550 34866 9606
+rect 34826 9544 34878 9550
+rect 34826 9486 34878 9492
+rect 34838 9249 34866 9486
+rect 34824 9240 34880 9249
+rect 34824 9175 34880 9184
+rect 35284 9864 35340 9920
+rect 35560 10544 35616 10600
+rect 36574 10360 36626 10366
+rect 36574 10302 36626 10308
+rect 36586 6904 36614 10302
+rect 37032 10408 37088 10464
+rect 37768 10272 37824 10328
+rect 37952 10272 38008 10328
+rect 37032 8948 37034 8968
+rect 37086 8948 37088 8968
+rect 37032 8912 37088 8948
+rect 37768 9592 37824 9648
+rect 38136 10428 38192 10464
+rect 38136 10408 38138 10428
+rect 38190 10408 38192 10428
+rect 38964 10408 39020 10464
+rect 39240 10408 39296 10464
+rect 39056 9864 39112 9920
+rect 40252 10408 40308 10464
+rect 39884 10272 39940 10328
+rect 38964 9184 39020 9240
+rect 38780 9048 38836 9104
+rect 40344 9728 40400 9784
+rect 40804 10308 40806 10328
+rect 40858 10308 40860 10328
+rect 40804 10272 40860 10308
+rect 39884 9184 39940 9240
+rect 41724 10408 41780 10464
+rect 42330 10750 42356 10802
+rect 42410 10750 42420 10802
+rect 42536 10750 42546 10802
+rect 42600 10750 42626 10802
+rect 42330 10748 42386 10750
+rect 42410 10748 42466 10750
+rect 42490 10748 42546 10750
+rect 42570 10748 42626 10750
+rect 42828 10544 42884 10600
+rect 42460 10272 42516 10328
+rect 42092 10136 42148 10192
+rect 42828 10136 42884 10192
+rect 42330 9714 42386 9716
+rect 42410 9714 42466 9716
+rect 42490 9714 42546 9716
+rect 42570 9714 42626 9716
+rect 42330 9662 42356 9714
+rect 42410 9662 42420 9714
+rect 42536 9662 42546 9714
+rect 42600 9662 42626 9714
+rect 42330 9660 42386 9662
+rect 42410 9660 42466 9662
+rect 42490 9660 42546 9662
+rect 42570 9660 42626 9662
+rect 42920 9864 42976 9920
+rect 43196 10272 43252 10328
+rect 42330 8626 42386 8628
+rect 42410 8626 42466 8628
+rect 42490 8626 42546 8628
+rect 42570 8626 42626 8628
+rect 42330 8574 42356 8626
+rect 42410 8574 42420 8626
+rect 42536 8574 42546 8626
+rect 42600 8574 42626 8626
+rect 42330 8572 42386 8574
+rect 42410 8572 42466 8574
+rect 42490 8572 42546 8574
+rect 42570 8572 42626 8574
+rect 42460 8424 42516 8433
+rect 42460 8359 42516 8368
+rect 42474 6904 42502 8359
+rect 44024 9456 44080 9512
+rect 44760 8368 44816 8424
+rect 45036 10544 45092 10600
+rect 45128 9864 45184 9920
+rect 45772 10580 45774 10600
+rect 45826 10580 45828 10600
+rect 45772 10544 45828 10580
+rect 46140 10036 46142 10056
+rect 46194 10036 46196 10056
+rect 46140 10000 46196 10036
+rect 46232 9592 46288 9648
+rect 45680 9048 45736 9104
+rect 45956 8932 46012 8968
+rect 45956 8912 45958 8932
+rect 46010 8912 46012 8932
+rect 47244 10408 47300 10464
+rect 46968 9592 47024 9648
+rect 47612 10444 47614 10464
+rect 47666 10444 47668 10464
+rect 47612 10408 47668 10444
+rect 47612 10272 47668 10328
+rect 48072 9456 48128 9512
+rect 48256 10020 48312 10056
+rect 48256 10000 48258 10020
+rect 48310 10000 48312 10020
+rect 48624 10564 48680 10600
+rect 48624 10544 48626 10564
+rect 48678 10544 48680 10564
+rect 48624 9864 48680 9920
+rect 48900 9592 48956 9648
+rect 48716 9456 48772 9512
+rect 50004 10156 50060 10192
+rect 50004 10136 50006 10156
+rect 50058 10136 50060 10156
+rect 50372 10544 50428 10600
+rect 50188 10136 50244 10192
+rect 50832 10544 50888 10600
+rect 50464 9728 50520 9784
+rect 50464 9476 50520 9512
+rect 50464 9456 50466 9476
+rect 50518 9456 50520 9476
+rect 50556 9184 50612 9240
+rect 51292 10408 51348 10464
+rect 52028 9920 52084 9929
+rect 52028 9855 52084 9864
+rect 51568 8368 51624 8424
+rect 52042 6904 52070 9855
+rect 52304 10680 52360 10736
+rect 52580 10680 52636 10736
+rect 52580 10000 52636 10056
+rect 52488 9592 52544 9648
+rect 53224 10544 53280 10600
+rect 53316 9456 53372 9512
+rect 54052 10544 54108 10600
+rect 54144 10000 54200 10056
+rect 53684 9612 53740 9648
+rect 53684 9592 53686 9612
+rect 53738 9592 53740 9612
+rect 53592 9456 53648 9512
+rect 55248 10680 55304 10736
+rect 55064 10544 55120 10600
+rect 55156 9592 55212 9648
+rect 56274 7782 56302 10800
+rect 56536 10544 56592 10600
+rect 56262 7776 56314 7782
+rect 56262 7718 56314 7724
+rect 57088 10272 57144 10328
+rect 56812 9356 56814 9376
+rect 56866 9356 56868 9376
+rect 56812 9320 56868 9356
+rect 57272 10136 57328 10192
+rect 57690 10258 57746 10260
+rect 57770 10258 57826 10260
+rect 57850 10258 57906 10260
+rect 57930 10258 57986 10260
+rect 57690 10206 57716 10258
+rect 57770 10206 57780 10258
+rect 57896 10206 57906 10258
+rect 57960 10206 57986 10258
+rect 57690 10204 57746 10206
+rect 57770 10204 57826 10206
+rect 57850 10204 57906 10206
+rect 57930 10204 57986 10206
+rect 57824 9592 57880 9648
+rect 58100 10136 58156 10192
+rect 58468 10544 58524 10600
+rect 58008 9456 58064 9512
+rect 57690 9170 57746 9172
+rect 57770 9170 57826 9172
+rect 57850 9170 57906 9172
+rect 57930 9170 57986 9172
+rect 57690 9118 57716 9170
+rect 57770 9118 57780 9170
+rect 57896 9118 57906 9170
+rect 57960 9118 57986 9170
+rect 57690 9116 57746 9118
+rect 57770 9116 57826 9118
+rect 57850 9116 57906 9118
+rect 57930 9116 57986 9118
+rect 57732 8932 57788 8968
+rect 57732 8912 57734 8932
+rect 57786 8912 57788 8932
+rect 58192 9456 58248 9512
+rect 58560 9184 58616 9240
+rect 58560 8948 58562 8968
+rect 58614 8948 58616 8968
+rect 58560 8912 58616 8948
+rect 59204 9184 59260 9240
+rect 59020 8912 59076 8968
+rect 59114 7776 59166 7782
+rect 59114 7718 59166 7724
+rect 59126 6904 59154 7718
+rect 59664 10680 59720 10736
+rect 59770 10706 59798 10800
+rect 59758 10700 59810 10706
+rect 59758 10642 59810 10648
+rect 59664 10444 59666 10464
+rect 59718 10444 59720 10464
+rect 59664 10408 59720 10444
+rect 60676 10544 60732 10600
+rect 60310 9340 60362 9346
+rect 60310 9282 60362 9288
+rect 60322 6904 60350 9282
+rect 61320 9728 61376 9784
+rect 61228 9048 61284 9104
+rect 62056 10272 62112 10328
+rect 62332 10136 62388 10192
+rect 63436 10680 63492 10736
+rect 62884 9048 62940 9104
+rect 63712 9320 63768 9376
+rect 64264 10000 64320 10056
+rect 65276 10544 65332 10600
+rect 65092 8912 65148 8968
+rect 65552 10580 65554 10600
+rect 65606 10580 65608 10600
+rect 65552 10544 65608 10580
+rect 65552 9728 65608 9784
+rect 66288 10544 66344 10600
+rect 66380 10408 66436 10464
+rect 66380 8912 66436 8968
+rect 66840 9184 66896 9240
+rect 67576 10000 67632 10056
+rect 67576 9592 67632 9648
+rect 68038 10632 68090 10638
+rect 68038 10574 68090 10580
+rect 67760 9920 67816 9929
+rect 68050 9890 68078 10574
+rect 67760 9855 67816 9864
+rect 68038 9884 68090 9890
+rect 67774 9822 67802 9855
+rect 68038 9826 68090 9832
+rect 67762 9816 67814 9822
+rect 67762 9758 67814 9764
+rect 67944 9728 68000 9784
+rect 67854 9544 67906 9550
+rect 67854 9486 67906 9492
+rect 67866 9362 67894 9486
+rect 67498 9334 67894 9362
+rect 67498 6904 67526 9334
+rect 68128 9184 68184 9240
+rect 68312 10408 68368 10464
+rect 68418 9074 68446 10800
+rect 68588 10408 68644 10464
+rect 68682 9816 68734 9822
+rect 68682 9758 68734 9764
+rect 68406 9068 68458 9074
+rect 68406 9010 68458 9016
+rect 68694 6904 68722 9758
+rect 68772 9728 68828 9784
+rect 69692 9184 69748 9240
+rect 69878 9068 69930 9074
+rect 69878 9010 69930 9016
+rect 69890 6904 69918 9010
+rect 70704 9048 70760 9104
+rect 71074 10156 71126 10162
+rect 71074 10098 71126 10104
+rect 71086 6904 71114 10098
+rect 71716 8932 71772 8968
+rect 71716 8912 71718 8932
+rect 71770 8912 71772 8932
+rect 72452 9728 72508 9784
+rect 73050 10750 73076 10802
+rect 73130 10750 73140 10802
+rect 73256 10750 73266 10802
+rect 73320 10750 73346 10802
+rect 73050 10748 73106 10750
+rect 73130 10748 73186 10750
+rect 73210 10748 73266 10750
+rect 73290 10748 73346 10750
+rect 73386 10162 73414 10800
+rect 73374 10156 73426 10162
+rect 73374 10098 73426 10104
+rect 73050 9714 73106 9716
+rect 73130 9714 73186 9716
+rect 73210 9714 73266 9716
+rect 73290 9714 73346 9716
+rect 73050 9662 73076 9714
+rect 73130 9662 73140 9714
+rect 73256 9662 73266 9714
+rect 73320 9662 73346 9714
+rect 73050 9660 73106 9662
+rect 73130 9660 73186 9662
+rect 73210 9660 73266 9662
+rect 73290 9660 73346 9662
+rect 73050 8626 73106 8628
+rect 73130 8626 73186 8628
+rect 73210 8626 73266 8628
+rect 73290 8626 73346 8628
+rect 73050 8574 73076 8626
+rect 73130 8574 73140 8626
+rect 73256 8574 73266 8626
+rect 73320 8574 73346 8626
+rect 73050 8572 73106 8574
+rect 73130 8572 73186 8574
+rect 73210 8572 73266 8574
+rect 73290 8572 73346 8574
+rect 75304 10408 75360 10464
+rect 75778 6904 75806 10800
+rect 76962 9476 77014 9482
+rect 76962 9418 77014 9424
+rect 76974 6904 77002 9418
+rect 103770 10750 103796 10802
+rect 103850 10750 103860 10802
+rect 103976 10750 103986 10802
+rect 104040 10750 104066 10802
+rect 103770 10748 103826 10750
+rect 103850 10748 103906 10750
+rect 103930 10748 103986 10750
+rect 104010 10748 104066 10750
+rect 88410 10258 88466 10260
+rect 88490 10258 88546 10260
+rect 88570 10258 88626 10260
+rect 88650 10258 88706 10260
+rect 88410 10206 88436 10258
+rect 88490 10206 88500 10258
+rect 88616 10206 88626 10258
+rect 88680 10206 88706 10258
+rect 88410 10204 88466 10206
+rect 88490 10204 88546 10206
+rect 88570 10204 88626 10206
+rect 88650 10204 88706 10206
+rect 119130 10258 119186 10260
+rect 119210 10258 119266 10260
+rect 119290 10258 119346 10260
+rect 119370 10258 119426 10260
+rect 119130 10206 119156 10258
+rect 119210 10206 119220 10258
+rect 119336 10206 119346 10258
+rect 119400 10206 119426 10258
+rect 119130 10204 119186 10206
+rect 119210 10204 119266 10206
+rect 119290 10204 119346 10206
+rect 119370 10204 119426 10206
+rect 81652 10000 81708 10056
+rect 81192 9456 81248 9512
+rect 121030 9816 121082 9822
+rect 121030 9758 121082 9764
+rect 86530 9408 86582 9414
+rect 86530 9350 86582 9356
+rect 86542 6904 86570 9350
+rect 88410 9170 88466 9172
+rect 88490 9170 88546 9172
+rect 88570 9170 88626 9172
+rect 88650 9170 88706 9172
+rect 88410 9118 88436 9170
+rect 88490 9118 88500 9170
+rect 88616 9118 88626 9170
+rect 88680 9118 88706 9170
+rect 88410 9116 88466 9118
+rect 88490 9116 88546 9118
+rect 88570 9116 88626 9118
+rect 88650 9116 88706 9118
+rect 92418 9408 92470 9414
+rect 92418 9350 92470 9356
+rect 92430 6904 92458 9350
+rect 101986 9408 102038 9414
+rect 101986 9350 102038 9356
+rect 101998 6904 102026 9350
+rect 103770 9714 103826 9716
+rect 103850 9714 103906 9716
+rect 103930 9714 103986 9716
+rect 104010 9714 104066 9716
+rect 103770 9662 103796 9714
+rect 103850 9662 103860 9714
+rect 103976 9662 103986 9714
+rect 104040 9662 104066 9714
+rect 103770 9660 103826 9662
+rect 103850 9660 103906 9662
+rect 103930 9660 103986 9662
+rect 104010 9660 104066 9662
+rect 103770 8626 103826 8628
+rect 103850 8626 103906 8628
+rect 103930 8626 103986 8628
+rect 104010 8626 104066 8628
+rect 103770 8574 103796 8626
+rect 103850 8574 103860 8626
+rect 103976 8574 103986 8626
+rect 104040 8574 104066 8626
+rect 103770 8572 103826 8574
+rect 103850 8572 103906 8574
+rect 103930 8572 103986 8574
+rect 104010 8572 104066 8574
+rect 119130 9170 119186 9172
+rect 119210 9170 119266 9172
+rect 119290 9170 119346 9172
+rect 119370 9170 119426 9172
+rect 119130 9118 119156 9170
+rect 119210 9118 119220 9170
+rect 119336 9118 119346 9170
+rect 119400 9118 119426 9170
+rect 119130 9116 119186 9118
+rect 119210 9116 119266 9118
+rect 119290 9116 119346 9118
+rect 119370 9116 119426 9118
+rect 121042 6904 121070 9758
+rect 124618 9272 124670 9278
+rect 124618 9214 124670 9220
+rect 124630 8938 124658 9214
+rect 124618 8932 124670 8938
+rect 124618 8874 124670 8880
+rect 126918 9272 126970 9278
+rect 126918 9214 126970 9220
+rect 126930 6904 126958 9214
+rect 8066 6424 8122 6904
+rect 9156 6424 9226 6904
+rect 10352 6424 10422 6904
+rect 11562 6424 11618 6904
+rect 12758 6424 12814 6904
+rect 13954 6424 14010 6904
+rect 15150 6424 15206 6904
+rect 16346 6424 16402 6904
+rect 17528 6424 17598 6904
+rect 18724 6424 18794 6904
+rect 19920 6424 19990 6904
+rect 21130 6424 21186 6904
+rect 22326 6424 22382 6904
+rect 23522 6424 23578 6904
+rect 24718 6424 24774 6904
+rect 25808 6424 25878 6904
+rect 27004 6424 27074 6904
+rect 28214 6424 28270 6904
+rect 29410 6424 29466 6904
+rect 30606 6424 30662 6904
+rect 31802 6424 31858 6904
+rect 32998 6424 33054 6904
+rect 34180 6424 34250 6904
+rect 35376 6424 35446 6904
+rect 36572 6424 36642 6904
+rect 37768 6424 37838 6904
+rect 38978 6424 39034 6904
+rect 40174 6424 40230 6904
+rect 41370 6424 41426 6904
+rect 42460 6424 42530 6904
+rect 43656 6424 43726 6904
+rect 44866 6424 44922 6904
+rect 46062 6424 46118 6904
+rect 47258 6424 47314 6904
+rect 48454 6424 48510 6904
+rect 49650 6424 49706 6904
+rect 50832 6424 50902 6904
+rect 52028 6424 52098 6904
+rect 53224 6424 53294 6904
+rect 54420 6424 54490 6904
+rect 55630 6424 55686 6904
+rect 56826 6424 56882 6904
+rect 58022 6424 58078 6904
+rect 59112 6424 59182 6904
+rect 60308 6424 60378 6904
+rect 61518 6424 61574 6904
+rect 62714 6424 62770 6904
+rect 63910 6424 63966 6904
+rect 65106 6424 65162 6904
+rect 66302 6424 66358 6904
+rect 67484 6424 67554 6904
+rect 68680 6424 68750 6904
+rect 69876 6424 69946 6904
+rect 71072 6424 71142 6904
+rect 72282 6424 72338 6904
+rect 73478 6424 73534 6904
+rect 74674 6424 74730 6904
+rect 75764 6424 75834 6904
+rect 76960 6424 77030 6904
+rect 78170 6424 78226 6904
+rect 79366 6424 79422 6904
+rect 80562 6424 80618 6904
+rect 81758 6424 81814 6904
+rect 82954 6424 83010 6904
+rect 84150 6424 84206 6904
+rect 85332 6424 85402 6904
+rect 86528 6424 86598 6904
+rect 87724 6424 87794 6904
+rect 88934 6424 88990 6904
+rect 90130 6424 90186 6904
+rect 91326 6424 91382 6904
+rect 92416 6424 92486 6904
+rect 93612 6424 93682 6904
+rect 94822 6424 94878 6904
+rect 96018 6424 96074 6904
+rect 97214 6424 97270 6904
+rect 98410 6424 98466 6904
+rect 99606 6424 99662 6904
+rect 100802 6424 100858 6904
+rect 101984 6424 102054 6904
+rect 103180 6424 103250 6904
+rect 104376 6424 104446 6904
+rect 105586 6424 105642 6904
+rect 106782 6424 106838 6904
+rect 107978 6424 108034 6904
+rect 109068 6424 109138 6904
+rect 110264 6424 110334 6904
+rect 111474 6424 111530 6904
+rect 112670 6424 112726 6904
+rect 113866 6424 113922 6904
+rect 115062 6424 115118 6904
+rect 116258 6424 116314 6904
+rect 117454 6424 117510 6904
+rect 118636 6424 118706 6904
+rect 119832 6424 119902 6904
+rect 121028 6424 121098 6904
+rect 122238 6424 122294 6904
+rect 123434 6424 123490 6904
+rect 124630 6424 124686 6904
+rect 125720 6424 125790 6904
+rect 126916 6424 126986 6904
+rect 128126 6424 128182 6904
+rect 129322 6424 129378 6904
+rect 130518 6424 130574 6904
+rect 131714 6424 131770 6904
+rect 132910 6424 132966 6904
+rect 134106 6424 134162 6904
+rect 135302 6424 135358 6904
+rect 136498 6424 136554 6904
+rect 137694 6424 137750 6904
+rect 138890 6424 138946 6904
+rect 140086 6424 140142 6904
+rect 141282 6424 141338 6904
+rect 142386 6424 142442 6904
+rect 143582 6424 143638 6904
+rect 144778 6424 144834 6904
+rect 145974 6424 146030 6904
+rect 147170 6424 147226 6904
+rect 148366 6424 148422 6904
+rect 149562 6424 149618 6904
+rect 150758 6424 150814 6904
+rect 151954 6424 152010 6904
+rect 153150 6424 153206 6904
+rect 154346 6424 154402 6904
+rect 155542 6424 155598 6904
+rect 156738 6424 156794 6904
+rect 157934 6424 157990 6904
+rect 159038 6424 159094 6904
+rect 160234 6424 160290 6904
+rect 161430 6424 161486 6904
+rect 162626 6424 162682 6904
+rect 163822 6424 163878 6904
+rect 165018 6424 165074 6904
+rect 166214 6424 166270 6904
+rect 167410 6424 167466 6904
+rect 168606 6424 168662 6904
+rect 169802 6424 169858 6904
+rect 170998 6424 171054 6904
+rect 172194 6424 172250 6904
+rect 173390 6424 173446 6904
+rect 174586 6424 174642 6904
+rect 175690 6424 175746 6904
+rect 176886 6424 176942 6904
+rect 178082 6424 178138 6904
+rect 179278 6424 179334 6904
+rect 180474 6424 180530 6904
+rect 181670 6424 181726 6904
+rect 182866 6424 182922 6904
+rect 184062 6424 184118 6904
+rect 185258 6424 185314 6904
+rect 186454 6424 186510 6904
+rect 187650 6424 187706 6904
+rect 188846 6424 188902 6904
+rect 190042 6424 190098 6904
+rect 191238 6424 191294 6904
+rect 192342 6424 192398 6904
+rect 193538 6424 193594 6904
+rect 194734 6424 194790 6904
+rect 195930 6424 195986 6904
+rect 197126 6424 197182 6904
+rect 198322 6424 198378 6904
+rect 199518 6424 199574 6904
+rect 200714 6424 200770 6904
+rect 201910 6424 201966 6904
+rect 203106 6424 203162 6904
+rect 204302 6424 204358 6904
+rect 205498 6424 205554 6904
+rect 206694 6424 206750 6904
+rect 207890 6424 207946 6904
+rect 208994 6424 209050 6904
+rect 210190 6424 210246 6904
+rect 211386 6424 211442 6904
+rect 212582 6424 212638 6904
+rect 213778 6424 213834 6904
+rect 214974 6424 215030 6904
+rect 216170 6424 216226 6904
+rect 217366 6424 217422 6904
+rect 218562 6424 218618 6904
+rect 219758 6424 219814 6904
+rect 220954 6424 221010 6904
+rect 222150 6424 222206 6904
+rect 223346 6424 223402 6904
+rect 224542 6424 224598 6904
+rect 225646 6424 225702 6904
+rect 226842 6424 226898 6904
+rect 228038 6424 228094 6904
+rect 229234 6424 229290 6904
+rect 230430 6424 230486 6904
+rect 231626 6424 231682 6904
+rect 232822 6424 232878 6904
+rect 234018 6424 234074 6904
+rect 235214 6424 235270 6904
+rect 236410 6424 236466 6904
+rect 237606 6424 237662 6904
+rect 238802 6424 238858 6904
+rect 239998 6424 240054 6904
+rect 241194 6424 241250 6904
+rect 242298 6424 242354 6904
+rect 243494 6424 243550 6904
+rect 244690 6424 244746 6904
+rect 245886 6424 245942 6904
+rect 247082 6424 247138 6904
+rect 248278 6424 248334 6904
+rect 249474 6424 249530 6904
+rect 250670 6424 250726 6904
+rect 251866 6424 251922 6904
+rect 253062 6424 253118 6904
+rect 254258 6424 254314 6904
+rect 255454 6424 255510 6904
+rect 256650 6424 256706 6904
+rect 257846 6424 257902 6904
+rect 258950 6424 259006 6904
+rect 260146 6424 260202 6904
+rect 261342 6424 261398 6904
+rect 262538 6424 262594 6904
+rect 263734 6424 263790 6904
+rect 264930 6424 264986 6904
+rect 266126 6424 266182 6904
+rect 267322 6424 267378 6904
+rect 268518 6424 268574 6904
+rect 269714 6424 269770 6904
+rect 270910 6424 270966 6904
+rect 272106 6424 272162 6904
+rect 273302 6424 273358 6904
+rect 274498 6424 274554 6904
+rect 275602 6424 275658 6904
+rect 276798 6424 276854 6904
+rect 277994 6424 278050 6904
+rect 279190 6424 279246 6904
+rect 280386 6424 280442 6904
+rect 281582 6424 281638 6904
+rect 282778 6424 282834 6904
+rect 283974 6424 284030 6904
+rect 285170 6424 285226 6904
+rect 286366 6424 286422 6904
+rect 287562 6424 287618 6904
+rect 288758 6424 288814 6904
+rect 289954 6424 290010 6904
+rect 291150 6424 291206 6904
+rect 292254 6424 292310 6904
+rect 293450 6424 293506 6904
+rect 294646 6424 294702 6904
+rect 295842 6424 295898 6904
+rect 297038 6424 297094 6904
+rect 298234 6424 298290 6904
+rect 299430 6424 299486 6904
+rect 300626 6424 300682 6904
+rect 301822 6424 301878 6904
+rect 303018 6424 303074 6904
+rect 304214 6424 304270 6904
+rect 305410 6424 305466 6904
+rect 306606 6424 306662 6904
+rect 307802 6424 307858 6904
+rect 308906 6424 308962 6904
+rect 310102 6424 310158 6904
+rect 311298 6424 311354 6904
+rect 312494 6424 312550 6904
+rect 313690 6424 313746 6904
+rect 314886 6424 314942 6904
+rect 316082 6424 316138 6904
+rect 317278 6424 317334 6904
+rect 318474 6424 318530 6904
+rect 319670 6424 319726 6904
+rect 320866 6424 320922 6904
+rect 322062 6424 322118 6904
+rect 323258 6424 323314 6904
+rect 324454 6424 324510 6904
+rect 325558 6424 325614 6904
+rect 326754 6424 326810 6904
+rect 327950 6424 328006 6904
+rect 329146 6424 329202 6904
+rect 330342 6424 330398 6904
+rect 331538 6424 331594 6904
+rect 332734 6424 332790 6904
+rect 333930 6424 333986 6904
+rect 335126 6424 335182 6904
+rect 336322 6424 336378 6904
+rect 337518 6424 337574 6904
+rect 338714 6424 338770 6904
+rect 339910 6424 339966 6904
+rect 341106 6424 341162 6904
+rect 342210 6424 342266 6904
+rect 343406 6424 343462 6904
+rect 344602 6424 344658 6904
+rect 345798 6424 345854 6904
+rect 346994 6424 347050 6904
+rect 348190 6424 348246 6904
+rect 349386 6424 349442 6904
+rect 350582 6424 350638 6904
+rect 351778 6424 351834 6904
+rect 352974 6424 353030 6904
+rect 354170 6424 354226 6904
+rect 355366 6424 355422 6904
+rect 356562 6424 356618 6904
+rect 357758 6424 357814 6904
+rect 358862 6424 358918 6904
+rect 360058 6424 360114 6904
+rect 361254 6424 361310 6904
+rect 362450 6424 362506 6904
+rect 363646 6424 363702 6904
+rect 364842 6424 364898 6904
+rect 366038 6424 366094 6904
+rect 367234 6424 367290 6904
+rect 368430 6424 368486 6904
+rect 369626 6424 369682 6904
+rect 370822 6424 370878 6904
+rect 372018 6424 372074 6904
+rect 373214 6424 373270 6904
+rect 374410 6424 374466 6904
+rect 375514 6424 375570 6904
+rect 376710 6424 376766 6904
+rect 377906 6424 377962 6904
+rect 379102 6424 379158 6904
+rect 380298 6424 380354 6904
+rect 381494 6424 381550 6904
+rect 382690 6424 382746 6904
+rect 383886 6424 383942 6904
+rect 385082 6424 385138 6904
+rect 386278 6424 386334 6904
+rect 387474 6424 387530 6904
+rect 388670 6424 388726 6904
+rect 389866 6424 389922 6904
+rect 391062 6424 391118 6904
+rect 392166 6424 392222 6904
+rect 393362 6424 393418 6904
+rect 394558 6424 394614 6904
+rect 395754 6424 395810 6904
+rect 396950 6424 397006 6904
+rect 398146 6424 398202 6904
+rect 399342 6424 399398 6904
+rect 400538 6424 400594 6904
+rect 401734 6424 401790 6904
+rect 402930 6424 402986 6904
+rect 404126 6424 404182 6904
+rect 405322 6424 405378 6904
+rect 406518 6424 406574 6904
+rect 407714 6424 407770 6904
+rect 408818 6424 408874 6904
+rect 410014 6424 410070 6904
+rect 411210 6424 411266 6904
+rect 412406 6424 412462 6904
+rect 413602 6424 413658 6904
+rect 414798 6424 414854 6904
+rect 415994 6424 416050 6904
+rect 417190 6424 417246 6904
+rect 418386 6424 418442 6904
+rect 419582 6424 419638 6904
+rect 420778 6424 420834 6904
+rect 421974 6424 422030 6904
+rect 423170 6424 423226 6904
+rect 424366 6424 424422 6904
+rect 425470 6424 425526 6904
+rect 426666 6424 426722 6904
+rect 427862 6424 427918 6904
+rect 429058 6424 429114 6904
+rect 430254 6424 430310 6904
+rect 431450 6424 431506 6904
+rect 432646 6424 432702 6904
+rect 433842 6424 433898 6904
+rect 435038 6424 435094 6904
+rect 436234 6424 436290 6904
+rect 437430 6424 437486 6904
+rect 438626 6424 438682 6904
+rect 439822 6424 439878 6904
+rect 441018 6424 441074 6904
+rect 442122 6424 442178 6904
+rect 443318 6424 443374 6904
+rect 444514 6424 444570 6904
+rect 445710 6424 445766 6904
+rect 446906 6424 446962 6904
+rect 448102 6424 448158 6904
+rect 449298 6424 449354 6904
+rect 450494 6424 450550 6904
+rect 451690 6424 451746 6904
+rect 452886 6424 452942 6904
+rect 454082 6424 454138 6904
+rect 455278 6424 455334 6904
+rect 456474 6424 456530 6904
+rect 457670 6424 457726 6904
+rect 458774 6424 458830 6904
+rect 459970 6424 460026 6904
+rect 461166 6424 461222 6904
+rect 462362 6424 462418 6904
+rect 463558 6424 463614 6904
+rect 464754 6424 464810 6904
+rect 465950 6424 466006 6904
+rect 467146 6424 467202 6904
+rect 468342 6424 468398 6904
+rect 469538 6424 469594 6904
+rect 470734 6424 470790 6904
+rect 471930 6424 471986 6904
+rect 473126 6424 473182 6904
+rect 474322 6424 474378 6904
+rect 475426 6424 475482 6904
+rect 476622 6424 476678 6904
+rect 477818 6424 477874 6904
+rect 479014 6424 479070 6904
+rect 480210 6424 480266 6904
+rect 481406 6424 481462 6904
+rect 482602 6424 482658 6904
+rect 483798 6424 483854 6904
+rect 484994 6424 485050 6904
+rect 486190 6424 486246 6904
+rect 487386 6424 487442 6904
+rect 488582 6424 488638 6904
+rect 489778 6424 489834 6904
+rect 490974 6424 491030 6904
+rect 492078 6424 492134 6904
+rect 493274 6424 493330 6904
+rect 494470 6424 494526 6904
+rect 495666 6424 495722 6904
+rect 496862 6424 496918 6904
+rect 498058 6424 498114 6904
+rect 499254 6424 499310 6904
+rect 500450 6424 500506 6904
+rect 501646 6424 501702 6904
+rect 502842 6424 502898 6904
+rect 504038 6424 504094 6904
+rect 505234 6424 505290 6904
+rect 506430 6424 506486 6904
+rect 507626 6424 507682 6904
+rect 508730 6424 508786 6904
+rect 509926 6424 509982 6904
+rect 511122 6424 511178 6904
+rect 512318 6424 512374 6904
+rect 513514 6424 513570 6904
+rect 514710 6424 514766 6904
+rect 515906 6424 515962 6904
+rect 517102 6424 517158 6904
+rect 518298 6424 518354 6904
+rect 519494 6424 519550 6904
+rect 520690 6424 520746 6904
+rect 521886 6424 521942 6904
+rect 523082 6424 523138 6904
+rect 524278 6424 524334 6904
+rect 525382 6424 525438 6904
+rect 526578 6424 526634 6904
+rect 527774 6424 527830 6904
+rect 528970 6424 529026 6904
+rect 530166 6424 530222 6904
+rect 531362 6424 531418 6904
+rect 532558 6424 532614 6904
+rect 533754 6424 533810 6904
+rect 534950 6424 535006 6904
+rect 536146 6424 536202 6904
+rect 537342 6424 537398 6904
+rect 538538 6424 538594 6904
+rect 539734 6424 539790 6904
+rect 540930 6424 540986 6904
+rect 542034 6424 542090 6904
+rect 543230 6424 543286 6904
+rect 544426 6424 544482 6904
+rect 545622 6424 545678 6904
+rect 546818 6424 546874 6904
+rect 548014 6424 548070 6904
+rect 549210 6424 549266 6904
+rect 550406 6424 550462 6904
+rect 551602 6424 551658 6904
+rect 552798 6424 552854 6904
+rect 553994 6424 554050 6904
+rect 555190 6424 555246 6904
+rect 556386 6424 556442 6904
+rect 557582 6424 557638 6904
+rect 558686 6424 558742 6904
+rect 559882 6424 559938 6904
+rect 561078 6424 561134 6904
+rect 562274 6424 562330 6904
+rect 563470 6424 563526 6904
+rect 564666 6424 564722 6904
+rect 565862 6424 565918 6904
+rect 567058 6424 567114 6904
+rect 568254 6424 568310 6904
+rect 569450 6424 569506 6904
+rect 570646 6424 570702 6904
+rect 571842 6424 571898 6904
+rect 573038 6424 573094 6904
+rect 574234 6424 574290 6904
+rect 575338 6424 575394 6904
+rect 576534 6424 576590 6904
+rect 577730 6424 577786 6904
+rect 578926 6424 578982 6904
+rect 580122 6424 580178 6904
+rect 581318 6424 581374 6904
+rect 582514 6424 582570 6904
+rect 583710 6424 583766 6904
+rect 584906 6424 584962 6904
+rect 586102 6424 586158 6904
+rect 587298 6424 587354 6904
+rect 588494 6424 588550 6904
+rect 589690 6424 589746 6904
+rect 590886 6424 590942 6904
+<< via2 >>
+rect 11636 10750 11666 10802
+rect 11700 10750 11746 10802
+rect 11770 10750 11816 10802
+rect 11850 10750 11880 10802
+rect 11636 9662 11666 9714
+rect 11700 9662 11746 9714
+rect 11770 9662 11816 9714
+rect 11850 9662 11880 9714
+rect 11636 8574 11666 8626
+rect 11700 8574 11746 8626
+rect 11770 8574 11816 8626
+rect 11850 8574 11880 8626
+rect 18174 8912 18226 8932
+rect 22680 9592 22736 9648
+rect 23878 8776 23930 8796
+rect 25534 8948 25586 8968
+rect 25810 8812 25862 8832
+rect 26996 10206 27026 10258
+rect 27060 10206 27106 10258
+rect 27130 10206 27176 10258
+rect 27210 10206 27240 10258
+rect 26996 9118 27026 9170
+rect 27060 9118 27106 9170
+rect 27130 9118 27176 9170
+rect 27210 9118 27240 9170
+rect 27648 9592 27704 9648
+rect 28108 9184 28164 9240
+rect 29396 9612 29452 9648
+rect 29396 9592 29398 9612
+rect 29398 9592 29450 9612
+rect 29450 9592 29452 9612
+rect 31790 10544 31842 10564
+rect 34824 9184 34880 9240
+rect 37034 8948 37086 8968
+rect 38138 10408 38190 10428
+rect 40806 10308 40858 10328
+rect 42356 10750 42386 10802
+rect 42420 10750 42466 10802
+rect 42490 10750 42536 10802
+rect 42570 10750 42600 10802
+rect 42356 9662 42386 9714
+rect 42420 9662 42466 9714
+rect 42490 9662 42536 9714
+rect 42570 9662 42600 9714
+rect 42356 8574 42386 8626
+rect 42420 8574 42466 8626
+rect 42490 8574 42536 8626
+rect 42570 8574 42600 8626
+rect 42460 8368 42516 8424
+rect 45774 10580 45826 10600
+rect 46142 10036 46194 10056
+rect 45958 8912 46010 8932
+rect 47614 10444 47666 10464
+rect 48258 10000 48310 10020
+rect 48626 10544 48678 10564
+rect 50006 10136 50058 10156
+rect 50466 9456 50518 9476
+rect 52028 9864 52084 9920
+rect 53686 9592 53738 9612
+rect 56814 9356 56866 9376
+rect 57716 10206 57746 10258
+rect 57780 10206 57826 10258
+rect 57850 10206 57896 10258
+rect 57930 10206 57960 10258
+rect 57716 9118 57746 9170
+rect 57780 9118 57826 9170
+rect 57850 9118 57896 9170
+rect 57930 9118 57960 9170
+rect 57734 8912 57786 8932
+rect 58562 8948 58614 8968
+rect 59666 10444 59718 10464
+rect 65554 10580 65606 10600
+rect 67760 9864 67816 9920
+rect 71718 8912 71770 8932
+rect 73076 10750 73106 10802
+rect 73140 10750 73186 10802
+rect 73210 10750 73256 10802
+rect 73290 10750 73320 10802
+rect 73076 9662 73106 9714
+rect 73140 9662 73186 9714
+rect 73210 9662 73256 9714
+rect 73290 9662 73320 9714
+rect 73076 8574 73106 8626
+rect 73140 8574 73186 8626
+rect 73210 8574 73256 8626
+rect 73290 8574 73320 8626
+rect 103796 10750 103826 10802
+rect 103860 10750 103906 10802
+rect 103930 10750 103976 10802
+rect 104010 10750 104040 10802
+rect 88436 10206 88466 10258
+rect 88500 10206 88546 10258
+rect 88570 10206 88616 10258
+rect 88650 10206 88680 10258
+rect 119156 10206 119186 10258
+rect 119220 10206 119266 10258
+rect 119290 10206 119336 10258
+rect 119370 10206 119400 10258
+rect 88436 9118 88466 9170
+rect 88500 9118 88546 9170
+rect 88570 9118 88616 9170
+rect 88650 9118 88680 9170
+rect 103796 9662 103826 9714
+rect 103860 9662 103906 9714
+rect 103930 9662 103976 9714
+rect 104010 9662 104040 9714
+rect 103796 8574 103826 8626
+rect 103860 8574 103906 8626
+rect 103930 8574 103976 8626
+rect 104010 8574 104040 8626
+rect 119156 9118 119186 9170
+rect 119220 9118 119266 9170
+rect 119290 9118 119336 9170
+rect 119370 9118 119400 9170
+<< obsm2 >>
+rect 7868 125944 7924 126424
+rect 8880 125944 8936 126424
+rect 9892 125944 9948 126424
+rect 8894 122226 8922 125944
+rect 8882 122220 8934 122226
+rect 8882 122162 8934 122168
+rect 9906 121886 9934 125944
+rect 9894 121880 9946 121886
+rect 9894 121822 9946 121828
+rect 10800 10802 127248 126424
+rect 10800 10800 11610 10802
+rect 11688 10750 11690 10802
+rect 11752 10750 11764 10802
+rect 11826 10750 11828 10802
+rect 11906 10800 42330 10802
+rect 11666 10748 11690 10750
+rect 11746 10748 11770 10750
+rect 11826 10748 11850 10750
+rect 11610 10728 11906 10748
+rect 10170 10564 10222 10570
+rect 10170 10506 10222 10512
+rect 9434 10360 9486 10366
+rect 9434 10302 9486 10308
+rect 8422 9476 8474 9482
+rect 8422 9418 8474 9424
+rect 7684 9376 7740 9385
+rect 7684 9311 7740 9320
+rect 7502 8320 7554 8326
+rect 7502 8262 7554 8268
+rect 7514 6904 7542 8262
+rect 7698 6904 7726 9311
+rect 8146 9272 8198 9278
+rect 8146 9214 8198 9220
+rect 7962 8864 8014 8870
+rect 7962 8806 8014 8812
+rect 7974 6904 8002 8806
+rect 8158 6904 8186 9214
+rect 8434 6904 8462 9418
+rect 8698 9408 8750 9414
+rect 8698 9350 8750 9356
+rect 8710 6904 8738 9350
+rect 9446 6904 9474 10302
+rect 9618 9340 9670 9346
+rect 9618 9282 9670 9288
+rect 9630 6904 9658 9282
+rect 10182 6904 10210 10506
+rect 10630 10496 10682 10502
+rect 10630 10438 10682 10444
+rect 10642 6904 10670 10438
+rect 11366 10156 11418 10162
+rect 11366 10098 11418 10104
+rect 11090 9544 11142 9550
+rect 11090 9486 11142 9492
+rect 11102 6904 11130 9486
+rect 11378 6904 11406 10098
+rect 12010 10088 12062 10094
+rect 12010 10030 12062 10036
+rect 11610 9716 11906 9736
+rect 11666 9714 11690 9716
+rect 11746 9714 11770 9716
+rect 11826 9714 11850 9716
+rect 11688 9662 11690 9714
+rect 11752 9662 11764 9714
+rect 11826 9662 11828 9714
+rect 11666 9660 11690 9662
+rect 11746 9660 11770 9662
+rect 11826 9660 11850 9662
+rect 11610 9640 11906 9660
+rect 11610 8628 11906 8648
+rect 11666 8626 11690 8628
+rect 11746 8626 11770 8628
+rect 11826 8626 11850 8628
+rect 11688 8574 11690 8626
+rect 11752 8574 11764 8626
+rect 11826 8574 11828 8626
+rect 11666 8572 11690 8574
+rect 11746 8572 11770 8574
+rect 11826 8572 11850 8574
+rect 11610 8552 11906 8572
+rect 12022 7866 12050 10030
+rect 11654 7838 12050 7866
+rect 11654 6904 11682 7838
+rect 12114 6904 12142 10800
+rect 12378 9952 12430 9958
+rect 12378 9894 12430 9900
+rect 12390 6904 12418 9894
+rect 12942 9362 12970 10800
+rect 13034 10570 13062 10800
+rect 13022 10564 13074 10570
+rect 13022 10506 13074 10512
+rect 14586 10564 14638 10570
+rect 14586 10506 14638 10512
+rect 14494 10156 14546 10162
+rect 14494 10098 14546 10104
+rect 14506 10065 14534 10098
+rect 14492 10056 14548 10065
+rect 14492 9991 14548 10000
+rect 13848 9920 13904 9929
+rect 13298 9884 13350 9890
+rect 13848 9855 13904 9864
+rect 13298 9826 13350 9832
+rect 12758 9346 12970 9362
+rect 12746 9340 12970 9346
+rect 12798 9334 12970 9340
+rect 12746 9282 12798 9288
+rect 13114 8932 13166 8938
+rect 13114 8874 13166 8880
+rect 12562 8796 12614 8802
+rect 12562 8738 12614 8744
+rect 12574 6904 12602 8738
+rect 13126 6904 13154 8874
+rect 13310 6904 13338 9826
+rect 13862 6904 13890 9855
+rect 14034 9816 14086 9822
+rect 14034 9758 14086 9764
+rect 14046 6904 14074 9758
+rect 14598 6904 14626 10506
+rect 14782 6904 14810 10800
+rect 15334 6904 15362 10800
+rect 15506 10360 15558 10366
+rect 15506 10302 15558 10308
+rect 15412 10192 15468 10201
+rect 15412 10127 15468 10136
+rect 15426 7458 15454 10127
+rect 15518 8530 15546 10302
+rect 15598 10020 15650 10026
+rect 15598 9962 15650 9968
+rect 15782 10020 15834 10026
+rect 15782 9962 15834 9968
+rect 15610 9906 15638 9962
+rect 15794 9929 15822 9962
+rect 15780 9920 15836 9929
+rect 15610 9878 15730 9906
+rect 15702 9482 15730 9878
+rect 15780 9855 15836 9864
+rect 15690 9476 15742 9482
+rect 15690 9418 15742 9424
+rect 15702 9006 15730 9418
+rect 15690 9000 15742 9006
+rect 15690 8942 15742 8948
+rect 15506 8524 15558 8530
+rect 15506 8466 15558 8472
+rect 15426 7430 15546 7458
+rect 15518 6904 15546 7430
+rect 16070 6904 16098 10800
+rect 16162 10026 16190 10800
+rect 16346 10434 16374 10800
+rect 17082 10502 17110 10800
+rect 17070 10496 17122 10502
+rect 17070 10438 17122 10444
+rect 16334 10428 16386 10434
+rect 16334 10370 16386 10376
+rect 17358 10094 17386 10800
+rect 17346 10088 17398 10094
+rect 17346 10030 17398 10036
+rect 16150 10020 16202 10026
+rect 16150 9962 16202 9968
+rect 17450 9940 17478 10800
+rect 16884 9920 16940 9929
+rect 16884 9855 16940 9864
+rect 17266 9912 17478 9940
+rect 16240 9784 16296 9793
+rect 16240 9719 16296 9728
+rect 16254 6904 16282 9719
+rect 16794 9612 16846 9618
+rect 16794 9554 16846 9560
+rect 16806 6904 16834 9554
+rect 16898 7458 16926 9855
+rect 17266 9822 17294 9912
+rect 17254 9816 17306 9822
+rect 17254 9758 17306 9764
+rect 17438 9816 17490 9822
+rect 17438 9758 17490 9764
+rect 16978 9340 17030 9346
+rect 16978 9282 17030 9288
+rect 16990 8462 17018 9282
+rect 17070 9272 17122 9278
+rect 17070 9214 17122 9220
+rect 17254 9272 17306 9278
+rect 17254 9214 17306 9220
+rect 17082 8734 17110 9214
+rect 17070 8728 17122 8734
+rect 17070 8670 17122 8676
+rect 16978 8456 17030 8462
+rect 16978 8398 17030 8404
+rect 17266 8394 17294 9214
+rect 17254 8388 17306 8394
+rect 17254 8330 17306 8336
+rect 17450 8258 17478 9758
+rect 17438 8252 17490 8258
+rect 17438 8194 17490 8200
+rect 16898 7430 17018 7458
+rect 16990 6904 17018 7430
+rect 17634 10638 17662 10800
+rect 17622 10632 17674 10638
+rect 17622 10574 17674 10580
+rect 17818 9482 17846 10800
+rect 17806 9476 17858 9482
+rect 17806 9418 17858 9424
+rect 17910 9006 17938 10800
+rect 17990 10360 18042 10366
+rect 17990 10302 18042 10308
+rect 18002 9958 18030 10302
+rect 17990 9952 18042 9958
+rect 17990 9894 18042 9900
+rect 18094 9414 18122 10800
+rect 18278 9958 18306 10800
+rect 18554 10706 18582 10800
+rect 18542 10700 18594 10706
+rect 18542 10642 18594 10648
+rect 18358 10564 18410 10570
+rect 18358 10506 18410 10512
+rect 18370 10026 18398 10506
+rect 18358 10020 18410 10026
+rect 18358 9962 18410 9968
+rect 18266 9952 18318 9958
+rect 18266 9894 18318 9900
+rect 18174 9884 18226 9890
+rect 18174 9826 18226 9832
+rect 18186 9634 18214 9826
+rect 18186 9606 18398 9634
+rect 18370 9550 18398 9606
+rect 18358 9544 18410 9550
+rect 18358 9486 18410 9492
+rect 18266 9476 18318 9482
+rect 18266 9418 18318 9424
+rect 18082 9408 18134 9414
+rect 18082 9350 18134 9356
+rect 17898 9000 17950 9006
+rect 17898 8942 17950 8948
+rect 18172 8968 18228 8977
+rect 18172 8903 18174 8912
+rect 18226 8903 18228 8912
+rect 18174 8874 18226 8880
+rect 17714 7980 17766 7986
+rect 17714 7922 17766 7928
+rect 17726 6904 17754 7922
+rect 18278 6904 18306 9418
+rect 18646 9414 18674 10800
+rect 18738 10065 18766 10800
+rect 18724 10056 18780 10065
+rect 18724 9991 18780 10000
+rect 18634 9408 18686 9414
+rect 18686 9356 18858 9362
+rect 18634 9350 18858 9356
+rect 18646 9334 18858 9350
+rect 18542 9272 18594 9278
+rect 18542 9214 18594 9220
+rect 18554 7458 18582 9214
+rect 18830 8938 18858 9334
+rect 18818 8932 18870 8938
+rect 18818 8874 18870 8880
+rect 18462 7430 18582 7458
+rect 18462 6904 18490 7430
+rect 19014 6904 19042 10800
+rect 19198 6904 19226 10800
+rect 19368 10056 19424 10065
+rect 19368 9991 19424 10000
+rect 19382 9822 19410 9991
+rect 19370 9816 19422 9822
+rect 19370 9758 19422 9764
+rect 19474 9006 19502 10800
+rect 19462 9000 19514 9006
+rect 19462 8942 19514 8948
+rect 19566 7866 19594 10800
+rect 19828 10736 19884 10745
+rect 19828 10671 19884 10680
+rect 19842 10638 19870 10671
+rect 19830 10632 19882 10638
+rect 19830 10574 19882 10580
+rect 20026 10570 20054 10800
+rect 19738 10564 19790 10570
+rect 19738 10506 19790 10512
+rect 20014 10564 20066 10570
+rect 20014 10506 20066 10512
+rect 19750 10162 19778 10506
+rect 20118 10162 20146 10800
+rect 19738 10156 19790 10162
+rect 19738 10098 19790 10104
+rect 20106 10156 20158 10162
+rect 20106 10098 20158 10104
+rect 19922 10020 19974 10026
+rect 19842 9980 19922 10008
+rect 19842 9618 19870 9980
+rect 19922 9962 19974 9968
+rect 19830 9612 19882 9618
+rect 19830 9554 19882 9560
+rect 19644 9512 19700 9521
+rect 19644 9447 19700 9456
+rect 19658 9278 19686 9447
+rect 19646 9272 19698 9278
+rect 19646 9214 19698 9220
+rect 19566 7838 19778 7866
+rect 19750 6904 19778 7838
+rect 20106 8932 20158 8938
+rect 20210 8920 20238 10800
+rect 20394 9006 20422 10800
+rect 20670 10745 20698 10800
+rect 20656 10736 20712 10745
+rect 20656 10671 20712 10680
+rect 20656 10600 20712 10609
+rect 20656 10535 20712 10544
+rect 20474 9340 20526 9346
+rect 20474 9282 20526 9288
+rect 20382 9000 20434 9006
+rect 20382 8942 20434 8948
+rect 20158 8892 20238 8920
+rect 20106 8874 20158 8880
+rect 20486 6904 20514 9282
+rect 20670 6904 20698 10535
+rect 20946 9278 20974 10800
+rect 21130 9550 21158 10800
+rect 21222 10094 21250 10800
+rect 21498 10570 21526 10800
+rect 21486 10564 21538 10570
+rect 21486 10506 21538 10512
+rect 21682 10366 21710 10800
+rect 21670 10360 21722 10366
+rect 21670 10302 21722 10308
+rect 21774 10201 21802 10800
+rect 21760 10192 21816 10201
+rect 21760 10127 21816 10136
+rect 21210 10088 21262 10094
+rect 21210 10030 21262 10036
+rect 21210 9952 21262 9958
+rect 21210 9894 21262 9900
+rect 21118 9544 21170 9550
+rect 21118 9486 21170 9492
+rect 21222 9414 21250 9894
+rect 21774 9822 21802 10127
+rect 21762 9816 21814 9822
+rect 21762 9758 21814 9764
+rect 21866 9634 21894 10800
+rect 21958 10337 21986 10800
+rect 21944 10328 22000 10337
+rect 21944 10263 22000 10272
+rect 21958 9793 21986 10263
+rect 21944 9784 22000 9793
+rect 21944 9719 22000 9728
+rect 21394 9612 21446 9618
+rect 21866 9606 21986 9634
+rect 21394 9554 21446 9560
+rect 21210 9408 21262 9414
+rect 21210 9350 21262 9356
+rect 20934 9272 20986 9278
+rect 20934 9214 20986 9220
+rect 21210 7844 21262 7850
+rect 21210 7786 21262 7792
+rect 21222 6904 21250 7786
+rect 21406 6904 21434 9554
+rect 21958 6904 21986 9606
+rect 22050 9006 22078 10800
+rect 22128 10464 22184 10473
+rect 22128 10399 22184 10408
+rect 22038 9000 22090 9006
+rect 22038 8942 22090 8948
+rect 22142 6904 22170 10399
+rect 22326 8122 22354 10800
+rect 22418 10026 22446 10800
+rect 22694 10502 22722 10800
+rect 22682 10496 22734 10502
+rect 22682 10438 22734 10444
+rect 22866 10496 22918 10502
+rect 22866 10438 22918 10444
+rect 22878 10337 22906 10438
+rect 22864 10328 22920 10337
+rect 22864 10263 22920 10272
+rect 22956 10056 23012 10065
+rect 22406 10020 22458 10026
+rect 22956 9991 23012 10000
+rect 22406 9962 22458 9968
+rect 22498 9952 22550 9958
+rect 22498 9894 22550 9900
+rect 22864 9920 22920 9929
+rect 22510 9414 22538 9894
+rect 22864 9855 22920 9864
+rect 22498 9408 22550 9414
+rect 22498 9350 22550 9356
+rect 22590 9340 22642 9346
+rect 22590 9282 22642 9288
+rect 22314 8116 22366 8122
+rect 22314 8058 22366 8064
+rect 22602 6904 22630 9282
+rect 22878 6904 22906 9855
+rect 22970 9482 22998 9991
+rect 22958 9476 23010 9482
+rect 22958 9418 23010 9424
+rect 23154 9346 23182 10800
+rect 23246 9793 23274 10800
+rect 23232 9784 23288 9793
+rect 23232 9719 23288 9728
+rect 23142 9340 23194 9346
+rect 23142 9282 23194 9288
+rect 23050 8932 23102 8938
+rect 23050 8874 23102 8880
+rect 23062 8530 23090 8874
+rect 23050 8524 23102 8530
+rect 23050 8466 23102 8472
+rect 23338 6904 23366 10800
+rect 23430 7918 23458 10800
+rect 23522 8530 23550 10800
+rect 23614 9482 23642 10800
+rect 23602 9476 23654 9482
+rect 23602 9418 23654 9424
+rect 23694 9476 23746 9482
+rect 23694 9418 23746 9424
+rect 23706 8870 23734 9418
+rect 23798 9346 23826 10800
+rect 23878 10360 23930 10366
+rect 23878 10302 23930 10308
+rect 23890 9793 23918 10302
+rect 23876 9784 23932 9793
+rect 23876 9719 23932 9728
+rect 23878 9544 23930 9550
+rect 23982 9532 24010 10800
+rect 24074 10026 24102 10800
+rect 24350 10570 24378 10800
+rect 24338 10564 24390 10570
+rect 24338 10506 24390 10512
+rect 24062 10020 24114 10026
+rect 24062 9962 24114 9968
+rect 23930 9504 24010 9532
+rect 24062 9544 24114 9550
+rect 23878 9486 23930 9492
+rect 24062 9486 24114 9492
+rect 23878 9408 23930 9414
+rect 23878 9350 23930 9356
+rect 23786 9340 23838 9346
+rect 23786 9282 23838 9288
+rect 23694 8864 23746 8870
+rect 23890 8841 23918 9350
+rect 23694 8806 23746 8812
+rect 23876 8832 23932 8841
+rect 23510 8524 23562 8530
+rect 23510 8466 23562 8472
+rect 23706 8190 23734 8806
+rect 23876 8767 23878 8776
+rect 23930 8767 23932 8776
+rect 23878 8738 23930 8744
+rect 23890 8707 23918 8738
+rect 24074 8734 24102 9486
+rect 24154 9340 24206 9346
+rect 24154 9282 24206 9288
+rect 24062 8728 24114 8734
+rect 24062 8670 24114 8676
+rect 23694 8184 23746 8190
+rect 23694 8126 23746 8132
+rect 23418 7912 23470 7918
+rect 23418 7854 23470 7860
+rect 23602 7912 23654 7918
+rect 24166 7866 24194 9282
+rect 24626 9260 24654 10800
+rect 23602 7854 23654 7860
+rect 23614 6904 23642 7854
+rect 24074 7838 24194 7866
+rect 24350 9232 24654 9260
+rect 24074 6904 24102 7838
+rect 24350 6904 24378 9232
+rect 24718 9006 24746 10800
+rect 24706 9000 24758 9006
+rect 24706 8942 24758 8948
+rect 24706 8728 24758 8734
+rect 24706 8670 24758 8676
+rect 24718 8054 24746 8670
+rect 24706 8048 24758 8054
+rect 24706 7990 24758 7996
+rect 24810 6904 24838 10800
+rect 25166 10496 25218 10502
+rect 25166 10438 25218 10444
+rect 25074 10428 25126 10434
+rect 25074 10370 25126 10376
+rect 25086 6904 25114 10370
+rect 25178 10337 25206 10438
+rect 25164 10328 25220 10337
+rect 25164 10263 25220 10272
+rect 25178 9958 25206 10263
+rect 25270 10026 25298 10800
+rect 25258 10020 25310 10026
+rect 25258 9962 25310 9968
+rect 25166 9952 25218 9958
+rect 25166 9894 25218 9900
+rect 25178 9550 25206 9894
+rect 25166 9544 25218 9550
+rect 25166 9486 25218 9492
+rect 25362 7850 25390 10800
+rect 25546 10609 25574 10800
+rect 25532 10600 25588 10609
+rect 25532 10535 25588 10544
+rect 25546 10434 25574 10535
+rect 25534 10428 25586 10434
+rect 25534 10370 25586 10376
+rect 25638 9074 25666 10800
+rect 25730 10502 25758 10800
+rect 25718 10496 25770 10502
+rect 25718 10438 25770 10444
+rect 25718 9476 25770 9482
+rect 25822 9464 25850 10800
+rect 25770 9436 25850 9464
+rect 25718 9418 25770 9424
+rect 25626 9068 25678 9074
+rect 25626 9010 25678 9016
+rect 25534 9000 25586 9006
+rect 25532 8968 25534 8977
+rect 25586 8968 25588 8977
+rect 25532 8903 25588 8912
+rect 25810 8864 25862 8870
+rect 25808 8832 25810 8841
+rect 25862 8832 25864 8841
+rect 25808 8767 25864 8776
+rect 25350 7844 25402 7850
+rect 25350 7786 25402 7792
+rect 26006 7714 26034 10800
+rect 26190 10094 26218 10800
+rect 26178 10088 26230 10094
+rect 26178 10030 26230 10036
+rect 26086 9952 26138 9958
+rect 26086 9894 26138 9900
+rect 26098 7986 26126 9894
+rect 26190 9521 26218 10030
+rect 26176 9512 26232 9521
+rect 26176 9447 26232 9456
+rect 26086 7980 26138 7986
+rect 26086 7922 26138 7928
+rect 25534 7708 25586 7714
+rect 25534 7650 25586 7656
+rect 25994 7708 26046 7714
+rect 25994 7650 26046 7656
+rect 25546 6904 25574 7650
+rect 26282 6904 26310 10800
+rect 26454 10496 26506 10502
+rect 26454 10438 26506 10444
+rect 26466 10337 26494 10438
+rect 26452 10328 26508 10337
+rect 26452 10263 26508 10272
+rect 26362 8728 26414 8734
+rect 26362 8670 26414 8676
+rect 26374 7986 26402 8670
+rect 26362 7980 26414 7986
+rect 26362 7922 26414 7928
+rect 26558 6904 26586 10800
+rect 26650 10638 26678 10800
+rect 26638 10632 26690 10638
+rect 26638 10574 26690 10580
+rect 26638 10360 26690 10366
+rect 26638 10302 26690 10308
+rect 26650 10162 26678 10302
+rect 26638 10156 26690 10162
+rect 26638 10098 26690 10104
+rect 26742 10026 26770 10800
+rect 27374 10428 27426 10434
+rect 27374 10370 27426 10376
+rect 26970 10260 27266 10280
+rect 27026 10258 27050 10260
+rect 27106 10258 27130 10260
+rect 27186 10258 27210 10260
+rect 27048 10206 27050 10258
+rect 27112 10206 27124 10258
+rect 27186 10206 27188 10258
+rect 27026 10204 27050 10206
+rect 27106 10204 27130 10206
+rect 27186 10204 27210 10206
+rect 26970 10184 27266 10204
+rect 26730 10020 26782 10026
+rect 26730 9962 26782 9968
+rect 26914 9952 26966 9958
+rect 26914 9894 26966 9900
+rect 26926 9550 26954 9894
+rect 27280 9784 27336 9793
+rect 27280 9719 27336 9728
+rect 26914 9544 26966 9550
+rect 26914 9486 26966 9492
+rect 26970 9172 27266 9192
+rect 27026 9170 27050 9172
+rect 27106 9170 27130 9172
+rect 27186 9170 27210 9172
+rect 27048 9118 27050 9170
+rect 27112 9118 27124 9170
+rect 27186 9118 27188 9170
+rect 27026 9116 27050 9118
+rect 27106 9116 27130 9118
+rect 27186 9116 27210 9118
+rect 26970 9096 27266 9116
+rect 27190 8932 27242 8938
+rect 27190 8874 27242 8880
+rect 27202 7782 27230 8874
+rect 27190 7776 27242 7782
+rect 27190 7718 27242 7724
+rect 27294 6904 27322 9719
+rect 27386 9482 27414 10370
+rect 27374 9476 27426 9482
+rect 27374 9418 27426 9424
+rect 27386 8938 27414 9418
+rect 27570 9346 27598 10800
+rect 27754 10706 27782 10800
+rect 27742 10700 27794 10706
+rect 27742 10642 27794 10648
+rect 27846 10638 27874 10800
+rect 27834 10632 27886 10638
+rect 27834 10574 27886 10580
+rect 27938 10502 27966 10800
+rect 27926 10496 27978 10502
+rect 27926 10438 27978 10444
+rect 27742 10360 27794 10366
+rect 27742 10302 27794 10308
+rect 27558 9340 27610 9346
+rect 27558 9282 27610 9288
+rect 27374 8932 27426 8938
+rect 27374 8874 27426 8880
+rect 27466 8932 27518 8938
+rect 27466 8874 27518 8880
+rect 27374 8796 27426 8802
+rect 27374 8738 27426 8744
+rect 27386 8274 27414 8738
+rect 27478 8462 27506 8874
+rect 27466 8456 27518 8462
+rect 27466 8398 27518 8404
+rect 27558 8456 27610 8462
+rect 27558 8398 27610 8404
+rect 27570 8274 27598 8398
+rect 27386 8246 27598 8274
+rect 27386 8190 27414 8246
+rect 27374 8184 27426 8190
+rect 27374 8126 27426 8132
+rect 27754 6904 27782 10302
+rect 27938 10026 27966 10438
+rect 28030 10434 28058 10800
+rect 28386 10564 28438 10570
+rect 28386 10506 28438 10512
+rect 28018 10428 28070 10434
+rect 28018 10370 28070 10376
+rect 28398 10094 28426 10506
+rect 28386 10088 28438 10094
+rect 28386 10030 28438 10036
+rect 27926 10020 27978 10026
+rect 27926 9962 27978 9968
+rect 28016 9512 28072 9521
+rect 28016 9447 28072 9456
+rect 28030 6904 28058 9447
+rect 28766 9414 28794 10800
+rect 29134 10745 29162 10800
+rect 29120 10736 29176 10745
+rect 29030 10700 29082 10706
+rect 29120 10671 29176 10680
+rect 29030 10642 29082 10648
+rect 29042 9414 29070 10642
+rect 28754 9408 28806 9414
+rect 28754 9350 28806 9356
+rect 29030 9408 29082 9414
+rect 29030 9350 29082 9356
+rect 28202 9272 28254 9278
+rect 28202 9214 28254 9220
+rect 28478 9272 28530 9278
+rect 28478 9214 28530 9220
+rect 28214 9074 28242 9214
+rect 28202 9068 28254 9074
+rect 28202 9010 28254 9016
+rect 28490 6904 28518 9214
+rect 28754 8184 28806 8190
+rect 28754 8126 28806 8132
+rect 28766 6904 28794 8126
+rect 29226 6904 29254 10800
+rect 29304 10464 29360 10473
+rect 29304 10399 29360 10408
+rect 29318 9618 29346 10399
+rect 29594 10026 29622 10800
+rect 29686 10638 29714 10800
+rect 29674 10632 29726 10638
+rect 29674 10574 29726 10580
+rect 29778 10094 29806 10800
+rect 29950 10496 30002 10502
+rect 30146 10473 30174 10800
+rect 30422 10706 30450 10800
+rect 30514 10706 30542 10800
+rect 30410 10700 30462 10706
+rect 30410 10642 30462 10648
+rect 30502 10700 30554 10706
+rect 30502 10642 30554 10648
+rect 29950 10438 30002 10444
+rect 30132 10464 30188 10473
+rect 29766 10088 29818 10094
+rect 29766 10030 29818 10036
+rect 29582 10020 29634 10026
+rect 29582 9962 29634 9968
+rect 29306 9612 29358 9618
+rect 29962 9618 29990 10438
+rect 30132 10399 30188 10408
+rect 30146 9822 30174 10399
+rect 30514 10337 30542 10642
+rect 30500 10328 30556 10337
+rect 30500 10263 30556 10272
+rect 30134 9816 30186 9822
+rect 30606 9804 30634 10800
+rect 30790 10570 30818 10800
+rect 30778 10564 30830 10570
+rect 30778 10506 30830 10512
+rect 30974 10434 31002 10800
+rect 30962 10428 31014 10434
+rect 30962 10370 31014 10376
+rect 30960 10328 31016 10337
+rect 30960 10263 31016 10272
+rect 30778 9952 30830 9958
+rect 30778 9894 30830 9900
+rect 30790 9804 30818 9894
+rect 30134 9758 30186 9764
+rect 30224 9784 30280 9793
+rect 30606 9776 30818 9804
+rect 30224 9719 30280 9728
+rect 29306 9554 29358 9560
+rect 29950 9612 30002 9618
+rect 29950 9554 30002 9560
+rect 29490 9544 29542 9550
+rect 29490 9486 29542 9492
+rect 29502 9414 29530 9486
+rect 29490 9408 29542 9414
+rect 29490 9350 29542 9356
+rect 29490 7708 29542 7714
+rect 29490 7650 29542 7656
+rect 29502 6904 29530 7650
+rect 29950 7504 30002 7510
+rect 29950 7446 30002 7452
+rect 29962 6904 29990 7446
+rect 30238 6904 30266 9719
+rect 30686 9612 30738 9618
+rect 30686 9554 30738 9560
+rect 30698 6904 30726 9554
+rect 30790 9482 30818 9776
+rect 30778 9476 30830 9482
+rect 30778 9418 30830 9424
+rect 30790 8938 30818 9418
+rect 30778 8932 30830 8938
+rect 30778 8874 30830 8880
+rect 30974 8190 31002 10263
+rect 31066 10026 31094 10800
+rect 31054 10020 31106 10026
+rect 31054 9962 31106 9968
+rect 30962 8184 31014 8190
+rect 30962 8126 31014 8132
+rect 31158 7986 31186 10800
+rect 31342 10570 31370 10800
+rect 31330 10564 31382 10570
+rect 31330 10506 31382 10512
+rect 31526 10450 31554 10800
+rect 31250 10422 31554 10450
+rect 31604 10464 31660 10473
+rect 31250 8870 31278 10422
+rect 31604 10399 31660 10408
+rect 31422 10156 31474 10162
+rect 31422 10098 31474 10104
+rect 31328 9920 31384 9929
+rect 31328 9855 31384 9864
+rect 31342 9278 31370 9855
+rect 31330 9272 31382 9278
+rect 31330 9214 31382 9220
+rect 31238 8864 31290 8870
+rect 31238 8806 31290 8812
+rect 31330 8796 31382 8802
+rect 31330 8738 31382 8744
+rect 31342 8530 31370 8738
+rect 31330 8524 31382 8530
+rect 31330 8466 31382 8472
+rect 31146 7980 31198 7986
+rect 31146 7922 31198 7928
+rect 30962 7640 31014 7646
+rect 30962 7582 31014 7588
+rect 30974 6904 31002 7582
+rect 31434 6904 31462 10098
+rect 31618 9521 31646 10399
+rect 31710 9600 31738 10800
+rect 31788 10600 31844 10609
+rect 31788 10535 31790 10544
+rect 31842 10535 31844 10544
+rect 31790 10506 31842 10512
+rect 31894 10065 31922 10800
+rect 31880 10056 31936 10065
+rect 31880 9991 31936 10000
+rect 31710 9572 31830 9600
+rect 31802 9521 31830 9572
+rect 31604 9512 31660 9521
+rect 31604 9447 31660 9456
+rect 31788 9512 31844 9521
+rect 31788 9447 31844 9456
+rect 31894 9226 31922 9991
+rect 32078 9414 32106 10800
+rect 32066 9408 32118 9414
+rect 32066 9350 32118 9356
+rect 32066 9272 32118 9278
+rect 31894 9220 32066 9226
+rect 31894 9214 32118 9220
+rect 31894 9198 32106 9214
+rect 31698 7844 31750 7850
+rect 31698 7786 31750 7792
+rect 31710 6904 31738 7786
+rect 32170 6904 32198 10800
+rect 32446 10201 32474 10800
+rect 32432 10192 32488 10201
+rect 32538 10162 32566 10800
+rect 32432 10127 32488 10136
+rect 32526 10156 32578 10162
+rect 32526 10098 32578 10104
+rect 32434 10088 32486 10094
+rect 32434 10030 32486 10036
+rect 32446 6904 32474 10030
+rect 32630 10026 32658 10800
+rect 32722 10706 32750 10800
+rect 32710 10700 32762 10706
+rect 32710 10642 32762 10648
+rect 32998 10065 33026 10800
+rect 32984 10056 33040 10065
+rect 32618 10020 32670 10026
+rect 32984 9991 33040 10000
+rect 32618 9962 32670 9968
+rect 32526 9000 32578 9006
+rect 32526 8942 32578 8948
+rect 32538 8190 32566 8942
+rect 32618 8728 32670 8734
+rect 32618 8670 32670 8676
+rect 32802 8728 32854 8734
+rect 32802 8670 32854 8676
+rect 32630 8190 32658 8670
+rect 32526 8184 32578 8190
+rect 32526 8126 32578 8132
+rect 32618 8184 32670 8190
+rect 32618 8126 32670 8132
+rect 32814 7918 32842 8670
+rect 32894 8388 32946 8394
+rect 32894 8330 32946 8336
+rect 32802 7912 32854 7918
+rect 32802 7854 32854 7860
+rect 32906 6904 32934 8330
+rect 33090 7918 33118 10800
+rect 33458 9550 33486 10800
+rect 33446 9544 33498 9550
+rect 33446 9486 33498 9492
+rect 33170 9272 33222 9278
+rect 33170 9214 33222 9220
+rect 33078 7912 33130 7918
+rect 33078 7854 33130 7860
+rect 33182 6904 33210 9214
+rect 33642 6904 33670 10800
+rect 33826 8054 33854 10800
+rect 34088 10600 34144 10609
+rect 34088 10535 34144 10544
+rect 34102 10502 34130 10535
+rect 34090 10496 34142 10502
+rect 34090 10438 34142 10444
+rect 34194 10026 34222 10800
+rect 34378 10570 34406 10800
+rect 34366 10564 34418 10570
+rect 34366 10506 34418 10512
+rect 34182 10020 34234 10026
+rect 34182 9962 34234 9968
+rect 34182 9476 34234 9482
+rect 34182 9418 34234 9424
+rect 33814 8048 33866 8054
+rect 33814 7990 33866 7996
+rect 34194 7850 34222 9418
+rect 34470 9006 34498 10800
+rect 34458 9000 34510 9006
+rect 34458 8942 34510 8948
+rect 34562 7850 34590 10800
+rect 34930 8938 34958 10800
+rect 34918 8932 34970 8938
+rect 34918 8874 34970 8880
+rect 34826 8864 34878 8870
+rect 34826 8806 34878 8812
+rect 34838 8054 34866 8806
+rect 34826 8048 34878 8054
+rect 34826 7990 34878 7996
+rect 34182 7844 34234 7850
+rect 34182 7786 34234 7792
+rect 34550 7844 34602 7850
+rect 34550 7786 34602 7792
+rect 34366 7776 34418 7782
+rect 34366 7718 34418 7724
+rect 34378 6904 34406 7718
+rect 35022 7646 35050 10800
+rect 35194 9952 35246 9958
+rect 35298 9929 35326 10800
+rect 35194 9894 35246 9900
+rect 35284 9920 35340 9929
+rect 35102 8252 35154 8258
+rect 35102 8194 35154 8200
+rect 35010 7640 35062 7646
+rect 35010 7582 35062 7588
+rect 35114 6904 35142 8194
+rect 35206 7714 35234 9894
+rect 35284 9855 35340 9864
+rect 35390 9618 35418 10800
+rect 35574 10609 35602 10800
+rect 35560 10600 35616 10609
+rect 35560 10535 35616 10544
+rect 35378 9612 35430 9618
+rect 35378 9554 35430 9560
+rect 35574 9414 35602 10535
+rect 35666 10434 35694 10800
+rect 35746 10564 35798 10570
+rect 35746 10506 35798 10512
+rect 35654 10428 35706 10434
+rect 35654 10370 35706 10376
+rect 35562 9408 35614 9414
+rect 35562 9350 35614 9356
+rect 35654 8932 35706 8938
+rect 35654 8874 35706 8880
+rect 35666 8462 35694 8874
+rect 35654 8456 35706 8462
+rect 35654 8398 35706 8404
+rect 35194 7708 35246 7714
+rect 35194 7650 35246 7656
+rect 35758 7510 35786 10506
+rect 35942 8870 35970 10800
+rect 36770 10502 36798 10800
+rect 36862 10706 36890 10800
+rect 36850 10700 36902 10706
+rect 36850 10642 36902 10648
+rect 36390 10496 36442 10502
+rect 36390 10438 36442 10444
+rect 36758 10496 36810 10502
+rect 36758 10438 36810 10444
+rect 36402 10026 36430 10438
+rect 36390 10020 36442 10026
+rect 36390 9962 36442 9968
+rect 35930 8864 35982 8870
+rect 35930 8806 35982 8812
+rect 35838 7980 35890 7986
+rect 35838 7922 35890 7928
+rect 35746 7504 35798 7510
+rect 35746 7446 35798 7452
+rect 35850 6904 35878 7922
+rect 36954 9618 36982 10800
+rect 37046 10473 37074 10800
+rect 37032 10464 37088 10473
+rect 37032 10399 37088 10408
+rect 37034 9816 37086 9822
+rect 37034 9758 37086 9764
+rect 36942 9612 36994 9618
+rect 36942 9554 36994 9560
+rect 36942 9408 36994 9414
+rect 37046 9396 37074 9758
+rect 36994 9368 37074 9396
+rect 36942 9350 36994 9356
+rect 36954 8938 36982 9350
+rect 37230 9074 37258 10800
+rect 37322 10706 37350 10800
+rect 37310 10700 37362 10706
+rect 37310 10642 37362 10648
+rect 37414 10008 37442 10800
+rect 37690 10706 37718 10800
+rect 37678 10700 37730 10706
+rect 37678 10642 37730 10648
+rect 37782 10337 37810 10800
+rect 37768 10328 37824 10337
+rect 37768 10263 37824 10272
+rect 37952 10328 38008 10337
+rect 37952 10263 38008 10272
+rect 37322 9980 37442 10008
+rect 37218 9068 37270 9074
+rect 37218 9010 37270 9016
+rect 37034 9000 37086 9006
+rect 37032 8968 37034 8977
+rect 37086 8968 37088 8977
+rect 36942 8932 36994 8938
+rect 37032 8903 37088 8912
+rect 36942 8874 36994 8880
+rect 37322 6904 37350 9980
+rect 37770 9816 37822 9822
+rect 37770 9758 37822 9764
+rect 37782 9657 37810 9758
+rect 37768 9648 37824 9657
+rect 37768 9583 37824 9592
+rect 37966 9550 37994 10263
+rect 37954 9544 38006 9550
+rect 37954 9486 38006 9492
+rect 38058 6904 38086 10800
+rect 38150 10570 38178 10800
+rect 38138 10564 38190 10570
+rect 38138 10506 38190 10512
+rect 38136 10464 38192 10473
+rect 38136 10399 38138 10408
+rect 38190 10399 38192 10408
+rect 38138 10370 38190 10376
+rect 38242 9958 38270 10800
+rect 38322 10360 38374 10366
+rect 38322 10302 38374 10308
+rect 38334 10094 38362 10302
+rect 38322 10088 38374 10094
+rect 38322 10030 38374 10036
+rect 38426 10026 38454 10800
+rect 38414 10020 38466 10026
+rect 38414 9962 38466 9968
+rect 38230 9952 38282 9958
+rect 38230 9894 38282 9900
+rect 38242 9074 38270 9894
+rect 38702 9890 38730 10800
+rect 38886 10026 38914 10800
+rect 38964 10464 39020 10473
+rect 38964 10399 39020 10408
+rect 38978 10162 39006 10399
+rect 38966 10156 39018 10162
+rect 38966 10098 39018 10104
+rect 38874 10020 38926 10026
+rect 38874 9962 38926 9968
+rect 39070 9929 39098 10800
+rect 39254 10473 39282 10800
+rect 39240 10464 39296 10473
+rect 39240 10399 39296 10408
+rect 39056 9920 39112 9929
+rect 38690 9884 38742 9890
+rect 39056 9855 39112 9864
+rect 38690 9826 38742 9832
+rect 38966 9408 39018 9414
+rect 38966 9350 39018 9356
+rect 38978 9249 39006 9350
+rect 39346 9346 39374 10800
+rect 39438 10366 39466 10800
+rect 39518 10496 39570 10502
+rect 39518 10438 39570 10444
+rect 39426 10360 39478 10366
+rect 39426 10302 39478 10308
+rect 39530 9958 39558 10438
+rect 39898 10337 39926 10800
+rect 40252 10464 40308 10473
+rect 40252 10399 40308 10408
+rect 39884 10328 39940 10337
+rect 39884 10263 39940 10272
+rect 39518 9952 39570 9958
+rect 39518 9894 39570 9900
+rect 39426 9816 39478 9822
+rect 39426 9758 39478 9764
+rect 39518 9816 39570 9822
+rect 39518 9758 39570 9764
+rect 39438 9414 39466 9758
+rect 39426 9408 39478 9414
+rect 39426 9350 39478 9356
+rect 39334 9340 39386 9346
+rect 39334 9282 39386 9288
+rect 38964 9240 39020 9249
+rect 38964 9175 39020 9184
+rect 38780 9104 38836 9113
+rect 38230 9068 38282 9074
+rect 38780 9039 38836 9048
+rect 38230 9010 38282 9016
+rect 38794 6904 38822 9039
+rect 39530 6904 39558 9758
+rect 39898 9249 39926 10263
+rect 40266 9498 40294 10399
+rect 40358 9793 40386 10800
+rect 40450 9822 40478 10800
+rect 40530 10496 40582 10502
+rect 40530 10438 40582 10444
+rect 40542 9890 40570 10438
+rect 40530 9884 40582 9890
+rect 40530 9826 40582 9832
+rect 40438 9816 40490 9822
+rect 40344 9784 40400 9793
+rect 40438 9758 40490 9764
+rect 40622 9816 40674 9822
+rect 40622 9758 40674 9764
+rect 40344 9719 40400 9728
+rect 40358 9634 40386 9719
+rect 40634 9634 40662 9758
+rect 40358 9606 40662 9634
+rect 40726 9634 40754 10800
+rect 40806 10360 40858 10366
+rect 40804 10328 40806 10337
+rect 41082 10360 41134 10366
+rect 40858 10328 40860 10337
+rect 41082 10302 41134 10308
+rect 40804 10263 40860 10272
+rect 41094 10026 41122 10302
+rect 41082 10020 41134 10026
+rect 41082 9962 41134 9968
+rect 41082 9816 41134 9822
+rect 41082 9758 41134 9764
+rect 40726 9606 41030 9634
+rect 40266 9470 40478 9498
+rect 40450 9346 40478 9470
+rect 40438 9340 40490 9346
+rect 40438 9282 40490 9288
+rect 39884 9240 39940 9249
+rect 39884 9175 39940 9184
+rect 40254 8388 40306 8394
+rect 40254 8330 40306 8336
+rect 40266 6904 40294 8330
+rect 41002 6904 41030 9606
+rect 41094 9482 41122 9758
+rect 41082 9476 41134 9482
+rect 41082 9418 41134 9424
+rect 41186 8938 41214 10800
+rect 41174 8932 41226 8938
+rect 41174 8874 41226 8880
+rect 41554 8870 41582 10800
+rect 41724 10464 41780 10473
+rect 41724 10399 41780 10408
+rect 41634 8932 41686 8938
+rect 41634 8874 41686 8880
+rect 41542 8864 41594 8870
+rect 41542 8806 41594 8812
+rect 41646 8258 41674 8874
+rect 41634 8252 41686 8258
+rect 41634 8194 41686 8200
+rect 41738 6904 41766 10399
+rect 42014 9006 42042 10800
+rect 42198 10706 42226 10800
+rect 42408 10750 42410 10802
+rect 42472 10750 42484 10802
+rect 42546 10750 42548 10802
+rect 42626 10800 73050 10802
+rect 42386 10748 42410 10750
+rect 42466 10748 42490 10750
+rect 42546 10748 42570 10750
+rect 42330 10728 42626 10748
+rect 42186 10700 42238 10706
+rect 42186 10642 42238 10648
+rect 42462 10564 42514 10570
+rect 42462 10506 42514 10512
+rect 42474 10337 42502 10506
+rect 42658 10502 42686 10800
+rect 42828 10600 42884 10609
+rect 42828 10535 42884 10544
+rect 42646 10496 42698 10502
+rect 42646 10438 42698 10444
+rect 42460 10328 42516 10337
+rect 42460 10263 42516 10272
+rect 42092 10192 42148 10201
+rect 42092 10127 42148 10136
+rect 42106 9958 42134 10127
+rect 42658 10026 42686 10438
+rect 42842 10201 42870 10535
+rect 42828 10192 42884 10201
+rect 42738 10156 42790 10162
+rect 42828 10127 42884 10136
+rect 42738 10098 42790 10104
+rect 42646 10020 42698 10026
+rect 42646 9962 42698 9968
+rect 42094 9952 42146 9958
+rect 42094 9894 42146 9900
+rect 42330 9716 42626 9736
+rect 42386 9714 42410 9716
+rect 42466 9714 42490 9716
+rect 42546 9714 42570 9716
+rect 42408 9662 42410 9714
+rect 42472 9662 42484 9714
+rect 42546 9662 42548 9714
+rect 42386 9660 42410 9662
+rect 42466 9660 42490 9662
+rect 42546 9660 42570 9662
+rect 42330 9640 42626 9660
+rect 42750 9618 42778 10098
+rect 42738 9612 42790 9618
+rect 42738 9554 42790 9560
+rect 42842 9414 42870 10127
+rect 42922 10020 42974 10026
+rect 42922 9962 42974 9968
+rect 42934 9929 42962 9962
+rect 42920 9920 42976 9929
+rect 42920 9855 42976 9864
+rect 42830 9408 42882 9414
+rect 42830 9350 42882 9356
+rect 42922 9272 42974 9278
+rect 43026 9260 43054 10800
+rect 43118 9804 43146 10800
+rect 43210 10337 43238 10800
+rect 43196 10328 43252 10337
+rect 43196 10263 43252 10272
+rect 43118 9776 43238 9804
+rect 42974 9232 43054 9260
+rect 42922 9214 42974 9220
+rect 42002 9000 42054 9006
+rect 42002 8942 42054 8948
+rect 43014 8932 43066 8938
+rect 43014 8874 43066 8880
+rect 42738 8796 42790 8802
+rect 42738 8738 42790 8744
+rect 42330 8628 42626 8648
+rect 42386 8626 42410 8628
+rect 42466 8626 42490 8628
+rect 42546 8626 42570 8628
+rect 42408 8574 42410 8626
+rect 42472 8574 42484 8626
+rect 42546 8574 42548 8626
+rect 42386 8572 42410 8574
+rect 42466 8572 42490 8574
+rect 42546 8572 42570 8574
+rect 42330 8552 42626 8572
+rect 42750 8530 42778 8738
+rect 43026 8530 43054 8874
+rect 42738 8524 42790 8530
+rect 42738 8466 42790 8472
+rect 43014 8524 43066 8530
+rect 43014 8466 43066 8472
+rect 43210 6904 43238 9776
+rect 43302 9074 43330 10800
+rect 43566 9816 43618 9822
+rect 43566 9758 43618 9764
+rect 43578 9550 43606 9758
+rect 43566 9544 43618 9550
+rect 43566 9486 43618 9492
+rect 43670 9482 43698 10800
+rect 43854 10706 43882 10800
+rect 43842 10700 43894 10706
+rect 43842 10642 43894 10648
+rect 43946 10026 43974 10800
+rect 44038 10502 44066 10800
+rect 44026 10496 44078 10502
+rect 44026 10438 44078 10444
+rect 43934 10020 43986 10026
+rect 43934 9962 43986 9968
+rect 43934 9612 43986 9618
+rect 43934 9554 43986 9560
+rect 43842 9544 43894 9550
+rect 43842 9486 43894 9492
+rect 43658 9476 43710 9482
+rect 43658 9418 43710 9424
+rect 43290 9068 43342 9074
+rect 43290 9010 43342 9016
+rect 43854 9006 43882 9486
+rect 43842 9000 43894 9006
+rect 43842 8942 43894 8948
+rect 43290 8932 43342 8938
+rect 43290 8874 43342 8880
+rect 43302 8734 43330 8874
+rect 43290 8728 43342 8734
+rect 43290 8670 43342 8676
+rect 43946 6904 43974 9554
+rect 44024 9512 44080 9521
+rect 44024 9447 44080 9456
+rect 44038 9414 44066 9447
+rect 44026 9408 44078 9414
+rect 44026 9350 44078 9356
+rect 44130 8054 44158 10800
+rect 44314 9056 44342 10800
+rect 44682 9890 44710 10800
+rect 44670 9884 44722 9890
+rect 44670 9826 44722 9832
+rect 44774 9618 44802 10800
+rect 44762 9612 44814 9618
+rect 44762 9554 44814 9560
+rect 44222 9028 44342 9056
+rect 44222 8938 44250 9028
+rect 44210 8932 44262 8938
+rect 44210 8874 44262 8880
+rect 44302 8932 44354 8938
+rect 44302 8874 44354 8880
+rect 44314 8530 44342 8874
+rect 44394 8728 44446 8734
+rect 44394 8670 44446 8676
+rect 44406 8530 44434 8670
+rect 44302 8524 44354 8530
+rect 44302 8466 44354 8472
+rect 44394 8524 44446 8530
+rect 44394 8466 44446 8472
+rect 44590 8433 44802 8444
+rect 44590 8424 44816 8433
+rect 44590 8416 44760 8424
+rect 44118 8048 44170 8054
+rect 44118 7990 44170 7996
+rect 44590 7782 44618 8416
+rect 44760 8359 44816 8368
+rect 44866 8274 44894 10800
+rect 45036 10600 45092 10609
+rect 45036 10535 45092 10544
+rect 45050 10366 45078 10535
+rect 45038 10360 45090 10366
+rect 45038 10302 45090 10308
+rect 44946 10020 44998 10026
+rect 44946 9962 44998 9968
+rect 44958 9618 44986 9962
+rect 45142 9929 45170 10800
+rect 45128 9920 45184 9929
+rect 45128 9855 45184 9864
+rect 44946 9612 44998 9618
+rect 44946 9554 44998 9560
+rect 45234 9074 45262 10800
+rect 45326 10502 45354 10800
+rect 45314 10496 45366 10502
+rect 45314 10438 45366 10444
+rect 45326 9550 45354 10438
+rect 45418 10366 45446 10800
+rect 45406 10360 45458 10366
+rect 45406 10302 45458 10308
+rect 45406 9952 45458 9958
+rect 45406 9894 45458 9900
+rect 45314 9544 45366 9550
+rect 45314 9486 45366 9492
+rect 45222 9068 45274 9074
+rect 45222 9010 45274 9016
+rect 44682 8246 44894 8274
+rect 44578 7776 44630 7782
+rect 44578 7718 44630 7724
+rect 44682 6904 44710 8246
+rect 45418 6904 45446 9894
+rect 45510 9346 45538 10800
+rect 45498 9340 45550 9346
+rect 45498 9282 45550 9288
+rect 45602 8954 45630 10800
+rect 45694 9822 45722 10800
+rect 45774 10632 45826 10638
+rect 45772 10600 45774 10609
+rect 45826 10600 45828 10609
+rect 45772 10535 45828 10544
+rect 45774 10496 45826 10502
+rect 45774 10438 45826 10444
+rect 45786 10026 45814 10438
+rect 46050 10428 46102 10434
+rect 46050 10370 46102 10376
+rect 45774 10020 45826 10026
+rect 45774 9962 45826 9968
+rect 45682 9816 45734 9822
+rect 45682 9758 45734 9764
+rect 45682 9544 45734 9550
+rect 45682 9486 45734 9492
+rect 45694 9414 45722 9486
+rect 46062 9482 46090 10370
+rect 46142 10088 46194 10094
+rect 46140 10056 46142 10065
+rect 46194 10056 46196 10065
+rect 46140 9991 46196 10000
+rect 46232 9648 46288 9657
+rect 46142 9612 46194 9618
+rect 46232 9583 46288 9592
+rect 46142 9554 46194 9560
+rect 46154 9482 46182 9554
+rect 46050 9476 46102 9482
+rect 46050 9418 46102 9424
+rect 46142 9476 46194 9482
+rect 46142 9418 46194 9424
+rect 45682 9408 45734 9414
+rect 45682 9350 45734 9356
+rect 46246 9346 46274 9583
+rect 46326 9476 46378 9482
+rect 46326 9418 46378 9424
+rect 46338 9346 46366 9418
+rect 46234 9340 46286 9346
+rect 46234 9282 46286 9288
+rect 46326 9340 46378 9346
+rect 46326 9282 46378 9288
+rect 45682 9272 45734 9278
+rect 45682 9214 45734 9220
+rect 45694 9113 45722 9214
+rect 45680 9104 45736 9113
+rect 46430 9074 46458 10800
+rect 45680 9039 45736 9048
+rect 46418 9068 46470 9074
+rect 46418 9010 46470 9016
+rect 45956 8968 46012 8977
+rect 45602 8938 45722 8954
+rect 45602 8932 45734 8938
+rect 45602 8926 45682 8932
+rect 45956 8903 45958 8912
+rect 45682 8874 45734 8880
+rect 46010 8903 46012 8912
+rect 45958 8874 46010 8880
+rect 45694 8054 45722 8874
+rect 46142 8864 46194 8870
+rect 46142 8806 46194 8812
+rect 46154 8122 46182 8806
+rect 46522 8802 46550 10800
+rect 46614 9482 46642 10800
+rect 46602 9476 46654 9482
+rect 46602 9418 46654 9424
+rect 46614 8870 46642 9418
+rect 46706 9346 46734 10800
+rect 46890 9770 46918 10800
+rect 46982 9958 47010 10800
+rect 47074 10502 47102 10800
+rect 47062 10496 47114 10502
+rect 47062 10438 47114 10444
+rect 47166 10434 47194 10800
+rect 47244 10464 47300 10473
+rect 47154 10428 47206 10434
+rect 47244 10399 47300 10408
+rect 47154 10370 47206 10376
+rect 47258 10144 47286 10399
+rect 47166 10116 47286 10144
+rect 46970 9952 47022 9958
+rect 46970 9894 47022 9900
+rect 47166 9890 47194 10116
+rect 47154 9884 47206 9890
+rect 47154 9826 47206 9832
+rect 46798 9742 46918 9770
+rect 46798 9618 46826 9742
+rect 46968 9648 47024 9657
+rect 46786 9612 46838 9618
+rect 46786 9554 46838 9560
+rect 46878 9612 46930 9618
+rect 46968 9583 47024 9592
+rect 46878 9554 46930 9560
+rect 46798 9346 46826 9554
+rect 46694 9340 46746 9346
+rect 46694 9282 46746 9288
+rect 46786 9340 46838 9346
+rect 46786 9282 46838 9288
+rect 46602 8864 46654 8870
+rect 46602 8806 46654 8812
+rect 46510 8796 46562 8802
+rect 46510 8738 46562 8744
+rect 46614 8462 46642 8806
+rect 46602 8456 46654 8462
+rect 46602 8398 46654 8404
+rect 46142 8116 46194 8122
+rect 46142 8058 46194 8064
+rect 45682 8048 45734 8054
+rect 45682 7990 45734 7996
+rect 46142 7980 46194 7986
+rect 46142 7922 46194 7928
+rect 46154 6904 46182 7922
+rect 46890 6904 46918 9554
+rect 46982 9482 47010 9583
+rect 46970 9476 47022 9482
+rect 46970 9418 47022 9424
+rect 47166 8938 47194 9826
+rect 47154 8932 47206 8938
+rect 47154 8874 47206 8880
+rect 47350 8734 47378 10800
+rect 47338 8728 47390 8734
+rect 47338 8670 47390 8676
+rect 47442 8530 47470 10800
+rect 47534 8802 47562 10800
+rect 47626 10638 47654 10800
+rect 47614 10632 47666 10638
+rect 47614 10574 47666 10580
+rect 47798 10632 47850 10638
+rect 47798 10574 47850 10580
+rect 47614 10496 47666 10502
+rect 47612 10464 47614 10473
+rect 47666 10464 47668 10473
+rect 47612 10399 47668 10408
+rect 47612 10328 47668 10337
+rect 47612 10263 47668 10272
+rect 47626 9346 47654 10263
+rect 47810 10094 47838 10574
+rect 47798 10088 47850 10094
+rect 47798 10030 47850 10036
+rect 47798 9544 47850 9550
+rect 47902 9532 47930 10800
+rect 47850 9504 47930 9532
+rect 47798 9486 47850 9492
+rect 47614 9340 47666 9346
+rect 47614 9282 47666 9288
+rect 47798 9340 47850 9346
+rect 47798 9282 47850 9288
+rect 47810 8938 47838 9282
+rect 47798 8932 47850 8938
+rect 47798 8874 47850 8880
+rect 47522 8796 47574 8802
+rect 47522 8738 47574 8744
+rect 47430 8524 47482 8530
+rect 47430 8466 47482 8472
+rect 47994 8258 48022 10800
+rect 48086 9618 48114 10800
+rect 48074 9612 48126 9618
+rect 48074 9554 48126 9560
+rect 48072 9512 48128 9521
+rect 48072 9447 48128 9456
+rect 48086 9414 48114 9447
+rect 48074 9408 48126 9414
+rect 48074 9350 48126 9356
+rect 48178 9006 48206 10800
+rect 48350 10360 48402 10366
+rect 48350 10302 48402 10308
+rect 48256 10056 48312 10065
+rect 48256 9991 48258 10000
+rect 48310 9991 48312 10000
+rect 48258 9962 48310 9968
+rect 48362 9822 48390 10302
+rect 48454 10026 48482 10800
+rect 48442 10020 48494 10026
+rect 48442 9962 48494 9968
+rect 48350 9816 48402 9822
+rect 48350 9758 48402 9764
+rect 48546 9550 48574 10800
+rect 48624 10600 48680 10609
+rect 48624 10535 48626 10544
+rect 48678 10535 48680 10544
+rect 48626 10506 48678 10512
+rect 49006 10366 49034 10800
+rect 48994 10360 49046 10366
+rect 48994 10302 49046 10308
+rect 48624 9920 48680 9929
+rect 48624 9855 48680 9864
+rect 48638 9822 48666 9855
+rect 48626 9816 48678 9822
+rect 48626 9758 48678 9764
+rect 48900 9648 48956 9657
+rect 48730 9606 48900 9634
+rect 48534 9544 48586 9550
+rect 48730 9521 48758 9606
+rect 48900 9583 48956 9592
+rect 48534 9486 48586 9492
+rect 48716 9512 48772 9521
+rect 49098 9482 49126 10800
+rect 48716 9447 48772 9456
+rect 49086 9476 49138 9482
+rect 48730 9414 48758 9447
+rect 49086 9418 49138 9424
+rect 48718 9408 48770 9414
+rect 48718 9350 48770 9356
+rect 48810 9408 48862 9414
+rect 48810 9350 48862 9356
+rect 48822 9226 48850 9350
+rect 48362 9198 48850 9226
+rect 48166 9000 48218 9006
+rect 48166 8942 48218 8948
+rect 47982 8252 48034 8258
+rect 47982 8194 48034 8200
+rect 47614 7912 47666 7918
+rect 47614 7854 47666 7860
+rect 47626 6904 47654 7854
+rect 48362 6904 48390 9198
+rect 48718 8932 48770 8938
+rect 48718 8874 48770 8880
+rect 48730 8530 48758 8874
+rect 48994 8796 49046 8802
+rect 48994 8738 49046 8744
+rect 48718 8524 48770 8530
+rect 48718 8466 48770 8472
+rect 49006 8122 49034 8738
+rect 48994 8116 49046 8122
+rect 48994 8058 49046 8064
+rect 49190 7918 49218 10800
+rect 49270 9884 49322 9890
+rect 49270 9826 49322 9832
+rect 49282 9278 49310 9826
+rect 49466 9618 49494 10800
+rect 49650 10706 49678 10800
+rect 49638 10700 49690 10706
+rect 49638 10642 49690 10648
+rect 49362 9612 49414 9618
+rect 49362 9554 49414 9560
+rect 49454 9612 49506 9618
+rect 49454 9554 49506 9560
+rect 49374 9278 49402 9554
+rect 49270 9272 49322 9278
+rect 49270 9214 49322 9220
+rect 49362 9272 49414 9278
+rect 49362 9214 49414 9220
+rect 49466 9006 49494 9554
+rect 49454 9000 49506 9006
+rect 49742 8988 49770 10800
+rect 49822 10700 49874 10706
+rect 49822 10642 49874 10648
+rect 49834 10502 49862 10642
+rect 49822 10496 49874 10502
+rect 49822 10438 49874 10444
+rect 49914 10496 49966 10502
+rect 49914 10438 49966 10444
+rect 49926 10162 49954 10438
+rect 50018 10314 50046 10800
+rect 50018 10286 50138 10314
+rect 50004 10192 50060 10201
+rect 49914 10156 49966 10162
+rect 50004 10127 50006 10136
+rect 49914 10098 49966 10104
+rect 50058 10127 50060 10136
+rect 50006 10098 50058 10104
+rect 49742 8960 49862 8988
+rect 49454 8942 49506 8948
+rect 49178 7912 49230 7918
+rect 49178 7854 49230 7860
+rect 49086 7844 49138 7850
+rect 49086 7786 49138 7792
+rect 49098 6904 49126 7786
+rect 49834 6904 49862 8960
+rect 50110 7850 50138 10286
+rect 50202 10201 50230 10800
+rect 50294 10366 50322 10800
+rect 50386 10609 50414 10800
+rect 50372 10600 50428 10609
+rect 50372 10535 50428 10544
+rect 50282 10360 50334 10366
+rect 50282 10302 50334 10308
+rect 50374 10360 50426 10366
+rect 50374 10302 50426 10308
+rect 50188 10192 50244 10201
+rect 50188 10127 50244 10136
+rect 50386 9414 50414 10302
+rect 50478 9793 50506 10800
+rect 50570 10638 50598 10800
+rect 50558 10632 50610 10638
+rect 50558 10574 50610 10580
+rect 50754 10366 50782 10800
+rect 50846 10609 50874 10800
+rect 50832 10600 50888 10609
+rect 50832 10535 50888 10544
+rect 50742 10360 50794 10366
+rect 50742 10302 50794 10308
+rect 50558 10020 50610 10026
+rect 50558 9962 50610 9968
+rect 50464 9784 50520 9793
+rect 50464 9719 50520 9728
+rect 50464 9512 50520 9521
+rect 50464 9447 50466 9456
+rect 50518 9447 50520 9456
+rect 50466 9418 50518 9424
+rect 50374 9408 50426 9414
+rect 50570 9396 50598 9962
+rect 50846 9770 50874 10535
+rect 50754 9742 50874 9770
+rect 50754 9550 50782 9742
+rect 50742 9544 50794 9550
+rect 50742 9486 50794 9492
+rect 50650 9408 50702 9414
+rect 50570 9368 50650 9396
+rect 50374 9350 50426 9356
+rect 50650 9350 50702 9356
+rect 50938 9346 50966 10800
+rect 50926 9340 50978 9346
+rect 50926 9282 50978 9288
+rect 50556 9240 50612 9249
+rect 50556 9175 50612 9184
+rect 50098 7844 50150 7850
+rect 50098 7786 50150 7792
+rect 50570 6904 50598 9175
+rect 51030 7986 51058 10800
+rect 51214 9414 51242 10800
+rect 51294 10564 51346 10570
+rect 51294 10506 51346 10512
+rect 51306 10473 51334 10506
+rect 51292 10464 51348 10473
+rect 51292 10399 51348 10408
+rect 51294 10360 51346 10366
+rect 51294 10302 51346 10308
+rect 51398 10314 51426 10800
+rect 51202 9408 51254 9414
+rect 51202 9350 51254 9356
+rect 51306 9346 51334 10302
+rect 51398 10286 51610 10314
+rect 51386 10156 51438 10162
+rect 51386 10098 51438 10104
+rect 51398 10026 51426 10098
+rect 51582 10094 51610 10286
+rect 51570 10088 51622 10094
+rect 51570 10030 51622 10036
+rect 51386 10020 51438 10026
+rect 51386 9962 51438 9968
+rect 51294 9340 51346 9346
+rect 51294 9282 51346 9288
+rect 51570 8932 51622 8938
+rect 51570 8874 51622 8880
+rect 51582 8433 51610 8874
+rect 51674 8802 51702 10800
+rect 51766 10502 51794 10800
+rect 51754 10496 51806 10502
+rect 51754 10438 51806 10444
+rect 51754 10088 51806 10094
+rect 51754 10030 51806 10036
+rect 51766 8938 51794 10030
+rect 51858 9074 51886 10800
+rect 51950 10162 51978 10800
+rect 52042 10706 52070 10800
+rect 52030 10700 52082 10706
+rect 52030 10642 52082 10648
+rect 51938 10156 51990 10162
+rect 51938 10098 51990 10104
+rect 52134 10026 52162 10800
+rect 52122 10020 52174 10026
+rect 52122 9962 52174 9968
+rect 51938 9952 51990 9958
+rect 51938 9894 51990 9900
+rect 51950 9822 51978 9894
+rect 51938 9816 51990 9822
+rect 51938 9758 51990 9764
+rect 51846 9068 51898 9074
+rect 51846 9010 51898 9016
+rect 51754 8932 51806 8938
+rect 51754 8874 51806 8880
+rect 51662 8796 51714 8802
+rect 51662 8738 51714 8744
+rect 51568 8424 51624 8433
+rect 51568 8359 51624 8368
+rect 51018 7980 51070 7986
+rect 51018 7922 51070 7928
+rect 51294 7912 51346 7918
+rect 51294 7854 51346 7860
+rect 51306 6904 51334 7854
+rect 52226 9414 52254 10800
+rect 52304 10736 52360 10745
+rect 52410 10706 52438 10800
+rect 52304 10671 52360 10680
+rect 52398 10700 52450 10706
+rect 52318 10552 52346 10671
+rect 52398 10642 52450 10648
+rect 52398 10564 52450 10570
+rect 52318 10524 52398 10552
+rect 52398 10506 52450 10512
+rect 52502 9657 52530 10800
+rect 52594 10745 52622 10800
+rect 52580 10736 52636 10745
+rect 52580 10671 52636 10680
+rect 52594 10065 52622 10671
+rect 52580 10056 52636 10065
+rect 52580 9991 52636 10000
+rect 52488 9648 52544 9657
+rect 52488 9583 52544 9592
+rect 52214 9408 52266 9414
+rect 52214 9350 52266 9356
+rect 52502 9278 52530 9583
+rect 52490 9272 52542 9278
+rect 52490 9214 52542 9220
+rect 52778 6904 52806 10800
+rect 52870 9550 52898 10800
+rect 53146 10026 53174 10800
+rect 53238 10609 53266 10800
+rect 53224 10600 53280 10609
+rect 53224 10535 53280 10544
+rect 53134 10020 53186 10026
+rect 53134 9962 53186 9968
+rect 52858 9544 52910 9550
+rect 53330 9521 53358 10800
+rect 52858 9486 52910 9492
+rect 53316 9512 53372 9521
+rect 53316 9447 53372 9456
+rect 52950 8728 53002 8734
+rect 52950 8670 53002 8676
+rect 52962 8394 52990 8670
+rect 52950 8388 53002 8394
+rect 52950 8330 53002 8336
+rect 53514 6904 53542 10800
+rect 53606 9521 53634 10800
+rect 53698 10638 53726 10800
+rect 53686 10632 53738 10638
+rect 53686 10574 53738 10580
+rect 54052 10600 54108 10609
+rect 54052 10535 54108 10544
+rect 54066 10502 54094 10535
+rect 54054 10496 54106 10502
+rect 54054 10438 54106 10444
+rect 54158 10065 54186 10800
+rect 54144 10056 54200 10065
+rect 54144 9991 54200 10000
+rect 54054 9952 54106 9958
+rect 54054 9894 54106 9900
+rect 54066 9822 54094 9894
+rect 54054 9816 54106 9822
+rect 54054 9758 54106 9764
+rect 53684 9648 53740 9657
+rect 53684 9583 53686 9592
+rect 53738 9583 53740 9592
+rect 53686 9554 53738 9560
+rect 53592 9512 53648 9521
+rect 53592 9447 53648 9456
+rect 53606 8870 53634 9447
+rect 54146 9408 54198 9414
+rect 54146 9350 54198 9356
+rect 54158 8938 54186 9350
+rect 54146 8932 54198 8938
+rect 54146 8874 54198 8880
+rect 53594 8864 53646 8870
+rect 53594 8806 53646 8812
+rect 54158 7986 54186 8874
+rect 54146 7980 54198 7986
+rect 54146 7922 54198 7928
+rect 54250 6904 54278 10800
+rect 54434 10026 54462 10800
+rect 54606 10564 54658 10570
+rect 54802 10552 54830 10800
+rect 54658 10524 54830 10552
+rect 54606 10506 54658 10512
+rect 54514 10496 54566 10502
+rect 54514 10438 54566 10444
+rect 54526 10162 54554 10438
+rect 54514 10156 54566 10162
+rect 54514 10098 54566 10104
+rect 54422 10020 54474 10026
+rect 54422 9962 54474 9968
+rect 54802 8394 54830 10524
+rect 54894 10502 54922 10800
+rect 55248 10736 55304 10745
+rect 55248 10671 55304 10680
+rect 55064 10600 55120 10609
+rect 55064 10535 55120 10544
+rect 55078 10502 55106 10535
+rect 54882 10496 54934 10502
+rect 54882 10438 54934 10444
+rect 55066 10496 55118 10502
+rect 55066 10438 55118 10444
+rect 54974 10360 55026 10366
+rect 54974 10302 55026 10308
+rect 54882 9816 54934 9822
+rect 54882 9758 54934 9764
+rect 54894 9550 54922 9758
+rect 54882 9544 54934 9550
+rect 54882 9486 54934 9492
+rect 54790 8388 54842 8394
+rect 54790 8330 54842 8336
+rect 54986 6904 55014 10302
+rect 55158 10088 55210 10094
+rect 55158 10030 55210 10036
+rect 55170 9657 55198 10030
+rect 55262 9958 55290 10671
+rect 55250 9952 55302 9958
+rect 55250 9894 55302 9900
+rect 55250 9816 55302 9822
+rect 55250 9758 55302 9764
+rect 55156 9648 55212 9657
+rect 55262 9618 55290 9758
+rect 55156 9583 55212 9592
+rect 55250 9612 55302 9618
+rect 55250 9554 55302 9560
+rect 55158 9408 55210 9414
+rect 55158 9350 55210 9356
+rect 55170 8870 55198 9350
+rect 55158 8864 55210 8870
+rect 55158 8806 55210 8812
+rect 55354 7918 55382 10800
+rect 55446 10570 55474 10800
+rect 55538 10570 55566 10800
+rect 55434 10564 55486 10570
+rect 55434 10506 55486 10512
+rect 55526 10564 55578 10570
+rect 55526 10506 55578 10512
+rect 55342 7912 55394 7918
+rect 55342 7854 55394 7860
+rect 55722 6904 55750 10800
+rect 55998 10706 56026 10800
+rect 55894 10700 55946 10706
+rect 55894 10642 55946 10648
+rect 55986 10700 56038 10706
+rect 55986 10642 56038 10648
+rect 55906 8938 55934 10642
+rect 56090 10366 56118 10800
+rect 56078 10360 56130 10366
+rect 56078 10302 56130 10308
+rect 56078 10020 56130 10026
+rect 56078 9962 56130 9968
+rect 55894 8932 55946 8938
+rect 55894 8874 55946 8880
+rect 56090 8190 56118 9962
+rect 56078 8184 56130 8190
+rect 56078 8126 56130 8132
+rect 56354 10428 56406 10434
+rect 56354 10370 56406 10376
+rect 56366 7918 56394 10370
+rect 56458 10026 56486 10800
+rect 56550 10609 56578 10800
+rect 56536 10600 56592 10609
+rect 56536 10535 56592 10544
+rect 56814 10564 56866 10570
+rect 56550 10502 56578 10535
+rect 56866 10524 57038 10552
+rect 56814 10506 56866 10512
+rect 56538 10496 56590 10502
+rect 56538 10438 56590 10444
+rect 56722 10496 56774 10502
+rect 56722 10438 56774 10444
+rect 56538 10156 56590 10162
+rect 56538 10098 56590 10104
+rect 56446 10020 56498 10026
+rect 56446 9962 56498 9968
+rect 56446 8524 56498 8530
+rect 56446 8466 56498 8472
+rect 56354 7912 56406 7918
+rect 56354 7854 56406 7860
+rect 56458 6904 56486 8466
+rect 56550 7714 56578 10098
+rect 56630 10020 56682 10026
+rect 56630 9962 56682 9968
+rect 56642 9822 56670 9962
+rect 56630 9816 56682 9822
+rect 56630 9758 56682 9764
+rect 56734 9618 56762 10438
+rect 57010 10026 57038 10524
+rect 57090 10496 57142 10502
+rect 57090 10438 57142 10444
+rect 57102 10337 57130 10438
+rect 57286 10434 57314 10800
+rect 57274 10428 57326 10434
+rect 57274 10370 57326 10376
+rect 57088 10328 57144 10337
+rect 57378 10314 57406 10800
+rect 57562 10552 57590 10800
+rect 57642 10564 57694 10570
+rect 57562 10524 57642 10552
+rect 57642 10506 57694 10512
+rect 57746 10450 57774 10800
+rect 58114 10706 58142 10800
+rect 58102 10700 58154 10706
+rect 58102 10642 58154 10648
+rect 57088 10263 57144 10272
+rect 57194 10286 57406 10314
+rect 57470 10422 57774 10450
+rect 56998 10020 57050 10026
+rect 56998 9962 57050 9968
+rect 56722 9612 56774 9618
+rect 56722 9554 56774 9560
+rect 56814 9408 56866 9414
+rect 56812 9376 56814 9385
+rect 56866 9376 56868 9385
+rect 56812 9311 56868 9320
+rect 56906 9272 56958 9278
+rect 56906 9214 56958 9220
+rect 57090 9272 57142 9278
+rect 57090 9214 57142 9220
+rect 56538 7708 56590 7714
+rect 56538 7650 56590 7656
+rect 56918 6904 56946 9214
+rect 57102 8054 57130 9214
+rect 57090 8048 57142 8054
+rect 57090 7990 57142 7996
+rect 57194 6904 57222 10286
+rect 57272 10192 57328 10201
+rect 57272 10127 57328 10136
+rect 57286 7850 57314 10127
+rect 57366 9340 57418 9346
+rect 57366 9282 57418 9288
+rect 57274 7844 57326 7850
+rect 57274 7786 57326 7792
+rect 57378 6904 57406 9282
+rect 57470 8462 57498 10422
+rect 57550 10360 57602 10366
+rect 57550 10302 57602 10308
+rect 57562 10026 57590 10302
+rect 57690 10260 57986 10280
+rect 57746 10258 57770 10260
+rect 57826 10258 57850 10260
+rect 57906 10258 57930 10260
+rect 57768 10206 57770 10258
+rect 57832 10206 57844 10258
+rect 57906 10206 57908 10258
+rect 57746 10204 57770 10206
+rect 57826 10204 57850 10206
+rect 57906 10204 57930 10206
+rect 57690 10184 57986 10204
+rect 58100 10192 58156 10201
+rect 58022 10150 58100 10178
+rect 57550 10020 57602 10026
+rect 57550 9962 57602 9968
+rect 57550 9884 57602 9890
+rect 57550 9826 57602 9832
+rect 57458 8456 57510 8462
+rect 57458 8398 57510 8404
+rect 57562 7730 57590 9826
+rect 57824 9648 57880 9657
+rect 57824 9583 57880 9592
+rect 57838 9414 57866 9583
+rect 58022 9521 58050 10150
+rect 58390 10162 58418 10800
+rect 58468 10600 58524 10609
+rect 58468 10535 58524 10544
+rect 58482 10162 58510 10535
+rect 58100 10127 58156 10136
+rect 58378 10156 58430 10162
+rect 58378 10098 58430 10104
+rect 58470 10156 58522 10162
+rect 58470 10098 58522 10104
+rect 58562 10020 58614 10026
+rect 58562 9962 58614 9968
+rect 58102 9816 58154 9822
+rect 58102 9758 58154 9764
+rect 58470 9816 58522 9822
+rect 58470 9758 58522 9764
+rect 58008 9512 58064 9521
+rect 58008 9447 58064 9456
+rect 57826 9408 57878 9414
+rect 57826 9350 57878 9356
+rect 57690 9172 57986 9192
+rect 57746 9170 57770 9172
+rect 57826 9170 57850 9172
+rect 57906 9170 57930 9172
+rect 57768 9118 57770 9170
+rect 57832 9118 57844 9170
+rect 57906 9118 57908 9170
+rect 57746 9116 57770 9118
+rect 57826 9116 57850 9118
+rect 57906 9116 57930 9118
+rect 57690 9096 57986 9116
+rect 57732 8968 57788 8977
+rect 57732 8903 57734 8912
+rect 57786 8903 57788 8912
+rect 57734 8874 57786 8880
+rect 57918 8456 57970 8462
+rect 57918 8398 57970 8404
+rect 57562 7702 57682 7730
+rect 57654 6904 57682 7702
+rect 57930 6904 57958 8398
+rect 58114 6904 58142 9758
+rect 58192 9512 58248 9521
+rect 58482 9482 58510 9758
+rect 58192 9447 58248 9456
+rect 58470 9476 58522 9482
+rect 58206 9414 58234 9447
+rect 58470 9418 58522 9424
+rect 58194 9408 58246 9414
+rect 58194 9350 58246 9356
+rect 58574 9249 58602 9962
+rect 58666 9482 58694 10800
+rect 58850 10026 58878 10800
+rect 59034 10094 59062 10800
+rect 59126 10502 59154 10800
+rect 59114 10496 59166 10502
+rect 59114 10438 59166 10444
+rect 59022 10088 59074 10094
+rect 59022 10030 59074 10036
+rect 58838 10020 58890 10026
+rect 58838 9962 58890 9968
+rect 59022 9884 59074 9890
+rect 59022 9826 59074 9832
+rect 58654 9476 58706 9482
+rect 58654 9418 58706 9424
+rect 58560 9240 58616 9249
+rect 58560 9175 58616 9184
+rect 58746 9068 58798 9074
+rect 58746 9010 58798 9016
+rect 58470 9000 58522 9006
+rect 58562 9000 58614 9006
+rect 58470 8942 58522 8948
+rect 58560 8968 58562 8977
+rect 58614 8968 58616 8977
+rect 58482 7850 58510 8942
+rect 58758 8954 58786 9010
+rect 59034 8977 59062 9826
+rect 59218 9249 59246 10800
+rect 59310 9958 59338 10800
+rect 59298 9952 59350 9958
+rect 59298 9894 59350 9900
+rect 59204 9240 59260 9249
+rect 59204 9175 59260 9184
+rect 59020 8968 59076 8977
+rect 58758 8926 58878 8954
+rect 58560 8903 58616 8912
+rect 58562 8864 58614 8870
+rect 58562 8806 58614 8812
+rect 58574 7986 58602 8806
+rect 58562 7980 58614 7986
+rect 58562 7922 58614 7928
+rect 58654 7980 58706 7986
+rect 58654 7922 58706 7928
+rect 58378 7844 58430 7850
+rect 58378 7786 58430 7792
+rect 58470 7844 58522 7850
+rect 58470 7786 58522 7792
+rect 58390 6904 58418 7786
+rect 58666 6904 58694 7922
+rect 58850 6904 58878 8926
+rect 59020 8903 59076 8912
+rect 59402 6904 59430 10800
+rect 59678 10745 59706 10800
+rect 59664 10736 59720 10745
+rect 59664 10671 59720 10680
+rect 59678 10586 59706 10671
+rect 59678 10558 59798 10586
+rect 59666 10496 59718 10502
+rect 59664 10464 59666 10473
+rect 59718 10464 59720 10473
+rect 59586 10422 59664 10450
+rect 59586 9074 59614 10422
+rect 59664 10399 59720 10408
+rect 59666 9408 59718 9414
+rect 59666 9350 59718 9356
+rect 59574 9068 59626 9074
+rect 59574 9010 59626 9016
+rect 59678 8870 59706 9350
+rect 59770 8938 59798 10558
+rect 59850 10020 59902 10026
+rect 59850 9962 59902 9968
+rect 59862 9074 59890 9962
+rect 59954 9414 59982 10800
+rect 59942 9408 59994 9414
+rect 59942 9350 59994 9356
+rect 59850 9068 59902 9074
+rect 59850 9010 59902 9016
+rect 59758 8932 59810 8938
+rect 59758 8874 59810 8880
+rect 59666 8864 59718 8870
+rect 59666 8806 59718 8812
+rect 59678 8734 59706 8806
+rect 59666 8728 59718 8734
+rect 59666 8670 59718 8676
+rect 59850 7912 59902 7918
+rect 59850 7854 59902 7860
+rect 59574 7844 59626 7850
+rect 59574 7786 59626 7792
+rect 59586 6904 59614 7786
+rect 59862 6904 59890 7854
+rect 60138 6904 60166 10800
+rect 60230 8462 60258 10800
+rect 60598 10638 60626 10800
+rect 60586 10632 60638 10638
+rect 60690 10609 60718 10800
+rect 60586 10574 60638 10580
+rect 60676 10600 60732 10609
+rect 60676 10535 60732 10544
+rect 60690 10026 60718 10535
+rect 60678 10020 60730 10026
+rect 60678 9962 60730 9968
+rect 60218 8456 60270 8462
+rect 60218 8398 60270 8404
+rect 60586 9272 60638 9278
+rect 60586 9214 60638 9220
+rect 60402 8864 60454 8870
+rect 60402 8806 60454 8812
+rect 60414 8394 60442 8806
+rect 60402 8388 60454 8394
+rect 60402 8330 60454 8336
+rect 60598 6904 60626 9214
+rect 60782 7986 60810 10800
+rect 60862 9952 60914 9958
+rect 60862 9894 60914 9900
+rect 60770 7980 60822 7986
+rect 60770 7922 60822 7928
+rect 60874 6904 60902 9894
+rect 60966 9328 60994 10800
+rect 61058 9396 61086 10800
+rect 61320 9784 61376 9793
+rect 61320 9719 61376 9728
+rect 61230 9408 61282 9414
+rect 61058 9368 61230 9396
+rect 61230 9350 61282 9356
+rect 60966 9300 61178 9328
+rect 61150 9074 61178 9300
+rect 61242 9113 61270 9350
+rect 61228 9104 61284 9113
+rect 61046 9068 61098 9074
+rect 61046 9010 61098 9016
+rect 61138 9068 61190 9074
+rect 61228 9039 61284 9048
+rect 61138 9010 61190 9016
+rect 61058 6904 61086 9010
+rect 61242 8938 61270 9039
+rect 61230 8932 61282 8938
+rect 61230 8874 61282 8880
+rect 61334 6904 61362 9719
+rect 61610 6904 61638 10800
+rect 61978 10502 62006 10800
+rect 61782 10496 61834 10502
+rect 61966 10496 62018 10502
+rect 61834 10456 61966 10484
+rect 61782 10438 61834 10444
+rect 61966 10438 62018 10444
+rect 61782 10360 61834 10366
+rect 61782 10302 61834 10308
+rect 62056 10328 62112 10337
+rect 61690 8728 61742 8734
+rect 61690 8670 61742 8676
+rect 61702 8122 61730 8670
+rect 61690 8116 61742 8122
+rect 61690 8058 61742 8064
+rect 61794 6904 61822 10302
+rect 62056 10263 62112 10272
+rect 61966 9544 62018 9550
+rect 61966 9486 62018 9492
+rect 61978 8734 62006 9486
+rect 61966 8728 62018 8734
+rect 61966 8670 62018 8676
+rect 62070 6904 62098 10263
+rect 62162 9958 62190 10800
+rect 62334 10496 62386 10502
+rect 62334 10438 62386 10444
+rect 62346 10201 62374 10438
+rect 62438 10366 62466 10800
+rect 62426 10360 62478 10366
+rect 62426 10302 62478 10308
+rect 62332 10192 62388 10201
+rect 62332 10127 62388 10136
+rect 62150 9952 62202 9958
+rect 62150 9894 62202 9900
+rect 62334 9612 62386 9618
+rect 62334 9554 62386 9560
+rect 62242 9272 62294 9278
+rect 62242 9214 62294 9220
+rect 62254 8122 62282 9214
+rect 62242 8116 62294 8122
+rect 62242 8058 62294 8064
+rect 62346 6904 62374 9554
+rect 62530 9278 62558 10800
+rect 62518 9272 62570 9278
+rect 62518 9214 62570 9220
+rect 62518 9000 62570 9006
+rect 62518 8942 62570 8948
+rect 62530 6904 62558 8942
+rect 62714 8802 62742 10800
+rect 62702 8796 62754 8802
+rect 62702 8738 62754 8744
+rect 62806 6904 62834 10800
+rect 63436 10736 63492 10745
+rect 63436 10671 63492 10680
+rect 63070 10564 63122 10570
+rect 63070 10506 63122 10512
+rect 62978 9816 63030 9822
+rect 62978 9758 63030 9764
+rect 62990 9414 63018 9758
+rect 62886 9408 62938 9414
+rect 62886 9350 62938 9356
+rect 62978 9408 63030 9414
+rect 62978 9350 63030 9356
+rect 62898 9113 62926 9350
+rect 62884 9104 62940 9113
+rect 62884 9039 62940 9048
+rect 63082 6904 63110 10506
+rect 63450 10502 63478 10671
+rect 63438 10496 63490 10502
+rect 63438 10438 63490 10444
+rect 63542 9618 63570 10800
+rect 63634 10502 63662 10800
+rect 64186 10570 64214 10800
+rect 64174 10564 64226 10570
+rect 64174 10506 64226 10512
+rect 63622 10496 63674 10502
+rect 63622 10438 63674 10444
+rect 63622 10360 63674 10366
+rect 63622 10302 63674 10308
+rect 63714 10360 63766 10366
+rect 63714 10302 63766 10308
+rect 63634 10162 63662 10302
+rect 63622 10156 63674 10162
+rect 63622 10098 63674 10104
+rect 63530 9612 63582 9618
+rect 63530 9554 63582 9560
+rect 63254 9476 63306 9482
+rect 63254 9418 63306 9424
+rect 63162 8864 63214 8870
+rect 63162 8806 63214 8812
+rect 63174 8190 63202 8806
+rect 63162 8184 63214 8190
+rect 63162 8126 63214 8132
+rect 63266 6904 63294 9418
+rect 63726 9385 63754 10302
+rect 63806 10088 63858 10094
+rect 63806 10030 63858 10036
+rect 64264 10056 64320 10065
+rect 63712 9376 63768 9385
+rect 63712 9311 63768 9320
+rect 63530 8728 63582 8734
+rect 63530 8670 63582 8676
+rect 63542 6904 63570 8670
+rect 63818 6904 63846 10030
+rect 64264 9991 64320 10000
+rect 63990 8796 64042 8802
+rect 63990 8738 64042 8744
+rect 64002 6904 64030 8738
+rect 64278 6904 64306 9991
+rect 64554 6904 64582 10800
+rect 64738 10502 64766 10800
+rect 64726 10496 64778 10502
+rect 64726 10438 64778 10444
+rect 64830 9278 64858 10800
+rect 65106 10094 65134 10800
+rect 65198 10094 65226 10800
+rect 65276 10600 65332 10609
+rect 65276 10535 65332 10544
+rect 65370 10564 65422 10570
+rect 65094 10088 65146 10094
+rect 65094 10030 65146 10036
+rect 65186 10088 65238 10094
+rect 65186 10030 65238 10036
+rect 65186 9612 65238 9618
+rect 65186 9554 65238 9560
+rect 64818 9272 64870 9278
+rect 64818 9214 64870 9220
+rect 65094 9272 65146 9278
+rect 65094 9214 65146 9220
+rect 65106 8977 65134 9214
+rect 65092 8968 65148 8977
+rect 65092 8903 65148 8912
+rect 64726 8116 64778 8122
+rect 64726 8058 64778 8064
+rect 64738 6904 64766 8058
+rect 65002 7708 65054 7714
+rect 65002 7650 65054 7656
+rect 65014 6904 65042 7650
+rect 65198 7458 65226 9554
+rect 65290 9414 65318 10535
+rect 65370 10506 65422 10512
+rect 65382 10026 65410 10506
+rect 65474 10366 65502 10800
+rect 65566 10722 65594 10800
+rect 65566 10694 65686 10722
+rect 65750 10706 65778 10800
+rect 65554 10632 65606 10638
+rect 65552 10600 65554 10609
+rect 65606 10600 65608 10609
+rect 65658 10586 65686 10694
+rect 65738 10700 65790 10706
+rect 65738 10642 65790 10648
+rect 65658 10558 65778 10586
+rect 65934 10570 65962 10800
+rect 65552 10535 65608 10544
+rect 65554 10496 65606 10502
+rect 65554 10438 65606 10444
+rect 65462 10360 65514 10366
+rect 65462 10302 65514 10308
+rect 65370 10020 65422 10026
+rect 65370 9962 65422 9968
+rect 65462 9816 65514 9822
+rect 65566 9793 65594 10438
+rect 65646 10360 65698 10366
+rect 65646 10302 65698 10308
+rect 65658 10094 65686 10302
+rect 65646 10088 65698 10094
+rect 65646 10030 65698 10036
+rect 65462 9758 65514 9764
+rect 65552 9784 65608 9793
+rect 65278 9408 65330 9414
+rect 65278 9350 65330 9356
+rect 65290 9006 65318 9350
+rect 65278 9000 65330 9006
+rect 65278 8942 65330 8948
+rect 65198 7430 65318 7458
+rect 65290 6904 65318 7430
+rect 65474 6904 65502 9758
+rect 65552 9719 65608 9728
+rect 65750 6904 65778 10558
+rect 65922 10564 65974 10570
+rect 65922 10506 65974 10512
+rect 66026 10502 66054 10800
+rect 65830 10496 65882 10502
+rect 65830 10438 65882 10444
+rect 66014 10496 66066 10502
+rect 66014 10438 66066 10444
+rect 65842 8122 65870 10438
+rect 66026 10366 66054 10438
+rect 66014 10360 66066 10366
+rect 65934 10320 66014 10348
+rect 65934 8462 65962 10320
+rect 66014 10302 66066 10308
+rect 66210 9940 66238 10800
+rect 66288 10600 66344 10609
+rect 66288 10535 66344 10544
+rect 66302 10026 66330 10535
+rect 66394 10473 66422 10800
+rect 66486 10502 66514 10800
+rect 66474 10496 66526 10502
+rect 66380 10464 66436 10473
+rect 66474 10438 66526 10444
+rect 66566 10496 66618 10502
+rect 66566 10438 66618 10444
+rect 66380 10399 66436 10408
+rect 66578 10366 66606 10438
+rect 66566 10360 66618 10366
+rect 66566 10302 66618 10308
+rect 66382 10156 66434 10162
+rect 66382 10098 66434 10104
+rect 66290 10020 66342 10026
+rect 66290 9962 66342 9968
+rect 66026 9912 66238 9940
+rect 65922 8456 65974 8462
+rect 65922 8398 65974 8404
+rect 65830 8116 65882 8122
+rect 65830 8058 65882 8064
+rect 66026 6904 66054 9912
+rect 66198 9340 66250 9346
+rect 66198 9282 66250 9288
+rect 66210 6904 66238 9282
+rect 66394 8977 66422 10098
+rect 66762 9618 66790 10800
+rect 66842 10428 66894 10434
+rect 66842 10370 66894 10376
+rect 66854 9618 66882 10370
+rect 66750 9612 66802 9618
+rect 66750 9554 66802 9560
+rect 66842 9612 66894 9618
+rect 66842 9554 66894 9560
+rect 66474 9544 66526 9550
+rect 66946 9498 66974 10800
+rect 67038 10570 67066 10800
+rect 67026 10564 67078 10570
+rect 67026 10506 67078 10512
+rect 66474 9486 66526 9492
+rect 66380 8968 66436 8977
+rect 66380 8903 66436 8912
+rect 66486 6904 66514 9486
+rect 66762 9470 66974 9498
+rect 66566 9408 66618 9414
+rect 66566 9350 66618 9356
+rect 66658 9408 66710 9414
+rect 66658 9350 66710 9356
+rect 66578 7986 66606 9350
+rect 66670 8734 66698 9350
+rect 66658 8728 66710 8734
+rect 66658 8670 66710 8676
+rect 66566 7980 66618 7986
+rect 66566 7922 66618 7928
+rect 66762 6904 66790 9470
+rect 66842 9340 66894 9346
+rect 66842 9282 66894 9288
+rect 66854 9249 66882 9282
+rect 67130 9260 67158 10800
+rect 67222 10366 67250 10800
+rect 67210 10360 67262 10366
+rect 67210 10302 67262 10308
+rect 67210 10020 67262 10026
+rect 67210 9962 67262 9968
+rect 66840 9240 66896 9249
+rect 66840 9175 66896 9184
+rect 66946 9232 67158 9260
+rect 66946 6904 66974 9232
+rect 67222 6904 67250 9962
+rect 67314 8938 67342 10800
+rect 67302 8932 67354 8938
+rect 67302 8874 67354 8880
+rect 67406 8818 67434 10800
+rect 67498 10026 67526 10800
+rect 67590 10065 67618 10800
+rect 67576 10056 67632 10065
+rect 67486 10020 67538 10026
+rect 67576 9991 67632 10000
+rect 67486 9962 67538 9968
+rect 67590 9657 67618 9991
+rect 67576 9648 67632 9657
+rect 67576 9583 67632 9592
+rect 67682 9482 67710 10800
+rect 67944 9784 68000 9793
+rect 67944 9719 68000 9728
+rect 67670 9476 67722 9482
+rect 67670 9418 67722 9424
+rect 67314 8790 67434 8818
+rect 67314 8190 67342 8790
+rect 67394 8728 67446 8734
+rect 67394 8670 67446 8676
+rect 67406 8530 67434 8670
+rect 67394 8524 67446 8530
+rect 67394 8466 67446 8472
+rect 67302 8184 67354 8190
+rect 67302 8126 67354 8132
+rect 67958 9278 67986 9719
+rect 68038 9408 68090 9414
+rect 68142 9396 68170 10800
+rect 68090 9368 68170 9396
+rect 68038 9350 68090 9356
+rect 67762 9272 67814 9278
+rect 67762 9214 67814 9220
+rect 67946 9272 67998 9278
+rect 67946 9214 67998 9220
+rect 68128 9240 68184 9249
+rect 67774 9090 67802 9214
+rect 68128 9175 68184 9184
+rect 67774 9062 67986 9090
+rect 67670 8796 67722 8802
+rect 67670 8738 67722 8744
+rect 67682 6904 67710 8738
+rect 67958 6904 67986 9062
+rect 68142 6904 68170 9175
+rect 68234 8054 68262 10800
+rect 68312 10464 68368 10473
+rect 68312 10399 68368 10408
+rect 68326 9414 68354 10399
+rect 68314 9408 68366 9414
+rect 68314 9350 68366 9356
+rect 68510 9346 68538 10800
+rect 68590 10632 68642 10638
+rect 68590 10574 68642 10580
+rect 68602 10473 68630 10574
+rect 68694 10502 68722 10800
+rect 68682 10496 68734 10502
+rect 68588 10464 68644 10473
+rect 68682 10438 68734 10444
+rect 68588 10399 68644 10408
+rect 68682 9952 68734 9958
+rect 68734 9912 68814 9940
+rect 68682 9894 68734 9900
+rect 68590 9816 68642 9822
+rect 68590 9758 68642 9764
+rect 68786 9793 68814 9912
+rect 68878 9890 68906 10800
+rect 69062 10094 69090 10800
+rect 69246 10570 69274 10800
+rect 69234 10564 69286 10570
+rect 69234 10506 69286 10512
+rect 69050 10088 69102 10094
+rect 69050 10030 69102 10036
+rect 68866 9884 68918 9890
+rect 68866 9826 68918 9832
+rect 68772 9784 68828 9793
+rect 68602 9618 68630 9758
+rect 68590 9612 68642 9618
+rect 68590 9554 68642 9560
+rect 68498 9340 68550 9346
+rect 68498 9282 68550 9288
+rect 68590 8864 68642 8870
+rect 68590 8806 68642 8812
+rect 68602 8258 68630 8806
+rect 68590 8252 68642 8258
+rect 68590 8194 68642 8200
+rect 68222 8048 68274 8054
+rect 68222 7990 68274 7996
+rect 68406 7980 68458 7986
+rect 68406 7922 68458 7928
+rect 68418 6904 68446 7922
+rect 68772 9719 68828 9728
+rect 69142 9272 69194 9278
+rect 69142 9214 69194 9220
+rect 69050 8932 69102 8938
+rect 69050 8874 69102 8880
+rect 68866 8388 68918 8394
+rect 68866 8330 68918 8336
+rect 68878 6904 68906 8330
+rect 69062 8326 69090 8874
+rect 69050 8320 69102 8326
+rect 69050 8262 69102 8268
+rect 69154 6904 69182 9214
+rect 69430 6904 69458 10800
+rect 69614 10162 69642 10800
+rect 69602 10156 69654 10162
+rect 69602 10098 69654 10104
+rect 69510 10088 69562 10094
+rect 69510 10030 69562 10036
+rect 69522 9414 69550 10030
+rect 69510 9408 69562 9414
+rect 69510 9350 69562 9356
+rect 69706 9249 69734 10800
+rect 70062 10700 70114 10706
+rect 70062 10642 70114 10648
+rect 70074 9822 70102 10642
+rect 70350 10502 70378 10800
+rect 70534 10638 70562 10800
+rect 70522 10632 70574 10638
+rect 70522 10574 70574 10580
+rect 70338 10496 70390 10502
+rect 70338 10438 70390 10444
+rect 70626 10026 70654 10800
+rect 70718 10502 70746 10800
+rect 70706 10496 70758 10502
+rect 70706 10438 70758 10444
+rect 70614 10020 70666 10026
+rect 70614 9962 70666 9968
+rect 70614 9884 70666 9890
+rect 70614 9826 70666 9832
+rect 70062 9816 70114 9822
+rect 70062 9758 70114 9764
+rect 70154 9476 70206 9482
+rect 70154 9418 70206 9424
+rect 69692 9240 69748 9249
+rect 69692 9175 69748 9184
+rect 69602 7572 69654 7578
+rect 69602 7514 69654 7520
+rect 69614 6904 69642 7514
+rect 70166 6904 70194 9418
+rect 70522 9272 70574 9278
+rect 70522 9214 70574 9220
+rect 70430 8932 70482 8938
+rect 70430 8874 70482 8880
+rect 70442 8462 70470 8874
+rect 70430 8456 70482 8462
+rect 70430 8398 70482 8404
+rect 70534 7866 70562 9214
+rect 70350 7838 70562 7866
+rect 70350 6904 70378 7838
+rect 70626 6904 70654 9826
+rect 70706 9408 70758 9414
+rect 70706 9350 70758 9356
+rect 70718 9113 70746 9350
+rect 70704 9104 70760 9113
+rect 70704 9039 70760 9048
+rect 70902 6904 70930 10800
+rect 71546 10570 71574 10800
+rect 71534 10564 71586 10570
+rect 71534 10506 71586 10512
+rect 71638 10502 71666 10800
+rect 71718 10564 71770 10570
+rect 71718 10506 71770 10512
+rect 71626 10496 71678 10502
+rect 71626 10438 71678 10444
+rect 70982 10360 71034 10366
+rect 70982 10302 71034 10308
+rect 70994 10162 71022 10302
+rect 70982 10156 71034 10162
+rect 70982 10098 71034 10104
+rect 71534 9816 71586 9822
+rect 71534 9758 71586 9764
+rect 71350 9340 71402 9346
+rect 71350 9282 71402 9288
+rect 71362 6904 71390 9282
+rect 71546 9278 71574 9758
+rect 71534 9272 71586 9278
+rect 71534 9214 71586 9220
+rect 71638 9074 71666 10438
+rect 71442 9068 71494 9074
+rect 71442 9010 71494 9016
+rect 71626 9068 71678 9074
+rect 71626 9010 71678 9016
+rect 71454 8530 71482 9010
+rect 71730 8977 71758 10506
+rect 71914 10434 71942 10800
+rect 71902 10428 71954 10434
+rect 71902 10370 71954 10376
+rect 71810 10088 71862 10094
+rect 71810 10030 71862 10036
+rect 71716 8968 71772 8977
+rect 71626 8932 71678 8938
+rect 71716 8903 71718 8912
+rect 71626 8874 71678 8880
+rect 71770 8903 71772 8912
+rect 71718 8874 71770 8880
+rect 71638 8734 71666 8874
+rect 71626 8728 71678 8734
+rect 71626 8670 71678 8676
+rect 71442 8524 71494 8530
+rect 71442 8466 71494 8472
+rect 71626 8184 71678 8190
+rect 71626 8126 71678 8132
+rect 71638 6904 71666 8126
+rect 71822 6904 71850 10030
+rect 72086 9952 72138 9958
+rect 72086 9894 72138 9900
+rect 71902 9816 71954 9822
+rect 71902 9758 71954 9764
+rect 71914 7578 71942 9758
+rect 71902 7572 71954 7578
+rect 71902 7514 71954 7520
+rect 72098 6904 72126 9894
+rect 72452 9784 72508 9793
+rect 72452 9719 72508 9728
+rect 72466 9618 72494 9719
+rect 72362 9612 72414 9618
+rect 72362 9554 72414 9560
+rect 72454 9612 72506 9618
+rect 72454 9554 72506 9560
+rect 72374 6904 72402 9554
+rect 72558 6904 72586 10800
+rect 72834 6904 72862 10800
+rect 72926 8512 72954 10800
+rect 73128 10750 73130 10802
+rect 73192 10750 73204 10802
+rect 73266 10750 73268 10802
+rect 73346 10800 103770 10802
+rect 73106 10748 73130 10750
+rect 73186 10748 73210 10750
+rect 73266 10748 73290 10750
+rect 73050 10728 73346 10748
+rect 73742 10496 73794 10502
+rect 73742 10438 73794 10444
+rect 73754 10026 73782 10438
+rect 73742 10020 73794 10026
+rect 73742 9962 73794 9968
+rect 73374 9884 73426 9890
+rect 73374 9826 73426 9832
+rect 73050 9716 73346 9736
+rect 73106 9714 73130 9716
+rect 73186 9714 73210 9716
+rect 73266 9714 73290 9716
+rect 73128 9662 73130 9714
+rect 73192 9662 73204 9714
+rect 73266 9662 73268 9714
+rect 73106 9660 73130 9662
+rect 73186 9660 73210 9662
+rect 73266 9660 73290 9662
+rect 73050 9640 73346 9660
+rect 73050 8628 73346 8648
+rect 73106 8626 73130 8628
+rect 73186 8626 73210 8628
+rect 73266 8626 73290 8628
+rect 73128 8574 73130 8626
+rect 73192 8574 73204 8626
+rect 73266 8574 73268 8626
+rect 73106 8572 73130 8574
+rect 73186 8572 73210 8574
+rect 73266 8572 73290 8574
+rect 73050 8552 73346 8572
+rect 72926 8484 73138 8512
+rect 73110 6904 73138 8484
+rect 73386 7866 73414 9826
+rect 73754 9396 73782 9962
+rect 74122 9482 74150 10800
+rect 74398 10706 74426 10800
+rect 74386 10700 74438 10706
+rect 74386 10642 74438 10648
+rect 74582 10502 74610 10800
+rect 75030 10564 75082 10570
+rect 75030 10506 75082 10512
+rect 74570 10496 74622 10502
+rect 74622 10456 74886 10484
+rect 74570 10438 74622 10444
+rect 74858 10042 74886 10456
+rect 74938 10156 74990 10162
+rect 75042 10144 75070 10506
+rect 75226 10162 75254 10800
+rect 75490 10632 75542 10638
+rect 75490 10574 75542 10580
+rect 75304 10464 75360 10473
+rect 75304 10399 75360 10408
+rect 74990 10116 75070 10144
+rect 75214 10156 75266 10162
+rect 74938 10098 74990 10104
+rect 75214 10098 75266 10104
+rect 74858 10026 75070 10042
+rect 74858 10020 75082 10026
+rect 74858 10014 75030 10020
+rect 75030 9962 75082 9968
+rect 74110 9476 74162 9482
+rect 74110 9418 74162 9424
+rect 74754 9476 74806 9482
+rect 74754 9418 74806 9424
+rect 73834 9408 73886 9414
+rect 73754 9368 73834 9396
+rect 73834 9350 73886 9356
+rect 73558 9340 73610 9346
+rect 73558 9282 73610 9288
+rect 73294 7838 73414 7866
+rect 73294 6904 73322 7838
+rect 73570 6904 73598 9282
+rect 74018 9272 74070 9278
+rect 74018 9214 74070 9220
+rect 73834 8116 73886 8122
+rect 73834 8058 73886 8064
+rect 73846 6904 73874 8058
+rect 74030 6904 74058 9214
+rect 74294 8728 74346 8734
+rect 74294 8670 74346 8676
+rect 74306 6904 74334 8670
+rect 74570 8048 74622 8054
+rect 74570 7990 74622 7996
+rect 74582 6904 74610 7990
+rect 74766 6904 74794 9418
+rect 75030 9340 75082 9346
+rect 75030 9282 75082 9288
+rect 75042 6904 75070 9282
+rect 75318 6904 75346 10399
+rect 75502 6904 75530 10574
+rect 76410 10700 76462 10706
+rect 76410 10642 76462 10648
+rect 76422 10162 76450 10642
+rect 76410 10156 76462 10162
+rect 76410 10098 76462 10104
+rect 76606 10094 76634 10800
+rect 76502 10088 76554 10094
+rect 76502 10030 76554 10036
+rect 76594 10088 76646 10094
+rect 76594 10030 76646 10036
+rect 76042 9612 76094 9618
+rect 76042 9554 76094 9560
+rect 75950 8728 76002 8734
+rect 75950 8670 76002 8676
+rect 75962 8394 75990 8670
+rect 75950 8388 76002 8394
+rect 75950 8330 76002 8336
+rect 76054 6904 76082 9554
+rect 76226 9340 76278 9346
+rect 76226 9282 76278 9288
+rect 76238 8938 76266 9282
+rect 76226 8932 76278 8938
+rect 76226 8874 76278 8880
+rect 76226 8796 76278 8802
+rect 76226 8738 76278 8744
+rect 76238 6904 76266 8738
+rect 76514 6904 76542 10030
+rect 76790 6904 76818 10800
+rect 77146 10360 77198 10366
+rect 77146 10302 77198 10308
+rect 77158 7458 77186 10302
+rect 77342 9278 77370 10800
+rect 77514 10496 77566 10502
+rect 77514 10438 77566 10444
+rect 77698 10496 77750 10502
+rect 79090 10484 79118 10800
+rect 103848 10750 103850 10802
+rect 103912 10750 103924 10802
+rect 103986 10750 103988 10802
+rect 104066 10800 127248 10802
+rect 103826 10748 103850 10750
+rect 103906 10748 103930 10750
+rect 103986 10748 104010 10750
+rect 103770 10728 104066 10748
+rect 126182 10496 126234 10502
+rect 79090 10456 79486 10484
+rect 77698 10438 77750 10444
+rect 77238 9272 77290 9278
+rect 77238 9214 77290 9220
+rect 77330 9272 77382 9278
+rect 77330 9214 77382 9220
+rect 77250 8938 77278 9214
+rect 77238 8932 77290 8938
+rect 77238 8874 77290 8880
+rect 77158 7430 77278 7458
+rect 77250 6904 77278 7430
+rect 77526 6904 77554 10438
+rect 77710 6904 77738 10438
+rect 78710 10428 78762 10434
+rect 78710 10370 78762 10376
+rect 78250 10088 78302 10094
+rect 78250 10030 78302 10036
+rect 77974 9340 78026 9346
+rect 77974 9282 78026 9288
+rect 77986 6904 78014 9282
+rect 78262 6904 78290 10030
+rect 78434 9816 78486 9822
+rect 78434 9758 78486 9764
+rect 78446 6904 78474 9758
+rect 78722 6904 78750 10370
+rect 78986 10156 79038 10162
+rect 78986 10098 79038 10104
+rect 78998 6904 79026 10098
+rect 79170 9816 79222 9822
+rect 79170 9758 79222 9764
+rect 79182 6904 79210 9758
+rect 79458 6904 79486 10456
+rect 126182 10438 126234 10444
+rect 88410 10260 88706 10280
+rect 88466 10258 88490 10260
+rect 88546 10258 88570 10260
+rect 88626 10258 88650 10260
+rect 88488 10206 88490 10258
+rect 88552 10206 88564 10258
+rect 88626 10206 88628 10258
+rect 88466 10204 88490 10206
+rect 88546 10204 88570 10206
+rect 88626 10204 88650 10206
+rect 88410 10184 88706 10204
+rect 119130 10260 119426 10280
+rect 119186 10258 119210 10260
+rect 119266 10258 119290 10260
+rect 119346 10258 119370 10260
+rect 119208 10206 119210 10258
+rect 119272 10206 119284 10258
+rect 119346 10206 119348 10258
+rect 119186 10204 119210 10206
+rect 119266 10204 119290 10206
+rect 119346 10204 119370 10206
+rect 119130 10184 119426 10204
+rect 81652 10056 81708 10065
+rect 80182 10020 80234 10026
+rect 81652 9991 81708 10000
+rect 80182 9962 80234 9968
+rect 79906 9408 79958 9414
+rect 79906 9350 79958 9356
+rect 79722 9272 79774 9278
+rect 79722 9214 79774 9220
+rect 79734 6904 79762 9214
+rect 79918 6904 79946 9350
+rect 80194 6904 80222 9962
+rect 80642 9816 80694 9822
+rect 80642 9758 80694 9764
+rect 80458 8456 80510 8462
+rect 80458 8398 80510 8404
+rect 80470 6904 80498 8398
+rect 80654 6904 80682 9758
+rect 81192 9512 81248 9521
+rect 81192 9447 81248 9456
+rect 80918 8728 80970 8734
+rect 80918 8670 80970 8676
+rect 80930 6904 80958 8670
+rect 81206 6904 81234 9447
+rect 81378 9408 81430 9414
+rect 81378 9350 81430 9356
+rect 81390 6904 81418 9350
+rect 81666 6904 81694 9991
+rect 82850 9816 82902 9822
+rect 82850 9758 82902 9764
+rect 85794 9816 85846 9822
+rect 85794 9758 85846 9764
+rect 87266 9816 87318 9822
+rect 87266 9758 87318 9764
+rect 89474 9816 89526 9822
+rect 89474 9758 89526 9764
+rect 91682 9816 91734 9822
+rect 91682 9758 91734 9764
+rect 93890 9816 93942 9822
+rect 93890 9758 93942 9764
+rect 96098 9816 96150 9822
+rect 96098 9758 96150 9764
+rect 97570 9816 97622 9822
+rect 97570 9758 97622 9764
+rect 102722 9816 102774 9822
+rect 102722 9758 102774 9764
+rect 104194 9816 104246 9822
+rect 104194 9758 104246 9764
+rect 107138 9816 107190 9822
+rect 107138 9758 107190 9764
+rect 108610 9816 108662 9822
+rect 108610 9758 108662 9764
+rect 110818 9816 110870 9822
+rect 110818 9758 110870 9764
+rect 112934 9816 112986 9822
+rect 112934 9758 112986 9764
+rect 114406 9816 114458 9822
+rect 114406 9758 114458 9764
+rect 119558 9816 119610 9822
+rect 119558 9758 119610 9764
+rect 123974 9816 124026 9822
+rect 123974 9758 124026 9764
+rect 125446 9816 125498 9822
+rect 125446 9758 125498 9764
+rect 82114 9408 82166 9414
+rect 82114 9350 82166 9356
+rect 82126 6904 82154 9350
+rect 82862 6904 82890 9758
+rect 83586 9408 83638 9414
+rect 83586 9350 83638 9356
+rect 84322 9408 84374 9414
+rect 84322 9350 84374 9356
+rect 85058 9408 85110 9414
+rect 85058 9350 85110 9356
+rect 83598 6904 83626 9350
+rect 84334 6904 84362 9350
+rect 85070 6904 85098 9350
+rect 85806 6904 85834 9758
+rect 87278 6904 87306 9758
+rect 88002 9408 88054 9414
+rect 88002 9350 88054 9356
+rect 88738 9408 88790 9414
+rect 88738 9350 88790 9356
+rect 88014 6904 88042 9350
+rect 88410 9172 88706 9192
+rect 88466 9170 88490 9172
+rect 88546 9170 88570 9172
+rect 88626 9170 88650 9172
+rect 88488 9118 88490 9170
+rect 88552 9118 88564 9170
+rect 88626 9118 88628 9170
+rect 88466 9116 88490 9118
+rect 88546 9116 88570 9118
+rect 88626 9116 88650 9118
+rect 88410 9096 88706 9116
+rect 88750 6904 88778 9350
+rect 89486 6904 89514 9758
+rect 90210 9408 90262 9414
+rect 90210 9350 90262 9356
+rect 90946 9408 90998 9414
+rect 90946 9350 90998 9356
+rect 90222 6904 90250 9350
+rect 90958 6904 90986 9350
+rect 91694 6904 91722 9758
+rect 93154 9408 93206 9414
+rect 93154 9350 93206 9356
+rect 93166 6904 93194 9350
+rect 93902 6904 93930 9758
+rect 94626 9408 94678 9414
+rect 94626 9350 94678 9356
+rect 95362 9408 95414 9414
+rect 95362 9350 95414 9356
+rect 94638 6904 94666 9350
+rect 95374 6904 95402 9350
+rect 96110 6904 96138 9758
+rect 96834 9408 96886 9414
+rect 96834 9350 96886 9356
+rect 96846 6904 96874 9350
+rect 97582 6904 97610 9758
+rect 98306 9408 98358 9414
+rect 98306 9350 98358 9356
+rect 99042 9408 99094 9414
+rect 99042 9350 99094 9356
+rect 99778 9408 99830 9414
+rect 99778 9350 99830 9356
+rect 101250 9408 101302 9414
+rect 101250 9350 101302 9356
+rect 98318 6904 98346 9350
+rect 99054 6904 99082 9350
+rect 99790 6904 99818 9350
+rect 100514 8728 100566 8734
+rect 100514 8670 100566 8676
+rect 100526 6904 100554 8670
+rect 101262 6904 101290 9350
+rect 102734 6904 102762 9758
+rect 103770 9716 104066 9736
+rect 103826 9714 103850 9716
+rect 103906 9714 103930 9716
+rect 103986 9714 104010 9716
+rect 103848 9662 103850 9714
+rect 103912 9662 103924 9714
+rect 103986 9662 103988 9714
+rect 103826 9660 103850 9662
+rect 103906 9660 103930 9662
+rect 103986 9660 104010 9662
+rect 103770 9640 104066 9660
+rect 103458 9408 103510 9414
+rect 103458 9350 103510 9356
+rect 103470 6904 103498 9350
+rect 103770 8628 104066 8648
+rect 103826 8626 103850 8628
+rect 103906 8626 103930 8628
+rect 103986 8626 104010 8628
+rect 103848 8574 103850 8626
+rect 103912 8574 103924 8626
+rect 103986 8574 103988 8626
+rect 103826 8572 103850 8574
+rect 103906 8572 103930 8574
+rect 103986 8572 104010 8574
+rect 103770 8552 104066 8572
+rect 104206 6904 104234 9758
+rect 104930 9408 104982 9414
+rect 104930 9350 104982 9356
+rect 105666 9408 105718 9414
+rect 105666 9350 105718 9356
+rect 106402 9408 106454 9414
+rect 106402 9350 106454 9356
+rect 104942 6904 104970 9350
+rect 105678 6904 105706 9350
+rect 106414 6904 106442 9350
+rect 107150 6904 107178 9758
+rect 107874 9408 107926 9414
+rect 107874 9350 107926 9356
+rect 107886 6904 107914 9350
+rect 108622 6904 108650 9758
+rect 109346 9408 109398 9414
+rect 109346 9350 109398 9356
+rect 110082 9408 110134 9414
+rect 110082 9350 110134 9356
+rect 109358 6904 109386 9350
+rect 110094 6904 110122 9350
+rect 110830 6904 110858 9758
+rect 111554 9408 111606 9414
+rect 111554 9350 111606 9356
+rect 112290 9408 112342 9414
+rect 112290 9350 112342 9356
+rect 111566 6904 111594 9350
+rect 112302 6904 112330 9350
+rect 112946 6904 112974 9758
+rect 113670 9408 113722 9414
+rect 113670 9350 113722 9356
+rect 113682 6904 113710 9350
+rect 114418 6904 114446 9758
+rect 115142 9408 115194 9414
+rect 115142 9350 115194 9356
+rect 115878 9408 115930 9414
+rect 115878 9350 115930 9356
+rect 116614 9408 116666 9414
+rect 116614 9350 116666 9356
+rect 118086 9408 118138 9414
+rect 118086 9350 118138 9356
+rect 118822 9408 118874 9414
+rect 118822 9350 118874 9356
+rect 115154 6904 115182 9350
+rect 115890 6904 115918 9350
+rect 116626 6904 116654 9350
+rect 117350 8728 117402 8734
+rect 117350 8670 117402 8676
+rect 117362 6904 117390 8670
+rect 118098 6904 118126 9350
+rect 118834 6904 118862 9350
+rect 119130 9172 119426 9192
+rect 119186 9170 119210 9172
+rect 119266 9170 119290 9172
+rect 119346 9170 119370 9172
+rect 119208 9118 119210 9170
+rect 119272 9118 119284 9170
+rect 119346 9118 119348 9170
+rect 119186 9116 119210 9118
+rect 119266 9116 119290 9118
+rect 119346 9116 119370 9118
+rect 119130 9096 119426 9116
+rect 119570 6904 119598 9758
+rect 120294 9408 120346 9414
+rect 120294 9350 120346 9356
+rect 120306 6904 120334 9350
+rect 121766 9408 121818 9414
+rect 121766 9350 121818 9356
+rect 122502 9408 122554 9414
+rect 122502 9350 122554 9356
+rect 123238 9408 123290 9414
+rect 123238 9350 123290 9356
+rect 121778 6904 121806 9350
+rect 122514 6904 122542 9350
+rect 123250 6904 123278 9350
+rect 123986 6904 124014 9758
+rect 124710 9408 124762 9414
+rect 124710 9350 124762 9356
+rect 124722 6904 124750 9350
+rect 125458 6904 125486 9758
+rect 126194 6904 126222 10438
+rect 7500 6424 7556 6904
+rect 7684 6424 7740 6904
+rect 7960 6424 8016 6904
+rect 8144 6424 8200 6904
+rect 8420 6424 8476 6904
+rect 8696 6424 8752 6904
+rect 8880 6424 8936 6904
+rect 9432 6424 9488 6904
+rect 9616 6424 9672 6904
+rect 9892 6424 9948 6904
+rect 10168 6424 10224 6904
+rect 10628 6424 10684 6904
+rect 10904 6424 10960 6904
+rect 11088 6424 11144 6904
+rect 11364 6424 11420 6904
+rect 11640 6424 11696 6904
+rect 11824 6424 11880 6904
+rect 12100 6424 12156 6904
+rect 12376 6424 12432 6904
+rect 12560 6424 12616 6904
+rect 12836 6424 12892 6904
+rect 13112 6424 13168 6904
+rect 13296 6424 13352 6904
+rect 13572 6424 13628 6904
+rect 13848 6424 13904 6904
+rect 14032 6424 14088 6904
+rect 14308 6424 14364 6904
+rect 14584 6424 14640 6904
+rect 14768 6424 14824 6904
+rect 15044 6424 15100 6904
+rect 15320 6424 15376 6904
+rect 15504 6424 15560 6904
+rect 15780 6424 15836 6904
+rect 16056 6424 16112 6904
+rect 16240 6424 16296 6904
+rect 16516 6424 16572 6904
+rect 16792 6424 16848 6904
+rect 16976 6424 17032 6904
+rect 17252 6424 17308 6904
+rect 17712 6424 17768 6904
+rect 17988 6424 18044 6904
+rect 18264 6424 18320 6904
+rect 18448 6424 18504 6904
+rect 19000 6424 19056 6904
+rect 19184 6424 19240 6904
+rect 19460 6424 19516 6904
+rect 19736 6424 19792 6904
+rect 20196 6424 20252 6904
+rect 20472 6424 20528 6904
+rect 20656 6424 20712 6904
+rect 20932 6424 20988 6904
+rect 21208 6424 21264 6904
+rect 21392 6424 21448 6904
+rect 21668 6424 21724 6904
+rect 21944 6424 22000 6904
+rect 22128 6424 22184 6904
+rect 22404 6424 22460 6904
+rect 22588 6424 22644 6904
+rect 22864 6424 22920 6904
+rect 23140 6424 23196 6904
+rect 23324 6424 23380 6904
+rect 23600 6424 23656 6904
+rect 23876 6424 23932 6904
+rect 24060 6424 24116 6904
+rect 24336 6424 24392 6904
+rect 24612 6424 24668 6904
+rect 24796 6424 24852 6904
+rect 25072 6424 25128 6904
+rect 25348 6424 25404 6904
+rect 25532 6424 25588 6904
+rect 26084 6424 26140 6904
+rect 26268 6424 26324 6904
+rect 26544 6424 26600 6904
+rect 26820 6424 26876 6904
+rect 27280 6424 27336 6904
+rect 27556 6424 27612 6904
+rect 27740 6424 27796 6904
+rect 28016 6424 28072 6904
+rect 28292 6424 28348 6904
+rect 28476 6424 28532 6904
+rect 28752 6424 28808 6904
+rect 29028 6424 29084 6904
+rect 29212 6424 29268 6904
+rect 29488 6424 29544 6904
+rect 29764 6424 29820 6904
+rect 29948 6424 30004 6904
+rect 30224 6424 30280 6904
+rect 30500 6424 30556 6904
+rect 30684 6424 30740 6904
+rect 30960 6424 31016 6904
+rect 31236 6424 31292 6904
+rect 31420 6424 31476 6904
+rect 31696 6424 31752 6904
+rect 31972 6424 32028 6904
+rect 32156 6424 32212 6904
+rect 32432 6424 32488 6904
+rect 32708 6424 32764 6904
+rect 32892 6424 32948 6904
+rect 33168 6424 33224 6904
+rect 33444 6424 33500 6904
+rect 33628 6424 33684 6904
+rect 33904 6424 33960 6904
+rect 34364 6424 34420 6904
+rect 34640 6424 34696 6904
+rect 34916 6424 34972 6904
+rect 35100 6424 35156 6904
+rect 35652 6424 35708 6904
+rect 35836 6424 35892 6904
+rect 36112 6424 36168 6904
+rect 36388 6424 36444 6904
+rect 36848 6424 36904 6904
+rect 37124 6424 37180 6904
+rect 37308 6424 37364 6904
+rect 37584 6424 37640 6904
+rect 38044 6424 38100 6904
+rect 38320 6424 38376 6904
+rect 38504 6424 38560 6904
+rect 38780 6424 38836 6904
+rect 39056 6424 39112 6904
+rect 39240 6424 39296 6904
+rect 39516 6424 39572 6904
+rect 39792 6424 39848 6904
+rect 39976 6424 40032 6904
+rect 40252 6424 40308 6904
+rect 40528 6424 40584 6904
+rect 40712 6424 40768 6904
+rect 40988 6424 41044 6904
+rect 41264 6424 41320 6904
+rect 41448 6424 41504 6904
+rect 41724 6424 41780 6904
+rect 42000 6424 42056 6904
+rect 42184 6424 42240 6904
+rect 42736 6424 42792 6904
+rect 42920 6424 42976 6904
+rect 43196 6424 43252 6904
+rect 43472 6424 43528 6904
+rect 43932 6424 43988 6904
+rect 44208 6424 44264 6904
+rect 44392 6424 44448 6904
+rect 44668 6424 44724 6904
+rect 44944 6424 45000 6904
+rect 45128 6424 45184 6904
+rect 45404 6424 45460 6904
+rect 45680 6424 45736 6904
+rect 45864 6424 45920 6904
+rect 46140 6424 46196 6904
+rect 46416 6424 46472 6904
+rect 46600 6424 46656 6904
+rect 46876 6424 46932 6904
+rect 47152 6424 47208 6904
+rect 47336 6424 47392 6904
+rect 47612 6424 47668 6904
+rect 47888 6424 47944 6904
+rect 48072 6424 48128 6904
+rect 48348 6424 48404 6904
+rect 48624 6424 48680 6904
+rect 48808 6424 48864 6904
+rect 49084 6424 49140 6904
+rect 49360 6424 49416 6904
+rect 49544 6424 49600 6904
+rect 49820 6424 49876 6904
+rect 50096 6424 50152 6904
+rect 50280 6424 50336 6904
+rect 50556 6424 50612 6904
+rect 51016 6424 51072 6904
+rect 51292 6424 51348 6904
+rect 51568 6424 51624 6904
+rect 51752 6424 51808 6904
+rect 52304 6424 52360 6904
+rect 52488 6424 52544 6904
+rect 52764 6424 52820 6904
+rect 52948 6424 53004 6904
+rect 53500 6424 53556 6904
+rect 53684 6424 53740 6904
+rect 53960 6424 54016 6904
+rect 54236 6424 54292 6904
+rect 54696 6424 54752 6904
+rect 54972 6424 55028 6904
+rect 55156 6424 55212 6904
+rect 55432 6424 55488 6904
+rect 55708 6424 55764 6904
+rect 55892 6424 55948 6904
+rect 56168 6424 56224 6904
+rect 56444 6424 56500 6904
+rect 56628 6424 56684 6904
+rect 56904 6424 56960 6904
+rect 57180 6424 57236 6904
+rect 57364 6424 57420 6904
+rect 57640 6424 57696 6904
+rect 57916 6424 57972 6904
+rect 58100 6424 58156 6904
+rect 58376 6424 58432 6904
+rect 58652 6424 58708 6904
+rect 58836 6424 58892 6904
+rect 59388 6424 59444 6904
+rect 59572 6424 59628 6904
+rect 59848 6424 59904 6904
+rect 60124 6424 60180 6904
+rect 60584 6424 60640 6904
+rect 60860 6424 60916 6904
+rect 61044 6424 61100 6904
+rect 61320 6424 61376 6904
+rect 61596 6424 61652 6904
+rect 61780 6424 61836 6904
+rect 62056 6424 62112 6904
+rect 62332 6424 62388 6904
+rect 62516 6424 62572 6904
+rect 62792 6424 62848 6904
+rect 63068 6424 63124 6904
+rect 63252 6424 63308 6904
+rect 63528 6424 63584 6904
+rect 63804 6424 63860 6904
+rect 63988 6424 64044 6904
+rect 64264 6424 64320 6904
+rect 64540 6424 64596 6904
+rect 64724 6424 64780 6904
+rect 65000 6424 65056 6904
+rect 65276 6424 65332 6904
+rect 65460 6424 65516 6904
+rect 65736 6424 65792 6904
+rect 66012 6424 66068 6904
+rect 66196 6424 66252 6904
+rect 66472 6424 66528 6904
+rect 66748 6424 66804 6904
+rect 66932 6424 66988 6904
+rect 67208 6424 67264 6904
+rect 67668 6424 67724 6904
+rect 67944 6424 68000 6904
+rect 68128 6424 68184 6904
+rect 68404 6424 68460 6904
+rect 68864 6424 68920 6904
+rect 69140 6424 69196 6904
+rect 69416 6424 69472 6904
+rect 69600 6424 69656 6904
+rect 70152 6424 70208 6904
+rect 70336 6424 70392 6904
+rect 70612 6424 70668 6904
+rect 70888 6424 70944 6904
+rect 71348 6424 71404 6904
+rect 71624 6424 71680 6904
+rect 71808 6424 71864 6904
+rect 72084 6424 72140 6904
+rect 72360 6424 72416 6904
+rect 72544 6424 72600 6904
+rect 72820 6424 72876 6904
+rect 73096 6424 73152 6904
+rect 73280 6424 73336 6904
+rect 73556 6424 73612 6904
+rect 73832 6424 73888 6904
+rect 74016 6424 74072 6904
+rect 74292 6424 74348 6904
+rect 74568 6424 74624 6904
+rect 74752 6424 74808 6904
+rect 75028 6424 75084 6904
+rect 75304 6424 75360 6904
+rect 75488 6424 75544 6904
+rect 76040 6424 76096 6904
+rect 76224 6424 76280 6904
+rect 76500 6424 76556 6904
+rect 76776 6424 76832 6904
+rect 77236 6424 77292 6904
+rect 77512 6424 77568 6904
+rect 77696 6424 77752 6904
+rect 77972 6424 78028 6904
+rect 78248 6424 78304 6904
+rect 78432 6424 78488 6904
+rect 78708 6424 78764 6904
+rect 78984 6424 79040 6904
+rect 79168 6424 79224 6904
+rect 79444 6424 79500 6904
+rect 79720 6424 79776 6904
+rect 79904 6424 79960 6904
+rect 80180 6424 80236 6904
+rect 80456 6424 80512 6904
+rect 80640 6424 80696 6904
+rect 80916 6424 80972 6904
+rect 81192 6424 81248 6904
+rect 81376 6424 81432 6904
+rect 81652 6424 81708 6904
+rect 81928 6424 81984 6904
+rect 82112 6424 82168 6904
+rect 82388 6424 82444 6904
+rect 82572 6424 82628 6904
+rect 82848 6424 82904 6904
+rect 83124 6424 83180 6904
+rect 83308 6424 83364 6904
+rect 83584 6424 83640 6904
+rect 83860 6424 83916 6904
+rect 84044 6424 84100 6904
+rect 84320 6424 84376 6904
+rect 84596 6424 84652 6904
+rect 84780 6424 84836 6904
+rect 85056 6424 85112 6904
+rect 85516 6424 85572 6904
+rect 85792 6424 85848 6904
+rect 86068 6424 86124 6904
+rect 86252 6424 86308 6904
+rect 86804 6424 86860 6904
+rect 86988 6424 87044 6904
+rect 87264 6424 87320 6904
+rect 87540 6424 87596 6904
+rect 88000 6424 88056 6904
+rect 88276 6424 88332 6904
+rect 88460 6424 88516 6904
+rect 88736 6424 88792 6904
+rect 89012 6424 89068 6904
+rect 89196 6424 89252 6904
+rect 89472 6424 89528 6904
+rect 89748 6424 89804 6904
+rect 89932 6424 89988 6904
+rect 90208 6424 90264 6904
+rect 90484 6424 90540 6904
+rect 90668 6424 90724 6904
+rect 90944 6424 91000 6904
+rect 91220 6424 91276 6904
+rect 91404 6424 91460 6904
+rect 91680 6424 91736 6904
+rect 91956 6424 92012 6904
+rect 92140 6424 92196 6904
+rect 92692 6424 92748 6904
+rect 92876 6424 92932 6904
+rect 93152 6424 93208 6904
+rect 93428 6424 93484 6904
+rect 93888 6424 93944 6904
+rect 94164 6424 94220 6904
+rect 94348 6424 94404 6904
+rect 94624 6424 94680 6904
+rect 94900 6424 94956 6904
+rect 95084 6424 95140 6904
+rect 95360 6424 95416 6904
+rect 95636 6424 95692 6904
+rect 95820 6424 95876 6904
+rect 96096 6424 96152 6904
+rect 96372 6424 96428 6904
+rect 96556 6424 96612 6904
+rect 96832 6424 96888 6904
+rect 97108 6424 97164 6904
+rect 97292 6424 97348 6904
+rect 97568 6424 97624 6904
+rect 97752 6424 97808 6904
+rect 98028 6424 98084 6904
+rect 98304 6424 98360 6904
+rect 98488 6424 98544 6904
+rect 98764 6424 98820 6904
+rect 99040 6424 99096 6904
+rect 99224 6424 99280 6904
+rect 99500 6424 99556 6904
+rect 99776 6424 99832 6904
+rect 99960 6424 100016 6904
+rect 100236 6424 100292 6904
+rect 100512 6424 100568 6904
+rect 100696 6424 100752 6904
+rect 100972 6424 101028 6904
+rect 101248 6424 101304 6904
+rect 101432 6424 101488 6904
+rect 101708 6424 101764 6904
+rect 102168 6424 102224 6904
+rect 102444 6424 102500 6904
+rect 102720 6424 102776 6904
+rect 102904 6424 102960 6904
+rect 103456 6424 103512 6904
+rect 103640 6424 103696 6904
+rect 103916 6424 103972 6904
+rect 104192 6424 104248 6904
+rect 104652 6424 104708 6904
+rect 104928 6424 104984 6904
+rect 105112 6424 105168 6904
+rect 105388 6424 105444 6904
+rect 105664 6424 105720 6904
+rect 105848 6424 105904 6904
+rect 106124 6424 106180 6904
+rect 106400 6424 106456 6904
+rect 106584 6424 106640 6904
+rect 106860 6424 106916 6904
+rect 107136 6424 107192 6904
+rect 107320 6424 107376 6904
+rect 107596 6424 107652 6904
+rect 107872 6424 107928 6904
+rect 108056 6424 108112 6904
+rect 108332 6424 108388 6904
+rect 108608 6424 108664 6904
+rect 108792 6424 108848 6904
+rect 109344 6424 109400 6904
+rect 109528 6424 109584 6904
+rect 109804 6424 109860 6904
+rect 110080 6424 110136 6904
+rect 110540 6424 110596 6904
+rect 110816 6424 110872 6904
+rect 111000 6424 111056 6904
+rect 111276 6424 111332 6904
+rect 111552 6424 111608 6904
+rect 111736 6424 111792 6904
+rect 112012 6424 112068 6904
+rect 112288 6424 112344 6904
+rect 112472 6424 112528 6904
+rect 112748 6424 112804 6904
+rect 112932 6424 112988 6904
+rect 113208 6424 113264 6904
+rect 113484 6424 113540 6904
+rect 113668 6424 113724 6904
+rect 113944 6424 114000 6904
+rect 114220 6424 114276 6904
+rect 114404 6424 114460 6904
+rect 114680 6424 114736 6904
+rect 114956 6424 115012 6904
+rect 115140 6424 115196 6904
+rect 115416 6424 115472 6904
+rect 115692 6424 115748 6904
+rect 115876 6424 115932 6904
+rect 116152 6424 116208 6904
+rect 116428 6424 116484 6904
+rect 116612 6424 116668 6904
+rect 116888 6424 116944 6904
+rect 117164 6424 117220 6904
+rect 117348 6424 117404 6904
+rect 117624 6424 117680 6904
+rect 117900 6424 117956 6904
+rect 118084 6424 118140 6904
+rect 118360 6424 118416 6904
+rect 118820 6424 118876 6904
+rect 119096 6424 119152 6904
+rect 119372 6424 119428 6904
+rect 119556 6424 119612 6904
+rect 120108 6424 120164 6904
+rect 120292 6424 120348 6904
+rect 120568 6424 120624 6904
+rect 120844 6424 120900 6904
+rect 121304 6424 121360 6904
+rect 121580 6424 121636 6904
+rect 121764 6424 121820 6904
+rect 122040 6424 122096 6904
+rect 122316 6424 122372 6904
+rect 122500 6424 122556 6904
+rect 122776 6424 122832 6904
+rect 123052 6424 123108 6904
+rect 123236 6424 123292 6904
+rect 123512 6424 123568 6904
+rect 123788 6424 123844 6904
+rect 123972 6424 124028 6904
+rect 124248 6424 124304 6904
+rect 124524 6424 124580 6904
+rect 124708 6424 124764 6904
+rect 124984 6424 125040 6904
+rect 125260 6424 125316 6904
+rect 125444 6424 125500 6904
+rect 125996 6424 126052 6904
+rect 126180 6424 126236 6904
+rect 126456 6424 126512 6904
+rect 126732 6424 126788 6904
+rect 127192 6424 127248 6904
+<< metal3 >>
+rect 591016 704384 591496 704504
+rect 7496 703024 7976 703144
+rect 591016 692688 591496 692808
+rect 7496 688608 7976 688728
+rect 591016 680992 591496 681112
+rect 7496 674328 7976 674448
+rect 591016 669160 591496 669280
+rect 7496 659912 7976 660032
+rect 591016 657464 591496 657584
+rect 591016 645768 591496 645888
+rect 7496 645496 7976 645616
+rect 591016 634072 591496 634192
+rect 7496 631216 7976 631336
+rect 591016 622240 591496 622360
+rect 7496 616800 7976 616920
+rect 591016 610544 591496 610664
+rect 7496 602384 7976 602504
+rect 591016 598848 591496 598968
+rect 7496 588104 7976 588224
+rect 591016 587152 591496 587272
+rect 591016 575320 591496 575440
+rect 7496 573688 7976 573808
+rect 591016 563624 591496 563744
+rect 7496 559408 7976 559528
+rect 591016 551928 591496 552048
+rect 7496 544992 7976 545112
+rect 591016 540232 591496 540352
+rect 7496 530576 7976 530696
+rect 591016 528400 591496 528520
+rect 591016 516704 591496 516824
+rect 7496 516296 7976 516416
+rect 591016 505008 591496 505128
+rect 7496 501880 7976 502000
+rect 591016 493176 591496 493296
+rect 7496 487464 7976 487584
+rect 591016 481480 591496 481600
+rect 7496 473184 7976 473304
+rect 591016 469784 591496 469904
+rect 7496 458768 7976 458888
+rect 591016 458088 591496 458208
+rect 591016 446256 591496 446376
+rect 7496 444352 7976 444472
+rect 591016 434560 591496 434680
+rect 7496 430072 7976 430192
+rect 591016 422864 591496 422984
+rect 7496 415656 7976 415776
+rect 591016 411168 591496 411288
+rect 7496 401376 7976 401496
+rect 591016 399336 591496 399456
+rect 591016 387640 591496 387760
+rect 7496 386960 7976 387080
+rect 591016 375944 591496 376064
+rect 7496 372544 7976 372664
+rect 591016 364248 591496 364368
+rect 7496 358264 7976 358384
+rect 591016 352416 591496 352536
+rect 7496 343848 7976 343968
+rect 591016 340720 591496 340840
+rect 7496 329432 7976 329552
+rect 591016 329024 591496 329144
+rect 591016 317192 591496 317312
+rect 7496 315152 7976 315272
+rect 591016 305496 591496 305616
+rect 7496 300736 7976 300856
+rect 591016 293800 591496 293920
+rect 7496 286456 7976 286576
+rect 591016 282104 591496 282224
+rect 7496 272040 7976 272160
+rect 591016 270272 591496 270392
+rect 591016 258576 591496 258696
+rect 7496 257624 7976 257744
+rect 591016 246880 591496 247000
+rect 7496 243344 7976 243464
+rect 591016 235184 591496 235304
+rect 7496 228928 7976 229048
+rect 591016 223352 591496 223472
+rect 7496 214512 7976 214632
+rect 591016 211656 591496 211776
+rect 7496 200232 7976 200352
+rect 591016 199960 591496 200080
+rect 591016 188264 591496 188384
+rect 7496 185816 7976 185936
+rect 591016 176432 591496 176552
+rect 7496 171400 7976 171520
+rect 591016 164736 591496 164856
+rect 7496 157120 7976 157240
+rect 591016 153040 591496 153160
+rect 7496 142704 7976 142824
+rect 591016 141208 591496 141328
+rect 591016 129512 591496 129632
+rect 7496 128424 7976 128544
+rect 7496 114008 7976 114128
+rect 7496 99592 7976 99712
+rect 7496 85312 7976 85432
+rect 7496 70896 7976 71016
+rect 7496 56480 7976 56600
+rect 7496 42200 7976 42320
+rect 7496 27784 7976 27904
+rect 7496 13504 7976 13624
+rect 591016 117816 591496 117936
+rect 591016 106120 591496 106240
+rect 591016 94288 591496 94408
+rect 591016 82592 591496 82712
+rect 591016 70896 591496 71016
+rect 591016 59200 591496 59320
+rect 591016 47368 591496 47488
+rect 591016 35672 591496 35792
+rect 591016 23976 591496 24096
+rect 591016 12280 591496 12400
+rect 11606 10802 11670 10804
+rect 11606 10748 11610 10802
+rect 11666 10748 11670 10802
+rect 11606 10744 11670 10748
+rect 11686 10802 11750 10804
+rect 11686 10748 11690 10802
+rect 11746 10748 11750 10802
+rect 11686 10744 11750 10748
+rect 11766 10802 11830 10804
+rect 11766 10748 11770 10802
+rect 11826 10748 11830 10802
+rect 11766 10744 11830 10748
+rect 11846 10802 11910 10804
+rect 11846 10748 11850 10802
+rect 11906 10748 11910 10802
+rect 42326 10802 42390 10804
+rect 11846 10744 11910 10748
+rect 42326 10748 42330 10802
+rect 42386 10748 42390 10802
+rect 42326 10744 42390 10748
+rect 42406 10802 42470 10804
+rect 42406 10748 42410 10802
+rect 42466 10748 42470 10802
+rect 42406 10744 42470 10748
+rect 42486 10802 42550 10804
+rect 42486 10748 42490 10802
+rect 42546 10748 42550 10802
+rect 42486 10744 42550 10748
+rect 42566 10802 42630 10804
+rect 42566 10748 42570 10802
+rect 42626 10748 42630 10802
+rect 73046 10802 73110 10804
+rect 42566 10744 42630 10748
+rect 73046 10748 73050 10802
+rect 73106 10748 73110 10802
+rect 73046 10744 73110 10748
+rect 73126 10802 73190 10804
+rect 73126 10748 73130 10802
+rect 73186 10748 73190 10802
+rect 73126 10744 73190 10748
+rect 73206 10802 73270 10804
+rect 73206 10748 73210 10802
+rect 73266 10748 73270 10802
+rect 73206 10744 73270 10748
+rect 73286 10802 73350 10804
+rect 73286 10748 73290 10802
+rect 73346 10748 73350 10802
+rect 103766 10802 103830 10804
+rect 73286 10744 73350 10748
+rect 103766 10748 103770 10802
+rect 103826 10748 103830 10802
+rect 103766 10744 103830 10748
+rect 103846 10802 103910 10804
+rect 103846 10748 103850 10802
+rect 103906 10748 103910 10802
+rect 103846 10744 103910 10748
+rect 103926 10802 103990 10804
+rect 103926 10748 103930 10802
+rect 103986 10748 103990 10802
+rect 103926 10744 103990 10748
+rect 104006 10802 104070 10804
+rect 104006 10748 104010 10802
+rect 104066 10748 104070 10802
+rect 104006 10744 104070 10748
+rect 47562 10676 47626 10740
+rect 42778 10600 42842 10604
+rect 42778 10544 42828 10600
+rect 42778 10540 42842 10544
+rect 47010 10404 47074 10468
+rect 26966 10260 27030 10264
+rect 26966 10204 26970 10260
+rect 27026 10204 27030 10260
+rect 26966 10200 27030 10204
+rect 27046 10260 27110 10264
+rect 27046 10204 27050 10260
+rect 27106 10204 27110 10260
+rect 27046 10200 27110 10204
+rect 27126 10260 27190 10264
+rect 27126 10204 27130 10260
+rect 27186 10204 27190 10260
+rect 27126 10200 27190 10204
+rect 27206 10260 27270 10264
+rect 27206 10204 27210 10260
+rect 27266 10204 27270 10260
+rect 27206 10200 27270 10204
+rect 57686 10260 57750 10264
+rect 57686 10204 57690 10260
+rect 57746 10204 57750 10260
+rect 57686 10200 57750 10204
+rect 57766 10260 57830 10264
+rect 57766 10204 57770 10260
+rect 57826 10204 57830 10260
+rect 57766 10200 57830 10204
+rect 57846 10260 57910 10264
+rect 57846 10204 57850 10260
+rect 57906 10204 57910 10260
+rect 57846 10200 57910 10204
+rect 57926 10260 57990 10264
+rect 57926 10204 57930 10260
+rect 57986 10204 57990 10260
+rect 57926 10200 57990 10204
+rect 88406 10260 88470 10264
+rect 88406 10204 88410 10260
+rect 88466 10204 88470 10260
+rect 88406 10200 88470 10204
+rect 88486 10260 88550 10264
+rect 88486 10204 88490 10260
+rect 88546 10204 88550 10260
+rect 88486 10200 88550 10204
+rect 88566 10260 88630 10264
+rect 88566 10204 88570 10260
+rect 88626 10204 88630 10260
+rect 88566 10200 88630 10204
+rect 88646 10260 88710 10264
+rect 88646 10204 88650 10260
+rect 88706 10204 88710 10260
+rect 88646 10200 88710 10204
+rect 119126 10260 119190 10264
+rect 119126 10204 119130 10260
+rect 119186 10204 119190 10260
+rect 119126 10200 119190 10204
+rect 119206 10260 119270 10264
+rect 119206 10204 119210 10260
+rect 119266 10204 119270 10260
+rect 119206 10200 119270 10204
+rect 119286 10260 119350 10264
+rect 119286 10204 119290 10260
+rect 119346 10204 119350 10260
+rect 119286 10200 119350 10204
+rect 119366 10260 119430 10264
+rect 119366 10204 119370 10260
+rect 119426 10204 119430 10260
+rect 119366 10200 119430 10204
+rect 42042 10192 42106 10196
+rect 42042 10136 42092 10192
+rect 42042 10132 42106 10136
+rect 52023 9922 52089 9925
+rect 67755 9922 67821 9925
+rect 52023 9920 67821 9922
+rect 52023 9864 52028 9920
+rect 52084 9864 67760 9920
+rect 67816 9864 67821 9920
+rect 52023 9862 67821 9864
+rect 52023 9859 52089 9862
+rect 67755 9859 67821 9862
+rect 11606 9716 11670 9720
+rect 11606 9660 11610 9716
+rect 11666 9660 11670 9716
+rect 11606 9656 11670 9660
+rect 11686 9716 11750 9720
+rect 11686 9660 11690 9716
+rect 11746 9660 11750 9716
+rect 11686 9656 11750 9660
+rect 11766 9716 11830 9720
+rect 11766 9660 11770 9716
+rect 11826 9660 11830 9716
+rect 11766 9656 11830 9660
+rect 11846 9716 11910 9720
+rect 11846 9660 11850 9716
+rect 11906 9660 11910 9716
+rect 11846 9656 11910 9660
+rect 22675 9650 22741 9653
+rect 27643 9650 27709 9653
+rect 29391 9650 29457 9653
+rect 22675 9648 29457 9650
+rect 22675 9592 22680 9648
+rect 22736 9592 27648 9648
+rect 27704 9592 29396 9648
+rect 29452 9592 29457 9648
+rect 22675 9590 29457 9592
+rect 42326 9716 42390 9720
+rect 42326 9660 42330 9716
+rect 42386 9660 42390 9716
+rect 42326 9656 42390 9660
+rect 42406 9716 42470 9720
+rect 42406 9660 42410 9716
+rect 42466 9660 42470 9716
+rect 42406 9656 42470 9660
+rect 42486 9716 42550 9720
+rect 42486 9660 42490 9716
+rect 42546 9660 42550 9716
+rect 42486 9656 42550 9660
+rect 42566 9716 42630 9720
+rect 42566 9660 42570 9716
+rect 42626 9660 42630 9716
+rect 42566 9656 42630 9660
+rect 73046 9716 73110 9720
+rect 73046 9660 73050 9716
+rect 73106 9660 73110 9716
+rect 73046 9656 73110 9660
+rect 73126 9716 73190 9720
+rect 73126 9660 73130 9716
+rect 73186 9660 73190 9716
+rect 73126 9656 73190 9660
+rect 73206 9716 73270 9720
+rect 73206 9660 73210 9716
+rect 73266 9660 73270 9716
+rect 73206 9656 73270 9660
+rect 73286 9716 73350 9720
+rect 73286 9660 73290 9716
+rect 73346 9660 73350 9716
+rect 73286 9656 73350 9660
+rect 103766 9716 103830 9720
+rect 103766 9660 103770 9716
+rect 103826 9660 103830 9716
+rect 103766 9656 103830 9660
+rect 103846 9716 103910 9720
+rect 103846 9660 103850 9716
+rect 103906 9660 103910 9716
+rect 103846 9656 103910 9660
+rect 103926 9716 103990 9720
+rect 103926 9660 103930 9716
+rect 103986 9660 103990 9716
+rect 103926 9656 103990 9660
+rect 104006 9716 104070 9720
+rect 104006 9660 104010 9716
+rect 104066 9660 104070 9716
+rect 104006 9656 104070 9660
+rect 22675 9587 22741 9590
+rect 27643 9587 27709 9590
+rect 29391 9587 29457 9590
+rect 36338 9588 36402 9652
+rect 46274 9648 46338 9652
+rect 46288 9592 46338 9648
+rect 46274 9588 46338 9592
+rect 46642 9588 46706 9652
+rect 28103 9242 28169 9245
+rect 34819 9242 34885 9245
+rect 28103 9240 34885 9242
+rect 28103 9184 28108 9240
+rect 28164 9184 34824 9240
+rect 34880 9184 34885 9240
+rect 28103 9182 34885 9184
+rect 28103 9179 28169 9182
+rect 34819 9179 34885 9182
+rect 26966 9172 27030 9176
+rect 26966 9116 26970 9172
+rect 27026 9116 27030 9172
+rect 26966 9112 27030 9116
+rect 27046 9172 27110 9176
+rect 27046 9116 27050 9172
+rect 27106 9116 27110 9172
+rect 27046 9112 27110 9116
+rect 27126 9172 27190 9176
+rect 27126 9116 27130 9172
+rect 27186 9116 27190 9172
+rect 27126 9112 27190 9116
+rect 27206 9172 27270 9176
+rect 27206 9116 27210 9172
+rect 27266 9116 27270 9172
+rect 27206 9112 27270 9116
+rect 57686 9172 57750 9176
+rect 57686 9116 57690 9172
+rect 57746 9116 57750 9172
+rect 57686 9112 57750 9116
+rect 57766 9172 57830 9176
+rect 57766 9116 57770 9172
+rect 57826 9116 57830 9172
+rect 57766 9112 57830 9116
+rect 57846 9172 57910 9176
+rect 57846 9116 57850 9172
+rect 57906 9116 57910 9172
+rect 57846 9112 57910 9116
+rect 57926 9172 57990 9176
+rect 57926 9116 57930 9172
+rect 57986 9116 57990 9172
+rect 57926 9112 57990 9116
+rect 88406 9172 88470 9176
+rect 88406 9116 88410 9172
+rect 88466 9116 88470 9172
+rect 88406 9112 88470 9116
+rect 88486 9172 88550 9176
+rect 88486 9116 88490 9172
+rect 88546 9116 88550 9172
+rect 88486 9112 88550 9116
+rect 88566 9172 88630 9176
+rect 88566 9116 88570 9172
+rect 88626 9116 88630 9172
+rect 88566 9112 88630 9116
+rect 88646 9172 88710 9176
+rect 88646 9116 88650 9172
+rect 88706 9116 88710 9172
+rect 88646 9112 88710 9116
+rect 119126 9172 119190 9176
+rect 119126 9116 119130 9172
+rect 119186 9116 119190 9172
+rect 119126 9112 119190 9116
+rect 119206 9172 119270 9176
+rect 119206 9116 119210 9172
+rect 119266 9116 119270 9172
+rect 119206 9112 119270 9116
+rect 119286 9172 119350 9176
+rect 119286 9116 119290 9172
+rect 119346 9116 119350 9172
+rect 119286 9112 119350 9116
+rect 119366 9172 119430 9176
+rect 119366 9116 119370 9172
+rect 119426 9116 119430 9172
+rect 119366 9112 119430 9116
+rect 11606 8628 11670 8632
+rect 11606 8572 11610 8628
+rect 11666 8572 11670 8628
+rect 11606 8568 11670 8572
+rect 11686 8628 11750 8632
+rect 11686 8572 11690 8628
+rect 11746 8572 11750 8628
+rect 11686 8568 11750 8572
+rect 11766 8628 11830 8632
+rect 11766 8572 11770 8628
+rect 11826 8572 11830 8628
+rect 11766 8568 11830 8572
+rect 11846 8628 11910 8632
+rect 11846 8572 11850 8628
+rect 11906 8572 11910 8628
+rect 11846 8568 11910 8572
+rect 42326 8628 42390 8632
+rect 42326 8572 42330 8628
+rect 42386 8572 42390 8628
+rect 42326 8568 42390 8572
+rect 42406 8628 42470 8632
+rect 42406 8572 42410 8628
+rect 42466 8572 42470 8628
+rect 42406 8568 42470 8572
+rect 42486 8628 42550 8632
+rect 42486 8572 42490 8628
+rect 42546 8572 42550 8628
+rect 42486 8568 42550 8572
+rect 42566 8628 42630 8632
+rect 42566 8572 42570 8628
+rect 42626 8572 42630 8628
+rect 42566 8568 42630 8572
+rect 73046 8628 73110 8632
+rect 73046 8572 73050 8628
+rect 73106 8572 73110 8628
+rect 73046 8568 73110 8572
+rect 73126 8628 73190 8632
+rect 73126 8572 73130 8628
+rect 73186 8572 73190 8628
+rect 73126 8568 73190 8572
+rect 73206 8628 73270 8632
+rect 73206 8572 73210 8628
+rect 73266 8572 73270 8628
+rect 73206 8568 73270 8572
+rect 73286 8628 73350 8632
+rect 73286 8572 73290 8628
+rect 73346 8572 73350 8628
+rect 73286 8568 73350 8572
+rect 103766 8628 103830 8632
+rect 103766 8572 103770 8628
+rect 103826 8572 103830 8628
+rect 103766 8568 103830 8572
+rect 103846 8628 103910 8632
+rect 103846 8572 103850 8628
+rect 103906 8572 103910 8628
+rect 103846 8568 103910 8572
+rect 103926 8628 103990 8632
+rect 103926 8572 103930 8628
+rect 103986 8572 103990 8628
+rect 103926 8568 103990 8572
+rect 104006 8628 104070 8632
+rect 104006 8572 104010 8628
+rect 104066 8572 104070 8628
+rect 104006 8568 104070 8572
+rect 42455 8426 42521 8429
+rect 42956 8426 42962 8428
+rect 42455 8424 42962 8426
+rect 42455 8368 42460 8424
+rect 42516 8368 42962 8424
+rect 42455 8366 42962 8368
+rect 42455 8363 42521 8366
+rect 42956 8364 42962 8366
+rect 43026 8364 43032 8428
+<< obsm3 >>
+rect 10800 10804 119438 123961
+rect 10800 10800 11606 10804
+rect 11598 10744 11606 10800
+rect 11670 10744 11686 10804
+rect 11750 10744 11766 10804
+rect 11830 10744 11846 10804
+rect 11910 10800 42326 10804
+rect 11910 10744 11918 10800
+rect 11598 10743 11918 10744
+rect 42318 10744 42326 10800
+rect 42390 10744 42406 10804
+rect 42470 10744 42486 10804
+rect 42550 10744 42566 10804
+rect 42630 10800 73046 10804
+rect 42630 10744 42638 10800
+rect 42318 10743 42638 10744
+rect 73038 10744 73046 10800
+rect 73110 10744 73126 10804
+rect 73190 10744 73206 10804
+rect 73270 10744 73286 10804
+rect 73350 10800 103766 10804
+rect 73350 10744 73358 10800
+rect 73038 10743 73358 10744
+rect 103758 10744 103766 10800
+rect 103830 10744 103846 10804
+rect 103910 10744 103926 10804
+rect 103990 10744 104006 10804
+rect 104070 10800 119438 10804
+rect 104070 10744 104078 10800
+rect 103758 10743 104078 10744
+rect 19823 10738 19889 10741
+rect 20651 10738 20717 10741
+rect 29115 10738 29181 10741
+rect 19823 10736 20717 10738
+rect 19823 10680 19828 10736
+rect 19884 10680 20656 10736
+rect 20712 10680 20717 10736
+rect 19823 10678 20717 10680
+rect 19823 10675 19889 10678
+rect 20651 10675 20717 10678
+rect 20884 10736 29181 10738
+rect 20884 10680 29120 10736
+rect 29176 10680 29181 10736
+rect 20884 10678 29181 10680
+rect 20651 10602 20717 10605
+rect 20884 10602 20944 10678
+rect 29115 10675 29181 10678
+rect 47556 10676 47562 10740
+rect 47626 10738 47632 10740
+rect 52299 10738 52365 10741
+rect 47626 10736 52365 10738
+rect 47626 10680 52304 10736
+rect 52360 10680 52365 10736
+rect 47626 10678 52365 10680
+rect 47626 10676 47632 10678
+rect 52299 10675 52365 10678
+rect 52575 10738 52641 10741
+rect 55243 10738 55309 10741
+rect 52575 10736 55309 10738
+rect 52575 10680 52580 10736
+rect 52636 10680 55248 10736
+rect 55304 10680 55309 10736
+rect 52575 10678 55309 10680
+rect 52575 10675 52641 10678
+rect 55243 10675 55309 10678
+rect 59659 10738 59725 10741
+rect 63431 10738 63497 10741
+rect 59659 10736 63497 10738
+rect 59659 10680 59664 10736
+rect 59720 10680 63436 10736
+rect 63492 10680 63497 10736
+rect 59659 10678 63497 10680
+rect 59659 10675 59725 10678
+rect 63431 10675 63497 10678
+rect 20651 10600 20944 10602
+rect 20651 10544 20656 10600
+rect 20712 10544 20944 10600
+rect 20651 10542 20944 10544
+rect 25527 10602 25593 10605
+rect 31783 10602 31849 10605
+rect 25527 10600 31849 10602
+rect 25527 10544 25532 10600
+rect 25588 10544 31788 10600
+rect 31844 10544 31849 10600
+rect 25527 10542 31849 10544
+rect 20651 10539 20717 10542
+rect 25527 10539 25593 10542
+rect 31783 10539 31849 10542
+rect 34083 10602 34149 10605
+rect 35555 10602 35621 10605
+rect 42823 10604 42889 10605
+rect 34083 10600 35621 10602
+rect 34083 10544 34088 10600
+rect 34144 10544 35560 10600
+rect 35616 10544 35621 10600
+rect 34083 10542 35621 10544
+rect 34083 10539 34149 10542
+rect 35555 10539 35621 10542
+rect 42772 10540 42778 10604
+rect 42842 10602 42889 10604
+rect 45031 10602 45097 10605
+rect 45767 10602 45833 10605
+rect 42842 10600 42934 10602
+rect 42884 10544 42934 10600
+rect 42842 10542 42934 10544
+rect 45031 10600 45833 10602
+rect 45031 10544 45036 10600
+rect 45092 10544 45772 10600
+rect 45828 10544 45833 10600
+rect 45031 10542 45833 10544
+rect 42842 10540 42889 10542
+rect 42823 10539 42889 10540
+rect 45031 10539 45097 10542
+rect 45767 10539 45833 10542
+rect 48619 10602 48685 10605
+rect 50367 10602 50433 10605
+rect 48619 10600 50433 10602
+rect 48619 10544 48624 10600
+rect 48680 10544 50372 10600
+rect 50428 10544 50433 10600
+rect 48619 10542 50433 10544
+rect 48619 10539 48685 10542
+rect 50367 10539 50433 10542
+rect 50827 10602 50893 10605
+rect 53219 10602 53285 10605
+rect 50827 10600 53285 10602
+rect 50827 10544 50832 10600
+rect 50888 10544 53224 10600
+rect 53280 10544 53285 10600
+rect 50827 10542 53285 10544
+rect 50827 10539 50893 10542
+rect 53219 10539 53285 10542
+rect 54047 10602 54113 10605
+rect 55059 10602 55125 10605
+rect 54047 10600 55125 10602
+rect 54047 10544 54052 10600
+rect 54108 10544 55064 10600
+rect 55120 10544 55125 10600
+rect 54047 10542 55125 10544
+rect 54047 10539 54113 10542
+rect 55059 10539 55125 10542
+rect 56531 10602 56597 10605
+rect 58463 10602 58529 10605
+rect 56531 10600 58529 10602
+rect 56531 10544 56536 10600
+rect 56592 10544 58468 10600
+rect 58524 10544 58529 10600
+rect 56531 10542 58529 10544
+rect 56531 10539 56597 10542
+rect 58463 10539 58529 10542
+rect 60671 10602 60737 10605
+rect 65271 10602 65337 10605
+rect 60671 10600 65337 10602
+rect 60671 10544 60676 10600
+rect 60732 10544 65276 10600
+rect 65332 10544 65337 10600
+rect 60671 10542 65337 10544
+rect 60671 10539 60737 10542
+rect 65271 10539 65337 10542
+rect 65547 10602 65613 10605
+rect 66283 10602 66349 10605
+rect 65547 10600 66349 10602
+rect 65547 10544 65552 10600
+rect 65608 10544 66288 10600
+rect 66344 10544 66349 10600
+rect 65547 10542 66349 10544
+rect 65547 10539 65613 10542
+rect 66283 10539 66349 10542
+rect 22123 10466 22189 10469
+rect 29299 10466 29365 10469
+rect 30127 10466 30193 10469
+rect 22123 10464 27568 10466
+rect 22123 10408 22128 10464
+rect 22184 10408 27568 10464
+rect 22123 10406 27568 10408
+rect 22123 10403 22189 10406
+rect 21939 10330 22005 10333
+rect 22859 10330 22925 10333
+rect 21939 10328 22925 10330
+rect 21939 10272 21944 10328
+rect 22000 10272 22864 10328
+rect 22920 10272 22925 10328
+rect 21939 10270 22925 10272
+rect 21939 10267 22005 10270
+rect 22859 10267 22925 10270
+rect 25159 10330 25225 10333
+rect 26447 10330 26513 10333
+rect 25159 10328 26513 10330
+rect 25159 10272 25164 10328
+rect 25220 10272 26452 10328
+rect 26508 10272 26513 10328
+rect 25159 10270 26513 10272
+rect 27508 10330 27568 10406
+rect 29299 10464 30193 10466
+rect 29299 10408 29304 10464
+rect 29360 10408 30132 10464
+rect 30188 10408 30193 10464
+rect 29299 10406 30193 10408
+rect 29299 10403 29365 10406
+rect 30127 10403 30193 10406
+rect 31599 10466 31665 10469
+rect 37027 10466 37093 10469
+rect 38131 10466 38197 10469
+rect 31599 10464 38197 10466
+rect 31599 10408 31604 10464
+rect 31660 10408 37032 10464
+rect 37088 10408 38136 10464
+rect 38192 10408 38197 10464
+rect 31599 10406 38197 10408
+rect 31599 10403 31665 10406
+rect 37027 10403 37093 10406
+rect 38131 10403 38197 10406
+rect 38959 10466 39025 10469
+rect 39235 10466 39301 10469
+rect 40247 10466 40313 10469
+rect 38959 10464 40313 10466
+rect 38959 10408 38964 10464
+rect 39020 10408 39240 10464
+rect 39296 10408 40252 10464
+rect 40308 10408 40313 10464
+rect 38959 10406 40313 10408
+rect 38959 10403 39025 10406
+rect 39235 10403 39301 10406
+rect 40247 10403 40313 10406
+rect 41719 10466 41785 10469
+rect 47004 10466 47010 10468
+rect 41719 10464 47010 10466
+rect 41719 10408 41724 10464
+rect 41780 10408 47010 10464
+rect 41719 10406 47010 10408
+rect 41719 10403 41785 10406
+rect 47004 10404 47010 10406
+rect 47074 10404 47080 10468
+rect 47239 10466 47305 10469
+rect 47607 10466 47673 10469
+rect 47239 10464 47673 10466
+rect 47239 10408 47244 10464
+rect 47300 10408 47612 10464
+rect 47668 10408 47673 10464
+rect 47239 10406 47673 10408
+rect 47239 10403 47305 10406
+rect 47607 10403 47673 10406
+rect 51287 10466 51353 10469
+rect 59659 10466 59725 10469
+rect 66375 10466 66441 10469
+rect 68307 10466 68373 10469
+rect 51287 10464 58296 10466
+rect 51287 10408 51292 10464
+rect 51348 10408 58296 10464
+rect 51287 10406 58296 10408
+rect 51287 10403 51353 10406
+rect 30495 10330 30561 10333
+rect 27508 10328 30561 10330
+rect 27508 10272 30500 10328
+rect 30556 10272 30561 10328
+rect 27508 10270 30561 10272
+rect 25159 10267 25225 10270
+rect 26447 10267 26513 10270
+rect 30495 10267 30561 10270
+rect 30955 10330 31021 10333
+rect 37763 10330 37829 10333
+rect 37947 10330 38013 10333
+rect 30955 10328 38013 10330
+rect 30955 10272 30960 10328
+rect 31016 10272 37768 10328
+rect 37824 10272 37952 10328
+rect 38008 10272 38013 10328
+rect 30955 10270 38013 10272
+rect 30955 10267 31021 10270
+rect 37763 10267 37829 10270
+rect 37947 10267 38013 10270
+rect 39879 10330 39945 10333
+rect 40799 10330 40865 10333
+rect 39879 10328 40865 10330
+rect 39879 10272 39884 10328
+rect 39940 10272 40804 10328
+rect 40860 10272 40865 10328
+rect 39879 10270 40865 10272
+rect 39879 10267 39945 10270
+rect 40799 10267 40865 10270
+rect 42455 10330 42521 10333
+rect 43191 10330 43257 10333
+rect 42455 10328 43257 10330
+rect 42455 10272 42460 10328
+rect 42516 10272 43196 10328
+rect 43252 10272 43257 10328
+rect 42455 10270 43257 10272
+rect 42455 10267 42521 10270
+rect 43191 10267 43257 10270
+rect 47607 10330 47673 10333
+rect 57083 10330 57149 10333
+rect 47607 10328 57149 10330
+rect 47607 10272 47612 10328
+rect 47668 10272 57088 10328
+rect 57144 10272 57149 10328
+rect 47607 10270 57149 10272
+rect 58236 10330 58296 10406
+rect 59659 10464 68373 10466
+rect 59659 10408 59664 10464
+rect 59720 10408 66380 10464
+rect 66436 10408 68312 10464
+rect 68368 10408 68373 10464
+rect 59659 10406 68373 10408
+rect 59659 10403 59725 10406
+rect 66375 10403 66441 10406
+rect 68307 10403 68373 10406
+rect 68583 10466 68649 10469
+rect 75299 10466 75365 10469
+rect 68583 10464 75365 10466
+rect 68583 10408 68588 10464
+rect 68644 10408 75304 10464
+rect 75360 10408 75365 10464
+rect 68583 10406 75365 10408
+rect 68583 10403 68649 10406
+rect 75299 10403 75365 10406
+rect 62051 10330 62117 10333
+rect 58236 10328 62117 10330
+rect 58236 10272 62056 10328
+rect 62112 10272 62117 10328
+rect 58236 10270 62117 10272
+rect 47607 10267 47673 10270
+rect 57083 10267 57149 10270
+rect 62051 10267 62117 10270
+rect 26958 10264 27278 10265
+rect 26958 10200 26966 10264
+rect 27030 10200 27046 10264
+rect 27110 10200 27126 10264
+rect 27190 10200 27206 10264
+rect 27270 10200 27278 10264
+rect 26958 10199 27278 10200
+rect 57678 10264 57998 10265
+rect 57678 10200 57686 10264
+rect 57750 10200 57766 10264
+rect 57830 10200 57846 10264
+rect 57910 10200 57926 10264
+rect 57990 10200 57998 10264
+rect 57678 10199 57998 10200
+rect 88398 10264 88718 10265
+rect 88398 10200 88406 10264
+rect 88470 10200 88486 10264
+rect 88550 10200 88566 10264
+rect 88630 10200 88646 10264
+rect 88710 10200 88718 10264
+rect 88398 10199 88718 10200
+rect 119118 10264 119438 10265
+rect 119118 10200 119126 10264
+rect 119190 10200 119206 10264
+rect 119270 10200 119286 10264
+rect 119350 10200 119366 10264
+rect 119430 10200 119438 10264
+rect 119118 10199 119438 10200
+rect 15407 10194 15473 10197
+rect 21755 10194 21821 10197
+rect 32427 10194 32493 10197
+rect 42087 10196 42153 10197
+rect 15407 10192 21821 10194
+rect 15407 10136 15412 10192
+rect 15468 10136 21760 10192
+rect 21816 10136 21821 10192
+rect 15407 10134 21821 10136
+rect 15407 10131 15473 10134
+rect 21755 10131 21821 10134
+rect 27508 10192 32493 10194
+rect 27508 10136 32432 10192
+rect 32488 10136 32493 10192
+rect 27508 10134 32493 10136
+rect 14487 10058 14553 10061
+rect 18719 10058 18785 10061
+rect 19363 10058 19429 10061
+rect 14487 10056 19429 10058
+rect 14487 10000 14492 10056
+rect 14548 10000 18724 10056
+rect 18780 10000 19368 10056
+rect 19424 10000 19429 10056
+rect 14487 9998 19429 10000
+rect 14487 9995 14553 9998
+rect 18719 9995 18785 9998
+rect 19363 9995 19429 9998
+rect 22951 10058 23017 10061
+rect 27508 10058 27568 10134
+rect 32427 10131 32493 10134
+rect 42036 10132 42042 10196
+rect 42106 10194 42153 10196
+rect 42823 10194 42889 10197
+rect 49999 10194 50065 10197
+rect 42106 10192 42198 10194
+rect 42148 10136 42198 10192
+rect 42106 10134 42198 10136
+rect 42823 10192 50065 10194
+rect 42823 10136 42828 10192
+rect 42884 10136 50004 10192
+rect 50060 10136 50065 10192
+rect 42823 10134 50065 10136
+rect 42106 10132 42153 10134
+rect 42087 10131 42153 10132
+rect 42823 10131 42889 10134
+rect 49999 10131 50065 10134
+rect 50183 10194 50249 10197
+rect 57267 10194 57333 10197
+rect 50183 10192 57333 10194
+rect 50183 10136 50188 10192
+rect 50244 10136 57272 10192
+rect 57328 10136 57333 10192
+rect 50183 10134 57333 10136
+rect 50183 10131 50249 10134
+rect 57267 10131 57333 10134
+rect 58095 10194 58161 10197
+rect 62327 10194 62393 10197
+rect 58095 10192 62393 10194
+rect 58095 10136 58100 10192
+rect 58156 10136 62332 10192
+rect 62388 10136 62393 10192
+rect 58095 10134 62393 10136
+rect 58095 10131 58161 10134
+rect 62327 10131 62393 10134
+rect 31875 10058 31941 10061
+rect 22951 10056 27568 10058
+rect 22951 10000 22956 10056
+rect 23012 10000 27568 10056
+rect 22951 9998 27568 10000
+rect 27692 10056 31941 10058
+rect 27692 10000 31880 10056
+rect 31936 10000 31941 10056
+rect 27692 9998 31941 10000
+rect 22951 9995 23017 9998
+rect 13843 9922 13909 9925
+rect 15775 9922 15841 9925
+rect 13843 9920 15841 9922
+rect 13843 9864 13848 9920
+rect 13904 9864 15780 9920
+rect 15836 9864 15841 9920
+rect 13843 9862 15841 9864
+rect 13843 9859 13909 9862
+rect 15775 9859 15841 9862
+rect 16879 9922 16945 9925
+rect 22859 9922 22925 9925
+rect 27692 9922 27752 9998
+rect 31875 9995 31941 9998
+rect 32979 10058 33045 10061
+rect 46135 10058 46201 10061
+rect 32979 10056 46201 10058
+rect 32979 10000 32984 10056
+rect 33040 10000 46140 10056
+rect 46196 10000 46201 10056
+rect 32979 9998 46201 10000
+rect 32979 9995 33045 9998
+rect 46135 9995 46201 9998
+rect 48251 10058 48317 10061
+rect 52575 10058 52641 10061
+rect 48251 10056 52641 10058
+rect 48251 10000 48256 10056
+rect 48312 10000 52580 10056
+rect 52636 10000 52641 10056
+rect 48251 9998 52641 10000
+rect 48251 9995 48317 9998
+rect 52575 9995 52641 9998
+rect 54139 10058 54205 10061
+rect 64259 10058 64325 10061
+rect 54139 10056 64325 10058
+rect 54139 10000 54144 10056
+rect 54200 10000 64264 10056
+rect 64320 10000 64325 10056
+rect 54139 9998 64325 10000
+rect 54139 9995 54205 9998
+rect 64259 9995 64325 9998
+rect 67571 10058 67637 10061
+rect 81647 10058 81713 10061
+rect 67571 10056 81713 10058
+rect 67571 10000 67576 10056
+rect 67632 10000 81652 10056
+rect 81708 10000 81713 10056
+rect 67571 9998 81713 10000
+rect 67571 9995 67637 9998
+rect 81647 9995 81713 9998
+rect 16879 9920 22784 9922
+rect 16879 9864 16884 9920
+rect 16940 9864 22784 9920
+rect 16879 9862 22784 9864
+rect 16879 9859 16945 9862
+rect 16235 9786 16301 9789
+rect 21939 9786 22005 9789
+rect 16235 9784 22005 9786
+rect 16235 9728 16240 9784
+rect 16296 9728 21944 9784
+rect 22000 9728 22005 9784
+rect 16235 9726 22005 9728
+rect 22724 9786 22784 9862
+rect 22859 9920 27752 9922
+rect 22859 9864 22864 9920
+rect 22920 9864 27752 9920
+rect 22859 9862 27752 9864
+rect 31323 9922 31389 9925
+rect 35279 9922 35345 9925
+rect 31323 9920 35345 9922
+rect 31323 9864 31328 9920
+rect 31384 9864 35284 9920
+rect 35340 9864 35345 9920
+rect 31323 9862 35345 9864
+rect 22859 9859 22925 9862
+rect 31323 9859 31389 9862
+rect 35279 9859 35345 9862
+rect 39051 9922 39117 9925
+rect 42915 9922 42981 9925
+rect 39051 9920 42981 9922
+rect 39051 9864 39056 9920
+rect 39112 9864 42920 9920
+rect 42976 9864 42981 9920
+rect 39051 9862 42981 9864
+rect 39051 9859 39117 9862
+rect 42915 9859 42981 9862
+rect 45123 9922 45189 9925
+rect 48619 9922 48685 9925
+rect 45123 9920 48685 9922
+rect 45123 9864 45128 9920
+rect 45184 9864 48624 9920
+rect 48680 9864 48685 9920
+rect 45123 9862 48685 9864
+rect 45123 9859 45189 9862
+rect 48619 9859 48685 9862
+rect 23227 9786 23293 9789
+rect 23871 9786 23937 9789
+rect 22724 9784 23937 9786
+rect 22724 9728 23232 9784
+rect 23288 9728 23876 9784
+rect 23932 9728 23937 9784
+rect 22724 9726 23937 9728
+rect 16235 9723 16301 9726
+rect 21939 9723 22005 9726
+rect 23227 9723 23293 9726
+rect 23871 9723 23937 9726
+rect 27275 9786 27341 9789
+rect 30219 9786 30285 9789
+rect 40339 9786 40405 9789
+rect 27275 9784 29592 9786
+rect 27275 9728 27280 9784
+rect 27336 9728 29592 9784
+rect 27275 9726 29592 9728
+rect 27275 9723 27341 9726
+rect 11598 9720 11918 9721
+rect 11598 9656 11606 9720
+rect 11670 9656 11686 9720
+rect 11750 9656 11766 9720
+rect 11830 9656 11846 9720
+rect 11910 9656 11918 9720
+rect 11598 9655 11918 9656
+rect 29532 9650 29592 9726
+rect 30219 9784 40405 9786
+rect 30219 9728 30224 9784
+rect 30280 9728 40344 9784
+rect 40400 9728 40405 9784
+rect 30219 9726 40405 9728
+rect 30219 9723 30285 9726
+rect 40339 9723 40405 9726
+rect 50459 9786 50525 9789
+rect 61315 9786 61381 9789
+rect 50459 9784 61381 9786
+rect 50459 9728 50464 9784
+rect 50520 9728 61320 9784
+rect 61376 9728 61381 9784
+rect 50459 9726 61381 9728
+rect 50459 9723 50525 9726
+rect 61315 9723 61381 9726
+rect 65547 9786 65613 9789
+rect 67939 9786 68005 9789
+rect 65547 9784 68005 9786
+rect 65547 9728 65552 9784
+rect 65608 9728 67944 9784
+rect 68000 9728 68005 9784
+rect 65547 9726 68005 9728
+rect 65547 9723 65613 9726
+rect 67939 9723 68005 9726
+rect 68767 9786 68833 9789
+rect 72447 9786 72513 9789
+rect 68767 9784 72513 9786
+rect 68767 9728 68772 9784
+rect 68828 9728 72452 9784
+rect 72508 9728 72513 9784
+rect 68767 9726 72513 9728
+rect 68767 9723 68833 9726
+rect 72447 9723 72513 9726
+rect 42318 9720 42638 9721
+rect 42318 9656 42326 9720
+rect 42390 9656 42406 9720
+rect 42470 9656 42486 9720
+rect 42550 9656 42566 9720
+rect 42630 9656 42638 9720
+rect 42318 9655 42638 9656
+rect 73038 9720 73358 9721
+rect 73038 9656 73046 9720
+rect 73110 9656 73126 9720
+rect 73190 9656 73206 9720
+rect 73270 9656 73286 9720
+rect 73350 9656 73358 9720
+rect 73038 9655 73358 9656
+rect 103758 9720 104078 9721
+rect 103758 9656 103766 9720
+rect 103830 9656 103846 9720
+rect 103910 9656 103926 9720
+rect 103990 9656 104006 9720
+rect 104070 9656 104078 9720
+rect 103758 9655 104078 9656
+rect 36332 9650 36338 9652
+rect 29532 9590 36338 9650
+rect 36332 9588 36338 9590
+rect 36402 9650 36408 9652
+rect 37763 9650 37829 9653
+rect 36402 9648 37829 9650
+rect 36402 9592 37768 9648
+rect 37824 9592 37829 9648
+rect 36402 9590 37829 9592
+rect 36402 9588 36408 9590
+rect 37763 9587 37829 9590
+rect 46227 9652 46293 9653
+rect 46227 9648 46274 9652
+rect 46338 9650 46344 9652
+rect 46227 9592 46232 9648
+rect 46227 9588 46274 9592
+rect 46338 9590 46384 9650
+rect 46338 9588 46344 9590
+rect 46636 9588 46642 9652
+rect 46706 9650 46712 9652
+rect 46963 9650 47029 9653
+rect 46706 9648 47029 9650
+rect 46706 9592 46968 9648
+rect 47024 9592 47029 9648
+rect 46706 9590 47029 9592
+rect 46706 9588 46712 9590
+rect 46227 9587 46293 9588
+rect 46963 9587 47029 9590
+rect 48895 9650 48961 9653
+rect 52483 9650 52549 9653
+rect 48895 9648 52549 9650
+rect 48895 9592 48900 9648
+rect 48956 9592 52488 9648
+rect 52544 9592 52549 9648
+rect 48895 9590 52549 9592
+rect 48895 9587 48961 9590
+rect 52483 9587 52549 9590
+rect 53679 9650 53745 9653
+rect 55151 9650 55217 9653
+rect 53679 9648 55217 9650
+rect 53679 9592 53684 9648
+rect 53740 9592 55156 9648
+rect 55212 9592 55217 9648
+rect 53679 9590 55217 9592
+rect 53679 9587 53745 9590
+rect 55151 9587 55217 9590
+rect 57819 9650 57885 9653
+rect 67571 9650 67637 9653
+rect 57819 9648 67637 9650
+rect 57819 9592 57824 9648
+rect 57880 9592 67576 9648
+rect 67632 9592 67637 9648
+rect 57819 9590 67637 9592
+rect 57819 9587 57885 9590
+rect 67571 9587 67637 9590
+rect 19639 9514 19705 9517
+rect 26171 9514 26237 9517
+rect 19639 9512 26237 9514
+rect 19639 9456 19644 9512
+rect 19700 9456 26176 9512
+rect 26232 9456 26237 9512
+rect 19639 9454 26237 9456
+rect 19639 9451 19705 9454
+rect 26171 9451 26237 9454
+rect 28011 9514 28077 9517
+rect 31599 9514 31665 9517
+rect 28011 9512 31665 9514
+rect 28011 9456 28016 9512
+rect 28072 9456 31604 9512
+rect 31660 9456 31665 9512
+rect 28011 9454 31665 9456
+rect 28011 9451 28077 9454
+rect 31599 9451 31665 9454
+rect 31783 9514 31849 9517
+rect 44019 9514 44085 9517
+rect 31783 9512 44085 9514
+rect 31783 9456 31788 9512
+rect 31844 9456 44024 9512
+rect 44080 9456 44085 9512
+rect 31783 9454 44085 9456
+rect 31783 9451 31849 9454
+rect 44019 9451 44085 9454
+rect 48067 9514 48133 9517
+rect 48711 9514 48777 9517
+rect 48067 9512 48777 9514
+rect 48067 9456 48072 9512
+rect 48128 9456 48716 9512
+rect 48772 9456 48777 9512
+rect 48067 9454 48777 9456
+rect 48067 9451 48133 9454
+rect 48711 9451 48777 9454
+rect 50459 9514 50525 9517
+rect 53311 9514 53377 9517
+rect 50459 9512 53377 9514
+rect 50459 9456 50464 9512
+rect 50520 9456 53316 9512
+rect 53372 9456 53377 9512
+rect 50459 9454 53377 9456
+rect 50459 9451 50525 9454
+rect 53311 9451 53377 9454
+rect 53587 9514 53653 9517
+rect 58003 9514 58069 9517
+rect 53587 9512 58069 9514
+rect 53587 9456 53592 9512
+rect 53648 9456 58008 9512
+rect 58064 9456 58069 9512
+rect 53587 9454 58069 9456
+rect 53587 9451 53653 9454
+rect 58003 9451 58069 9454
+rect 58187 9514 58253 9517
+rect 81187 9514 81253 9517
+rect 58187 9512 81253 9514
+rect 58187 9456 58192 9512
+rect 58248 9456 81192 9512
+rect 81248 9456 81253 9512
+rect 58187 9454 81253 9456
+rect 58187 9451 58253 9454
+rect 81187 9451 81253 9454
+rect 7679 9378 7745 9381
+rect 56807 9378 56873 9381
+rect 63707 9378 63773 9381
+rect 7679 9376 56873 9378
+rect 7679 9320 7684 9376
+rect 7740 9320 56812 9376
+rect 56868 9320 56873 9376
+rect 7679 9318 56873 9320
+rect 7679 9315 7745 9318
+rect 56807 9315 56873 9318
+rect 56948 9376 63773 9378
+rect 56948 9320 63712 9376
+rect 63768 9320 63773 9376
+rect 56948 9318 63773 9320
+rect 38959 9242 39025 9245
+rect 39879 9242 39945 9245
+rect 38959 9240 39945 9242
+rect 38959 9184 38964 9240
+rect 39020 9184 39884 9240
+rect 39940 9184 39945 9240
+rect 38959 9182 39945 9184
+rect 38959 9179 39025 9182
+rect 39879 9179 39945 9182
+rect 50551 9242 50617 9245
+rect 56948 9242 57008 9318
+rect 63707 9315 63773 9318
+rect 50551 9240 57008 9242
+rect 50551 9184 50556 9240
+rect 50612 9184 57008 9240
+rect 50551 9182 57008 9184
+rect 58555 9242 58621 9245
+rect 59199 9242 59265 9245
+rect 66835 9242 66901 9245
+rect 58555 9240 66901 9242
+rect 58555 9184 58560 9240
+rect 58616 9184 59204 9240
+rect 59260 9184 66840 9240
+rect 66896 9184 66901 9240
+rect 58555 9182 66901 9184
+rect 50551 9179 50617 9182
+rect 58555 9179 58621 9182
+rect 59199 9179 59265 9182
+rect 66835 9179 66901 9182
+rect 68123 9242 68189 9245
+rect 69687 9242 69753 9245
+rect 68123 9240 69753 9242
+rect 68123 9184 68128 9240
+rect 68184 9184 69692 9240
+rect 69748 9184 69753 9240
+rect 68123 9182 69753 9184
+rect 68123 9179 68189 9182
+rect 69687 9179 69753 9182
+rect 26958 9176 27278 9177
+rect 26958 9112 26966 9176
+rect 27030 9112 27046 9176
+rect 27110 9112 27126 9176
+rect 27190 9112 27206 9176
+rect 27270 9112 27278 9176
+rect 26958 9111 27278 9112
+rect 57678 9176 57998 9177
+rect 57678 9112 57686 9176
+rect 57750 9112 57766 9176
+rect 57830 9112 57846 9176
+rect 57910 9112 57926 9176
+rect 57990 9112 57998 9176
+rect 57678 9111 57998 9112
+rect 88398 9176 88718 9177
+rect 88398 9112 88406 9176
+rect 88470 9112 88486 9176
+rect 88550 9112 88566 9176
+rect 88630 9112 88646 9176
+rect 88710 9112 88718 9176
+rect 88398 9111 88718 9112
+rect 119118 9176 119438 9177
+rect 119118 9112 119126 9176
+rect 119190 9112 119206 9176
+rect 119270 9112 119286 9176
+rect 119350 9112 119366 9176
+rect 119430 9112 119438 9176
+rect 119118 9111 119438 9112
+rect 38775 9106 38841 9109
+rect 45675 9106 45741 9109
+rect 38775 9104 45741 9106
+rect 38775 9048 38780 9104
+rect 38836 9048 45680 9104
+rect 45736 9048 45741 9104
+rect 38775 9046 45741 9048
+rect 38775 9043 38841 9046
+rect 45675 9043 45741 9046
+rect 61223 9106 61289 9109
+rect 62879 9106 62945 9109
+rect 70699 9106 70765 9109
+rect 61223 9104 70765 9106
+rect 61223 9048 61228 9104
+rect 61284 9048 62884 9104
+rect 62940 9048 70704 9104
+rect 70760 9048 70765 9104
+rect 61223 9046 70765 9048
+rect 61223 9043 61289 9046
+rect 62879 9043 62945 9046
+rect 70699 9043 70765 9046
+rect 18167 8970 18233 8973
+rect 25527 8970 25593 8973
+rect 18167 8968 25593 8970
+rect 18167 8912 18172 8968
+rect 18228 8912 25532 8968
+rect 25588 8912 25593 8968
+rect 18167 8910 25593 8912
+rect 18167 8907 18233 8910
+rect 25527 8907 25593 8910
+rect 37027 8970 37093 8973
+rect 45951 8970 46017 8973
+rect 37027 8968 46017 8970
+rect 37027 8912 37032 8968
+rect 37088 8912 45956 8968
+rect 46012 8912 46017 8968
+rect 37027 8910 46017 8912
+rect 37027 8907 37093 8910
+rect 45951 8907 46017 8910
+rect 57727 8970 57793 8973
+rect 58555 8970 58621 8973
+rect 57727 8968 58621 8970
+rect 57727 8912 57732 8968
+rect 57788 8912 58560 8968
+rect 58616 8912 58621 8968
+rect 57727 8910 58621 8912
+rect 57727 8907 57793 8910
+rect 58555 8907 58621 8910
+rect 59015 8970 59081 8973
+rect 65087 8970 65153 8973
+rect 59015 8968 65153 8970
+rect 59015 8912 59020 8968
+rect 59076 8912 65092 8968
+rect 65148 8912 65153 8968
+rect 59015 8910 65153 8912
+rect 59015 8907 59081 8910
+rect 65087 8907 65153 8910
+rect 66375 8970 66441 8973
+rect 71711 8970 71777 8973
+rect 66375 8968 71777 8970
+rect 66375 8912 66380 8968
+rect 66436 8912 71716 8968
+rect 71772 8912 71777 8968
+rect 66375 8910 71777 8912
+rect 66375 8907 66441 8910
+rect 71711 8907 71777 8910
+rect 23871 8834 23937 8837
+rect 25803 8834 25869 8837
+rect 23871 8832 25869 8834
+rect 23871 8776 23876 8832
+rect 23932 8776 25808 8832
+rect 25864 8776 25869 8832
+rect 23871 8774 25869 8776
+rect 23871 8771 23937 8774
+rect 25803 8771 25869 8774
+rect 11598 8632 11918 8633
+rect 11598 8568 11606 8632
+rect 11670 8568 11686 8632
+rect 11750 8568 11766 8632
+rect 11830 8568 11846 8632
+rect 11910 8568 11918 8632
+rect 11598 8567 11918 8568
+rect 42318 8632 42638 8633
+rect 42318 8568 42326 8632
+rect 42390 8568 42406 8632
+rect 42470 8568 42486 8632
+rect 42550 8568 42566 8632
+rect 42630 8568 42638 8632
+rect 42318 8567 42638 8568
+rect 73038 8632 73358 8633
+rect 73038 8568 73046 8632
+rect 73110 8568 73126 8632
+rect 73190 8568 73206 8632
+rect 73270 8568 73286 8632
+rect 73350 8568 73358 8632
+rect 73038 8567 73358 8568
+rect 103758 8632 104078 8633
+rect 103758 8568 103766 8632
+rect 103830 8568 103846 8632
+rect 103910 8568 103926 8632
+rect 103990 8568 104006 8632
+rect 104070 8568 104078 8632
+rect 103758 8567 104078 8568
+rect 44755 8426 44821 8429
+rect 51563 8426 51629 8429
+rect 44755 8424 51629 8426
+rect 44755 8368 44760 8424
+rect 44816 8368 51568 8424
+rect 51624 8368 51629 8424
+rect 44755 8366 51629 8368
+rect 44755 8363 44821 8366
+rect 51563 8363 51629 8366
+<< via3 >>
+rect 11610 10748 11666 10802
+rect 11690 10748 11746 10802
+rect 11770 10748 11826 10802
+rect 11850 10748 11906 10802
+rect 42330 10748 42386 10802
+rect 42410 10748 42466 10802
+rect 42490 10748 42546 10802
+rect 42570 10748 42626 10802
+rect 73050 10748 73106 10802
+rect 73130 10748 73186 10802
+rect 73210 10748 73266 10802
+rect 73290 10748 73346 10802
+rect 103770 10748 103826 10802
+rect 103850 10748 103906 10802
+rect 103930 10748 103986 10802
+rect 104010 10748 104066 10802
+rect 42828 10544 42842 10600
+rect 26970 10204 27026 10260
+rect 27050 10204 27106 10260
+rect 27130 10204 27186 10260
+rect 27210 10204 27266 10260
+rect 57690 10204 57746 10260
+rect 57770 10204 57826 10260
+rect 57850 10204 57906 10260
+rect 57930 10204 57986 10260
+rect 88410 10204 88466 10260
+rect 88490 10204 88546 10260
+rect 88570 10204 88626 10260
+rect 88650 10204 88706 10260
+rect 119130 10204 119186 10260
+rect 119210 10204 119266 10260
+rect 119290 10204 119346 10260
+rect 119370 10204 119426 10260
+rect 42092 10136 42106 10192
+rect 11610 9660 11666 9716
+rect 11690 9660 11746 9716
+rect 11770 9660 11826 9716
+rect 11850 9660 11906 9716
+rect 42330 9660 42386 9716
+rect 42410 9660 42466 9716
+rect 42490 9660 42546 9716
+rect 42570 9660 42626 9716
+rect 73050 9660 73106 9716
+rect 73130 9660 73186 9716
+rect 73210 9660 73266 9716
+rect 73290 9660 73346 9716
+rect 103770 9660 103826 9716
+rect 103850 9660 103906 9716
+rect 103930 9660 103986 9716
+rect 104010 9660 104066 9716
+rect 46274 9592 46288 9648
+rect 26970 9116 27026 9172
+rect 27050 9116 27106 9172
+rect 27130 9116 27186 9172
+rect 27210 9116 27266 9172
+rect 57690 9116 57746 9172
+rect 57770 9116 57826 9172
+rect 57850 9116 57906 9172
+rect 57930 9116 57986 9172
+rect 88410 9116 88466 9172
+rect 88490 9116 88546 9172
+rect 88570 9116 88626 9172
+rect 88650 9116 88706 9172
+rect 119130 9116 119186 9172
+rect 119210 9116 119266 9172
+rect 119290 9116 119346 9172
+rect 119370 9116 119426 9172
+rect 11610 8572 11666 8628
+rect 11690 8572 11746 8628
+rect 11770 8572 11826 8628
+rect 11850 8572 11906 8628
+rect 42330 8572 42386 8628
+rect 42410 8572 42466 8628
+rect 42490 8572 42546 8628
+rect 42570 8572 42626 8628
+rect 73050 8572 73106 8628
+rect 73130 8572 73186 8628
+rect 73210 8572 73266 8628
+rect 73290 8572 73346 8628
+rect 103770 8572 103826 8628
+rect 103850 8572 103906 8628
+rect 103930 8572 103986 8628
+rect 104010 8572 104066 8628
+rect 42962 8364 43026 8428
+<< metal4 >>
+rect 0 0 400 716784
+rect 800 800 1200 715984
+rect 1600 1600 2000 715184
+rect 2400 2400 2800 714384
+rect 3200 3200 3600 713584
+rect 4000 4000 4400 712784
+rect 4800 4800 5200 711984
+rect 5600 5600 6000 711184
+rect 8400 709944 8800 711984
+rect 10320 709944 10720 713584
+rect 12240 709944 12640 715184
+rect 14160 709944 14560 716784
+rect 18400 709944 18800 711984
+rect 20320 709944 20720 713584
+rect 22240 709944 22640 715184
+rect 24160 709944 24560 716784
+rect 28400 709944 28800 711984
+rect 30320 709944 30720 713584
+rect 32240 709944 32640 715184
+rect 34160 709944 34560 716784
+rect 38400 709944 38800 711984
+rect 40320 709944 40720 713584
+rect 42240 709944 42640 715184
+rect 44160 709944 44560 716784
+rect 48400 709944 48800 711984
+rect 50320 709944 50720 713584
+rect 52240 709944 52640 715184
+rect 54160 709944 54560 716784
+rect 58400 709944 58800 711984
+rect 60320 709944 60720 713584
+rect 62240 709944 62640 715184
+rect 64160 709944 64560 716784
+rect 68400 709944 68800 711984
+rect 70320 709944 70720 713584
+rect 72240 709944 72640 715184
+rect 74160 709944 74560 716784
+rect 78400 709944 78800 711984
+rect 80320 709944 80720 713584
+rect 82240 709944 82640 715184
+rect 84160 709944 84560 716784
+rect 88400 709944 88800 711984
+rect 90320 709944 90720 713584
+rect 92240 709944 92640 715184
+rect 94160 709944 94560 716784
+rect 98400 709944 98800 711984
+rect 100320 709944 100720 713584
+rect 102240 709944 102640 715184
+rect 104160 709944 104560 716784
+rect 108400 709944 108800 711984
+rect 110320 709944 110720 713584
+rect 112240 709944 112640 715184
+rect 114160 709944 114560 716784
+rect 118400 709944 118800 711984
+rect 120320 709944 120720 713584
+rect 122240 709944 122640 715184
+rect 124160 709944 124560 716784
+rect 128400 709944 128800 711984
+rect 130320 709944 130720 713584
+rect 132240 709944 132640 715184
+rect 134160 709944 134560 716784
+rect 138400 709944 138800 711984
+rect 140320 709944 140720 713584
+rect 142240 709944 142640 715184
+rect 144160 709944 144560 716784
+rect 148400 709944 148800 711984
+rect 150320 709944 150720 713584
+rect 152240 709944 152640 715184
+rect 154160 709944 154560 716784
+rect 158400 709944 158800 711984
+rect 160320 709944 160720 713584
+rect 162240 709944 162640 715184
+rect 164160 709944 164560 716784
+rect 168400 709944 168800 711984
+rect 170320 709944 170720 713584
+rect 172240 709944 172640 715184
+rect 174160 709944 174560 716784
+rect 178400 709944 178800 711984
+rect 180320 709944 180720 713584
+rect 182240 709944 182640 715184
+rect 184160 709944 184560 716784
+rect 188400 709944 188800 711984
+rect 190320 709944 190720 713584
+rect 192240 709944 192640 715184
+rect 194160 709944 194560 716784
+rect 198400 709944 198800 711984
+rect 200320 709944 200720 713584
+rect 202240 709944 202640 715184
+rect 204160 709944 204560 716784
+rect 208400 709944 208800 711984
+rect 210320 709944 210720 713584
+rect 212240 709944 212640 715184
+rect 214160 709944 214560 716784
+rect 218400 709944 218800 711984
+rect 220320 709944 220720 713584
+rect 222240 709944 222640 715184
+rect 224160 709944 224560 716784
+rect 228400 709944 228800 711984
+rect 230320 709944 230720 713584
+rect 232240 709944 232640 715184
+rect 234160 709944 234560 716784
+rect 238400 709944 238800 711984
+rect 240320 709944 240720 713584
+rect 242240 709944 242640 715184
+rect 244160 709944 244560 716784
+rect 248400 709944 248800 711984
+rect 250320 709944 250720 713584
+rect 252240 709944 252640 715184
+rect 254160 709944 254560 716784
+rect 258400 709944 258800 711984
+rect 260320 709944 260720 713584
+rect 262240 709944 262640 715184
+rect 264160 709944 264560 716784
+rect 268400 709944 268800 711984
+rect 270320 709944 270720 713584
+rect 272240 709944 272640 715184
+rect 274160 709944 274560 716784
+rect 278400 709944 278800 711984
+rect 280320 709944 280720 713584
+rect 282240 709944 282640 715184
+rect 284160 709944 284560 716784
+rect 288400 709944 288800 711984
+rect 290320 709944 290720 713584
+rect 292240 709944 292640 715184
+rect 294160 709944 294560 716784
+rect 298400 709944 298800 711984
+rect 300320 709944 300720 713584
+rect 302240 709944 302640 715184
+rect 304160 709944 304560 716784
+rect 308400 709944 308800 711984
+rect 310320 709944 310720 713584
+rect 312240 709944 312640 715184
+rect 314160 709944 314560 716784
+rect 318400 709944 318800 711984
+rect 320320 709944 320720 713584
+rect 322240 709944 322640 715184
+rect 324160 709944 324560 716784
+rect 328400 709944 328800 711984
+rect 330320 709944 330720 713584
+rect 332240 709944 332640 715184
+rect 334160 709944 334560 716784
+rect 338400 709944 338800 711984
+rect 340320 709944 340720 713584
+rect 342240 709944 342640 715184
+rect 344160 709944 344560 716784
+rect 348400 709944 348800 711984
+rect 350320 709944 350720 713584
+rect 352240 709944 352640 715184
+rect 354160 709944 354560 716784
+rect 358400 709944 358800 711984
+rect 360320 709944 360720 713584
+rect 362240 709944 362640 715184
+rect 364160 709944 364560 716784
+rect 368400 709944 368800 711984
+rect 370320 709944 370720 713584
+rect 372240 709944 372640 715184
+rect 374160 709944 374560 716784
+rect 378400 709944 378800 711984
+rect 380320 709944 380720 713584
+rect 382240 709944 382640 715184
+rect 384160 709944 384560 716784
+rect 388400 709944 388800 711984
+rect 390320 709944 390720 713584
+rect 392240 709944 392640 715184
+rect 394160 709944 394560 716784
+rect 398400 709944 398800 711984
+rect 400320 709944 400720 713584
+rect 402240 709944 402640 715184
+rect 404160 709944 404560 716784
+rect 408400 709944 408800 711984
+rect 410320 709944 410720 713584
+rect 412240 709944 412640 715184
+rect 414160 709944 414560 716784
+rect 418400 709944 418800 711984
+rect 420320 709944 420720 713584
+rect 422240 709944 422640 715184
+rect 424160 709944 424560 716784
+rect 428400 709944 428800 711984
+rect 430320 709944 430720 713584
+rect 432240 709944 432640 715184
+rect 434160 709944 434560 716784
+rect 438400 709944 438800 711984
+rect 440320 709944 440720 713584
+rect 442240 709944 442640 715184
+rect 444160 709944 444560 716784
+rect 448400 709944 448800 711984
+rect 450320 709944 450720 713584
+rect 452240 709944 452640 715184
+rect 454160 709944 454560 716784
+rect 458400 709944 458800 711984
+rect 460320 709944 460720 713584
+rect 462240 709944 462640 715184
+rect 464160 709944 464560 716784
+rect 468400 709944 468800 711984
+rect 470320 709944 470720 713584
+rect 472240 709944 472640 715184
+rect 474160 709944 474560 716784
+rect 478400 709944 478800 711984
+rect 480320 709944 480720 713584
+rect 482240 709944 482640 715184
+rect 484160 709944 484560 716784
+rect 488400 709944 488800 711984
+rect 490320 709944 490720 713584
+rect 492240 709944 492640 715184
+rect 494160 709944 494560 716784
+rect 498400 709944 498800 711984
+rect 500320 709944 500720 713584
+rect 502240 709944 502640 715184
+rect 504160 709944 504560 716784
+rect 508400 709944 508800 711984
+rect 510320 709944 510720 713584
+rect 512240 709944 512640 715184
+rect 514160 709944 514560 716784
+rect 518400 709944 518800 711984
+rect 520320 709944 520720 713584
+rect 522240 709944 522640 715184
+rect 524160 709944 524560 716784
+rect 528400 709944 528800 711984
+rect 530320 709944 530720 713584
+rect 532240 709944 532640 715184
+rect 534160 709944 534560 716784
+rect 538400 709944 538800 711984
+rect 540320 709944 540720 713584
+rect 542240 709944 542640 715184
+rect 544160 709944 544560 716784
+rect 548400 709944 548800 711984
+rect 550320 709944 550720 713584
+rect 552240 709944 552640 715184
+rect 554160 709944 554560 716784
+rect 558400 709944 558800 711984
+rect 560320 709944 560720 713584
+rect 562240 709944 562640 715184
+rect 564160 709944 564560 716784
+rect 568400 709944 568800 711984
+rect 570320 709944 570720 713584
+rect 572240 709944 572640 715184
+rect 574160 709944 574560 716784
+rect 578400 709944 578800 711984
+rect 580320 709944 580720 713584
+rect 582240 709944 582640 715184
+rect 584160 709944 584560 716784
+rect 588400 709944 588800 711984
+rect 42964 8429 43024 10800
+rect 42961 8428 43027 8429
+rect 42961 8364 42962 8428
+rect 43026 8364 43027 8428
+rect 42961 8363 43027 8364
+rect 8400 4800 8800 6904
+rect 10320 3200 10720 6904
+rect 12240 1600 12640 6904
+rect 14160 0 14560 6904
+rect 18400 4800 18800 6904
+rect 20320 3200 20720 6904
+rect 22240 1600 22640 6904
+rect 24160 0 24560 6904
+rect 28400 4800 28800 6904
+rect 30320 3200 30720 6904
+rect 32240 1600 32640 6904
+rect 34160 0 34560 6904
+rect 38400 4800 38800 6904
+rect 40320 3200 40720 6904
+rect 42240 1600 42640 6904
+rect 44160 0 44560 6904
+rect 48400 4800 48800 6904
+rect 50320 3200 50720 6904
+rect 52240 1600 52640 6904
+rect 54160 0 54560 6904
+rect 58400 4800 58800 6904
+rect 60320 3200 60720 6904
+rect 62240 1600 62640 6904
+rect 64160 0 64560 6904
+rect 68400 4800 68800 6904
+rect 70320 3200 70720 6904
+rect 72240 1600 72640 6904
+rect 74160 0 74560 6904
+rect 78400 4800 78800 6904
+rect 80320 3200 80720 6904
+rect 82240 1600 82640 6904
+rect 84160 0 84560 6904
+rect 88400 4800 88800 6904
+rect 90320 3200 90720 6904
+rect 92240 1600 92640 6904
+rect 94160 0 94560 6904
+rect 98400 4800 98800 6904
+rect 100320 3200 100720 6904
+rect 102240 1600 102640 6904
+rect 104160 0 104560 6904
+rect 108400 4800 108800 6904
+rect 110320 3200 110720 6904
+rect 112240 1600 112640 6904
+rect 114160 0 114560 6904
+rect 118400 4800 118800 6904
+rect 120320 3200 120720 6904
+rect 122240 1600 122640 6904
+rect 124160 0 124560 6904
+rect 128400 4800 128800 6904
+rect 130320 3200 130720 6904
+rect 132240 1600 132640 6904
+rect 134160 0 134560 6904
+rect 138400 4800 138800 6904
+rect 140320 3200 140720 6904
+rect 142240 1600 142640 6904
+rect 144160 0 144560 6904
+rect 148400 4800 148800 6904
+rect 150320 3200 150720 6904
+rect 152240 1600 152640 6904
+rect 154160 0 154560 6904
+rect 158400 4800 158800 6904
+rect 160320 3200 160720 6904
+rect 162240 1600 162640 6904
+rect 164160 0 164560 6904
+rect 168400 4800 168800 6904
+rect 170320 3200 170720 6904
+rect 172240 1600 172640 6904
+rect 174160 0 174560 6904
+rect 178400 4800 178800 6904
+rect 180320 3200 180720 6904
+rect 182240 1600 182640 6904
+rect 184160 0 184560 6904
+rect 188400 4800 188800 6904
+rect 190320 3200 190720 6904
+rect 192240 1600 192640 6904
+rect 194160 0 194560 6904
+rect 198400 4800 198800 6904
+rect 200320 3200 200720 6904
+rect 202240 1600 202640 6904
+rect 204160 0 204560 6904
+rect 208400 4800 208800 6904
+rect 210320 3200 210720 6904
+rect 212240 1600 212640 6904
+rect 214160 0 214560 6904
+rect 218400 4800 218800 6904
+rect 220320 3200 220720 6904
+rect 222240 1600 222640 6904
+rect 224160 0 224560 6904
+rect 228400 4800 228800 6904
+rect 230320 3200 230720 6904
+rect 232240 1600 232640 6904
+rect 234160 0 234560 6904
+rect 238400 4800 238800 6904
+rect 240320 3200 240720 6904
+rect 242240 1600 242640 6904
+rect 244160 0 244560 6904
+rect 248400 4800 248800 6904
+rect 250320 3200 250720 6904
+rect 252240 1600 252640 6904
+rect 254160 0 254560 6904
+rect 258400 4800 258800 6904
+rect 260320 3200 260720 6904
+rect 262240 1600 262640 6904
+rect 264160 0 264560 6904
+rect 268400 4800 268800 6904
+rect 270320 3200 270720 6904
+rect 272240 1600 272640 6904
+rect 274160 0 274560 6904
+rect 278400 4800 278800 6904
+rect 280320 3200 280720 6904
+rect 282240 1600 282640 6904
+rect 284160 0 284560 6904
+rect 288400 4800 288800 6904
+rect 290320 3200 290720 6904
+rect 292240 1600 292640 6904
+rect 294160 0 294560 6904
+rect 298400 4800 298800 6904
+rect 300320 3200 300720 6904
+rect 302240 1600 302640 6904
+rect 304160 0 304560 6904
+rect 308400 4800 308800 6904
+rect 310320 3200 310720 6904
+rect 312240 1600 312640 6904
+rect 314160 0 314560 6904
+rect 318400 4800 318800 6904
+rect 320320 3200 320720 6904
+rect 322240 1600 322640 6904
+rect 324160 0 324560 6904
+rect 328400 4800 328800 6904
+rect 330320 3200 330720 6904
+rect 332240 1600 332640 6904
+rect 334160 0 334560 6904
+rect 338400 4800 338800 6904
+rect 340320 3200 340720 6904
+rect 342240 1600 342640 6904
+rect 344160 0 344560 6904
+rect 348400 4800 348800 6904
+rect 350320 3200 350720 6904
+rect 352240 1600 352640 6904
+rect 354160 0 354560 6904
+rect 358400 4800 358800 6904
+rect 360320 3200 360720 6904
+rect 362240 1600 362640 6904
+rect 364160 0 364560 6904
+rect 368400 4800 368800 6904
+rect 370320 3200 370720 6904
+rect 372240 1600 372640 6904
+rect 374160 0 374560 6904
+rect 378400 4800 378800 6904
+rect 380320 3200 380720 6904
+rect 382240 1600 382640 6904
+rect 384160 0 384560 6904
+rect 388400 4800 388800 6904
+rect 390320 3200 390720 6904
+rect 392240 1600 392640 6904
+rect 394160 0 394560 6904
+rect 398400 4800 398800 6904
+rect 400320 3200 400720 6904
+rect 402240 1600 402640 6904
+rect 404160 0 404560 6904
+rect 408400 4800 408800 6904
+rect 410320 3200 410720 6904
+rect 412240 1600 412640 6904
+rect 414160 0 414560 6904
+rect 418400 4800 418800 6904
+rect 420320 3200 420720 6904
+rect 422240 1600 422640 6904
+rect 424160 0 424560 6904
+rect 428400 4800 428800 6904
+rect 430320 3200 430720 6904
+rect 432240 1600 432640 6904
+rect 434160 0 434560 6904
+rect 438400 4800 438800 6904
+rect 440320 3200 440720 6904
+rect 442240 1600 442640 6904
+rect 444160 0 444560 6904
+rect 448400 4800 448800 6904
+rect 450320 3200 450720 6904
+rect 452240 1600 452640 6904
+rect 454160 0 454560 6904
+rect 458400 4800 458800 6904
+rect 460320 3200 460720 6904
+rect 462240 1600 462640 6904
+rect 464160 0 464560 6904
+rect 468400 4800 468800 6904
+rect 470320 3200 470720 6904
+rect 472240 1600 472640 6904
+rect 474160 0 474560 6904
+rect 478400 4800 478800 6904
+rect 480320 3200 480720 6904
+rect 482240 1600 482640 6904
+rect 484160 0 484560 6904
+rect 488400 4800 488800 6904
+rect 490320 3200 490720 6904
+rect 492240 1600 492640 6904
+rect 494160 0 494560 6904
+rect 498400 4800 498800 6904
+rect 500320 3200 500720 6904
+rect 502240 1600 502640 6904
+rect 504160 0 504560 6904
+rect 508400 4800 508800 6904
+rect 510320 3200 510720 6904
+rect 512240 1600 512640 6904
+rect 514160 0 514560 6904
+rect 518400 4800 518800 6904
+rect 520320 3200 520720 6904
+rect 522240 1600 522640 6904
+rect 524160 0 524560 6904
+rect 528400 4800 528800 6904
+rect 530320 3200 530720 6904
+rect 532240 1600 532640 6904
+rect 534160 0 534560 6904
+rect 538400 4800 538800 6904
+rect 540320 3200 540720 6904
+rect 542240 1600 542640 6904
+rect 544160 0 544560 6904
+rect 548400 4800 548800 6904
+rect 550320 3200 550720 6904
+rect 552240 1600 552640 6904
+rect 554160 0 554560 6904
+rect 558400 4800 558800 6904
+rect 560320 3200 560720 6904
+rect 562240 1600 562640 6904
+rect 564160 0 564560 6904
+rect 568400 4800 568800 6904
+rect 570320 3200 570720 6904
+rect 572240 1600 572640 6904
+rect 574160 0 574560 6904
+rect 578400 4800 578800 6904
+rect 580320 3200 580720 6904
+rect 582240 1600 582640 6904
+rect 584160 0 584560 6904
+rect 588400 4800 588800 6904
+rect 592916 5600 593316 711184
+rect 593716 4800 594116 711984
+rect 594516 4000 594916 712784
+rect 595316 3200 595716 713584
+rect 596116 2400 596516 714384
+rect 596916 1600 597316 715184
+rect 597716 800 598116 715984
+rect 598516 0 598916 716784
+<< obsm4 >>
+rect 11598 10804 119438 123976
+rect 11598 10744 11606 10804
+rect 11670 10744 11686 10804
+rect 11750 10744 11766 10804
+rect 11830 10744 11846 10804
+rect 11910 10800 42326 10804
+rect 11910 10744 11918 10800
+rect 11598 9720 11918 10744
+rect 11598 9656 11606 9720
+rect 11670 9656 11686 9720
+rect 11750 9656 11766 9720
+rect 11830 9656 11846 9720
+rect 11910 9656 11918 9720
+rect 11598 8632 11918 9656
+rect 11598 8568 11606 8632
+rect 11670 8568 11686 8632
+rect 11750 8568 11766 8632
+rect 11830 8568 11846 8632
+rect 11910 8568 11918 8632
+rect 11598 8552 11918 8568
+rect 26958 10264 27278 10800
+rect 26958 10200 26966 10264
+rect 27030 10200 27046 10264
+rect 27110 10200 27126 10264
+rect 27190 10200 27206 10264
+rect 27270 10200 27278 10264
+rect 26958 9176 27278 10200
+rect 36340 9653 36400 10800
+rect 42044 10197 42104 10800
+rect 42318 10744 42326 10800
+rect 42390 10744 42406 10804
+rect 42470 10744 42486 10804
+rect 42550 10744 42566 10804
+rect 42630 10800 73046 10804
+rect 42630 10744 42638 10800
+rect 42041 10196 42107 10197
+rect 42041 10132 42042 10196
+rect 42106 10132 42107 10196
+rect 42041 10131 42107 10132
+rect 42318 9720 42638 10744
+rect 42780 10605 42840 10800
+rect 42777 10604 42843 10605
+rect 42777 10540 42778 10604
+rect 42842 10540 42843 10604
+rect 42777 10539 42843 10540
+rect 42318 9656 42326 9720
+rect 42390 9656 42406 9720
+rect 42470 9656 42486 9720
+rect 42550 9656 42566 9720
+rect 42630 9656 42638 9720
+rect 36337 9652 36403 9653
+rect 36337 9588 36338 9652
+rect 36402 9588 36403 9652
+rect 36337 9587 36403 9588
+rect 26958 9112 26966 9176
+rect 27030 9112 27046 9176
+rect 27110 9112 27126 9176
+rect 27190 9112 27206 9176
+rect 27270 9112 27278 9176
+rect 26958 8552 27278 9112
+rect 42318 8632 42638 9656
+rect 42318 8568 42326 8632
+rect 42390 8568 42406 8632
+rect 42470 8568 42486 8632
+rect 42550 8568 42566 8632
+rect 42630 8568 42638 8632
+rect 42318 8552 42638 8568
+rect 46276 9653 46336 10800
+rect 46644 9653 46704 10800
+rect 47012 10469 47072 10800
+rect 47564 10741 47624 10800
+rect 47561 10740 47627 10741
+rect 47561 10676 47562 10740
+rect 47626 10676 47627 10740
+rect 47561 10675 47627 10676
+rect 47009 10468 47075 10469
+rect 47009 10404 47010 10468
+rect 47074 10404 47075 10468
+rect 47009 10403 47075 10404
+rect 57678 10264 57998 10800
+rect 57678 10200 57686 10264
+rect 57750 10200 57766 10264
+rect 57830 10200 57846 10264
+rect 57910 10200 57926 10264
+rect 57990 10200 57998 10264
+rect 46273 9652 46339 9653
+rect 46273 9588 46274 9652
+rect 46338 9588 46339 9652
+rect 46273 9587 46339 9588
+rect 46641 9652 46707 9653
+rect 46641 9588 46642 9652
+rect 46706 9588 46707 9652
+rect 46641 9587 46707 9588
+rect 57678 9176 57998 10200
+rect 57678 9112 57686 9176
+rect 57750 9112 57766 9176
+rect 57830 9112 57846 9176
+rect 57910 9112 57926 9176
+rect 57990 9112 57998 9176
+rect 57678 8552 57998 9112
+rect 73038 10744 73046 10800
+rect 73110 10744 73126 10804
+rect 73190 10744 73206 10804
+rect 73270 10744 73286 10804
+rect 73350 10800 103766 10804
+rect 73350 10744 73358 10800
+rect 73038 9720 73358 10744
+rect 73038 9656 73046 9720
+rect 73110 9656 73126 9720
+rect 73190 9656 73206 9720
+rect 73270 9656 73286 9720
+rect 73350 9656 73358 9720
+rect 73038 8632 73358 9656
+rect 73038 8568 73046 8632
+rect 73110 8568 73126 8632
+rect 73190 8568 73206 8632
+rect 73270 8568 73286 8632
+rect 73350 8568 73358 8632
+rect 73038 8552 73358 8568
+rect 88398 10264 88718 10800
+rect 88398 10200 88406 10264
+rect 88470 10200 88486 10264
+rect 88550 10200 88566 10264
+rect 88630 10200 88646 10264
+rect 88710 10200 88718 10264
+rect 88398 9176 88718 10200
+rect 88398 9112 88406 9176
+rect 88470 9112 88486 9176
+rect 88550 9112 88566 9176
+rect 88630 9112 88646 9176
+rect 88710 9112 88718 9176
+rect 88398 8552 88718 9112
+rect 103758 10744 103766 10800
+rect 103830 10744 103846 10804
+rect 103910 10744 103926 10804
+rect 103990 10744 104006 10804
+rect 104070 10800 119438 10804
+rect 104070 10744 104078 10800
+rect 103758 9720 104078 10744
+rect 103758 9656 103766 9720
+rect 103830 9656 103846 9720
+rect 103910 9656 103926 9720
+rect 103990 9656 104006 9720
+rect 104070 9656 104078 9720
+rect 103758 8632 104078 9656
+rect 103758 8568 103766 8632
+rect 103830 8568 103846 8632
+rect 103910 8568 103926 8632
+rect 103990 8568 104006 8632
+rect 104070 8568 104078 8632
+rect 103758 8552 104078 8568
+rect 119118 10264 119438 10800
+rect 119118 10200 119126 10264
+rect 119190 10200 119206 10264
+rect 119270 10200 119286 10264
+rect 119350 10200 119366 10264
+rect 119430 10200 119438 10264
+rect 119118 9176 119438 10200
+rect 119118 9112 119126 9176
+rect 119190 9112 119206 9176
+rect 119270 9112 119286 9176
+rect 119350 9112 119366 9176
+rect 119430 9112 119438 9176
+rect 119118 8552 119438 9112
+<< metal5 >>
+rect 0 716384 598916 716784
+rect 800 715584 598116 715984
+rect 1600 714784 597316 715184
+rect 2400 713984 596516 714384
+rect 3200 713184 595716 713584
+rect 4000 712384 594916 712784
+rect 4800 711584 594116 711984
+rect 5600 710784 593316 711184
+rect 0 704160 7976 704560
+rect 591016 704160 598916 704560
+rect 1600 702240 7976 702640
+rect 591016 702240 597316 702640
+rect 3200 700320 7976 700720
+rect 591016 700320 595716 700720
+rect 4800 698400 7976 698800
+rect 591016 698400 594116 698800
+rect 0 694160 7976 694560
+rect 591016 694160 598916 694560
+rect 1600 692240 7976 692640
+rect 591016 692240 597316 692640
+rect 3200 690320 7976 690720
+rect 591016 690320 595716 690720
+rect 4800 688400 7976 688800
+rect 591016 688400 594116 688800
+rect 0 684160 7976 684560
+rect 591016 684160 598916 684560
+rect 1600 682240 7976 682640
+rect 591016 682240 597316 682640
+rect 3200 680320 7976 680720
+rect 591016 680320 595716 680720
+rect 4800 678400 7976 678800
+rect 591016 678400 594116 678800
+rect 0 674160 7976 674560
+rect 591016 674160 598916 674560
+rect 1600 672240 7976 672640
+rect 591016 672240 597316 672640
+rect 3200 670320 7976 670720
+rect 591016 670320 595716 670720
+rect 4800 668400 7976 668800
+rect 591016 668400 594116 668800
+rect 0 664160 7976 664560
+rect 591016 664160 598916 664560
+rect 1600 662240 7976 662640
+rect 591016 662240 597316 662640
+rect 3200 660320 7976 660720
+rect 591016 660320 595716 660720
+rect 4800 658400 7976 658800
+rect 591016 658400 594116 658800
+rect 0 654160 7976 654560
+rect 591016 654160 598916 654560
+rect 1600 652240 7976 652640
+rect 591016 652240 597316 652640
+rect 3200 650320 7976 650720
+rect 591016 650320 595716 650720
+rect 4800 648400 7976 648800
+rect 591016 648400 594116 648800
+rect 0 644160 7976 644560
+rect 591016 644160 598916 644560
+rect 1600 642240 7976 642640
+rect 591016 642240 597316 642640
+rect 3200 640320 7976 640720
+rect 591016 640320 595716 640720
+rect 4800 638400 7976 638800
+rect 591016 638400 594116 638800
+rect 0 634160 7976 634560
+rect 591016 634160 598916 634560
+rect 1600 632240 7976 632640
+rect 591016 632240 597316 632640
+rect 3200 630320 7976 630720
+rect 591016 630320 595716 630720
+rect 4800 628400 7976 628800
+rect 591016 628400 594116 628800
+rect 0 624160 7976 624560
+rect 591016 624160 598916 624560
+rect 1600 622240 7976 622640
+rect 591016 622240 597316 622640
+rect 3200 620320 7976 620720
+rect 591016 620320 595716 620720
+rect 4800 618400 7976 618800
+rect 591016 618400 594116 618800
+rect 0 614160 7976 614560
+rect 591016 614160 598916 614560
+rect 1600 612240 7976 612640
+rect 591016 612240 597316 612640
+rect 3200 610320 7976 610720
+rect 591016 610320 595716 610720
+rect 4800 608400 7976 608800
+rect 591016 608400 594116 608800
+rect 0 604160 7976 604560
+rect 591016 604160 598916 604560
+rect 1600 602240 7976 602640
+rect 591016 602240 597316 602640
+rect 3200 600320 7976 600720
+rect 591016 600320 595716 600720
+rect 4800 598400 7976 598800
+rect 591016 598400 594116 598800
+rect 0 594160 7976 594560
+rect 591016 594160 598916 594560
+rect 1600 592240 7976 592640
+rect 591016 592240 597316 592640
+rect 3200 590320 7976 590720
+rect 591016 590320 595716 590720
+rect 4800 588400 7976 588800
+rect 591016 588400 594116 588800
+rect 0 584160 7976 584560
+rect 591016 584160 598916 584560
+rect 1600 582240 7976 582640
+rect 591016 582240 597316 582640
+rect 3200 580320 7976 580720
+rect 591016 580320 595716 580720
+rect 4800 578400 7976 578800
+rect 591016 578400 594116 578800
+rect 0 574160 7976 574560
+rect 591016 574160 598916 574560
+rect 1600 572240 7976 572640
+rect 591016 572240 597316 572640
+rect 3200 570320 7976 570720
+rect 591016 570320 595716 570720
+rect 4800 568400 7976 568800
+rect 591016 568400 594116 568800
+rect 0 564160 7976 564560
+rect 591016 564160 598916 564560
+rect 1600 562240 7976 562640
+rect 591016 562240 597316 562640
+rect 3200 560320 7976 560720
+rect 591016 560320 595716 560720
+rect 4800 558400 7976 558800
+rect 591016 558400 594116 558800
+rect 0 554160 7976 554560
+rect 591016 554160 598916 554560
+rect 1600 552240 7976 552640
+rect 591016 552240 597316 552640
+rect 3200 550320 7976 550720
+rect 591016 550320 595716 550720
+rect 4800 548400 7976 548800
+rect 591016 548400 594116 548800
+rect 0 544160 7976 544560
+rect 591016 544160 598916 544560
+rect 1600 542240 7976 542640
+rect 591016 542240 597316 542640
+rect 3200 540320 7976 540720
+rect 591016 540320 595716 540720
+rect 4800 538400 7976 538800
+rect 591016 538400 594116 538800
+rect 0 534160 7976 534560
+rect 591016 534160 598916 534560
+rect 1600 532240 7976 532640
+rect 591016 532240 597316 532640
+rect 3200 530320 7976 530720
+rect 591016 530320 595716 530720
+rect 4800 528400 7976 528800
+rect 591016 528400 594116 528800
+rect 0 524160 7976 524560
+rect 591016 524160 598916 524560
+rect 1600 522240 7976 522640
+rect 591016 522240 597316 522640
+rect 3200 520320 7976 520720
+rect 591016 520320 595716 520720
+rect 4800 518400 7976 518800
+rect 591016 518400 594116 518800
+rect 0 514160 7976 514560
+rect 591016 514160 598916 514560
+rect 1600 512240 7976 512640
+rect 591016 512240 597316 512640
+rect 3200 510320 7976 510720
+rect 591016 510320 595716 510720
+rect 4800 508400 7976 508800
+rect 591016 508400 594116 508800
+rect 0 504160 7976 504560
+rect 591016 504160 598916 504560
+rect 1600 502240 7976 502640
+rect 591016 502240 597316 502640
+rect 3200 500320 7976 500720
+rect 591016 500320 595716 500720
+rect 4800 498400 7976 498800
+rect 591016 498400 594116 498800
+rect 0 494160 7976 494560
+rect 591016 494160 598916 494560
+rect 1600 492240 7976 492640
+rect 591016 492240 597316 492640
+rect 3200 490320 7976 490720
+rect 591016 490320 595716 490720
+rect 4800 488400 7976 488800
+rect 591016 488400 594116 488800
+rect 0 484160 7976 484560
+rect 591016 484160 598916 484560
+rect 1600 482240 7976 482640
+rect 591016 482240 597316 482640
+rect 3200 480320 7976 480720
+rect 591016 480320 595716 480720
+rect 4800 478400 7976 478800
+rect 591016 478400 594116 478800
+rect 0 474160 7976 474560
+rect 591016 474160 598916 474560
+rect 1600 472240 7976 472640
+rect 591016 472240 597316 472640
+rect 3200 470320 7976 470720
+rect 591016 470320 595716 470720
+rect 4800 468400 7976 468800
+rect 591016 468400 594116 468800
+rect 0 464160 7976 464560
+rect 591016 464160 598916 464560
+rect 1600 462240 7976 462640
+rect 591016 462240 597316 462640
+rect 3200 460320 7976 460720
+rect 591016 460320 595716 460720
+rect 4800 458400 7976 458800
+rect 591016 458400 594116 458800
+rect 0 454160 7976 454560
+rect 591016 454160 598916 454560
+rect 1600 452240 7976 452640
+rect 591016 452240 597316 452640
+rect 3200 450320 7976 450720
+rect 591016 450320 595716 450720
+rect 4800 448400 7976 448800
+rect 591016 448400 594116 448800
+rect 0 444160 7976 444560
+rect 591016 444160 598916 444560
+rect 1600 442240 7976 442640
+rect 591016 442240 597316 442640
+rect 3200 440320 7976 440720
+rect 591016 440320 595716 440720
+rect 4800 438400 7976 438800
+rect 591016 438400 594116 438800
+rect 0 434160 7976 434560
+rect 591016 434160 598916 434560
+rect 1600 432240 7976 432640
+rect 591016 432240 597316 432640
+rect 3200 430320 7976 430720
+rect 591016 430320 595716 430720
+rect 4800 428400 7976 428800
+rect 591016 428400 594116 428800
+rect 0 424160 7976 424560
+rect 591016 424160 598916 424560
+rect 1600 422240 7976 422640
+rect 591016 422240 597316 422640
+rect 3200 420320 7976 420720
+rect 591016 420320 595716 420720
+rect 4800 418400 7976 418800
+rect 591016 418400 594116 418800
+rect 0 414160 7976 414560
+rect 591016 414160 598916 414560
+rect 1600 412240 7976 412640
+rect 591016 412240 597316 412640
+rect 3200 410320 7976 410720
+rect 591016 410320 595716 410720
+rect 4800 408400 7976 408800
+rect 591016 408400 594116 408800
+rect 0 404160 7976 404560
+rect 591016 404160 598916 404560
+rect 1600 402240 7976 402640
+rect 591016 402240 597316 402640
+rect 3200 400320 7976 400720
+rect 591016 400320 595716 400720
+rect 4800 398400 7976 398800
+rect 591016 398400 594116 398800
+rect 0 394160 7976 394560
+rect 591016 394160 598916 394560
+rect 1600 392240 7976 392640
+rect 591016 392240 597316 392640
+rect 3200 390320 7976 390720
+rect 591016 390320 595716 390720
+rect 4800 388400 7976 388800
+rect 591016 388400 594116 388800
+rect 0 384160 7976 384560
+rect 591016 384160 598916 384560
+rect 1600 382240 7976 382640
+rect 591016 382240 597316 382640
+rect 3200 380320 7976 380720
+rect 591016 380320 595716 380720
+rect 4800 378400 7976 378800
+rect 591016 378400 594116 378800
+rect 0 374160 7976 374560
+rect 591016 374160 598916 374560
+rect 1600 372240 7976 372640
+rect 591016 372240 597316 372640
+rect 3200 370320 7976 370720
+rect 591016 370320 595716 370720
+rect 4800 368400 7976 368800
+rect 591016 368400 594116 368800
+rect 0 364160 7976 364560
+rect 591016 364160 598916 364560
+rect 1600 362240 7976 362640
+rect 591016 362240 597316 362640
+rect 3200 360320 7976 360720
+rect 591016 360320 595716 360720
+rect 4800 358400 7976 358800
+rect 591016 358400 594116 358800
+rect 0 354160 7976 354560
+rect 591016 354160 598916 354560
+rect 1600 352240 7976 352640
+rect 591016 352240 597316 352640
+rect 3200 350320 7976 350720
+rect 591016 350320 595716 350720
+rect 4800 348400 7976 348800
+rect 591016 348400 594116 348800
+rect 0 344160 7976 344560
+rect 591016 344160 598916 344560
+rect 1600 342240 7976 342640
+rect 591016 342240 597316 342640
+rect 3200 340320 7976 340720
+rect 591016 340320 595716 340720
+rect 4800 338400 7976 338800
+rect 591016 338400 594116 338800
+rect 0 334160 7976 334560
+rect 591016 334160 598916 334560
+rect 1600 332240 7976 332640
+rect 591016 332240 597316 332640
+rect 3200 330320 7976 330720
+rect 591016 330320 595716 330720
+rect 4800 328400 7976 328800
+rect 591016 328400 594116 328800
+rect 0 324160 7976 324560
+rect 591016 324160 598916 324560
+rect 1600 322240 7976 322640
+rect 591016 322240 597316 322640
+rect 3200 320320 7976 320720
+rect 591016 320320 595716 320720
+rect 4800 318400 7976 318800
+rect 591016 318400 594116 318800
+rect 0 314160 7976 314560
+rect 591016 314160 598916 314560
+rect 1600 312240 7976 312640
+rect 591016 312240 597316 312640
+rect 3200 310320 7976 310720
+rect 591016 310320 595716 310720
+rect 4800 308400 7976 308800
+rect 591016 308400 594116 308800
+rect 0 304160 7976 304560
+rect 591016 304160 598916 304560
+rect 1600 302240 7976 302640
+rect 591016 302240 597316 302640
+rect 3200 300320 7976 300720
+rect 591016 300320 595716 300720
+rect 4800 298400 7976 298800
+rect 591016 298400 594116 298800
+rect 0 294160 7976 294560
+rect 591016 294160 598916 294560
+rect 1600 292240 7976 292640
+rect 591016 292240 597316 292640
+rect 3200 290320 7976 290720
+rect 591016 290320 595716 290720
+rect 4800 288400 7976 288800
+rect 591016 288400 594116 288800
+rect 0 284160 7976 284560
+rect 591016 284160 598916 284560
+rect 1600 282240 7976 282640
+rect 591016 282240 597316 282640
+rect 3200 280320 7976 280720
+rect 591016 280320 595716 280720
+rect 4800 278400 7976 278800
+rect 591016 278400 594116 278800
+rect 0 274160 7976 274560
+rect 591016 274160 598916 274560
+rect 1600 272240 7976 272640
+rect 591016 272240 597316 272640
+rect 3200 270320 7976 270720
+rect 591016 270320 595716 270720
+rect 4800 268400 7976 268800
+rect 591016 268400 594116 268800
+rect 0 264160 7976 264560
+rect 591016 264160 598916 264560
+rect 1600 262240 7976 262640
+rect 591016 262240 597316 262640
+rect 3200 260320 7976 260720
+rect 591016 260320 595716 260720
+rect 4800 258400 7976 258800
+rect 591016 258400 594116 258800
+rect 0 254160 7976 254560
+rect 591016 254160 598916 254560
+rect 1600 252240 7976 252640
+rect 591016 252240 597316 252640
+rect 3200 250320 7976 250720
+rect 591016 250320 595716 250720
+rect 4800 248400 7976 248800
+rect 591016 248400 594116 248800
+rect 0 244160 7976 244560
+rect 591016 244160 598916 244560
+rect 1600 242240 7976 242640
+rect 591016 242240 597316 242640
+rect 3200 240320 7976 240720
+rect 591016 240320 595716 240720
+rect 4800 238400 7976 238800
+rect 591016 238400 594116 238800
+rect 0 234160 7976 234560
+rect 591016 234160 598916 234560
+rect 1600 232240 7976 232640
+rect 591016 232240 597316 232640
+rect 3200 230320 7976 230720
+rect 591016 230320 595716 230720
+rect 4800 228400 7976 228800
+rect 591016 228400 594116 228800
+rect 0 224160 7976 224560
+rect 591016 224160 598916 224560
+rect 1600 222240 7976 222640
+rect 591016 222240 597316 222640
+rect 3200 220320 7976 220720
+rect 591016 220320 595716 220720
+rect 4800 218400 7976 218800
+rect 591016 218400 594116 218800
+rect 0 214160 7976 214560
+rect 591016 214160 598916 214560
+rect 1600 212240 7976 212640
+rect 591016 212240 597316 212640
+rect 3200 210320 7976 210720
+rect 591016 210320 595716 210720
+rect 4800 208400 7976 208800
+rect 591016 208400 594116 208800
+rect 0 204160 7976 204560
+rect 591016 204160 598916 204560
+rect 1600 202240 7976 202640
+rect 591016 202240 597316 202640
+rect 3200 200320 7976 200720
+rect 591016 200320 595716 200720
+rect 4800 198400 7976 198800
+rect 591016 198400 594116 198800
+rect 0 194160 7976 194560
+rect 591016 194160 598916 194560
+rect 1600 192240 7976 192640
+rect 591016 192240 597316 192640
+rect 3200 190320 7976 190720
+rect 591016 190320 595716 190720
+rect 4800 188400 7976 188800
+rect 591016 188400 594116 188800
+rect 0 184160 7976 184560
+rect 591016 184160 598916 184560
+rect 1600 182240 7976 182640
+rect 591016 182240 597316 182640
+rect 3200 180320 7976 180720
+rect 591016 180320 595716 180720
+rect 4800 178400 7976 178800
+rect 591016 178400 594116 178800
+rect 0 174160 7976 174560
+rect 591016 174160 598916 174560
+rect 1600 172240 7976 172640
+rect 591016 172240 597316 172640
+rect 3200 170320 7976 170720
+rect 591016 170320 595716 170720
+rect 4800 168400 7976 168800
+rect 591016 168400 594116 168800
+rect 0 164160 7976 164560
+rect 591016 164160 598916 164560
+rect 1600 162240 7976 162640
+rect 591016 162240 597316 162640
+rect 3200 160320 7976 160720
+rect 591016 160320 595716 160720
+rect 4800 158400 7976 158800
+rect 591016 158400 594116 158800
+rect 0 154160 7976 154560
+rect 591016 154160 598916 154560
+rect 1600 152240 7976 152640
+rect 591016 152240 597316 152640
+rect 3200 150320 7976 150720
+rect 591016 150320 595716 150720
+rect 4800 148400 7976 148800
+rect 591016 148400 594116 148800
+rect 0 144160 7976 144560
+rect 591016 144160 598916 144560
+rect 1600 142240 7976 142640
+rect 591016 142240 597316 142640
+rect 3200 140320 7976 140720
+rect 591016 140320 595716 140720
+rect 4800 138400 7976 138800
+rect 591016 138400 594116 138800
+rect 0 134160 7976 134560
+rect 591016 134160 598916 134560
+rect 1600 132240 7976 132640
+rect 591016 132240 597316 132640
+rect 3200 130320 7976 130720
+rect 591016 130320 595716 130720
+rect 4800 128400 7976 128800
+rect 591016 128400 594116 128800
+rect 0 124160 7976 124560
+rect 591016 124160 598916 124560
+rect 1600 122240 7976 122640
+rect 591016 122240 597316 122640
+rect 3200 120320 7976 120720
+rect 591016 120320 595716 120720
+rect 4800 118400 7976 118800
+rect 591016 118400 594116 118800
+rect 0 114160 7976 114560
+rect 591016 114160 598916 114560
+rect 1600 112240 7976 112640
+rect 591016 112240 597316 112640
+rect 3200 110320 7976 110720
+rect 591016 110320 595716 110720
+rect 4800 108400 7976 108800
+rect 591016 108400 594116 108800
+rect 0 104160 7976 104560
+rect 591016 104160 598916 104560
+rect 1600 102240 7976 102640
+rect 591016 102240 597316 102640
+rect 3200 100320 7976 100720
+rect 591016 100320 595716 100720
+rect 4800 98400 7976 98800
+rect 591016 98400 594116 98800
+rect 0 94160 7976 94560
+rect 591016 94160 598916 94560
+rect 1600 92240 7976 92640
+rect 591016 92240 597316 92640
+rect 3200 90320 7976 90720
+rect 591016 90320 595716 90720
+rect 4800 88400 7976 88800
+rect 591016 88400 594116 88800
+rect 0 84160 7976 84560
+rect 591016 84160 598916 84560
+rect 1600 82240 7976 82640
+rect 591016 82240 597316 82640
+rect 3200 80320 7976 80720
+rect 591016 80320 595716 80720
+rect 4800 78400 7976 78800
+rect 591016 78400 594116 78800
+rect 0 74160 7976 74560
+rect 591016 74160 598916 74560
+rect 1600 72240 7976 72640
+rect 591016 72240 597316 72640
+rect 3200 70320 7976 70720
+rect 591016 70320 595716 70720
+rect 4800 68400 7976 68800
+rect 591016 68400 594116 68800
+rect 0 64160 7976 64560
+rect 591016 64160 598916 64560
+rect 1600 62240 7976 62640
+rect 591016 62240 597316 62640
+rect 3200 60320 7976 60720
+rect 591016 60320 595716 60720
+rect 4800 58400 7976 58800
+rect 591016 58400 594116 58800
+rect 0 54160 7976 54560
+rect 591016 54160 598916 54560
+rect 1600 52240 7976 52640
+rect 591016 52240 597316 52640
+rect 3200 50320 7976 50720
+rect 591016 50320 595716 50720
+rect 4800 48400 7976 48800
+rect 591016 48400 594116 48800
+rect 0 44160 7976 44560
+rect 591016 44160 598916 44560
+rect 1600 42240 7976 42640
+rect 591016 42240 597316 42640
+rect 3200 40320 7976 40720
+rect 591016 40320 595716 40720
+rect 4800 38400 7976 38800
+rect 591016 38400 594116 38800
+rect 0 34160 7976 34560
+rect 591016 34160 598916 34560
+rect 1600 32240 7976 32640
+rect 591016 32240 597316 32640
+rect 3200 30320 7976 30720
+rect 591016 30320 595716 30720
+rect 4800 28400 7976 28800
+rect 591016 28400 594116 28800
+rect 0 24160 7976 24560
+rect 591016 24160 598916 24560
+rect 1600 22240 7976 22640
+rect 591016 22240 597316 22640
+rect 3200 20320 7976 20720
+rect 591016 20320 595716 20720
+rect 4800 18400 7976 18800
+rect 591016 18400 594116 18800
+rect 0 14160 7976 14560
+rect 591016 14160 598916 14560
+rect 1600 12240 7976 12640
+rect 591016 12240 597316 12640
+rect 3200 10320 7976 10720
+rect 591016 10320 595716 10720
+rect 4800 8400 7976 8800
+rect 591016 8400 594116 8800
+rect 5600 5600 593316 6000
+rect 4800 4800 594116 5200
+rect 4000 4000 594916 4400
+rect 3200 3200 595716 3600
+rect 2400 2400 596516 2800
+rect 1600 1600 597316 2000
+rect 800 800 598116 1200
+rect 0 0 598916 400
+<< labels >>
+rlabel metal3 s 591016 12280 591496 12400 6 analog_io[0]
+port 1 nsew default bidirectional
+rlabel metal3 s 591016 481480 591496 481600 6 analog_io[10]
+port 2 nsew default bidirectional
+rlabel metal3 s 591016 528400 591496 528520 6 analog_io[11]
+port 3 nsew default bidirectional
+rlabel metal3 s 591016 575320 591496 575440 6 analog_io[12]
+port 4 nsew default bidirectional
+rlabel metal3 s 591016 622240 591496 622360 6 analog_io[13]
+port 5 nsew default bidirectional
+rlabel metal3 s 591016 669160 591496 669280 6 analog_io[14]
+port 6 nsew default bidirectional
+rlabel metal2 s 583342 709944 583398 710424 6 analog_io[15]
+port 7 nsew default bidirectional
+rlabel metal2 s 518482 709944 518538 710424 6 analog_io[16]
+port 8 nsew default bidirectional
+rlabel metal2 s 453622 709944 453678 710424 6 analog_io[17]
+port 9 nsew default bidirectional
+rlabel metal2 s 388670 709944 388726 710424 6 analog_io[18]
+port 10 nsew default bidirectional
+rlabel metal2 s 323810 709944 323866 710424 6 analog_io[19]
+port 11 nsew default bidirectional
+rlabel metal3 s 591016 59200 591496 59320 6 analog_io[1]
+port 12 nsew default bidirectional
+rlabel metal2 s 258950 709944 259006 710424 6 analog_io[20]
+port 13 nsew default bidirectional
+rlabel metal2 s 193998 709944 194054 710424 6 analog_io[21]
+port 14 nsew default bidirectional
+rlabel metal2 s 129138 709944 129194 710424 6 analog_io[22]
+port 15 nsew default bidirectional
+rlabel metal2 s 64278 709944 64334 710424 6 analog_io[23]
+port 16 nsew default bidirectional
+rlabel metal3 s 7496 703024 7976 703144 6 analog_io[24]
+port 17 nsew default bidirectional
+rlabel metal3 s 7496 645496 7976 645616 6 analog_io[25]
+port 18 nsew default bidirectional
+rlabel metal3 s 7496 588104 7976 588224 6 analog_io[26]
+port 19 nsew default bidirectional
+rlabel metal3 s 7496 530576 7976 530696 6 analog_io[27]
+port 20 nsew default bidirectional
+rlabel metal3 s 7496 473184 7976 473304 6 analog_io[28]
+port 21 nsew default bidirectional
+rlabel metal3 s 7496 415656 7976 415776 6 analog_io[29]
+port 22 nsew default bidirectional
+rlabel metal3 s 591016 106120 591496 106240 6 analog_io[2]
+port 23 nsew default bidirectional
+rlabel metal3 s 7496 358264 7976 358384 6 analog_io[30]
+port 24 nsew default bidirectional
+rlabel metal3 s 591016 153040 591496 153160 6 analog_io[3]
+port 25 nsew default bidirectional
+rlabel metal3 s 591016 199960 591496 200080 6 analog_io[4]
+port 26 nsew default bidirectional
+rlabel metal3 s 591016 246880 591496 247000 6 analog_io[5]
+port 27 nsew default bidirectional
+rlabel metal3 s 591016 293800 591496 293920 6 analog_io[6]
+port 28 nsew default bidirectional
+rlabel metal3 s 591016 340720 591496 340840 6 analog_io[7]
+port 29 nsew default bidirectional
+rlabel metal3 s 591016 387640 591496 387760 6 analog_io[8]
+port 30 nsew default bidirectional
+rlabel metal3 s 591016 434560 591496 434680 6 analog_io[9]
+port 31 nsew default bidirectional
+rlabel metal3 s 591016 23976 591496 24096 6 io_in[0]
+port 32 nsew default input
+rlabel metal3 s 591016 493176 591496 493296 6 io_in[10]
+port 33 nsew default input
+rlabel metal3 s 591016 540232 591496 540352 6 io_in[11]
+port 34 nsew default input
+rlabel metal3 s 591016 587152 591496 587272 6 io_in[12]
+port 35 nsew default input
+rlabel metal3 s 591016 634072 591496 634192 6 io_in[13]
+port 36 nsew default input
+rlabel metal3 s 591016 680992 591496 681112 6 io_in[14]
+port 37 nsew default input
+rlabel metal2 s 567150 709944 567206 710424 6 io_in[15]
+port 38 nsew default input
+rlabel metal2 s 502290 709944 502346 710424 6 io_in[16]
+port 39 nsew default input
+rlabel metal2 s 437338 709944 437394 710424 6 io_in[17]
+port 40 nsew default input
+rlabel metal2 s 372478 709944 372534 710424 6 io_in[18]
+port 41 nsew default input
+rlabel metal2 s 307618 709944 307674 710424 6 io_in[19]
+port 42 nsew default input
+rlabel metal3 s 591016 70896 591496 71016 6 io_in[1]
+port 43 nsew default input
+rlabel metal2 s 242666 709944 242722 710424 6 io_in[20]
+port 44 nsew default input
+rlabel metal2 s 177806 709944 177862 710424 6 io_in[21]
+port 45 nsew default input
+rlabel metal2 s 112946 709944 113002 710424 6 io_in[22]
+port 46 nsew default input
+rlabel metal2 s 47994 709944 48050 710424 6 io_in[23]
+port 47 nsew default input
+rlabel metal3 s 7496 688608 7976 688728 6 io_in[24]
+port 48 nsew default input
+rlabel metal3 s 7496 631216 7976 631336 6 io_in[25]
+port 49 nsew default input
+rlabel metal3 s 7496 573688 7976 573808 6 io_in[26]
+port 50 nsew default input
+rlabel metal3 s 7496 516296 7976 516416 6 io_in[27]
+port 51 nsew default input
+rlabel metal3 s 7496 458768 7976 458888 6 io_in[28]
+port 52 nsew default input
+rlabel metal3 s 7496 401376 7976 401496 6 io_in[29]
+port 53 nsew default input
+rlabel metal3 s 591016 117816 591496 117936 6 io_in[2]
+port 54 nsew default input
+rlabel metal3 s 7496 343848 7976 343968 6 io_in[30]
+port 55 nsew default input
+rlabel metal3 s 7496 300736 7976 300856 6 io_in[31]
+port 56 nsew default input
+rlabel metal3 s 7496 257624 7976 257744 6 io_in[32]
+port 57 nsew default input
+rlabel metal3 s 7496 214512 7976 214632 6 io_in[33]
+port 58 nsew default input
+rlabel metal3 s 7496 171400 7976 171520 6 io_in[34]
+port 59 nsew default input
+rlabel metal3 s 7496 128424 7976 128544 6 io_in[35]
+port 60 nsew default input
+rlabel metal3 s 7496 85312 7976 85432 6 io_in[36]
+port 61 nsew default input
+rlabel metal3 s 7496 42200 7976 42320 6 io_in[37]
+port 62 nsew default input
+rlabel metal3 s 591016 164736 591496 164856 6 io_in[3]
+port 63 nsew default input
+rlabel metal3 s 591016 211656 591496 211776 6 io_in[4]
+port 64 nsew default input
+rlabel metal3 s 591016 258576 591496 258696 6 io_in[5]
+port 65 nsew default input
+rlabel metal3 s 591016 305496 591496 305616 6 io_in[6]
+port 66 nsew default input
+rlabel metal3 s 591016 352416 591496 352536 6 io_in[7]
+port 67 nsew default input
+rlabel metal3 s 591016 399336 591496 399456 6 io_in[8]
+port 68 nsew default input
+rlabel metal3 s 591016 446256 591496 446376 6 io_in[9]
+port 69 nsew default input
+rlabel metal3 s 591016 47368 591496 47488 6 io_oeb[0]
+port 70 nsew default output
+rlabel metal3 s 591016 516704 591496 516824 6 io_oeb[10]
+port 71 nsew default output
+rlabel metal3 s 591016 563624 591496 563744 6 io_oeb[11]
+port 72 nsew default output
+rlabel metal3 s 591016 610544 591496 610664 6 io_oeb[12]
+port 73 nsew default output
+rlabel metal3 s 591016 657464 591496 657584 6 io_oeb[13]
+port 74 nsew default output
+rlabel metal3 s 591016 704384 591496 704504 6 io_oeb[14]
+port 75 nsew default output
+rlabel metal2 s 534674 709944 534730 710424 6 io_oeb[15]
+port 76 nsew default output
+rlabel metal2 s 469814 709944 469870 710424 6 io_oeb[16]
+port 77 nsew default output
+rlabel metal2 s 404954 709944 405010 710424 6 io_oeb[17]
+port 78 nsew default output
+rlabel metal2 s 340002 709944 340058 710424 6 io_oeb[18]
+port 79 nsew default output
+rlabel metal2 s 275142 709944 275198 710424 6 io_oeb[19]
+port 80 nsew default output
+rlabel metal3 s 591016 94288 591496 94408 6 io_oeb[1]
+port 81 nsew default output
+rlabel metal2 s 210282 709944 210338 710424 6 io_oeb[20]
+port 82 nsew default output
+rlabel metal2 s 145330 709944 145386 710424 6 io_oeb[21]
+port 83 nsew default output
+rlabel metal2 s 80470 709944 80526 710424 6 io_oeb[22]
+port 84 nsew default output
+rlabel metal2 s 15610 709944 15666 710424 6 io_oeb[23]
+port 85 nsew default output
+rlabel metal3 s 7496 659912 7976 660032 6 io_oeb[24]
+port 86 nsew default output
+rlabel metal3 s 7496 602384 7976 602504 6 io_oeb[25]
+port 87 nsew default output
+rlabel metal3 s 7496 544992 7976 545112 6 io_oeb[26]
+port 88 nsew default output
+rlabel metal3 s 7496 487464 7976 487584 6 io_oeb[27]
+port 89 nsew default output
+rlabel metal3 s 7496 430072 7976 430192 6 io_oeb[28]
+port 90 nsew default output
+rlabel metal3 s 7496 372544 7976 372664 6 io_oeb[29]
+port 91 nsew default output
+rlabel metal3 s 591016 141208 591496 141328 6 io_oeb[2]
+port 92 nsew default output
+rlabel metal3 s 7496 315152 7976 315272 6 io_oeb[30]
+port 93 nsew default output
+rlabel metal3 s 7496 272040 7976 272160 6 io_oeb[31]
+port 94 nsew default output
+rlabel metal3 s 7496 228928 7976 229048 6 io_oeb[32]
+port 95 nsew default output
+rlabel metal3 s 7496 185816 7976 185936 6 io_oeb[33]
+port 96 nsew default output
+rlabel metal3 s 7496 142704 7976 142824 6 io_oeb[34]
+port 97 nsew default output
+rlabel metal3 s 7496 99592 7976 99712 6 io_oeb[35]
+port 98 nsew default output
+rlabel metal3 s 7496 56480 7976 56600 6 io_oeb[36]
+port 99 nsew default output
+rlabel metal3 s 7496 13504 7976 13624 6 io_oeb[37]
+port 100 nsew default output
+rlabel metal3 s 591016 188264 591496 188384 6 io_oeb[3]
+port 101 nsew default output
+rlabel metal3 s 591016 235184 591496 235304 6 io_oeb[4]
+port 102 nsew default output
+rlabel metal3 s 591016 282104 591496 282224 6 io_oeb[5]
+port 103 nsew default output
+rlabel metal3 s 591016 329024 591496 329144 6 io_oeb[6]
+port 104 nsew default output
+rlabel metal3 s 591016 375944 591496 376064 6 io_oeb[7]
+port 105 nsew default output
+rlabel metal3 s 591016 422864 591496 422984 6 io_oeb[8]
+port 106 nsew default output
+rlabel metal3 s 591016 469784 591496 469904 6 io_oeb[9]
+port 107 nsew default output
+rlabel metal3 s 591016 35672 591496 35792 6 io_out[0]
+port 108 nsew default output
+rlabel metal3 s 591016 505008 591496 505128 6 io_out[10]
+port 109 nsew default output
+rlabel metal3 s 591016 551928 591496 552048 6 io_out[11]
+port 110 nsew default output
+rlabel metal3 s 591016 598848 591496 598968 6 io_out[12]
+port 111 nsew default output
+rlabel metal3 s 591016 645768 591496 645888 6 io_out[13]
+port 112 nsew default output
+rlabel metal3 s 591016 692688 591496 692808 6 io_out[14]
+port 113 nsew default output
+rlabel metal2 s 550958 709944 551014 710424 6 io_out[15]
+port 114 nsew default output
+rlabel metal2 s 486006 709944 486062 710424 6 io_out[16]
+port 115 nsew default output
+rlabel metal2 s 421146 709944 421202 710424 6 io_out[17]
+port 116 nsew default output
+rlabel metal2 s 356286 709944 356342 710424 6 io_out[18]
+port 117 nsew default output
+rlabel metal2 s 291334 709944 291390 710424 6 io_out[19]
+port 118 nsew default output
+rlabel metal3 s 591016 82592 591496 82712 6 io_out[1]
+port 119 nsew default output
+rlabel metal2 s 226474 709944 226530 710424 6 io_out[20]
+port 120 nsew default output
+rlabel metal2 s 161614 709944 161670 710424 6 io_out[21]
+port 121 nsew default output
+rlabel metal2 s 96662 709944 96718 710424 6 io_out[22]
+port 122 nsew default output
+rlabel metal2 s 31802 709944 31858 710424 6 io_out[23]
+port 123 nsew default output
+rlabel metal3 s 7496 674328 7976 674448 6 io_out[24]
+port 124 nsew default output
+rlabel metal3 s 7496 616800 7976 616920 6 io_out[25]
+port 125 nsew default output
+rlabel metal3 s 7496 559408 7976 559528 6 io_out[26]
+port 126 nsew default output
+rlabel metal3 s 7496 501880 7976 502000 6 io_out[27]
+port 127 nsew default output
+rlabel metal3 s 7496 444352 7976 444472 6 io_out[28]
+port 128 nsew default output
+rlabel metal3 s 7496 386960 7976 387080 6 io_out[29]
+port 129 nsew default output
+rlabel metal3 s 591016 129512 591496 129632 6 io_out[2]
+port 130 nsew default output
+rlabel metal3 s 7496 329432 7976 329552 6 io_out[30]
+port 131 nsew default output
+rlabel metal3 s 7496 286456 7976 286576 6 io_out[31]
+port 132 nsew default output
+rlabel metal3 s 7496 243344 7976 243464 6 io_out[32]
+port 133 nsew default output
+rlabel metal3 s 7496 200232 7976 200352 6 io_out[33]
+port 134 nsew default output
+rlabel metal3 s 7496 157120 7976 157240 6 io_out[34]
+port 135 nsew default output
+rlabel metal3 s 7496 114008 7976 114128 6 io_out[35]
+port 136 nsew default output
+rlabel metal3 s 7496 70896 7976 71016 6 io_out[36]
+port 137 nsew default output
+rlabel metal3 s 7496 27784 7976 27904 6 io_out[37]
+port 138 nsew default output
+rlabel metal3 s 591016 176432 591496 176552 6 io_out[3]
+port 139 nsew default output
+rlabel metal3 s 591016 223352 591496 223472 6 io_out[4]
+port 140 nsew default output
+rlabel metal3 s 591016 270272 591496 270392 6 io_out[5]
+port 141 nsew default output
+rlabel metal3 s 591016 317192 591496 317312 6 io_out[6]
+port 142 nsew default output
+rlabel metal3 s 591016 364248 591496 364368 6 io_out[7]
+port 143 nsew default output
+rlabel metal3 s 591016 411168 591496 411288 6 io_out[8]
+port 144 nsew default output
+rlabel metal3 s 591016 458088 591496 458208 6 io_out[9]
+port 145 nsew default output
+rlabel metal2 s 134106 6424 134162 6904 6 la_data_in[0]
+port 146 nsew default input
+rlabel metal2 s 490974 6424 491030 6904 6 la_data_in[100]
+port 147 nsew default input
+rlabel metal2 s 494470 6424 494526 6904 6 la_data_in[101]
+port 148 nsew default input
+rlabel metal2 s 498058 6424 498114 6904 6 la_data_in[102]
+port 149 nsew default input
+rlabel metal2 s 501646 6424 501702 6904 6 la_data_in[103]
+port 150 nsew default input
+rlabel metal2 s 505234 6424 505290 6904 6 la_data_in[104]
+port 151 nsew default input
+rlabel metal2 s 508730 6424 508786 6904 6 la_data_in[105]
+port 152 nsew default input
+rlabel metal2 s 512318 6424 512374 6904 6 la_data_in[106]
+port 153 nsew default input
+rlabel metal2 s 515906 6424 515962 6904 6 la_data_in[107]
+port 154 nsew default input
+rlabel metal2 s 519494 6424 519550 6904 6 la_data_in[108]
+port 155 nsew default input
+rlabel metal2 s 523082 6424 523138 6904 6 la_data_in[109]
+port 156 nsew default input
+rlabel metal2 s 169802 6424 169858 6904 6 la_data_in[10]
+port 157 nsew default input
+rlabel metal2 s 526578 6424 526634 6904 6 la_data_in[110]
+port 158 nsew default input
+rlabel metal2 s 530166 6424 530222 6904 6 la_data_in[111]
+port 159 nsew default input
+rlabel metal2 s 533754 6424 533810 6904 6 la_data_in[112]
+port 160 nsew default input
+rlabel metal2 s 537342 6424 537398 6904 6 la_data_in[113]
+port 161 nsew default input
+rlabel metal2 s 540930 6424 540986 6904 6 la_data_in[114]
+port 162 nsew default input
+rlabel metal2 s 544426 6424 544482 6904 6 la_data_in[115]
+port 163 nsew default input
+rlabel metal2 s 548014 6424 548070 6904 6 la_data_in[116]
+port 164 nsew default input
+rlabel metal2 s 551602 6424 551658 6904 6 la_data_in[117]
+port 165 nsew default input
+rlabel metal2 s 555190 6424 555246 6904 6 la_data_in[118]
+port 166 nsew default input
+rlabel metal2 s 558686 6424 558742 6904 6 la_data_in[119]
+port 167 nsew default input
+rlabel metal2 s 173390 6424 173446 6904 6 la_data_in[11]
+port 168 nsew default input
+rlabel metal2 s 562274 6424 562330 6904 6 la_data_in[120]
+port 169 nsew default input
+rlabel metal2 s 565862 6424 565918 6904 6 la_data_in[121]
+port 170 nsew default input
+rlabel metal2 s 569450 6424 569506 6904 6 la_data_in[122]
+port 171 nsew default input
+rlabel metal2 s 573038 6424 573094 6904 6 la_data_in[123]
+port 172 nsew default input
+rlabel metal2 s 576534 6424 576590 6904 6 la_data_in[124]
+port 173 nsew default input
+rlabel metal2 s 580122 6424 580178 6904 6 la_data_in[125]
+port 174 nsew default input
+rlabel metal2 s 583710 6424 583766 6904 6 la_data_in[126]
+port 175 nsew default input
+rlabel metal2 s 587298 6424 587354 6904 6 la_data_in[127]
+port 176 nsew default input
+rlabel metal2 s 176886 6424 176942 6904 6 la_data_in[12]
+port 177 nsew default input
+rlabel metal2 s 180474 6424 180530 6904 6 la_data_in[13]
+port 178 nsew default input
+rlabel metal2 s 184062 6424 184118 6904 6 la_data_in[14]
+port 179 nsew default input
+rlabel metal2 s 187650 6424 187706 6904 6 la_data_in[15]
+port 180 nsew default input
+rlabel metal2 s 191238 6424 191294 6904 6 la_data_in[16]
+port 181 nsew default input
+rlabel metal2 s 194734 6424 194790 6904 6 la_data_in[17]
+port 182 nsew default input
+rlabel metal2 s 198322 6424 198378 6904 6 la_data_in[18]
+port 183 nsew default input
+rlabel metal2 s 201910 6424 201966 6904 6 la_data_in[19]
+port 184 nsew default input
+rlabel metal2 s 137694 6424 137750 6904 6 la_data_in[1]
+port 185 nsew default input
+rlabel metal2 s 205498 6424 205554 6904 6 la_data_in[20]
+port 186 nsew default input
+rlabel metal2 s 208994 6424 209050 6904 6 la_data_in[21]
+port 187 nsew default input
+rlabel metal2 s 212582 6424 212638 6904 6 la_data_in[22]
+port 188 nsew default input
+rlabel metal2 s 216170 6424 216226 6904 6 la_data_in[23]
+port 189 nsew default input
+rlabel metal2 s 219758 6424 219814 6904 6 la_data_in[24]
+port 190 nsew default input
+rlabel metal2 s 223346 6424 223402 6904 6 la_data_in[25]
+port 191 nsew default input
+rlabel metal2 s 226842 6424 226898 6904 6 la_data_in[26]
+port 192 nsew default input
+rlabel metal2 s 230430 6424 230486 6904 6 la_data_in[27]
+port 193 nsew default input
+rlabel metal2 s 234018 6424 234074 6904 6 la_data_in[28]
+port 194 nsew default input
+rlabel metal2 s 237606 6424 237662 6904 6 la_data_in[29]
+port 195 nsew default input
+rlabel metal2 s 141282 6424 141338 6904 6 la_data_in[2]
+port 196 nsew default input
+rlabel metal2 s 241194 6424 241250 6904 6 la_data_in[30]
+port 197 nsew default input
+rlabel metal2 s 244690 6424 244746 6904 6 la_data_in[31]
+port 198 nsew default input
+rlabel metal2 s 248278 6424 248334 6904 6 la_data_in[32]
+port 199 nsew default input
+rlabel metal2 s 251866 6424 251922 6904 6 la_data_in[33]
+port 200 nsew default input
+rlabel metal2 s 255454 6424 255510 6904 6 la_data_in[34]
+port 201 nsew default input
+rlabel metal2 s 258950 6424 259006 6904 6 la_data_in[35]
+port 202 nsew default input
+rlabel metal2 s 262538 6424 262594 6904 6 la_data_in[36]
+port 203 nsew default input
+rlabel metal2 s 266126 6424 266182 6904 6 la_data_in[37]
+port 204 nsew default input
+rlabel metal2 s 269714 6424 269770 6904 6 la_data_in[38]
+port 205 nsew default input
+rlabel metal2 s 273302 6424 273358 6904 6 la_data_in[39]
+port 206 nsew default input
+rlabel metal2 s 144778 6424 144834 6904 6 la_data_in[3]
+port 207 nsew default input
+rlabel metal2 s 276798 6424 276854 6904 6 la_data_in[40]
+port 208 nsew default input
+rlabel metal2 s 280386 6424 280442 6904 6 la_data_in[41]
+port 209 nsew default input
+rlabel metal2 s 283974 6424 284030 6904 6 la_data_in[42]
+port 210 nsew default input
+rlabel metal2 s 287562 6424 287618 6904 6 la_data_in[43]
+port 211 nsew default input
+rlabel metal2 s 291150 6424 291206 6904 6 la_data_in[44]
+port 212 nsew default input
+rlabel metal2 s 294646 6424 294702 6904 6 la_data_in[45]
+port 213 nsew default input
+rlabel metal2 s 298234 6424 298290 6904 6 la_data_in[46]
+port 214 nsew default input
+rlabel metal2 s 301822 6424 301878 6904 6 la_data_in[47]
+port 215 nsew default input
+rlabel metal2 s 305410 6424 305466 6904 6 la_data_in[48]
+port 216 nsew default input
+rlabel metal2 s 308906 6424 308962 6904 6 la_data_in[49]
+port 217 nsew default input
+rlabel metal2 s 148366 6424 148422 6904 6 la_data_in[4]
+port 218 nsew default input
+rlabel metal2 s 312494 6424 312550 6904 6 la_data_in[50]
+port 219 nsew default input
+rlabel metal2 s 316082 6424 316138 6904 6 la_data_in[51]
+port 220 nsew default input
+rlabel metal2 s 319670 6424 319726 6904 6 la_data_in[52]
+port 221 nsew default input
+rlabel metal2 s 323258 6424 323314 6904 6 la_data_in[53]
+port 222 nsew default input
+rlabel metal2 s 326754 6424 326810 6904 6 la_data_in[54]
+port 223 nsew default input
+rlabel metal2 s 330342 6424 330398 6904 6 la_data_in[55]
+port 224 nsew default input
+rlabel metal2 s 333930 6424 333986 6904 6 la_data_in[56]
+port 225 nsew default input
+rlabel metal2 s 337518 6424 337574 6904 6 la_data_in[57]
+port 226 nsew default input
+rlabel metal2 s 341106 6424 341162 6904 6 la_data_in[58]
+port 227 nsew default input
+rlabel metal2 s 344602 6424 344658 6904 6 la_data_in[59]
+port 228 nsew default input
+rlabel metal2 s 151954 6424 152010 6904 6 la_data_in[5]
+port 229 nsew default input
+rlabel metal2 s 348190 6424 348246 6904 6 la_data_in[60]
+port 230 nsew default input
+rlabel metal2 s 351778 6424 351834 6904 6 la_data_in[61]
+port 231 nsew default input
+rlabel metal2 s 355366 6424 355422 6904 6 la_data_in[62]
+port 232 nsew default input
+rlabel metal2 s 358862 6424 358918 6904 6 la_data_in[63]
+port 233 nsew default input
+rlabel metal2 s 362450 6424 362506 6904 6 la_data_in[64]
+port 234 nsew default input
+rlabel metal2 s 366038 6424 366094 6904 6 la_data_in[65]
+port 235 nsew default input
+rlabel metal2 s 369626 6424 369682 6904 6 la_data_in[66]
+port 236 nsew default input
+rlabel metal2 s 373214 6424 373270 6904 6 la_data_in[67]
+port 237 nsew default input
+rlabel metal2 s 376710 6424 376766 6904 6 la_data_in[68]
+port 238 nsew default input
+rlabel metal2 s 380298 6424 380354 6904 6 la_data_in[69]
+port 239 nsew default input
+rlabel metal2 s 155542 6424 155598 6904 6 la_data_in[6]
+port 240 nsew default input
+rlabel metal2 s 383886 6424 383942 6904 6 la_data_in[70]
+port 241 nsew default input
+rlabel metal2 s 387474 6424 387530 6904 6 la_data_in[71]
+port 242 nsew default input
+rlabel metal2 s 391062 6424 391118 6904 6 la_data_in[72]
+port 243 nsew default input
+rlabel metal2 s 394558 6424 394614 6904 6 la_data_in[73]
+port 244 nsew default input
+rlabel metal2 s 398146 6424 398202 6904 6 la_data_in[74]
+port 245 nsew default input
+rlabel metal2 s 401734 6424 401790 6904 6 la_data_in[75]
+port 246 nsew default input
+rlabel metal2 s 405322 6424 405378 6904 6 la_data_in[76]
+port 247 nsew default input
+rlabel metal2 s 408818 6424 408874 6904 6 la_data_in[77]
+port 248 nsew default input
+rlabel metal2 s 412406 6424 412462 6904 6 la_data_in[78]
+port 249 nsew default input
+rlabel metal2 s 415994 6424 416050 6904 6 la_data_in[79]
+port 250 nsew default input
+rlabel metal2 s 159038 6424 159094 6904 6 la_data_in[7]
+port 251 nsew default input
+rlabel metal2 s 419582 6424 419638 6904 6 la_data_in[80]
+port 252 nsew default input
+rlabel metal2 s 423170 6424 423226 6904 6 la_data_in[81]
+port 253 nsew default input
+rlabel metal2 s 426666 6424 426722 6904 6 la_data_in[82]
+port 254 nsew default input
+rlabel metal2 s 430254 6424 430310 6904 6 la_data_in[83]
+port 255 nsew default input
+rlabel metal2 s 433842 6424 433898 6904 6 la_data_in[84]
+port 256 nsew default input
+rlabel metal2 s 437430 6424 437486 6904 6 la_data_in[85]
+port 257 nsew default input
+rlabel metal2 s 441018 6424 441074 6904 6 la_data_in[86]
+port 258 nsew default input
+rlabel metal2 s 444514 6424 444570 6904 6 la_data_in[87]
+port 259 nsew default input
+rlabel metal2 s 448102 6424 448158 6904 6 la_data_in[88]
+port 260 nsew default input
+rlabel metal2 s 451690 6424 451746 6904 6 la_data_in[89]
+port 261 nsew default input
+rlabel metal2 s 162626 6424 162682 6904 6 la_data_in[8]
+port 262 nsew default input
+rlabel metal2 s 455278 6424 455334 6904 6 la_data_in[90]
+port 263 nsew default input
+rlabel metal2 s 458774 6424 458830 6904 6 la_data_in[91]
+port 264 nsew default input
+rlabel metal2 s 462362 6424 462418 6904 6 la_data_in[92]
+port 265 nsew default input
+rlabel metal2 s 465950 6424 466006 6904 6 la_data_in[93]
+port 266 nsew default input
+rlabel metal2 s 469538 6424 469594 6904 6 la_data_in[94]
+port 267 nsew default input
+rlabel metal2 s 473126 6424 473182 6904 6 la_data_in[95]
+port 268 nsew default input
+rlabel metal2 s 476622 6424 476678 6904 6 la_data_in[96]
+port 269 nsew default input
+rlabel metal2 s 480210 6424 480266 6904 6 la_data_in[97]
+port 270 nsew default input
+rlabel metal2 s 483798 6424 483854 6904 6 la_data_in[98]
+port 271 nsew default input
+rlabel metal2 s 487386 6424 487442 6904 6 la_data_in[99]
+port 272 nsew default input
+rlabel metal2 s 166214 6424 166270 6904 6 la_data_in[9]
+port 273 nsew default input
+rlabel metal2 s 135302 6424 135358 6904 6 la_data_out[0]
+port 274 nsew default output
+rlabel metal2 s 492078 6424 492134 6904 6 la_data_out[100]
+port 275 nsew default output
+rlabel metal2 s 495666 6424 495722 6904 6 la_data_out[101]
+port 276 nsew default output
+rlabel metal2 s 499254 6424 499310 6904 6 la_data_out[102]
+port 277 nsew default output
+rlabel metal2 s 502842 6424 502898 6904 6 la_data_out[103]
+port 278 nsew default output
+rlabel metal2 s 506430 6424 506486 6904 6 la_data_out[104]
+port 279 nsew default output
+rlabel metal2 s 509926 6424 509982 6904 6 la_data_out[105]
+port 280 nsew default output
+rlabel metal2 s 513514 6424 513570 6904 6 la_data_out[106]
+port 281 nsew default output
+rlabel metal2 s 517102 6424 517158 6904 6 la_data_out[107]
+port 282 nsew default output
+rlabel metal2 s 520690 6424 520746 6904 6 la_data_out[108]
+port 283 nsew default output
+rlabel metal2 s 524278 6424 524334 6904 6 la_data_out[109]
+port 284 nsew default output
+rlabel metal2 s 170998 6424 171054 6904 6 la_data_out[10]
+port 285 nsew default output
+rlabel metal2 s 527774 6424 527830 6904 6 la_data_out[110]
+port 286 nsew default output
+rlabel metal2 s 531362 6424 531418 6904 6 la_data_out[111]
+port 287 nsew default output
+rlabel metal2 s 534950 6424 535006 6904 6 la_data_out[112]
+port 288 nsew default output
+rlabel metal2 s 538538 6424 538594 6904 6 la_data_out[113]
+port 289 nsew default output
+rlabel metal2 s 542034 6424 542090 6904 6 la_data_out[114]
+port 290 nsew default output
+rlabel metal2 s 545622 6424 545678 6904 6 la_data_out[115]
+port 291 nsew default output
+rlabel metal2 s 549210 6424 549266 6904 6 la_data_out[116]
+port 292 nsew default output
+rlabel metal2 s 552798 6424 552854 6904 6 la_data_out[117]
+port 293 nsew default output
+rlabel metal2 s 556386 6424 556442 6904 6 la_data_out[118]
+port 294 nsew default output
+rlabel metal2 s 559882 6424 559938 6904 6 la_data_out[119]
+port 295 nsew default output
+rlabel metal2 s 174586 6424 174642 6904 6 la_data_out[11]
+port 296 nsew default output
+rlabel metal2 s 563470 6424 563526 6904 6 la_data_out[120]
+port 297 nsew default output
+rlabel metal2 s 567058 6424 567114 6904 6 la_data_out[121]
+port 298 nsew default output
+rlabel metal2 s 570646 6424 570702 6904 6 la_data_out[122]
+port 299 nsew default output
+rlabel metal2 s 574234 6424 574290 6904 6 la_data_out[123]
+port 300 nsew default output
+rlabel metal2 s 577730 6424 577786 6904 6 la_data_out[124]
+port 301 nsew default output
+rlabel metal2 s 581318 6424 581374 6904 6 la_data_out[125]
+port 302 nsew default output
+rlabel metal2 s 584906 6424 584962 6904 6 la_data_out[126]
+port 303 nsew default output
+rlabel metal2 s 588494 6424 588550 6904 6 la_data_out[127]
+port 304 nsew default output
+rlabel metal2 s 178082 6424 178138 6904 6 la_data_out[12]
+port 305 nsew default output
+rlabel metal2 s 181670 6424 181726 6904 6 la_data_out[13]
+port 306 nsew default output
+rlabel metal2 s 185258 6424 185314 6904 6 la_data_out[14]
+port 307 nsew default output
+rlabel metal2 s 188846 6424 188902 6904 6 la_data_out[15]
+port 308 nsew default output
+rlabel metal2 s 192342 6424 192398 6904 6 la_data_out[16]
+port 309 nsew default output
+rlabel metal2 s 195930 6424 195986 6904 6 la_data_out[17]
+port 310 nsew default output
+rlabel metal2 s 199518 6424 199574 6904 6 la_data_out[18]
+port 311 nsew default output
+rlabel metal2 s 203106 6424 203162 6904 6 la_data_out[19]
+port 312 nsew default output
+rlabel metal2 s 138890 6424 138946 6904 6 la_data_out[1]
+port 313 nsew default output
+rlabel metal2 s 206694 6424 206750 6904 6 la_data_out[20]
+port 314 nsew default output
+rlabel metal2 s 210190 6424 210246 6904 6 la_data_out[21]
+port 315 nsew default output
+rlabel metal2 s 213778 6424 213834 6904 6 la_data_out[22]
+port 316 nsew default output
+rlabel metal2 s 217366 6424 217422 6904 6 la_data_out[23]
+port 317 nsew default output
+rlabel metal2 s 220954 6424 221010 6904 6 la_data_out[24]
+port 318 nsew default output
+rlabel metal2 s 224542 6424 224598 6904 6 la_data_out[25]
+port 319 nsew default output
+rlabel metal2 s 228038 6424 228094 6904 6 la_data_out[26]
+port 320 nsew default output
+rlabel metal2 s 231626 6424 231682 6904 6 la_data_out[27]
+port 321 nsew default output
+rlabel metal2 s 235214 6424 235270 6904 6 la_data_out[28]
+port 322 nsew default output
+rlabel metal2 s 238802 6424 238858 6904 6 la_data_out[29]
+port 323 nsew default output
+rlabel metal2 s 142386 6424 142442 6904 6 la_data_out[2]
+port 324 nsew default output
+rlabel metal2 s 242298 6424 242354 6904 6 la_data_out[30]
+port 325 nsew default output
+rlabel metal2 s 245886 6424 245942 6904 6 la_data_out[31]
+port 326 nsew default output
+rlabel metal2 s 249474 6424 249530 6904 6 la_data_out[32]
+port 327 nsew default output
+rlabel metal2 s 253062 6424 253118 6904 6 la_data_out[33]
+port 328 nsew default output
+rlabel metal2 s 256650 6424 256706 6904 6 la_data_out[34]
+port 329 nsew default output
+rlabel metal2 s 260146 6424 260202 6904 6 la_data_out[35]
+port 330 nsew default output
+rlabel metal2 s 263734 6424 263790 6904 6 la_data_out[36]
+port 331 nsew default output
+rlabel metal2 s 267322 6424 267378 6904 6 la_data_out[37]
+port 332 nsew default output
+rlabel metal2 s 270910 6424 270966 6904 6 la_data_out[38]
+port 333 nsew default output
+rlabel metal2 s 274498 6424 274554 6904 6 la_data_out[39]
+port 334 nsew default output
+rlabel metal2 s 145974 6424 146030 6904 6 la_data_out[3]
+port 335 nsew default output
+rlabel metal2 s 277994 6424 278050 6904 6 la_data_out[40]
+port 336 nsew default output
+rlabel metal2 s 281582 6424 281638 6904 6 la_data_out[41]
+port 337 nsew default output
+rlabel metal2 s 285170 6424 285226 6904 6 la_data_out[42]
+port 338 nsew default output
+rlabel metal2 s 288758 6424 288814 6904 6 la_data_out[43]
+port 339 nsew default output
+rlabel metal2 s 292254 6424 292310 6904 6 la_data_out[44]
+port 340 nsew default output
+rlabel metal2 s 295842 6424 295898 6904 6 la_data_out[45]
+port 341 nsew default output
+rlabel metal2 s 299430 6424 299486 6904 6 la_data_out[46]
+port 342 nsew default output
+rlabel metal2 s 303018 6424 303074 6904 6 la_data_out[47]
+port 343 nsew default output
+rlabel metal2 s 306606 6424 306662 6904 6 la_data_out[48]
+port 344 nsew default output
+rlabel metal2 s 310102 6424 310158 6904 6 la_data_out[49]
+port 345 nsew default output
+rlabel metal2 s 149562 6424 149618 6904 6 la_data_out[4]
+port 346 nsew default output
+rlabel metal2 s 313690 6424 313746 6904 6 la_data_out[50]
+port 347 nsew default output
+rlabel metal2 s 317278 6424 317334 6904 6 la_data_out[51]
+port 348 nsew default output
+rlabel metal2 s 320866 6424 320922 6904 6 la_data_out[52]
+port 349 nsew default output
+rlabel metal2 s 324454 6424 324510 6904 6 la_data_out[53]
+port 350 nsew default output
+rlabel metal2 s 327950 6424 328006 6904 6 la_data_out[54]
+port 351 nsew default output
+rlabel metal2 s 331538 6424 331594 6904 6 la_data_out[55]
+port 352 nsew default output
+rlabel metal2 s 335126 6424 335182 6904 6 la_data_out[56]
+port 353 nsew default output
+rlabel metal2 s 338714 6424 338770 6904 6 la_data_out[57]
+port 354 nsew default output
+rlabel metal2 s 342210 6424 342266 6904 6 la_data_out[58]
+port 355 nsew default output
+rlabel metal2 s 345798 6424 345854 6904 6 la_data_out[59]
+port 356 nsew default output
+rlabel metal2 s 153150 6424 153206 6904 6 la_data_out[5]
+port 357 nsew default output
+rlabel metal2 s 349386 6424 349442 6904 6 la_data_out[60]
+port 358 nsew default output
+rlabel metal2 s 352974 6424 353030 6904 6 la_data_out[61]
+port 359 nsew default output
+rlabel metal2 s 356562 6424 356618 6904 6 la_data_out[62]
+port 360 nsew default output
+rlabel metal2 s 360058 6424 360114 6904 6 la_data_out[63]
+port 361 nsew default output
+rlabel metal2 s 363646 6424 363702 6904 6 la_data_out[64]
+port 362 nsew default output
+rlabel metal2 s 367234 6424 367290 6904 6 la_data_out[65]
+port 363 nsew default output
+rlabel metal2 s 370822 6424 370878 6904 6 la_data_out[66]
+port 364 nsew default output
+rlabel metal2 s 374410 6424 374466 6904 6 la_data_out[67]
+port 365 nsew default output
+rlabel metal2 s 377906 6424 377962 6904 6 la_data_out[68]
+port 366 nsew default output
+rlabel metal2 s 381494 6424 381550 6904 6 la_data_out[69]
+port 367 nsew default output
+rlabel metal2 s 156738 6424 156794 6904 6 la_data_out[6]
+port 368 nsew default output
+rlabel metal2 s 385082 6424 385138 6904 6 la_data_out[70]
+port 369 nsew default output
+rlabel metal2 s 388670 6424 388726 6904 6 la_data_out[71]
+port 370 nsew default output
+rlabel metal2 s 392166 6424 392222 6904 6 la_data_out[72]
+port 371 nsew default output
+rlabel metal2 s 395754 6424 395810 6904 6 la_data_out[73]
+port 372 nsew default output
+rlabel metal2 s 399342 6424 399398 6904 6 la_data_out[74]
+port 373 nsew default output
+rlabel metal2 s 402930 6424 402986 6904 6 la_data_out[75]
+port 374 nsew default output
+rlabel metal2 s 406518 6424 406574 6904 6 la_data_out[76]
+port 375 nsew default output
+rlabel metal2 s 410014 6424 410070 6904 6 la_data_out[77]
+port 376 nsew default output
+rlabel metal2 s 413602 6424 413658 6904 6 la_data_out[78]
+port 377 nsew default output
+rlabel metal2 s 417190 6424 417246 6904 6 la_data_out[79]
+port 378 nsew default output
+rlabel metal2 s 160234 6424 160290 6904 6 la_data_out[7]
+port 379 nsew default output
+rlabel metal2 s 420778 6424 420834 6904 6 la_data_out[80]
+port 380 nsew default output
+rlabel metal2 s 424366 6424 424422 6904 6 la_data_out[81]
+port 381 nsew default output
+rlabel metal2 s 427862 6424 427918 6904 6 la_data_out[82]
+port 382 nsew default output
+rlabel metal2 s 431450 6424 431506 6904 6 la_data_out[83]
+port 383 nsew default output
+rlabel metal2 s 435038 6424 435094 6904 6 la_data_out[84]
+port 384 nsew default output
+rlabel metal2 s 438626 6424 438682 6904 6 la_data_out[85]
+port 385 nsew default output
+rlabel metal2 s 442122 6424 442178 6904 6 la_data_out[86]
+port 386 nsew default output
+rlabel metal2 s 445710 6424 445766 6904 6 la_data_out[87]
+port 387 nsew default output
+rlabel metal2 s 449298 6424 449354 6904 6 la_data_out[88]
+port 388 nsew default output
+rlabel metal2 s 452886 6424 452942 6904 6 la_data_out[89]
+port 389 nsew default output
+rlabel metal2 s 163822 6424 163878 6904 6 la_data_out[8]
+port 390 nsew default output
+rlabel metal2 s 456474 6424 456530 6904 6 la_data_out[90]
+port 391 nsew default output
+rlabel metal2 s 459970 6424 460026 6904 6 la_data_out[91]
+port 392 nsew default output
+rlabel metal2 s 463558 6424 463614 6904 6 la_data_out[92]
+port 393 nsew default output
+rlabel metal2 s 467146 6424 467202 6904 6 la_data_out[93]
+port 394 nsew default output
+rlabel metal2 s 470734 6424 470790 6904 6 la_data_out[94]
+port 395 nsew default output
+rlabel metal2 s 474322 6424 474378 6904 6 la_data_out[95]
+port 396 nsew default output
+rlabel metal2 s 477818 6424 477874 6904 6 la_data_out[96]
+port 397 nsew default output
+rlabel metal2 s 481406 6424 481462 6904 6 la_data_out[97]
+port 398 nsew default output
+rlabel metal2 s 484994 6424 485050 6904 6 la_data_out[98]
+port 399 nsew default output
+rlabel metal2 s 488582 6424 488638 6904 6 la_data_out[99]
+port 400 nsew default output
+rlabel metal2 s 167410 6424 167466 6904 6 la_data_out[9]
+port 401 nsew default output
+rlabel metal2 s 136498 6424 136554 6904 6 la_oen[0]
+port 402 nsew default input
+rlabel metal2 s 493274 6424 493330 6904 6 la_oen[100]
+port 403 nsew default input
+rlabel metal2 s 496862 6424 496918 6904 6 la_oen[101]
+port 404 nsew default input
+rlabel metal2 s 500450 6424 500506 6904 6 la_oen[102]
+port 405 nsew default input
+rlabel metal2 s 504038 6424 504094 6904 6 la_oen[103]
+port 406 nsew default input
+rlabel metal2 s 507626 6424 507682 6904 6 la_oen[104]
+port 407 nsew default input
+rlabel metal2 s 511122 6424 511178 6904 6 la_oen[105]
+port 408 nsew default input
+rlabel metal2 s 514710 6424 514766 6904 6 la_oen[106]
+port 409 nsew default input
+rlabel metal2 s 518298 6424 518354 6904 6 la_oen[107]
+port 410 nsew default input
+rlabel metal2 s 521886 6424 521942 6904 6 la_oen[108]
+port 411 nsew default input
+rlabel metal2 s 525382 6424 525438 6904 6 la_oen[109]
+port 412 nsew default input
+rlabel metal2 s 172194 6424 172250 6904 6 la_oen[10]
+port 413 nsew default input
+rlabel metal2 s 528970 6424 529026 6904 6 la_oen[110]
+port 414 nsew default input
+rlabel metal2 s 532558 6424 532614 6904 6 la_oen[111]
+port 415 nsew default input
+rlabel metal2 s 536146 6424 536202 6904 6 la_oen[112]
+port 416 nsew default input
+rlabel metal2 s 539734 6424 539790 6904 6 la_oen[113]
+port 417 nsew default input
+rlabel metal2 s 543230 6424 543286 6904 6 la_oen[114]
+port 418 nsew default input
+rlabel metal2 s 546818 6424 546874 6904 6 la_oen[115]
+port 419 nsew default input
+rlabel metal2 s 550406 6424 550462 6904 6 la_oen[116]
+port 420 nsew default input
+rlabel metal2 s 553994 6424 554050 6904 6 la_oen[117]
+port 421 nsew default input
+rlabel metal2 s 557582 6424 557638 6904 6 la_oen[118]
+port 422 nsew default input
+rlabel metal2 s 561078 6424 561134 6904 6 la_oen[119]
+port 423 nsew default input
+rlabel metal2 s 175690 6424 175746 6904 6 la_oen[11]
+port 424 nsew default input
+rlabel metal2 s 564666 6424 564722 6904 6 la_oen[120]
+port 425 nsew default input
+rlabel metal2 s 568254 6424 568310 6904 6 la_oen[121]
+port 426 nsew default input
+rlabel metal2 s 571842 6424 571898 6904 6 la_oen[122]
+port 427 nsew default input
+rlabel metal2 s 575338 6424 575394 6904 6 la_oen[123]
+port 428 nsew default input
+rlabel metal2 s 578926 6424 578982 6904 6 la_oen[124]
+port 429 nsew default input
+rlabel metal2 s 582514 6424 582570 6904 6 la_oen[125]
+port 430 nsew default input
+rlabel metal2 s 586102 6424 586158 6904 6 la_oen[126]
+port 431 nsew default input
+rlabel metal2 s 589690 6424 589746 6904 6 la_oen[127]
+port 432 nsew default input
+rlabel metal2 s 179278 6424 179334 6904 6 la_oen[12]
+port 433 nsew default input
+rlabel metal2 s 182866 6424 182922 6904 6 la_oen[13]
+port 434 nsew default input
+rlabel metal2 s 186454 6424 186510 6904 6 la_oen[14]
+port 435 nsew default input
+rlabel metal2 s 190042 6424 190098 6904 6 la_oen[15]
+port 436 nsew default input
+rlabel metal2 s 193538 6424 193594 6904 6 la_oen[16]
+port 437 nsew default input
+rlabel metal2 s 197126 6424 197182 6904 6 la_oen[17]
+port 438 nsew default input
+rlabel metal2 s 200714 6424 200770 6904 6 la_oen[18]
+port 439 nsew default input
+rlabel metal2 s 204302 6424 204358 6904 6 la_oen[19]
+port 440 nsew default input
+rlabel metal2 s 140086 6424 140142 6904 6 la_oen[1]
+port 441 nsew default input
+rlabel metal2 s 207890 6424 207946 6904 6 la_oen[20]
+port 442 nsew default input
+rlabel metal2 s 211386 6424 211442 6904 6 la_oen[21]
+port 443 nsew default input
+rlabel metal2 s 214974 6424 215030 6904 6 la_oen[22]
+port 444 nsew default input
+rlabel metal2 s 218562 6424 218618 6904 6 la_oen[23]
+port 445 nsew default input
+rlabel metal2 s 222150 6424 222206 6904 6 la_oen[24]
+port 446 nsew default input
+rlabel metal2 s 225646 6424 225702 6904 6 la_oen[25]
+port 447 nsew default input
+rlabel metal2 s 229234 6424 229290 6904 6 la_oen[26]
+port 448 nsew default input
+rlabel metal2 s 232822 6424 232878 6904 6 la_oen[27]
+port 449 nsew default input
+rlabel metal2 s 236410 6424 236466 6904 6 la_oen[28]
+port 450 nsew default input
+rlabel metal2 s 239998 6424 240054 6904 6 la_oen[29]
+port 451 nsew default input
+rlabel metal2 s 143582 6424 143638 6904 6 la_oen[2]
+port 452 nsew default input
+rlabel metal2 s 243494 6424 243550 6904 6 la_oen[30]
+port 453 nsew default input
+rlabel metal2 s 247082 6424 247138 6904 6 la_oen[31]
+port 454 nsew default input
+rlabel metal2 s 250670 6424 250726 6904 6 la_oen[32]
+port 455 nsew default input
+rlabel metal2 s 254258 6424 254314 6904 6 la_oen[33]
+port 456 nsew default input
+rlabel metal2 s 257846 6424 257902 6904 6 la_oen[34]
+port 457 nsew default input
+rlabel metal2 s 261342 6424 261398 6904 6 la_oen[35]
+port 458 nsew default input
+rlabel metal2 s 264930 6424 264986 6904 6 la_oen[36]
+port 459 nsew default input
+rlabel metal2 s 268518 6424 268574 6904 6 la_oen[37]
+port 460 nsew default input
+rlabel metal2 s 272106 6424 272162 6904 6 la_oen[38]
+port 461 nsew default input
+rlabel metal2 s 275602 6424 275658 6904 6 la_oen[39]
+port 462 nsew default input
+rlabel metal2 s 147170 6424 147226 6904 6 la_oen[3]
+port 463 nsew default input
+rlabel metal2 s 279190 6424 279246 6904 6 la_oen[40]
+port 464 nsew default input
+rlabel metal2 s 282778 6424 282834 6904 6 la_oen[41]
+port 465 nsew default input
+rlabel metal2 s 286366 6424 286422 6904 6 la_oen[42]
+port 466 nsew default input
+rlabel metal2 s 289954 6424 290010 6904 6 la_oen[43]
+port 467 nsew default input
+rlabel metal2 s 293450 6424 293506 6904 6 la_oen[44]
+port 468 nsew default input
+rlabel metal2 s 297038 6424 297094 6904 6 la_oen[45]
+port 469 nsew default input
+rlabel metal2 s 300626 6424 300682 6904 6 la_oen[46]
+port 470 nsew default input
+rlabel metal2 s 304214 6424 304270 6904 6 la_oen[47]
+port 471 nsew default input
+rlabel metal2 s 307802 6424 307858 6904 6 la_oen[48]
+port 472 nsew default input
+rlabel metal2 s 311298 6424 311354 6904 6 la_oen[49]
+port 473 nsew default input
+rlabel metal2 s 150758 6424 150814 6904 6 la_oen[4]
+port 474 nsew default input
+rlabel metal2 s 314886 6424 314942 6904 6 la_oen[50]
+port 475 nsew default input
+rlabel metal2 s 318474 6424 318530 6904 6 la_oen[51]
+port 476 nsew default input
+rlabel metal2 s 322062 6424 322118 6904 6 la_oen[52]
+port 477 nsew default input
+rlabel metal2 s 325558 6424 325614 6904 6 la_oen[53]
+port 478 nsew default input
+rlabel metal2 s 329146 6424 329202 6904 6 la_oen[54]
+port 479 nsew default input
+rlabel metal2 s 332734 6424 332790 6904 6 la_oen[55]
+port 480 nsew default input
+rlabel metal2 s 336322 6424 336378 6904 6 la_oen[56]
+port 481 nsew default input
+rlabel metal2 s 339910 6424 339966 6904 6 la_oen[57]
+port 482 nsew default input
+rlabel metal2 s 343406 6424 343462 6904 6 la_oen[58]
+port 483 nsew default input
+rlabel metal2 s 346994 6424 347050 6904 6 la_oen[59]
+port 484 nsew default input
+rlabel metal2 s 154346 6424 154402 6904 6 la_oen[5]
+port 485 nsew default input
+rlabel metal2 s 350582 6424 350638 6904 6 la_oen[60]
+port 486 nsew default input
+rlabel metal2 s 354170 6424 354226 6904 6 la_oen[61]
+port 487 nsew default input
+rlabel metal2 s 357758 6424 357814 6904 6 la_oen[62]
+port 488 nsew default input
+rlabel metal2 s 361254 6424 361310 6904 6 la_oen[63]
+port 489 nsew default input
+rlabel metal2 s 364842 6424 364898 6904 6 la_oen[64]
+port 490 nsew default input
+rlabel metal2 s 368430 6424 368486 6904 6 la_oen[65]
+port 491 nsew default input
+rlabel metal2 s 372018 6424 372074 6904 6 la_oen[66]
+port 492 nsew default input
+rlabel metal2 s 375514 6424 375570 6904 6 la_oen[67]
+port 493 nsew default input
+rlabel metal2 s 379102 6424 379158 6904 6 la_oen[68]
+port 494 nsew default input
+rlabel metal2 s 382690 6424 382746 6904 6 la_oen[69]
+port 495 nsew default input
+rlabel metal2 s 157934 6424 157990 6904 6 la_oen[6]
+port 496 nsew default input
+rlabel metal2 s 386278 6424 386334 6904 6 la_oen[70]
+port 497 nsew default input
+rlabel metal2 s 389866 6424 389922 6904 6 la_oen[71]
+port 498 nsew default input
+rlabel metal2 s 393362 6424 393418 6904 6 la_oen[72]
+port 499 nsew default input
+rlabel metal2 s 396950 6424 397006 6904 6 la_oen[73]
+port 500 nsew default input
+rlabel metal2 s 400538 6424 400594 6904 6 la_oen[74]
+port 501 nsew default input
+rlabel metal2 s 404126 6424 404182 6904 6 la_oen[75]
+port 502 nsew default input
+rlabel metal2 s 407714 6424 407770 6904 6 la_oen[76]
+port 503 nsew default input
+rlabel metal2 s 411210 6424 411266 6904 6 la_oen[77]
+port 504 nsew default input
+rlabel metal2 s 414798 6424 414854 6904 6 la_oen[78]
+port 505 nsew default input
+rlabel metal2 s 418386 6424 418442 6904 6 la_oen[79]
+port 506 nsew default input
+rlabel metal2 s 161430 6424 161486 6904 6 la_oen[7]
+port 507 nsew default input
+rlabel metal2 s 421974 6424 422030 6904 6 la_oen[80]
+port 508 nsew default input
+rlabel metal2 s 425470 6424 425526 6904 6 la_oen[81]
+port 509 nsew default input
+rlabel metal2 s 429058 6424 429114 6904 6 la_oen[82]
+port 510 nsew default input
+rlabel metal2 s 432646 6424 432702 6904 6 la_oen[83]
+port 511 nsew default input
+rlabel metal2 s 436234 6424 436290 6904 6 la_oen[84]
+port 512 nsew default input
+rlabel metal2 s 439822 6424 439878 6904 6 la_oen[85]
+port 513 nsew default input
+rlabel metal2 s 443318 6424 443374 6904 6 la_oen[86]
+port 514 nsew default input
+rlabel metal2 s 446906 6424 446962 6904 6 la_oen[87]
+port 515 nsew default input
+rlabel metal2 s 450494 6424 450550 6904 6 la_oen[88]
+port 516 nsew default input
+rlabel metal2 s 454082 6424 454138 6904 6 la_oen[89]
+port 517 nsew default input
+rlabel metal2 s 165018 6424 165074 6904 6 la_oen[8]
+port 518 nsew default input
+rlabel metal2 s 457670 6424 457726 6904 6 la_oen[90]
+port 519 nsew default input
+rlabel metal2 s 461166 6424 461222 6904 6 la_oen[91]
+port 520 nsew default input
+rlabel metal2 s 464754 6424 464810 6904 6 la_oen[92]
+port 521 nsew default input
+rlabel metal2 s 468342 6424 468398 6904 6 la_oen[93]
+port 522 nsew default input
+rlabel metal2 s 471930 6424 471986 6904 6 la_oen[94]
+port 523 nsew default input
+rlabel metal2 s 475426 6424 475482 6904 6 la_oen[95]
+port 524 nsew default input
+rlabel metal2 s 479014 6424 479070 6904 6 la_oen[96]
+port 525 nsew default input
+rlabel metal2 s 482602 6424 482658 6904 6 la_oen[97]
+port 526 nsew default input
+rlabel metal2 s 486190 6424 486246 6904 6 la_oen[98]
+port 527 nsew default input
+rlabel metal2 s 489778 6424 489834 6904 6 la_oen[99]
+port 528 nsew default input
+rlabel metal2 s 168606 6424 168662 6904 6 la_oen[9]
+port 529 nsew default input
+rlabel metal2 s 590886 6424 590942 6904 6 user_clock2
+port 530 nsew default input
+rlabel metal2 s 8066 6424 8122 6904 6 wb_clk_i
+port 531 nsew default input
+rlabel metal2 s 9156 6424 9226 6904 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 15598 8738 15650 8802 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 15610 8802 15638 9554 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 9170 6904 9198 9554 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 15598 9554 15650 9618 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 9158 9554 9210 9618 6 wb_rst_i
+port 532 nsew default input
+rlabel via1 s 15598 8744 15650 8796 6 wb_rst_i
+port 532 nsew default input
+rlabel via1 s 15598 9560 15650 9612 6 wb_rst_i
+port 532 nsew default input
+rlabel via1 s 9158 9560 9210 9612 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 15592 8744 15656 8756 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 15592 8756 18398 8784 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 18370 8784 18398 8883 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 15592 8784 15656 8796 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 18355 8883 18413 8929 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 15592 9560 15656 9572 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 9152 9560 9216 9572 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 9152 9572 15656 9600 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 15592 9600 15656 9612 6 wb_rst_i
+port 532 nsew default input
+rlabel metal1 s 9152 9600 9216 9612 6 wb_rst_i
+port 532 nsew default input
+rlabel locali s 18366 8889 18698 8929 6 wb_rst_i
+port 532 nsew default input
+rlabel metal2 s 10352 6424 10422 6904 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal2 s 10366 6904 10394 10302 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal2 s 15414 10302 15466 10366 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal2 s 10354 10302 10406 10366 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal2 s 15426 10366 15454 10800 6 wbs_ack_o
+port 533 nsew default output
+rlabel via1 s 15414 10308 15466 10360 6 wbs_ack_o
+port 533 nsew default output
+rlabel via1 s 10354 10308 10406 10360 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal1 s 15408 10308 15472 10320 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal1 s 10348 10308 10412 10320 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal1 s 10348 10320 15472 10348 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal1 s 15408 10348 15472 10360 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal1 s 10348 10348 10412 10360 6 wbs_ack_o
+port 533 nsew default output
+rlabel metal2 s 15150 6424 15206 6904 6 wbs_adr_i[0]
+port 534 nsew default input
+rlabel metal2 s 55630 6424 55686 6904 6 wbs_adr_i[10]
+port 535 nsew default input
+rlabel metal2 s 59112 6424 59182 6904 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal2 s 59126 6904 59154 7718 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal2 s 59114 7718 59166 7782 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal2 s 56262 7718 56314 7782 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal2 s 56274 7782 56302 10800 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel via1 s 59114 7724 59166 7776 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel via1 s 56262 7724 56314 7776 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal1 s 59108 7724 59172 7736 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal1 s 56256 7724 56320 7736 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal1 s 56256 7736 59172 7764 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal1 s 59108 7764 59172 7776 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal1 s 56256 7764 56320 7776 6 wbs_adr_i[11]
+port 536 nsew default input
+rlabel metal2 s 62714 6424 62770 6904 6 wbs_adr_i[12]
+port 537 nsew default input
+rlabel metal2 s 66302 6424 66358 6904 6 wbs_adr_i[13]
+port 538 nsew default input
+rlabel metal2 s 69876 6424 69946 6904 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal2 s 69890 6904 69918 9010 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal2 s 69878 9010 69930 9074 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal2 s 68406 9010 68458 9074 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal2 s 68418 9074 68446 10800 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel via1 s 69878 9016 69930 9068 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel via1 s 68406 9016 68458 9068 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal1 s 69872 9016 69936 9028 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal1 s 68400 9016 68464 9028 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal1 s 68400 9028 69936 9056 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal1 s 69872 9056 69936 9068 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal1 s 68400 9056 68464 9068 6 wbs_adr_i[14]
+port 539 nsew default input
+rlabel metal2 s 73478 6424 73534 6904 6 wbs_adr_i[15]
+port 540 nsew default input
+rlabel metal2 s 76960 6424 77030 6904 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal2 s 76974 6904 77002 9418 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal2 s 76962 9418 77014 9482 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel via1 s 76962 9424 77014 9476 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal1 s 79167 9427 79225 9436 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal1 s 76956 9424 77020 9436 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal1 s 76956 9436 79225 9464 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal1 s 79167 9464 79225 9473 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal1 s 76956 9464 77020 9476 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel locali s 79121 9327 79225 9637 6 wbs_adr_i[16]
+port 541 nsew default input
+rlabel metal2 s 80562 6424 80618 6904 6 wbs_adr_i[17]
+port 542 nsew default input
+rlabel metal2 s 84150 6424 84206 6904 6 wbs_adr_i[18]
+port 543 nsew default input
+rlabel metal2 s 87724 6424 87794 6904 6 wbs_adr_i[19]
+port 544 nsew default input
+rlabel metal3 s 29391 9587 29457 9590 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 27643 9587 27709 9590 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 22675 9587 22741 9590 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 22675 9590 29457 9650 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 29391 9650 29457 9653 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 27643 9650 27709 9653 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal3 s 22675 9650 22741 9653 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via2 s 29396 9592 29452 9648 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via2 s 27648 9592 27704 9648 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via2 s 22680 9592 22736 9648 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 19920 6424 19990 6904 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 22682 9486 22734 9550 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 29674 9554 29726 9618 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 29398 9554 29450 9583 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 22694 9550 22722 9583 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 19934 6904 19962 9554 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 29686 9618 29714 9758 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 29396 9583 29452 9657 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 27648 9583 27704 9657 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 22680 9583 22736 9657 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 19922 9554 19974 9618 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 29674 9758 29726 9822 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 27662 9657 27690 10800 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via1 s 22682 9492 22734 9544 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via1 s 29674 9560 29726 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via1 s 29398 9560 29450 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via1 s 19922 9560 19974 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel via1 s 29674 9764 29726 9816 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 22676 9492 22740 9504 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 21314 9504 22740 9532 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 22676 9532 22740 9544 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29668 9560 29732 9572 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29392 9560 29456 9572 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29392 9572 29732 9600 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 21314 9532 21342 9572 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 19916 9560 19980 9572 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 19916 9572 21342 9600 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29668 9600 29732 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29392 9600 29456 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 19916 9600 19980 9612 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29668 9764 29732 9776 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29629 9776 29732 9804 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal1 s 29668 9804 29732 9816 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29842 9753 29908 9905 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29671 9753 29738 9905 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29671 9905 30005 9939 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29956 9939 30005 10052 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29671 10052 30005 10086 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29842 10086 29909 10171 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel locali s 29671 10086 29738 10171 6 wbs_adr_i[1]
+port 545 nsew default input
+rlabel metal2 s 91326 6424 91382 6904 6 wbs_adr_i[20]
+port 546 nsew default input
+rlabel metal2 s 94822 6424 94878 6904 6 wbs_adr_i[21]
+port 547 nsew default input
+rlabel metal2 s 98410 6424 98466 6904 6 wbs_adr_i[22]
+port 548 nsew default input
+rlabel metal2 s 101984 6424 102054 6904 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal2 s 101998 6904 102026 9350 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal2 s 101986 9350 102038 9414 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel via1 s 101986 9356 102038 9408 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal1 s 102627 9359 102685 9368 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal1 s 101980 9356 102044 9368 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal1 s 101980 9368 102685 9396 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal1 s 102627 9396 102685 9405 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal1 s 101980 9396 102044 9408 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel locali s 102581 9327 102685 9637 6 wbs_adr_i[23]
+port 549 nsew default input
+rlabel metal2 s 105586 6424 105642 6904 6 wbs_adr_i[24]
+port 550 nsew default input
+rlabel metal2 s 109068 6424 109138 6904 6 wbs_adr_i[25]
+port 551 nsew default input
+rlabel metal2 s 112670 6424 112726 6904 6 wbs_adr_i[26]
+port 552 nsew default input
+rlabel metal2 s 116258 6424 116314 6904 6 wbs_adr_i[27]
+port 553 nsew default input
+rlabel metal2 s 119832 6424 119902 6904 6 wbs_adr_i[28]
+port 554 nsew default input
+rlabel metal2 s 123434 6424 123490 6904 6 wbs_adr_i[29]
+port 555 nsew default input
+rlabel metal2 s 24718 6424 24774 6904 6 wbs_adr_i[2]
+port 556 nsew default input
+rlabel metal2 s 126916 6424 126986 6904 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 126930 6904 126958 9214 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 124618 8874 124670 8938 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 124630 8938 124658 9214 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 126918 9214 126970 9278 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 124618 9214 124670 9278 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel via1 s 124618 8880 124670 8932 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel via1 s 126918 9220 126970 9272 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel via1 s 124618 9220 124670 9272 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124612 8880 124676 8892 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124573 8892 124676 8920 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124612 8920 124676 8932 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 126912 9220 126976 9232 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124612 9220 124676 9232 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124612 9232 126976 9260 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 126912 9260 126976 9272 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal1 s 124612 9260 124676 9272 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel locali s 124569 8651 124673 8961 6 wbs_adr_i[30]
+port 557 nsew default input
+rlabel metal2 s 130518 6424 130574 6904 6 wbs_adr_i[31]
+port 558 nsew default input
+rlabel metal2 s 29410 6424 29466 6904 6 wbs_adr_i[3]
+port 559 nsew default input
+rlabel metal2 s 34180 6424 34250 6904 6 wbs_adr_i[4]
+port 560 nsew default input
+rlabel metal2 s 37768 6424 37838 6904 6 wbs_adr_i[5]
+port 561 nsew default input
+rlabel metal2 s 41370 6424 41426 6904 6 wbs_adr_i[6]
+port 562 nsew default input
+rlabel metal2 s 44866 6424 44922 6904 6 wbs_adr_i[7]
+port 563 nsew default input
+rlabel metal2 s 48454 6424 48510 6904 6 wbs_adr_i[8]
+port 564 nsew default input
+rlabel metal3 s 67755 9859 67821 9862 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal3 s 52023 9859 52089 9862 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal3 s 52023 9862 67821 9922 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal3 s 67755 9922 67821 9925 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal3 s 52023 9922 52089 9925 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel via2 s 67760 9864 67816 9920 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel via2 s 52028 9864 52084 9920 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 52028 6424 52098 6904 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 67762 9758 67814 9822 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 67774 9822 67802 9855 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 52042 6904 52070 9855 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 67760 9855 67816 9929 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 52028 9855 52084 9929 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel via1 s 67762 9764 67814 9816 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal1 s 67756 9764 67820 9776 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal1 s 67717 9776 67820 9804 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal1 s 67756 9804 67820 9816 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel locali s 67770 9739 67821 9920 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel locali s 67787 9920 67821 10066 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel locali s 67770 10066 67821 10181 6 wbs_adr_i[9]
+port 565 nsew default input
+rlabel metal2 s 11562 6424 11618 6904 6 wbs_cyc_i
+port 566 nsew default input
+rlabel metal2 s 16346 6424 16402 6904 6 wbs_dat_i[0]
+port 567 nsew default input
+rlabel metal2 s 56826 6424 56882 6904 6 wbs_dat_i[10]
+port 568 nsew default input
+rlabel metal2 s 60308 6424 60378 6904 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal2 s 60322 6904 60350 9282 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal2 s 60310 9282 60362 9346 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel via1 s 60310 9288 60362 9340 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal1 s 60304 9288 60368 9300 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal1 s 59402 9300 60368 9328 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal1 s 60304 9328 60368 9340 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal1 s 59402 9328 59430 9359 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal1 s 59387 9359 59445 9405 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel locali s 59122 9359 59454 9399 6 wbs_dat_i[11]
+port 569 nsew default input
+rlabel metal2 s 63910 6424 63966 6904 6 wbs_dat_i[12]
+port 570 nsew default input
+rlabel metal2 s 67484 6424 67554 6904 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal2 s 67498 6904 67526 9334 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal2 s 67498 9334 67894 9362 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal2 s 67866 9362 67894 9486 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal2 s 67854 9486 67906 9550 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel via1 s 67854 9492 67906 9544 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal1 s 76131 9495 76189 9504 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal1 s 67848 9492 67912 9504 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal1 s 67848 9504 76189 9532 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal1 s 76131 9532 76189 9541 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal1 s 67848 9532 67912 9544 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel locali s 76085 9327 76189 9637 6 wbs_dat_i[13]
+port 571 nsew default input
+rlabel metal2 s 71072 6424 71142 6904 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal2 s 71086 6904 71114 10098 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal2 s 73374 10098 73426 10162 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal2 s 71074 10098 71126 10162 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal2 s 73386 10162 73414 10800 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel via1 s 73374 10104 73426 10156 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel via1 s 71074 10104 71126 10156 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal1 s 73368 10104 73432 10116 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal1 s 71068 10104 71132 10116 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal1 s 71068 10116 73432 10144 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal1 s 73368 10144 73432 10156 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal1 s 71068 10144 71132 10156 6 wbs_dat_i[14]
+port 572 nsew default input
+rlabel metal2 s 74674 6424 74730 6904 6 wbs_dat_i[15]
+port 573 nsew default input
+rlabel metal2 s 78170 6424 78226 6904 6 wbs_dat_i[16]
+port 574 nsew default input
+rlabel metal2 s 81758 6424 81814 6904 6 wbs_dat_i[17]
+port 575 nsew default input
+rlabel metal2 s 85332 6424 85402 6904 6 wbs_dat_i[18]
+port 576 nsew default input
+rlabel metal2 s 88934 6424 88990 6904 6 wbs_dat_i[19]
+port 577 nsew default input
+rlabel metal2 s 21130 6424 21186 6904 6 wbs_dat_i[1]
+port 578 nsew default input
+rlabel metal2 s 92416 6424 92486 6904 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal2 s 92430 6904 92458 9350 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal2 s 92418 9350 92470 9414 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel via1 s 92418 9356 92470 9408 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal1 s 92967 9359 93025 9368 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal1 s 92412 9356 92476 9368 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal1 s 92412 9368 93025 9396 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal1 s 92967 9396 93025 9405 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal1 s 92412 9396 92476 9408 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel locali s 92921 9327 93025 9637 6 wbs_dat_i[20]
+port 579 nsew default input
+rlabel metal2 s 96018 6424 96074 6904 6 wbs_dat_i[21]
+port 580 nsew default input
+rlabel metal2 s 99606 6424 99662 6904 6 wbs_dat_i[22]
+port 581 nsew default input
+rlabel metal2 s 103180 6424 103250 6904 6 wbs_dat_i[23]
+port 582 nsew default input
+rlabel metal2 s 106782 6424 106838 6904 6 wbs_dat_i[24]
+port 583 nsew default input
+rlabel metal2 s 110264 6424 110334 6904 6 wbs_dat_i[25]
+port 584 nsew default input
+rlabel metal2 s 113866 6424 113922 6904 6 wbs_dat_i[26]
+port 585 nsew default input
+rlabel metal2 s 117454 6424 117510 6904 6 wbs_dat_i[27]
+port 586 nsew default input
+rlabel metal2 s 121028 6424 121098 6904 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal2 s 121042 6904 121070 9758 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal2 s 121030 9758 121082 9822 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel via1 s 121030 9764 121082 9816 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal1 s 121024 9764 121088 9776 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal1 s 120985 9776 121088 9804 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal1 s 121024 9804 121088 9816 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel locali s 120981 9739 121085 10049 6 wbs_dat_i[28]
+port 587 nsew default input
+rlabel metal2 s 124630 6424 124686 6904 6 wbs_dat_i[29]
+port 588 nsew default input
+rlabel metal3 s 34819 9179 34885 9182 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal3 s 28103 9179 28169 9182 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal3 s 28103 9182 34885 9242 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal3 s 34819 9242 34885 9245 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal3 s 28103 9242 28169 9245 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel via2 s 34824 9184 34880 9240 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel via2 s 28108 9184 28164 9240 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 25808 6424 25878 6904 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 25822 6904 25850 7566 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 25822 7566 26218 7594 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34824 9175 34880 9249 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 28108 9175 28164 9249 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34838 9249 34866 9486 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 28122 9249 28150 9350 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 26190 7594 26218 9350 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 28110 9350 28162 9414 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 26178 9350 26230 9414 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34826 9486 34878 9550 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34838 9550 34866 9606 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34654 9606 34866 9634 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 34654 9634 34682 10800 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel via1 s 28110 9356 28162 9408 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel via1 s 26178 9356 26230 9408 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel via1 s 34826 9492 34878 9544 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 28104 9356 28168 9368 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 26172 9356 26236 9368 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 26172 9368 28168 9396 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 28104 9396 28168 9408 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 26172 9396 26236 9408 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 35191 9495 35249 9504 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 34820 9492 34884 9504 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 34820 9504 35249 9532 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 35191 9532 35249 9541 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal1 s 34820 9532 34884 9544 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35362 9205 35429 9290 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35191 9205 35258 9290 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35191 9290 35525 9324 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35476 9324 35525 9437 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35191 9437 35525 9471 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35362 9471 35428 9623 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel locali s 35191 9471 35258 9623 6 wbs_dat_i[2]
+port 589 nsew default input
+rlabel metal2 s 128126 6424 128182 6904 6 wbs_dat_i[30]
+port 590 nsew default input
+rlabel metal2 s 131714 6424 131770 6904 6 wbs_dat_i[31]
+port 591 nsew default input
+rlabel metal2 s 30606 6424 30662 6904 6 wbs_dat_i[3]
+port 592 nsew default input
+rlabel metal2 s 35376 6424 35446 6904 6 wbs_dat_i[4]
+port 593 nsew default input
+rlabel metal2 s 38978 6424 39034 6904 6 wbs_dat_i[5]
+port 594 nsew default input
+rlabel metal4 s 42961 8363 43027 8429 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal4 s 42964 8429 43024 10800 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel via3 s 42962 8364 43026 8428 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal3 s 42956 8364 43032 8366 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal3 s 42455 8363 42521 8366 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal3 s 42455 8366 43032 8426 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal3 s 42956 8426 43032 8428 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal3 s 42455 8426 42521 8429 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel via2 s 42460 8368 42516 8424 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal2 s 42460 6424 42530 6904 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal2 s 42474 6904 42502 8359 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal2 s 42460 8359 42516 8433 6 wbs_dat_i[6]
+port 595 nsew default input
+rlabel metal2 s 46062 6424 46118 6904 6 wbs_dat_i[7]
+port 596 nsew default input
+rlabel metal2 s 49650 6424 49706 6904 6 wbs_dat_i[8]
+port 597 nsew default input
+rlabel metal2 s 53224 6424 53294 6904 6 wbs_dat_i[9]
+port 598 nsew default input
+rlabel metal2 s 17528 6424 17598 6904 6 wbs_dat_o[0]
+port 599 nsew default output
+rlabel metal2 s 17542 6904 17570 10800 6 wbs_dat_o[0]
+port 599 nsew default output
+rlabel metal2 s 58022 6424 58078 6904 6 wbs_dat_o[10]
+port 600 nsew default output
+rlabel metal2 s 61518 6424 61574 6904 6 wbs_dat_o[11]
+port 601 nsew default output
+rlabel metal2 s 65106 6424 65162 6904 6 wbs_dat_o[12]
+port 602 nsew default output
+rlabel metal2 s 68680 6424 68750 6904 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 68694 6904 68722 9758 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 68682 9758 68734 9822 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 68038 9826 68090 9890 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 68050 9890 68078 10574 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 68038 10574 68090 10638 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 59758 10642 59810 10706 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 59770 10706 59798 10800 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel via1 s 68682 9764 68734 9816 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel via1 s 68038 9832 68090 9884 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel via1 s 68038 10580 68090 10632 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel via1 s 59758 10648 59810 10700 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68676 9764 68740 9816 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68694 9816 68722 9844 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68032 9832 68096 9844 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68032 9844 68722 9872 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68032 9872 68096 9884 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68032 10580 68096 10592 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 65658 10592 68096 10620 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 68032 10620 68096 10632 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 65658 10620 65686 10660 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 59752 10648 59816 10660 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 59752 10660 65686 10688 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal1 s 59752 10688 59816 10700 6 wbs_dat_o[13]
+port 603 nsew default output
+rlabel metal2 s 72282 6424 72338 6904 6 wbs_dat_o[14]
+port 604 nsew default output
+rlabel metal2 s 75764 6424 75834 6904 6 wbs_dat_o[15]
+port 605 nsew default output
+rlabel metal2 s 75778 6904 75806 10800 6 wbs_dat_o[15]
+port 605 nsew default output
+rlabel metal2 s 79366 6424 79422 6904 6 wbs_dat_o[16]
+port 606 nsew default output
+rlabel metal2 s 82954 6424 83010 6904 6 wbs_dat_o[17]
+port 607 nsew default output
+rlabel metal2 s 86528 6424 86598 6904 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal2 s 86542 6904 86570 9350 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal2 s 86530 9350 86582 9414 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel via1 s 86530 9356 86582 9408 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal1 s 87355 9359 87413 9368 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal1 s 86524 9356 86588 9368 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal1 s 86524 9368 87413 9396 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal1 s 87355 9396 87413 9405 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal1 s 86524 9396 86588 9408 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel locali s 87309 9327 87413 9637 6 wbs_dat_o[18]
+port 608 nsew default output
+rlabel metal2 s 90130 6424 90186 6904 6 wbs_dat_o[19]
+port 609 nsew default output
+rlabel metal2 s 22326 6424 22382 6904 6 wbs_dat_o[1]
+port 610 nsew default output
+rlabel metal2 s 93612 6424 93682 6904 6 wbs_dat_o[20]
+port 611 nsew default output
+rlabel metal2 s 97214 6424 97270 6904 6 wbs_dat_o[21]
+port 612 nsew default output
+rlabel metal2 s 100802 6424 100858 6904 6 wbs_dat_o[22]
+port 613 nsew default output
+rlabel metal2 s 104376 6424 104446 6904 6 wbs_dat_o[23]
+port 614 nsew default output
+rlabel metal2 s 107978 6424 108034 6904 6 wbs_dat_o[24]
+port 615 nsew default output
+rlabel metal2 s 111474 6424 111530 6904 6 wbs_dat_o[25]
+port 616 nsew default output
+rlabel metal2 s 115062 6424 115118 6904 6 wbs_dat_o[26]
+port 617 nsew default output
+rlabel metal2 s 118636 6424 118706 6904 6 wbs_dat_o[27]
+port 618 nsew default output
+rlabel metal2 s 122238 6424 122294 6904 6 wbs_dat_o[28]
+port 619 nsew default output
+rlabel metal2 s 125720 6424 125790 6904 6 wbs_dat_o[29]
+port 620 nsew default output
+rlabel metal2 s 27004 6424 27074 6904 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 27018 6904 27046 7786 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 30870 7786 30922 7850 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 27006 7786 27058 7850 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 30882 7850 30910 10014 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 30698 10014 30910 10042 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 30698 10042 30726 10800 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel via1 s 30870 7792 30922 7844 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel via1 s 27006 7792 27058 7844 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal1 s 30864 7792 30928 7804 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal1 s 27000 7792 27064 7804 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal1 s 27000 7804 30928 7832 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal1 s 30864 7832 30928 7844 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal1 s 27000 7832 27064 7844 6 wbs_dat_o[2]
+port 621 nsew default output
+rlabel metal2 s 129322 6424 129378 6904 6 wbs_dat_o[30]
+port 622 nsew default output
+rlabel metal2 s 132910 6424 132966 6904 6 wbs_dat_o[31]
+port 623 nsew default output
+rlabel metal2 s 31802 6424 31858 6904 6 wbs_dat_o[3]
+port 624 nsew default output
+rlabel metal2 s 36572 6424 36642 6904 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal2 s 36586 6904 36614 10302 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal2 s 36574 10302 36626 10366 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal2 s 34826 10302 34878 10366 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal2 s 34838 10366 34866 10800 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel via1 s 36574 10308 36626 10360 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel via1 s 34826 10308 34878 10360 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal1 s 36568 10308 36632 10320 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal1 s 34820 10308 34884 10320 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal1 s 34820 10320 36632 10348 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal1 s 36568 10348 36632 10360 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal1 s 34820 10348 34884 10360 6 wbs_dat_o[4]
+port 625 nsew default output
+rlabel metal2 s 40174 6424 40230 6904 6 wbs_dat_o[5]
+port 626 nsew default output
+rlabel metal2 s 43656 6424 43726 6904 6 wbs_dat_o[6]
+port 627 nsew default output
+rlabel metal2 s 47258 6424 47314 6904 6 wbs_dat_o[7]
+port 628 nsew default output
+rlabel metal2 s 50832 6424 50902 6904 6 wbs_dat_o[8]
+port 629 nsew default output
+rlabel metal2 s 54420 6424 54490 6904 6 wbs_dat_o[9]
+port 630 nsew default output
+rlabel metal2 s 18724 6424 18794 6904 6 wbs_sel_i[0]
+port 631 nsew default input
+rlabel metal2 s 23522 6424 23578 6904 6 wbs_sel_i[1]
+port 632 nsew default input
+rlabel metal2 s 28214 6424 28270 6904 6 wbs_sel_i[2]
+port 633 nsew default input
+rlabel metal2 s 32998 6424 33054 6904 6 wbs_sel_i[3]
+port 634 nsew default input
+rlabel metal2 s 12758 6424 12814 6904 6 wbs_stb_i
+port 635 nsew default input
+rlabel metal2 s 13954 6424 14010 6904 6 wbs_we_i
+port 636 nsew default input
+rlabel metal5 s 5600 5600 593316 6000 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 8400 594116 8800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 8400 7976 8800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 28400 594116 28800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 28400 7976 28800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 48400 594116 48800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 48400 7976 48800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 68400 594116 68800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 68400 7976 68800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 88400 594116 88800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 88400 7976 88800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 108400 594116 108800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 108400 7976 108800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 128400 594116 128800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 128400 7976 128800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 148400 594116 148800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 148400 7976 148800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 168400 594116 168800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 168400 7976 168800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 188400 594116 188800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 188400 7976 188800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 208400 594116 208800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 208400 7976 208800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 228400 594116 228800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 228400 7976 228800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 248400 594116 248800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 248400 7976 248800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 268400 594116 268800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 268400 7976 268800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 288400 594116 288800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 288400 7976 288800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 308400 594116 308800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 308400 7976 308800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 328400 594116 328800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 328400 7976 328800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 348400 594116 348800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 348400 7976 348800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 368400 594116 368800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 368400 7976 368800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 388400 594116 388800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 388400 7976 388800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 408400 594116 408800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 408400 7976 408800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 428400 594116 428800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 428400 7976 428800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 448400 594116 448800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 448400 7976 448800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 468400 594116 468800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 468400 7976 468800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 488400 594116 488800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 488400 7976 488800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 508400 594116 508800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 508400 7976 508800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 528400 594116 528800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 528400 7976 528800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 548400 594116 548800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 548400 7976 548800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 568400 594116 568800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 568400 7976 568800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 588400 594116 588800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 588400 7976 588800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 608400 594116 608800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 608400 7976 608800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 628400 594116 628800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 628400 7976 628800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 648400 594116 648800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 648400 7976 648800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 668400 594116 668800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 668400 7976 668800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 591016 688400 594116 688800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 688400 7976 688800 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 5600 710784 593316 711184 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 592916 5600 593316 711184 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 588400 4800 588800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 568400 4800 568800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 548400 4800 548800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 528400 4800 528800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 508400 4800 508800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 488400 4800 488800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 468400 4800 468800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 448400 4800 448800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 428400 4800 428800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 408400 4800 408800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 388400 4800 388800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 368400 4800 368800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 348400 4800 348800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 328400 4800 328800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 308400 4800 308800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 288400 4800 288800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 268400 4800 268800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 248400 4800 248800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 228400 4800 228800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 208400 4800 208800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 188400 4800 188800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 168400 4800 168800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 148400 4800 148800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 128400 4800 128800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 108400 4800 108800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 88400 4800 88800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 68400 4800 68800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 48400 4800 48800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 28400 4800 28800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 8400 4800 8800 6904 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 588400 709944 588800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 568400 709944 568800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 548400 709944 548800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 528400 709944 528800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 508400 709944 508800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 488400 709944 488800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 468400 709944 468800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 448400 709944 448800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 428400 709944 428800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 408400 709944 408800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 388400 709944 388800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 368400 709944 368800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 348400 709944 348800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 328400 709944 328800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 308400 709944 308800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 288400 709944 288800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 268400 709944 268800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 248400 709944 248800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 228400 709944 228800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 208400 709944 208800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 188400 709944 188800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 168400 709944 168800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 148400 709944 148800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 128400 709944 128800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 108400 709944 108800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 88400 709944 88800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 68400 709944 68800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 48400 709944 48800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 28400 709944 28800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 8400 709944 8800 711984 6 vccd1
+port 637 nsew default input
+rlabel metal4 s 5600 5600 6000 711184 6 vccd1
+port 637 nsew default input
+rlabel metal5 s 4800 4800 594116 5200 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 18400 594116 18800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 18400 7976 18800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 38400 594116 38800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 38400 7976 38800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 58400 594116 58800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 58400 7976 58800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 78400 594116 78800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 78400 7976 78800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 98400 594116 98800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 98400 7976 98800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 118400 594116 118800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 118400 7976 118800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 138400 594116 138800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 138400 7976 138800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 158400 594116 158800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 158400 7976 158800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 178400 594116 178800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 178400 7976 178800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 198400 594116 198800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 198400 7976 198800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 218400 594116 218800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 218400 7976 218800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 238400 594116 238800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 238400 7976 238800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 258400 594116 258800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 258400 7976 258800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 278400 594116 278800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 278400 7976 278800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 298400 594116 298800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 298400 7976 298800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 318400 594116 318800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 318400 7976 318800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 338400 594116 338800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 338400 7976 338800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 358400 594116 358800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 358400 7976 358800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 378400 594116 378800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 378400 7976 378800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 398400 594116 398800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 398400 7976 398800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 418400 594116 418800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 418400 7976 418800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 438400 594116 438800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 438400 7976 438800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 458400 594116 458800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 458400 7976 458800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 478400 594116 478800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 478400 7976 478800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 498400 594116 498800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 498400 7976 498800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 518400 594116 518800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 518400 7976 518800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 538400 594116 538800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 538400 7976 538800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 558400 594116 558800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 558400 7976 558800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 578400 594116 578800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 578400 7976 578800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 598400 594116 598800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 598400 7976 598800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 618400 594116 618800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 618400 7976 618800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 638400 594116 638800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 638400 7976 638800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 658400 594116 658800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 658400 7976 658800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 678400 594116 678800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 678400 7976 678800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 591016 698400 594116 698800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 698400 7976 698800 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4800 711584 594116 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 593716 4800 594116 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 578400 4800 578800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 558400 4800 558800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 538400 4800 538800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 518400 4800 518800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 498400 4800 498800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 478400 4800 478800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 458400 4800 458800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 438400 4800 438800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 418400 4800 418800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 398400 4800 398800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 378400 4800 378800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 358400 4800 358800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 338400 4800 338800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 318400 4800 318800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 298400 4800 298800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 278400 4800 278800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 258400 4800 258800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 238400 4800 238800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 218400 4800 218800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 198400 4800 198800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 178400 4800 178800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 158400 4800 158800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 138400 4800 138800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 118400 4800 118800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 98400 4800 98800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 78400 4800 78800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 58400 4800 58800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 38400 4800 38800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 18400 4800 18800 6904 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 578400 709944 578800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 558400 709944 558800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 538400 709944 538800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 518400 709944 518800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 498400 709944 498800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 478400 709944 478800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 458400 709944 458800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 438400 709944 438800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 418400 709944 418800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 398400 709944 398800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 378400 709944 378800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 358400 709944 358800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 338400 709944 338800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 318400 709944 318800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 298400 709944 298800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 278400 709944 278800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 258400 709944 258800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 238400 709944 238800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 218400 709944 218800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 198400 709944 198800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 178400 709944 178800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 158400 709944 158800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 138400 709944 138800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 118400 709944 118800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 98400 709944 98800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 78400 709944 78800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 58400 709944 58800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 38400 709944 38800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 18400 709944 18800 711984 6 vssd1
+port 638 nsew default input
+rlabel metal4 s 4800 4800 5200 711984 6 vssd1
+port 638 nsew default input
+rlabel metal5 s 4000 4000 594916 4400 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 10320 595716 10720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 10320 7976 10720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 30320 595716 30720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 30320 7976 30720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 50320 595716 50720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 50320 7976 50720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 70320 595716 70720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 70320 7976 70720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 90320 595716 90720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 90320 7976 90720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 110320 595716 110720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 110320 7976 110720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 130320 595716 130720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 130320 7976 130720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 150320 595716 150720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 150320 7976 150720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 170320 595716 170720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 170320 7976 170720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 190320 595716 190720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 190320 7976 190720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 210320 595716 210720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 210320 7976 210720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 230320 595716 230720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 230320 7976 230720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 250320 595716 250720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 250320 7976 250720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 270320 595716 270720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 270320 7976 270720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 290320 595716 290720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 290320 7976 290720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 310320 595716 310720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 310320 7976 310720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 330320 595716 330720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 330320 7976 330720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 350320 595716 350720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 350320 7976 350720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 370320 595716 370720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 370320 7976 370720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 390320 595716 390720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 390320 7976 390720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 410320 595716 410720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 410320 7976 410720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 430320 595716 430720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 430320 7976 430720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 450320 595716 450720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 450320 7976 450720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 470320 595716 470720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 470320 7976 470720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 490320 595716 490720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 490320 7976 490720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 510320 595716 510720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 510320 7976 510720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 530320 595716 530720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 530320 7976 530720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 550320 595716 550720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 550320 7976 550720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 570320 595716 570720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 570320 7976 570720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 590320 595716 590720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 590320 7976 590720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 610320 595716 610720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 610320 7976 610720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 630320 595716 630720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 630320 7976 630720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 650320 595716 650720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 650320 7976 650720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 670320 595716 670720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 670320 7976 670720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 591016 690320 595716 690720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 690320 7976 690720 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 4000 712384 594916 712784 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 594516 4000 594916 712784 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 570320 3200 570720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 550320 3200 550720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 530320 3200 530720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 510320 3200 510720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 490320 3200 490720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 470320 3200 470720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 450320 3200 450720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 430320 3200 430720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 410320 3200 410720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 390320 3200 390720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 370320 3200 370720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 350320 3200 350720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 330320 3200 330720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 310320 3200 310720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 290320 3200 290720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 270320 3200 270720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 250320 3200 250720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 230320 3200 230720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 210320 3200 210720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 190320 3200 190720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 170320 3200 170720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 150320 3200 150720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 130320 3200 130720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 110320 3200 110720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 90320 3200 90720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 70320 3200 70720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 50320 3200 50720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 30320 3200 30720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 10320 3200 10720 6904 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 570320 709944 570720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 550320 709944 550720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 530320 709944 530720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 510320 709944 510720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 490320 709944 490720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 470320 709944 470720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 450320 709944 450720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 430320 709944 430720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 410320 709944 410720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 390320 709944 390720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 370320 709944 370720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 350320 709944 350720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 330320 709944 330720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 310320 709944 310720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 290320 709944 290720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 270320 709944 270720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 250320 709944 250720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 230320 709944 230720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 210320 709944 210720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 190320 709944 190720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 170320 709944 170720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 150320 709944 150720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 130320 709944 130720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 110320 709944 110720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 90320 709944 90720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 70320 709944 70720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 50320 709944 50720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 30320 709944 30720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 10320 709944 10720 713584 6 vccd2
+port 639 nsew default input
+rlabel metal4 s 4000 4000 4400 712784 6 vccd2
+port 639 nsew default input
+rlabel metal5 s 3200 3200 595716 3600 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 20320 595716 20720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 20320 7976 20720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 40320 595716 40720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 40320 7976 40720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 60320 595716 60720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 60320 7976 60720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 80320 595716 80720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 80320 7976 80720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 100320 595716 100720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 100320 7976 100720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 120320 595716 120720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 120320 7976 120720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 140320 595716 140720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 140320 7976 140720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 160320 595716 160720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 160320 7976 160720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 180320 595716 180720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 180320 7976 180720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 200320 595716 200720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 200320 7976 200720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 220320 595716 220720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 220320 7976 220720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 240320 595716 240720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 240320 7976 240720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 260320 595716 260720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 260320 7976 260720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 280320 595716 280720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 280320 7976 280720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 300320 595716 300720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 300320 7976 300720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 320320 595716 320720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 320320 7976 320720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 340320 595716 340720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 340320 7976 340720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 360320 595716 360720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 360320 7976 360720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 380320 595716 380720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 380320 7976 380720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 400320 595716 400720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 400320 7976 400720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 420320 595716 420720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 420320 7976 420720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 440320 595716 440720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 440320 7976 440720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 460320 595716 460720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 460320 7976 460720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 480320 595716 480720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 480320 7976 480720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 500320 595716 500720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 500320 7976 500720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 520320 595716 520720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 520320 7976 520720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 540320 595716 540720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 540320 7976 540720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 560320 595716 560720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 560320 7976 560720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 580320 595716 580720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 580320 7976 580720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 600320 595716 600720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 600320 7976 600720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 620320 595716 620720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 620320 7976 620720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 640320 595716 640720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 640320 7976 640720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 660320 595716 660720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 660320 7976 660720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 680320 595716 680720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 680320 7976 680720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 591016 700320 595716 700720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 700320 7976 700720 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 3200 713184 595716 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 595316 3200 595716 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 580320 3200 580720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 560320 3200 560720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 540320 3200 540720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 520320 3200 520720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 500320 3200 500720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 480320 3200 480720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 460320 3200 460720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 440320 3200 440720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 420320 3200 420720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 400320 3200 400720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 380320 3200 380720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 360320 3200 360720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 340320 3200 340720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 320320 3200 320720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 300320 3200 300720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 280320 3200 280720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 260320 3200 260720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 240320 3200 240720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 220320 3200 220720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 200320 3200 200720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 180320 3200 180720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 160320 3200 160720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 140320 3200 140720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 120320 3200 120720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 100320 3200 100720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 80320 3200 80720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 60320 3200 60720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 40320 3200 40720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 20320 3200 20720 6904 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 580320 709944 580720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 560320 709944 560720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 540320 709944 540720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 520320 709944 520720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 500320 709944 500720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 480320 709944 480720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 460320 709944 460720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 440320 709944 440720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 420320 709944 420720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 400320 709944 400720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 380320 709944 380720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 360320 709944 360720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 340320 709944 340720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 320320 709944 320720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 300320 709944 300720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 280320 709944 280720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 260320 709944 260720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 240320 709944 240720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 220320 709944 220720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 200320 709944 200720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 180320 709944 180720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 160320 709944 160720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 140320 709944 140720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 120320 709944 120720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 100320 709944 100720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 80320 709944 80720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 60320 709944 60720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 40320 709944 40720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 20320 709944 20720 713584 6 vssd2
+port 640 nsew default input
+rlabel metal4 s 3200 3200 3600 713584 6 vssd2
+port 640 nsew default input
+rlabel metal5 s 2400 2400 596516 2800 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 12240 597316 12640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 12240 7976 12640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 32240 597316 32640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 32240 7976 32640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 52240 597316 52640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 52240 7976 52640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 72240 597316 72640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 72240 7976 72640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 92240 597316 92640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 92240 7976 92640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 112240 597316 112640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 112240 7976 112640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 132240 597316 132640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 132240 7976 132640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 152240 597316 152640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 152240 7976 152640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 172240 597316 172640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 172240 7976 172640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 192240 597316 192640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 192240 7976 192640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 212240 597316 212640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 212240 7976 212640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 232240 597316 232640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 232240 7976 232640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 252240 597316 252640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 252240 7976 252640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 272240 597316 272640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 272240 7976 272640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 292240 597316 292640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 292240 7976 292640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 312240 597316 312640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 312240 7976 312640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 332240 597316 332640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 332240 7976 332640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 352240 597316 352640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 352240 7976 352640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 372240 597316 372640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 372240 7976 372640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 392240 597316 392640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 392240 7976 392640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 412240 597316 412640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 412240 7976 412640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 432240 597316 432640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 432240 7976 432640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 452240 597316 452640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 452240 7976 452640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 472240 597316 472640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 472240 7976 472640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 492240 597316 492640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 492240 7976 492640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 512240 597316 512640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 512240 7976 512640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 532240 597316 532640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 532240 7976 532640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 552240 597316 552640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 552240 7976 552640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 572240 597316 572640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 572240 7976 572640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 592240 597316 592640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 592240 7976 592640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 612240 597316 612640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 612240 7976 612640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 632240 597316 632640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 632240 7976 632640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 652240 597316 652640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 652240 7976 652640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 672240 597316 672640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 672240 7976 672640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 591016 692240 597316 692640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 692240 7976 692640 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 2400 713984 596516 714384 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 596116 2400 596516 714384 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 572240 1600 572640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 552240 1600 552640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 532240 1600 532640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 512240 1600 512640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 492240 1600 492640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 472240 1600 472640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 452240 1600 452640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 432240 1600 432640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 412240 1600 412640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 392240 1600 392640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 372240 1600 372640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 352240 1600 352640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 332240 1600 332640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 312240 1600 312640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 292240 1600 292640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 272240 1600 272640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 252240 1600 252640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 232240 1600 232640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 212240 1600 212640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 192240 1600 192640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 172240 1600 172640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 152240 1600 152640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 132240 1600 132640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 112240 1600 112640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 92240 1600 92640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 72240 1600 72640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 52240 1600 52640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 32240 1600 32640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 12240 1600 12640 6904 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 572240 709944 572640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 552240 709944 552640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 532240 709944 532640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 512240 709944 512640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 492240 709944 492640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 472240 709944 472640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 452240 709944 452640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 432240 709944 432640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 412240 709944 412640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 392240 709944 392640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 372240 709944 372640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 352240 709944 352640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 332240 709944 332640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 312240 709944 312640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 292240 709944 292640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 272240 709944 272640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 252240 709944 252640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 232240 709944 232640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 212240 709944 212640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 192240 709944 192640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 172240 709944 172640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 152240 709944 152640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 132240 709944 132640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 112240 709944 112640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 92240 709944 92640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 72240 709944 72640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 52240 709944 52640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 32240 709944 32640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 12240 709944 12640 715184 6 vdda1
+port 641 nsew default input
+rlabel metal4 s 2400 2400 2800 714384 6 vdda1
+port 641 nsew default input
+rlabel metal5 s 1600 1600 597316 2000 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 22240 597316 22640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 22240 7976 22640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 42240 597316 42640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 42240 7976 42640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 62240 597316 62640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 62240 7976 62640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 82240 597316 82640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 82240 7976 82640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 102240 597316 102640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 102240 7976 102640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 122240 597316 122640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 122240 7976 122640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 142240 597316 142640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 142240 7976 142640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 162240 597316 162640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 162240 7976 162640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 182240 597316 182640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 182240 7976 182640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 202240 597316 202640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 202240 7976 202640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 222240 597316 222640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 222240 7976 222640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 242240 597316 242640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 242240 7976 242640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 262240 597316 262640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 262240 7976 262640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 282240 597316 282640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 282240 7976 282640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 302240 597316 302640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 302240 7976 302640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 322240 597316 322640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 322240 7976 322640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 342240 597316 342640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 342240 7976 342640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 362240 597316 362640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 362240 7976 362640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 382240 597316 382640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 382240 7976 382640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 402240 597316 402640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 402240 7976 402640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 422240 597316 422640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 422240 7976 422640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 442240 597316 442640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 442240 7976 442640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 462240 597316 462640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 462240 7976 462640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 482240 597316 482640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 482240 7976 482640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 502240 597316 502640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 502240 7976 502640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 522240 597316 522640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 522240 7976 522640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 542240 597316 542640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 542240 7976 542640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 562240 597316 562640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 562240 7976 562640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 582240 597316 582640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 582240 7976 582640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 602240 597316 602640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 602240 7976 602640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 622240 597316 622640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 622240 7976 622640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 642240 597316 642640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 642240 7976 642640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 662240 597316 662640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 662240 7976 662640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 682240 597316 682640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 682240 7976 682640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 591016 702240 597316 702640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 702240 7976 702640 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 1600 714784 597316 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 596916 1600 597316 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 582240 1600 582640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 562240 1600 562640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 542240 1600 542640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 522240 1600 522640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 502240 1600 502640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 482240 1600 482640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 462240 1600 462640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 442240 1600 442640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 422240 1600 422640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 402240 1600 402640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 382240 1600 382640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 362240 1600 362640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 342240 1600 342640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 322240 1600 322640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 302240 1600 302640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 282240 1600 282640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 262240 1600 262640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 242240 1600 242640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 222240 1600 222640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 202240 1600 202640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 182240 1600 182640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 162240 1600 162640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 142240 1600 142640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 122240 1600 122640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 102240 1600 102640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 82240 1600 82640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 62240 1600 62640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 42240 1600 42640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 22240 1600 22640 6904 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 582240 709944 582640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 562240 709944 562640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 542240 709944 542640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 522240 709944 522640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 502240 709944 502640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 482240 709944 482640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 462240 709944 462640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 442240 709944 442640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 422240 709944 422640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 402240 709944 402640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 382240 709944 382640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 362240 709944 362640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 342240 709944 342640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 322240 709944 322640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 302240 709944 302640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 282240 709944 282640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 262240 709944 262640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 242240 709944 242640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 222240 709944 222640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 202240 709944 202640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 182240 709944 182640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 162240 709944 162640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 142240 709944 142640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 122240 709944 122640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 102240 709944 102640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 82240 709944 82640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 62240 709944 62640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 42240 709944 42640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 22240 709944 22640 715184 6 vssa1
+port 642 nsew default input
+rlabel metal4 s 1600 1600 2000 715184 6 vssa1
+port 642 nsew default input
+rlabel metal5 s 800 800 598116 1200 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 14160 598916 14560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 14160 7976 14560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 34160 598916 34560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 34160 7976 34560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 54160 598916 54560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 54160 7976 54560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 74160 598916 74560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 74160 7976 74560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 94160 598916 94560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 94160 7976 94560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 114160 598916 114560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 114160 7976 114560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 134160 598916 134560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 134160 7976 134560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 154160 598916 154560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 154160 7976 154560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 174160 598916 174560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 174160 7976 174560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 194160 598916 194560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 194160 7976 194560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 214160 598916 214560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 214160 7976 214560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 234160 598916 234560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 234160 7976 234560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 254160 598916 254560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 254160 7976 254560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 274160 598916 274560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 274160 7976 274560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 294160 598916 294560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 294160 7976 294560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 314160 598916 314560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 314160 7976 314560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 334160 598916 334560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 334160 7976 334560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 354160 598916 354560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 354160 7976 354560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 374160 598916 374560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 374160 7976 374560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 394160 598916 394560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 394160 7976 394560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 414160 598916 414560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 414160 7976 414560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 434160 598916 434560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 434160 7976 434560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 454160 598916 454560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 454160 7976 454560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 474160 598916 474560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 474160 7976 474560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 494160 598916 494560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 494160 7976 494560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 514160 598916 514560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 514160 7976 514560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 534160 598916 534560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 534160 7976 534560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 554160 598916 554560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 554160 7976 554560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 574160 598916 574560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 574160 7976 574560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 594160 598916 594560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 594160 7976 594560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 614160 598916 614560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 614160 7976 614560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 634160 598916 634560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 634160 7976 634560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 654160 598916 654560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 654160 7976 654560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 674160 598916 674560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 674160 7976 674560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 591016 694160 598916 694560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 694160 7976 694560 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 800 715584 598116 715984 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 597716 800 598116 715984 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 574160 0 574560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 554160 0 554560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 534160 0 534560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 514160 0 514560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 494160 0 494560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 474160 0 474560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 454160 0 454560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 434160 0 434560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 414160 0 414560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 394160 0 394560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 374160 0 374560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 354160 0 354560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 334160 0 334560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 314160 0 314560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 294160 0 294560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 274160 0 274560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 254160 0 254560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 234160 0 234560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 214160 0 214560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 194160 0 194560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 174160 0 174560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 154160 0 154560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 134160 0 134560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 114160 0 114560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 94160 0 94560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 74160 0 74560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 54160 0 54560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 34160 0 34560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 14160 0 14560 6904 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 574160 709944 574560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 554160 709944 554560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 534160 709944 534560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 514160 709944 514560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 494160 709944 494560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 474160 709944 474560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 454160 709944 454560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 434160 709944 434560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 414160 709944 414560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 394160 709944 394560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 374160 709944 374560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 354160 709944 354560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 334160 709944 334560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 314160 709944 314560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 294160 709944 294560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 274160 709944 274560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 254160 709944 254560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 234160 709944 234560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 214160 709944 214560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 194160 709944 194560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 174160 709944 174560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 154160 709944 154560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 134160 709944 134560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 114160 709944 114560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 94160 709944 94560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 74160 709944 74560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 54160 709944 54560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 34160 709944 34560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 14160 709944 14560 716784 6 vdda2
+port 643 nsew default input
+rlabel metal4 s 800 800 1200 715984 6 vdda2
+port 643 nsew default input
+rlabel metal5 s 0 0 598916 400 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 24160 598916 24560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 24160 7976 24560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 44160 598916 44560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 44160 7976 44560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 64160 598916 64560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 64160 7976 64560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 84160 598916 84560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 84160 7976 84560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 104160 598916 104560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 104160 7976 104560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 124160 598916 124560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 124160 7976 124560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 144160 598916 144560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 144160 7976 144560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 164160 598916 164560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 164160 7976 164560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 184160 598916 184560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 184160 7976 184560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 204160 598916 204560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 204160 7976 204560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 224160 598916 224560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 224160 7976 224560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 244160 598916 244560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 244160 7976 244560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 264160 598916 264560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 264160 7976 264560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 284160 598916 284560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 284160 7976 284560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 304160 598916 304560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 304160 7976 304560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 324160 598916 324560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 324160 7976 324560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 344160 598916 344560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 344160 7976 344560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 364160 598916 364560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 364160 7976 364560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 384160 598916 384560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 384160 7976 384560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 404160 598916 404560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 404160 7976 404560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 424160 598916 424560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 424160 7976 424560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 444160 598916 444560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 444160 7976 444560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 464160 598916 464560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 464160 7976 464560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 484160 598916 484560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 484160 7976 484560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 504160 598916 504560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 504160 7976 504560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 524160 598916 524560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 524160 7976 524560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 544160 598916 544560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 544160 7976 544560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 564160 598916 564560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 564160 7976 564560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 584160 598916 584560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 584160 7976 584560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 604160 598916 604560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 604160 7976 604560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 624160 598916 624560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 624160 7976 624560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 644160 598916 644560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 644160 7976 644560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 664160 598916 664560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 664160 7976 664560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 684160 598916 684560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 684160 7976 684560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 591016 704160 598916 704560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 704160 7976 704560 6 vssa2
+port 644 nsew default input
+rlabel metal5 s 0 716384 598916 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 598516 0 598916 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 584160 0 584560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 564160 0 564560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 544160 0 544560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 524160 0 524560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 504160 0 504560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 484160 0 484560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 464160 0 464560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 444160 0 444560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 424160 0 424560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 404160 0 404560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 384160 0 384560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 364160 0 364560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 344160 0 344560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 324160 0 324560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 304160 0 304560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 284160 0 284560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 264160 0 264560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 244160 0 244560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 224160 0 224560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 204160 0 204560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 184160 0 184560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 164160 0 164560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 144160 0 144560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 124160 0 124560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 104160 0 104560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 84160 0 84560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 64160 0 64560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 44160 0 44560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 24160 0 24560 6904 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 584160 709944 584560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 564160 709944 564560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 544160 709944 544560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 524160 709944 524560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 504160 709944 504560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 484160 709944 484560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 464160 709944 464560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 444160 709944 444560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 424160 709944 424560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 404160 709944 404560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 384160 709944 384560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 364160 709944 364560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 344160 709944 344560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 324160 709944 324560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 304160 709944 304560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 284160 709944 284560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 264160 709944 264560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 244160 709944 244560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 224160 709944 224560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 204160 709944 204560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 184160 709944 184560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 164160 709944 164560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 144160 709944 144560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 124160 709944 124560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 104160 709944 104560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 84160 709944 84560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 64160 709944 64560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 44160 709944 44560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 24160 709944 24560 716784 6 vssa2
+port 644 nsew default input
+rlabel metal4 s 0 0 400 716784 6 vssa2
+port 644 nsew default input
+<< properties >>
+string LEFclass BLOCK
+string FIXED_BBOX 0 0 598916 716784
+string LEFview TRUE
+string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
+string GDS_END 5390882
+string GDS_START 5141968
+<< end >>
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag
new file mode 100644
index 0000000..9fea8b4
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag
@@ -0,0 +1,9445 @@
+magic
+tech sky130A
+timestamp 1606369131
+<< checkpaint >>
+rect -630 -630 300088 359022
+<< metal2 >>
+rect 7805 354972 7833 355212
+rect 15901 354972 15929 355212
+rect 23997 354972 24025 355212
+rect 32139 354972 32167 355212
+rect 40235 354972 40263 355212
+rect 48331 354972 48359 355212
+rect 56473 354972 56501 355212
+rect 64569 354972 64597 355212
+rect 72665 354972 72693 355212
+rect 80807 354972 80835 355212
+rect 88903 354972 88931 355212
+rect 96999 354972 97027 355212
+rect 105141 354972 105169 355212
+rect 113237 354972 113265 355212
+rect 121333 354972 121361 355212
+rect 129475 354972 129503 355212
+rect 137571 354972 137599 355212
+rect 145667 354972 145695 355212
+rect 153809 354972 153837 355212
+rect 161905 354972 161933 355212
+rect 170001 354972 170029 355212
+rect 178143 354972 178171 355212
+rect 186239 354972 186267 355212
+rect 194335 354972 194363 355212
+rect 202477 354972 202505 355212
+rect 210573 354972 210601 355212
+rect 218669 354972 218697 355212
+rect 226811 354972 226839 355212
+rect 234907 354972 234935 355212
+rect 243003 354972 243031 355212
+rect 251145 354972 251173 355212
+rect 259241 354972 259269 355212
+rect 267337 354972 267365 355212
+rect 275479 354972 275507 355212
+rect 283575 354972 283603 355212
+rect 291671 354972 291699 355212
+rect 4033 3212 4061 3452
+rect 4585 3212 4613 3452
+rect 5183 3212 5211 3452
+rect 5781 3212 5809 3452
+rect 6379 3212 6407 3452
+rect 6977 3212 7005 3452
+rect 7575 3212 7603 3452
+rect 8173 3212 8201 3452
+rect 8771 3212 8799 3452
+rect 9369 3212 9397 3452
+rect 9967 3212 9995 3452
+rect 10565 3212 10593 3452
+rect 11163 3212 11191 3452
+rect 11761 3212 11789 3452
+rect 12359 3212 12387 3452
+rect 12911 3212 12939 3452
+rect 13509 3212 13537 3452
+rect 14107 3212 14135 3452
+rect 14705 3212 14733 3452
+rect 15303 3212 15331 3452
+rect 15901 3212 15929 3452
+rect 16499 3212 16527 3452
+rect 17097 3212 17125 3452
+rect 17695 3212 17723 3452
+rect 18293 3212 18321 3452
+rect 18891 3212 18919 3452
+rect 19489 3212 19517 3452
+rect 20087 3212 20115 3452
+rect 20685 3212 20713 3452
+rect 21237 3212 21265 3452
+rect 21835 3212 21863 3452
+rect 22433 3212 22461 3452
+rect 23031 3212 23059 3452
+rect 23629 3212 23657 3452
+rect 24227 3212 24255 3452
+rect 24825 3212 24853 3452
+rect 25423 3212 25451 3452
+rect 26021 3212 26049 3452
+rect 26619 3212 26647 3452
+rect 27217 3212 27245 3452
+rect 27815 3212 27843 3452
+rect 28413 3212 28441 3452
+rect 29011 3212 29039 3452
+rect 29563 3212 29591 3452
+rect 30161 3212 30189 3452
+rect 30759 3212 30787 3452
+rect 31357 3212 31385 3452
+rect 31955 3212 31983 3452
+rect 32553 3212 32581 3452
+rect 33151 3212 33179 3452
+rect 33749 3212 33777 3452
+rect 34347 3212 34375 3452
+rect 34945 3212 34973 3452
+rect 35543 3212 35571 3452
+rect 36141 3212 36169 3452
+rect 36739 3212 36767 3452
+rect 37337 3212 37365 3452
+rect 37889 3212 37917 3452
+rect 38487 3212 38515 3452
+rect 39085 3212 39113 3452
+rect 39683 3212 39711 3452
+rect 40281 3212 40309 3452
+rect 40879 3212 40907 3452
+rect 41477 3212 41505 3452
+rect 42075 3212 42103 3452
+rect 42673 3212 42701 3452
+rect 43271 3212 43299 3452
+rect 43869 3212 43897 3452
+rect 44467 3212 44495 3452
+rect 45065 3212 45093 3452
+rect 45663 3212 45691 3452
+rect 46215 3212 46243 3452
+rect 46813 3212 46841 3452
+rect 47411 3212 47439 3452
+rect 48009 3212 48037 3452
+rect 48607 3212 48635 3452
+rect 49205 3212 49233 3452
+rect 49803 3212 49831 3452
+rect 50401 3212 50429 3452
+rect 50999 3212 51027 3452
+rect 51597 3212 51625 3452
+rect 52195 3212 52223 3452
+rect 52793 3212 52821 3452
+rect 53391 3212 53419 3452
+rect 53989 3212 54017 3452
+rect 54541 3212 54569 3452
+rect 55139 3212 55167 3452
+rect 55737 3212 55765 3452
+rect 56335 3212 56363 3452
+rect 56933 3212 56961 3452
+rect 57531 3212 57559 3452
+rect 58129 3212 58157 3452
+rect 58727 3212 58755 3452
+rect 59325 3212 59353 3452
+rect 59923 3212 59951 3452
+rect 60521 3212 60549 3452
+rect 61119 3212 61147 3452
+rect 61717 3212 61745 3452
+rect 62315 3212 62343 3452
+rect 62867 3212 62895 3452
+rect 63465 3212 63493 3452
+rect 64063 3212 64091 3452
+rect 64661 3212 64689 3452
+rect 65259 3212 65287 3452
+rect 65857 3212 65885 3452
+rect 66455 3212 66483 3452
+rect 67053 3212 67081 3452
+rect 67651 3212 67679 3452
+rect 68249 3212 68277 3452
+rect 68847 3212 68875 3452
+rect 69445 3212 69473 3452
+rect 70043 3212 70071 3452
+rect 70641 3212 70669 3452
+rect 71193 3212 71221 3452
+rect 71791 3212 71819 3452
+rect 72389 3212 72417 3452
+rect 72987 3212 73015 3452
+rect 73585 3212 73613 3452
+rect 74183 3212 74211 3452
+rect 74781 3212 74809 3452
+rect 75379 3212 75407 3452
+rect 75977 3212 76005 3452
+rect 76575 3212 76603 3452
+rect 77173 3212 77201 3452
+rect 77771 3212 77799 3452
+rect 78369 3212 78397 3452
+rect 78967 3212 78995 3452
+rect 79519 3212 79547 3452
+rect 80117 3212 80145 3452
+rect 80715 3212 80743 3452
+rect 81313 3212 81341 3452
+rect 81911 3212 81939 3452
+rect 82509 3212 82537 3452
+rect 83107 3212 83135 3452
+rect 83705 3212 83733 3452
+rect 84303 3212 84331 3452
+rect 84901 3212 84929 3452
+rect 85499 3212 85527 3452
+rect 86097 3212 86125 3452
+rect 86695 3212 86723 3452
+rect 87293 3212 87321 3452
+rect 87845 3212 87873 3452
+rect 88443 3212 88471 3452
+rect 89041 3212 89069 3452
+rect 89639 3212 89667 3452
+rect 90237 3212 90265 3452
+rect 90835 3212 90863 3452
+rect 91433 3212 91461 3452
+rect 92031 3212 92059 3452
+rect 92629 3212 92657 3452
+rect 93227 3212 93255 3452
+rect 93825 3212 93853 3452
+rect 94423 3212 94451 3452
+rect 95021 3212 95049 3452
+rect 95619 3212 95647 3452
+rect 96171 3212 96199 3452
+rect 96769 3212 96797 3452
+rect 97367 3212 97395 3452
+rect 97965 3212 97993 3452
+rect 98563 3212 98591 3452
+rect 99161 3212 99189 3452
+rect 99759 3212 99787 3452
+rect 100357 3212 100385 3452
+rect 100955 3212 100983 3452
+rect 101553 3212 101581 3452
+rect 102151 3212 102179 3452
+rect 102749 3212 102777 3452
+rect 103347 3212 103375 3452
+rect 103945 3212 103973 3452
+rect 104497 3212 104525 3452
+rect 105095 3212 105123 3452
+rect 105693 3212 105721 3452
+rect 106291 3212 106319 3452
+rect 106889 3212 106917 3452
+rect 107487 3212 107515 3452
+rect 108085 3212 108113 3452
+rect 108683 3212 108711 3452
+rect 109281 3212 109309 3452
+rect 109879 3212 109907 3452
+rect 110477 3212 110505 3452
+rect 111075 3212 111103 3452
+rect 111673 3212 111701 3452
+rect 112271 3212 112299 3452
+rect 112823 3212 112851 3452
+rect 113421 3212 113449 3452
+rect 114019 3212 114047 3452
+rect 114617 3212 114645 3452
+rect 115215 3212 115243 3452
+rect 115813 3212 115841 3452
+rect 116411 3212 116439 3452
+rect 117009 3212 117037 3452
+rect 117607 3212 117635 3452
+rect 118205 3212 118233 3452
+rect 118803 3212 118831 3452
+rect 119401 3212 119429 3452
+rect 119999 3212 120027 3452
+rect 120597 3212 120625 3452
+rect 121149 3212 121177 3452
+rect 121747 3212 121775 3452
+rect 122345 3212 122373 3452
+rect 122943 3212 122971 3452
+rect 123541 3212 123569 3452
+rect 124139 3212 124167 3452
+rect 124737 3212 124765 3452
+rect 125335 3212 125363 3452
+rect 125933 3212 125961 3452
+rect 126531 3212 126559 3452
+rect 127129 3212 127157 3452
+rect 127727 3212 127755 3452
+rect 128325 3212 128353 3452
+rect 128923 3212 128951 3452
+rect 129475 3212 129503 3452
+rect 130073 3212 130101 3452
+rect 130671 3212 130699 3452
+rect 131269 3212 131297 3452
+rect 131867 3212 131895 3452
+rect 132465 3212 132493 3452
+rect 133063 3212 133091 3452
+rect 133661 3212 133689 3452
+rect 134259 3212 134287 3452
+rect 134857 3212 134885 3452
+rect 135455 3212 135483 3452
+rect 136053 3212 136081 3452
+rect 136651 3212 136679 3452
+rect 137249 3212 137277 3452
+rect 137801 3212 137829 3452
+rect 138399 3212 138427 3452
+rect 138997 3212 139025 3452
+rect 139595 3212 139623 3452
+rect 140193 3212 140221 3452
+rect 140791 3212 140819 3452
+rect 141389 3212 141417 3452
+rect 141987 3212 142015 3452
+rect 142585 3212 142613 3452
+rect 143183 3212 143211 3452
+rect 143781 3212 143809 3452
+rect 144379 3212 144407 3452
+rect 144977 3212 145005 3452
+rect 145575 3212 145603 3452
+rect 146127 3212 146155 3452
+rect 146725 3212 146753 3452
+rect 147323 3212 147351 3452
+rect 147921 3212 147949 3452
+rect 148519 3212 148547 3452
+rect 149117 3212 149145 3452
+rect 149715 3212 149743 3452
+rect 150313 3212 150341 3452
+rect 150911 3212 150939 3452
+rect 151509 3212 151537 3452
+rect 152107 3212 152135 3452
+rect 152705 3212 152733 3452
+rect 153303 3212 153331 3452
+rect 153901 3212 153929 3452
+rect 154453 3212 154481 3452
+rect 155051 3212 155079 3452
+rect 155649 3212 155677 3452
+rect 156247 3212 156275 3452
+rect 156845 3212 156873 3452
+rect 157443 3212 157471 3452
+rect 158041 3212 158069 3452
+rect 158639 3212 158667 3452
+rect 159237 3212 159265 3452
+rect 159835 3212 159863 3452
+rect 160433 3212 160461 3452
+rect 161031 3212 161059 3452
+rect 161629 3212 161657 3452
+rect 162227 3212 162255 3452
+rect 162779 3212 162807 3452
+rect 163377 3212 163405 3452
+rect 163975 3212 164003 3452
+rect 164573 3212 164601 3452
+rect 165171 3212 165199 3452
+rect 165769 3212 165797 3452
+rect 166367 3212 166395 3452
+rect 166965 3212 166993 3452
+rect 167563 3212 167591 3452
+rect 168161 3212 168189 3452
+rect 168759 3212 168787 3452
+rect 169357 3212 169385 3452
+rect 169955 3212 169983 3452
+rect 170553 3212 170581 3452
+rect 171105 3212 171133 3452
+rect 171703 3212 171731 3452
+rect 172301 3212 172329 3452
+rect 172899 3212 172927 3452
+rect 173497 3212 173525 3452
+rect 174095 3212 174123 3452
+rect 174693 3212 174721 3452
+rect 175291 3212 175319 3452
+rect 175889 3212 175917 3452
+rect 176487 3212 176515 3452
+rect 177085 3212 177113 3452
+rect 177683 3212 177711 3452
+rect 178281 3212 178309 3452
+rect 178879 3212 178907 3452
+rect 179431 3212 179459 3452
+rect 180029 3212 180057 3452
+rect 180627 3212 180655 3452
+rect 181225 3212 181253 3452
+rect 181823 3212 181851 3452
+rect 182421 3212 182449 3452
+rect 183019 3212 183047 3452
+rect 183617 3212 183645 3452
+rect 184215 3212 184243 3452
+rect 184813 3212 184841 3452
+rect 185411 3212 185439 3452
+rect 186009 3212 186037 3452
+rect 186607 3212 186635 3452
+rect 187205 3212 187233 3452
+rect 187757 3212 187785 3452
+rect 188355 3212 188383 3452
+rect 188953 3212 188981 3452
+rect 189551 3212 189579 3452
+rect 190149 3212 190177 3452
+rect 190747 3212 190775 3452
+rect 191345 3212 191373 3452
+rect 191943 3212 191971 3452
+rect 192541 3212 192569 3452
+rect 193139 3212 193167 3452
+rect 193737 3212 193765 3452
+rect 194335 3212 194363 3452
+rect 194933 3212 194961 3452
+rect 195531 3212 195559 3452
+rect 196083 3212 196111 3452
+rect 196681 3212 196709 3452
+rect 197279 3212 197307 3452
+rect 197877 3212 197905 3452
+rect 198475 3212 198503 3452
+rect 199073 3212 199101 3452
+rect 199671 3212 199699 3452
+rect 200269 3212 200297 3452
+rect 200867 3212 200895 3452
+rect 201465 3212 201493 3452
+rect 202063 3212 202091 3452
+rect 202661 3212 202689 3452
+rect 203259 3212 203287 3452
+rect 203857 3212 203885 3452
+rect 204409 3212 204437 3452
+rect 205007 3212 205035 3452
+rect 205605 3212 205633 3452
+rect 206203 3212 206231 3452
+rect 206801 3212 206829 3452
+rect 207399 3212 207427 3452
+rect 207997 3212 208025 3452
+rect 208595 3212 208623 3452
+rect 209193 3212 209221 3452
+rect 209791 3212 209819 3452
+rect 210389 3212 210417 3452
+rect 210987 3212 211015 3452
+rect 211585 3212 211613 3452
+rect 212183 3212 212211 3452
+rect 212735 3212 212763 3452
+rect 213333 3212 213361 3452
+rect 213931 3212 213959 3452
+rect 214529 3212 214557 3452
+rect 215127 3212 215155 3452
+rect 215725 3212 215753 3452
+rect 216323 3212 216351 3452
+rect 216921 3212 216949 3452
+rect 217519 3212 217547 3452
+rect 218117 3212 218145 3452
+rect 218715 3212 218743 3452
+rect 219313 3212 219341 3452
+rect 219911 3212 219939 3452
+rect 220509 3212 220537 3452
+rect 221061 3212 221089 3452
+rect 221659 3212 221687 3452
+rect 222257 3212 222285 3452
+rect 222855 3212 222883 3452
+rect 223453 3212 223481 3452
+rect 224051 3212 224079 3452
+rect 224649 3212 224677 3452
+rect 225247 3212 225275 3452
+rect 225845 3212 225873 3452
+rect 226443 3212 226471 3452
+rect 227041 3212 227069 3452
+rect 227639 3212 227667 3452
+rect 228237 3212 228265 3452
+rect 228835 3212 228863 3452
+rect 229387 3212 229415 3452
+rect 229985 3212 230013 3452
+rect 230583 3212 230611 3452
+rect 231181 3212 231209 3452
+rect 231779 3212 231807 3452
+rect 232377 3212 232405 3452
+rect 232975 3212 233003 3452
+rect 233573 3212 233601 3452
+rect 234171 3212 234199 3452
+rect 234769 3212 234797 3452
+rect 235367 3212 235395 3452
+rect 235965 3212 235993 3452
+rect 236563 3212 236591 3452
+rect 237161 3212 237189 3452
+rect 237713 3212 237741 3452
+rect 238311 3212 238339 3452
+rect 238909 3212 238937 3452
+rect 239507 3212 239535 3452
+rect 240105 3212 240133 3452
+rect 240703 3212 240731 3452
+rect 241301 3212 241329 3452
+rect 241899 3212 241927 3452
+rect 242497 3212 242525 3452
+rect 243095 3212 243123 3452
+rect 243693 3212 243721 3452
+rect 244291 3212 244319 3452
+rect 244889 3212 244917 3452
+rect 245487 3212 245515 3452
+rect 246039 3212 246067 3452
+rect 246637 3212 246665 3452
+rect 247235 3212 247263 3452
+rect 247833 3212 247861 3452
+rect 248431 3212 248459 3452
+rect 249029 3212 249057 3452
+rect 249627 3212 249655 3452
+rect 250225 3212 250253 3452
+rect 250823 3212 250851 3452
+rect 251421 3212 251449 3452
+rect 252019 3212 252047 3452
+rect 252617 3212 252645 3452
+rect 253215 3212 253243 3452
+rect 253813 3212 253841 3452
+rect 254365 3212 254393 3452
+rect 254963 3212 254991 3452
+rect 255561 3212 255589 3452
+rect 256159 3212 256187 3452
+rect 256757 3212 256785 3452
+rect 257355 3212 257383 3452
+rect 257953 3212 257981 3452
+rect 258551 3212 258579 3452
+rect 259149 3212 259177 3452
+rect 259747 3212 259775 3452
+rect 260345 3212 260373 3452
+rect 260943 3212 260971 3452
+rect 261541 3212 261569 3452
+rect 262139 3212 262167 3452
+rect 262691 3212 262719 3452
+rect 263289 3212 263317 3452
+rect 263887 3212 263915 3452
+rect 264485 3212 264513 3452
+rect 265083 3212 265111 3452
+rect 265681 3212 265709 3452
+rect 266279 3212 266307 3452
+rect 266877 3212 266905 3452
+rect 267475 3212 267503 3452
+rect 268073 3212 268101 3452
+rect 268671 3212 268699 3452
+rect 269269 3212 269297 3452
+rect 269867 3212 269895 3452
+rect 270465 3212 270493 3452
+rect 271017 3212 271045 3452
+rect 271615 3212 271643 3452
+rect 272213 3212 272241 3452
+rect 272811 3212 272839 3452
+rect 273409 3212 273437 3452
+rect 274007 3212 274035 3452
+rect 274605 3212 274633 3452
+rect 275203 3212 275231 3452
+rect 275801 3212 275829 3452
+rect 276399 3212 276427 3452
+rect 276997 3212 277025 3452
+rect 277595 3212 277623 3452
+rect 278193 3212 278221 3452
+rect 278791 3212 278819 3452
+rect 279343 3212 279371 3452
+rect 279941 3212 279969 3452
+rect 280539 3212 280567 3452
+rect 281137 3212 281165 3452
+rect 281735 3212 281763 3452
+rect 282333 3212 282361 3452
+rect 282931 3212 282959 3452
+rect 283529 3212 283557 3452
+rect 284127 3212 284155 3452
+rect 284725 3212 284753 3452
+rect 285323 3212 285351 3452
+rect 285921 3212 285949 3452
+rect 286519 3212 286547 3452
+rect 287117 3212 287145 3452
+rect 287669 3212 287697 3452
+rect 288267 3212 288295 3452
+rect 288865 3212 288893 3452
+rect 289463 3212 289491 3452
+rect 290061 3212 290089 3452
+rect 290659 3212 290687 3452
+rect 291257 3212 291285 3452
+rect 291855 3212 291883 3452
+rect 292453 3212 292481 3452
+rect 293051 3212 293079 3452
+rect 293649 3212 293677 3452
+rect 294247 3212 294275 3452
+rect 294845 3212 294873 3452
+rect 295443 3212 295471 3452
+<< metal3 >>
+rect 295508 352192 295748 352252
+rect 3748 351512 3988 351572
+rect 295508 346344 295748 346404
+rect 3748 344304 3988 344364
+rect 295508 340496 295748 340556
+rect 3748 337164 3988 337224
+rect 295508 334580 295748 334640
+rect 3748 329956 3988 330016
+rect 295508 328732 295748 328792
+rect 295508 322884 295748 322944
+rect 3748 322748 3988 322808
+rect 295508 317036 295748 317096
+rect 3748 315608 3988 315668
+rect 295508 311120 295748 311180
+rect 3748 308400 3988 308460
+rect 295508 305272 295748 305332
+rect 3748 301192 3988 301252
+rect 295508 299424 295748 299484
+rect 3748 294052 3988 294112
+rect 295508 293576 295748 293636
+rect 295508 287660 295748 287720
+rect 3748 286844 3988 286904
+rect 295508 281812 295748 281872
+rect 3748 279704 3988 279764
+rect 295508 275964 295748 276024
+rect 3748 272496 3988 272556
+rect 295508 270116 295748 270176
+rect 3748 265288 3988 265348
+rect 295508 264200 295748 264260
+rect 295508 258352 295748 258412
+rect 3748 258148 3988 258208
+rect 295508 252504 295748 252564
+rect 3748 250940 3988 251000
+rect 295508 246588 295748 246648
+rect 3748 243732 3988 243792
+rect 295508 240740 295748 240800
+rect 3748 236592 3988 236652
+rect 295508 234892 295748 234952
+rect 3748 229384 3988 229444
+rect 295508 229044 295748 229104
+rect 295508 223128 295748 223188
+rect 3748 222176 3988 222236
+rect 295508 217280 295748 217340
+rect 3748 215036 3988 215096
+rect 295508 211432 295748 211492
+rect 3748 207828 3988 207888
+rect 295508 205584 295748 205644
+rect 3748 200688 3988 200748
+rect 295508 199668 295748 199728
+rect 295508 193820 295748 193880
+rect 3748 193480 3988 193540
+rect 295508 187972 295748 188032
+rect 3748 186272 3988 186332
+rect 295508 182124 295748 182184
+rect 3748 179132 3988 179192
+rect 295508 176208 295748 176268
+rect 3748 171924 3988 171984
+rect 295508 170360 295748 170420
+rect 3748 164716 3988 164776
+rect 295508 164512 295748 164572
+rect 295508 158596 295748 158656
+rect 3748 157576 3988 157636
+rect 295508 152748 295748 152808
+rect 3748 150368 3988 150428
+rect 295508 146900 295748 146960
+rect 3748 143228 3988 143288
+rect 295508 141052 295748 141112
+rect 3748 136020 3988 136080
+rect 295508 135136 295748 135196
+rect 295508 129288 295748 129348
+rect 3748 128812 3988 128872
+rect 295508 123440 295748 123500
+rect 3748 121672 3988 121732
+rect 295508 117592 295748 117652
+rect 3748 114464 3988 114524
+rect 295508 111676 295748 111736
+rect 3748 107256 3988 107316
+rect 295508 105828 295748 105888
+rect 3748 100116 3988 100176
+rect 295508 99980 295748 100040
+rect 295508 94132 295748 94192
+rect 3748 92908 3988 92968
+rect 295508 88216 295748 88276
+rect 3748 85700 3988 85760
+rect 295508 82368 295748 82428
+rect 3748 78560 3988 78620
+rect 295508 76520 295748 76580
+rect 3748 71352 3988 71412
+rect 295508 70604 295748 70664
+rect 295508 64756 295748 64816
+rect 3748 64212 3988 64272
+rect 295508 58908 295748 58968
+rect 3748 57004 3988 57064
+rect 295508 53060 295748 53120
+rect 3748 49796 3988 49856
+rect 295508 47144 295748 47204
+rect 3748 42656 3988 42716
+rect 295508 41296 295748 41356
+rect 3748 35448 3988 35508
+rect 295508 35448 295748 35508
+rect 295508 29600 295748 29660
+rect 3748 28240 3988 28300
+rect 295508 23684 295748 23744
+rect 3748 21100 3988 21160
+rect 295508 17836 295748 17896
+rect 3748 13892 3988 13952
+rect 295508 11988 295748 12048
+rect 3748 6752 3988 6812
+rect 295508 6140 295748 6200
+<< metal4 >>
+rect 0 358351 200 358392
+rect 0 358233 41 358351
+rect 159 358233 200 358351
+rect 0 352239 200 358233
+rect 0 352121 41 352239
+rect 159 352121 200 352239
+rect 0 342239 200 352121
+rect 0 342121 41 342239
+rect 159 342121 200 342239
+rect 0 332239 200 342121
+rect 0 332121 41 332239
+rect 159 332121 200 332239
+rect 0 322239 200 332121
+rect 0 322121 41 322239
+rect 159 322121 200 322239
+rect 0 312239 200 322121
+rect 0 312121 41 312239
+rect 159 312121 200 312239
+rect 0 302239 200 312121
+rect 0 302121 41 302239
+rect 159 302121 200 302239
+rect 0 292239 200 302121
+rect 0 292121 41 292239
+rect 159 292121 200 292239
+rect 0 282239 200 292121
+rect 0 282121 41 282239
+rect 159 282121 200 282239
+rect 0 272239 200 282121
+rect 0 272121 41 272239
+rect 159 272121 200 272239
+rect 0 262239 200 272121
+rect 0 262121 41 262239
+rect 159 262121 200 262239
+rect 0 252239 200 262121
+rect 0 252121 41 252239
+rect 159 252121 200 252239
+rect 0 242239 200 252121
+rect 0 242121 41 242239
+rect 159 242121 200 242239
+rect 0 232239 200 242121
+rect 0 232121 41 232239
+rect 159 232121 200 232239
+rect 0 222239 200 232121
+rect 0 222121 41 222239
+rect 159 222121 200 222239
+rect 0 212239 200 222121
+rect 0 212121 41 212239
+rect 159 212121 200 212239
+rect 0 202239 200 212121
+rect 0 202121 41 202239
+rect 159 202121 200 202239
+rect 0 192239 200 202121
+rect 0 192121 41 192239
+rect 159 192121 200 192239
+rect 0 182239 200 192121
+rect 0 182121 41 182239
+rect 159 182121 200 182239
+rect 0 172239 200 182121
+rect 0 172121 41 172239
+rect 159 172121 200 172239
+rect 0 162239 200 172121
+rect 0 162121 41 162239
+rect 159 162121 200 162239
+rect 0 152239 200 162121
+rect 0 152121 41 152239
+rect 159 152121 200 152239
+rect 0 142239 200 152121
+rect 0 142121 41 142239
+rect 159 142121 200 142239
+rect 0 132239 200 142121
+rect 0 132121 41 132239
+rect 159 132121 200 132239
+rect 0 122239 200 132121
+rect 0 122121 41 122239
+rect 159 122121 200 122239
+rect 0 112239 200 122121
+rect 0 112121 41 112239
+rect 159 112121 200 112239
+rect 0 102239 200 112121
+rect 0 102121 41 102239
+rect 159 102121 200 102239
+rect 0 92239 200 102121
+rect 0 92121 41 92239
+rect 159 92121 200 92239
+rect 0 82239 200 92121
+rect 0 82121 41 82239
+rect 159 82121 200 82239
+rect 0 72239 200 82121
+rect 0 72121 41 72239
+rect 159 72121 200 72239
+rect 0 62239 200 72121
+rect 0 62121 41 62239
+rect 159 62121 200 62239
+rect 0 52239 200 62121
+rect 0 52121 41 52239
+rect 159 52121 200 52239
+rect 0 42239 200 52121
+rect 0 42121 41 42239
+rect 159 42121 200 42239
+rect 0 32239 200 42121
+rect 0 32121 41 32239
+rect 159 32121 200 32239
+rect 0 22239 200 32121
+rect 0 22121 41 22239
+rect 159 22121 200 22239
+rect 0 12239 200 22121
+rect 0 12121 41 12239
+rect 159 12121 200 12239
+rect 0 159 200 12121
+rect 400 357951 600 357992
+rect 400 357833 441 357951
+rect 559 357833 600 357951
+rect 400 347239 600 357833
+rect 7080 357951 7280 358392
+rect 7080 357833 7121 357951
+rect 7239 357833 7280 357951
+rect 400 347121 441 347239
+rect 559 347121 600 347239
+rect 400 337239 600 347121
+rect 400 337121 441 337239
+rect 559 337121 600 337239
+rect 400 327239 600 337121
+rect 400 327121 441 327239
+rect 559 327121 600 327239
+rect 400 317239 600 327121
+rect 400 317121 441 317239
+rect 559 317121 600 317239
+rect 400 307239 600 317121
+rect 400 307121 441 307239
+rect 559 307121 600 307239
+rect 400 297239 600 307121
+rect 400 297121 441 297239
+rect 559 297121 600 297239
+rect 400 287239 600 297121
+rect 400 287121 441 287239
+rect 559 287121 600 287239
+rect 400 277239 600 287121
+rect 400 277121 441 277239
+rect 559 277121 600 277239
+rect 400 267239 600 277121
+rect 400 267121 441 267239
+rect 559 267121 600 267239
+rect 400 257239 600 267121
+rect 400 257121 441 257239
+rect 559 257121 600 257239
+rect 400 247239 600 257121
+rect 400 247121 441 247239
+rect 559 247121 600 247239
+rect 400 237239 600 247121
+rect 400 237121 441 237239
+rect 559 237121 600 237239
+rect 400 227239 600 237121
+rect 400 227121 441 227239
+rect 559 227121 600 227239
+rect 400 217239 600 227121
+rect 400 217121 441 217239
+rect 559 217121 600 217239
+rect 400 207239 600 217121
+rect 400 207121 441 207239
+rect 559 207121 600 207239
+rect 400 197239 600 207121
+rect 400 197121 441 197239
+rect 559 197121 600 197239
+rect 400 187239 600 197121
+rect 400 187121 441 187239
+rect 559 187121 600 187239
+rect 400 177239 600 187121
+rect 400 177121 441 177239
+rect 559 177121 600 177239
+rect 400 167239 600 177121
+rect 400 167121 441 167239
+rect 559 167121 600 167239
+rect 400 157239 600 167121
+rect 400 157121 441 157239
+rect 559 157121 600 157239
+rect 400 147239 600 157121
+rect 400 147121 441 147239
+rect 559 147121 600 147239
+rect 400 137239 600 147121
+rect 400 137121 441 137239
+rect 559 137121 600 137239
+rect 400 127239 600 137121
+rect 400 127121 441 127239
+rect 559 127121 600 127239
+rect 400 117239 600 127121
+rect 400 117121 441 117239
+rect 559 117121 600 117239
+rect 400 107239 600 117121
+rect 400 107121 441 107239
+rect 559 107121 600 107239
+rect 400 97239 600 107121
+rect 400 97121 441 97239
+rect 559 97121 600 97239
+rect 400 87239 600 97121
+rect 400 87121 441 87239
+rect 559 87121 600 87239
+rect 400 77239 600 87121
+rect 400 77121 441 77239
+rect 559 77121 600 77239
+rect 400 67239 600 77121
+rect 400 67121 441 67239
+rect 559 67121 600 67239
+rect 400 57239 600 67121
+rect 400 57121 441 57239
+rect 559 57121 600 57239
+rect 400 47239 600 57121
+rect 400 47121 441 47239
+rect 559 47121 600 47239
+rect 400 37239 600 47121
+rect 400 37121 441 37239
+rect 559 37121 600 37239
+rect 400 27239 600 37121
+rect 400 27121 441 27239
+rect 559 27121 600 27239
+rect 400 17239 600 27121
+rect 400 17121 441 17239
+rect 559 17121 600 17239
+rect 400 7239 600 17121
+rect 400 7121 441 7239
+rect 559 7121 600 7239
+rect 400 559 600 7121
+rect 800 357551 1000 357592
+rect 800 357433 841 357551
+rect 959 357433 1000 357551
+rect 800 351279 1000 357433
+rect 800 351161 841 351279
+rect 959 351161 1000 351279
+rect 800 341279 1000 351161
+rect 800 341161 841 341279
+rect 959 341161 1000 341279
+rect 800 331279 1000 341161
+rect 800 331161 841 331279
+rect 959 331161 1000 331279
+rect 800 321279 1000 331161
+rect 800 321161 841 321279
+rect 959 321161 1000 321279
+rect 800 311279 1000 321161
+rect 800 311161 841 311279
+rect 959 311161 1000 311279
+rect 800 301279 1000 311161
+rect 800 301161 841 301279
+rect 959 301161 1000 301279
+rect 800 291279 1000 301161
+rect 800 291161 841 291279
+rect 959 291161 1000 291279
+rect 800 281279 1000 291161
+rect 800 281161 841 281279
+rect 959 281161 1000 281279
+rect 800 271279 1000 281161
+rect 800 271161 841 271279
+rect 959 271161 1000 271279
+rect 800 261279 1000 271161
+rect 800 261161 841 261279
+rect 959 261161 1000 261279
+rect 800 251279 1000 261161
+rect 800 251161 841 251279
+rect 959 251161 1000 251279
+rect 800 241279 1000 251161
+rect 800 241161 841 241279
+rect 959 241161 1000 241279
+rect 800 231279 1000 241161
+rect 800 231161 841 231279
+rect 959 231161 1000 231279
+rect 800 221279 1000 231161
+rect 800 221161 841 221279
+rect 959 221161 1000 221279
+rect 800 211279 1000 221161
+rect 800 211161 841 211279
+rect 959 211161 1000 211279
+rect 800 201279 1000 211161
+rect 800 201161 841 201279
+rect 959 201161 1000 201279
+rect 800 191279 1000 201161
+rect 800 191161 841 191279
+rect 959 191161 1000 191279
+rect 800 181279 1000 191161
+rect 800 181161 841 181279
+rect 959 181161 1000 181279
+rect 800 171279 1000 181161
+rect 800 171161 841 171279
+rect 959 171161 1000 171279
+rect 800 161279 1000 171161
+rect 800 161161 841 161279
+rect 959 161161 1000 161279
+rect 800 151279 1000 161161
+rect 800 151161 841 151279
+rect 959 151161 1000 151279
+rect 800 141279 1000 151161
+rect 800 141161 841 141279
+rect 959 141161 1000 141279
+rect 800 131279 1000 141161
+rect 800 131161 841 131279
+rect 959 131161 1000 131279
+rect 800 121279 1000 131161
+rect 800 121161 841 121279
+rect 959 121161 1000 121279
+rect 800 111279 1000 121161
+rect 800 111161 841 111279
+rect 959 111161 1000 111279
+rect 800 101279 1000 111161
+rect 800 101161 841 101279
+rect 959 101161 1000 101279
+rect 800 91279 1000 101161
+rect 800 91161 841 91279
+rect 959 91161 1000 91279
+rect 800 81279 1000 91161
+rect 800 81161 841 81279
+rect 959 81161 1000 81279
+rect 800 71279 1000 81161
+rect 800 71161 841 71279
+rect 959 71161 1000 71279
+rect 800 61279 1000 71161
+rect 800 61161 841 61279
+rect 959 61161 1000 61279
+rect 800 51279 1000 61161
+rect 800 51161 841 51279
+rect 959 51161 1000 51279
+rect 800 41279 1000 51161
+rect 800 41161 841 41279
+rect 959 41161 1000 41279
+rect 800 31279 1000 41161
+rect 800 31161 841 31279
+rect 959 31161 1000 31279
+rect 800 21279 1000 31161
+rect 800 21161 841 21279
+rect 959 21161 1000 21279
+rect 800 11279 1000 21161
+rect 800 11161 841 11279
+rect 959 11161 1000 11279
+rect 800 959 1000 11161
+rect 1200 357151 1400 357192
+rect 1200 357033 1241 357151
+rect 1359 357033 1400 357151
+rect 1200 346279 1400 357033
+rect 6120 357151 6320 357592
+rect 6120 357033 6161 357151
+rect 6279 357033 6320 357151
+rect 1200 346161 1241 346279
+rect 1359 346161 1400 346279
+rect 1200 336279 1400 346161
+rect 1200 336161 1241 336279
+rect 1359 336161 1400 336279
+rect 1200 326279 1400 336161
+rect 1200 326161 1241 326279
+rect 1359 326161 1400 326279
+rect 1200 316279 1400 326161
+rect 1200 316161 1241 316279
+rect 1359 316161 1400 316279
+rect 1200 306279 1400 316161
+rect 1200 306161 1241 306279
+rect 1359 306161 1400 306279
+rect 1200 296279 1400 306161
+rect 1200 296161 1241 296279
+rect 1359 296161 1400 296279
+rect 1200 286279 1400 296161
+rect 1200 286161 1241 286279
+rect 1359 286161 1400 286279
+rect 1200 276279 1400 286161
+rect 1200 276161 1241 276279
+rect 1359 276161 1400 276279
+rect 1200 266279 1400 276161
+rect 1200 266161 1241 266279
+rect 1359 266161 1400 266279
+rect 1200 256279 1400 266161
+rect 1200 256161 1241 256279
+rect 1359 256161 1400 256279
+rect 1200 246279 1400 256161
+rect 1200 246161 1241 246279
+rect 1359 246161 1400 246279
+rect 1200 236279 1400 246161
+rect 1200 236161 1241 236279
+rect 1359 236161 1400 236279
+rect 1200 226279 1400 236161
+rect 1200 226161 1241 226279
+rect 1359 226161 1400 226279
+rect 1200 216279 1400 226161
+rect 1200 216161 1241 216279
+rect 1359 216161 1400 216279
+rect 1200 206279 1400 216161
+rect 1200 206161 1241 206279
+rect 1359 206161 1400 206279
+rect 1200 196279 1400 206161
+rect 1200 196161 1241 196279
+rect 1359 196161 1400 196279
+rect 1200 186279 1400 196161
+rect 1200 186161 1241 186279
+rect 1359 186161 1400 186279
+rect 1200 176279 1400 186161
+rect 1200 176161 1241 176279
+rect 1359 176161 1400 176279
+rect 1200 166279 1400 176161
+rect 1200 166161 1241 166279
+rect 1359 166161 1400 166279
+rect 1200 156279 1400 166161
+rect 1200 156161 1241 156279
+rect 1359 156161 1400 156279
+rect 1200 146279 1400 156161
+rect 1200 146161 1241 146279
+rect 1359 146161 1400 146279
+rect 1200 136279 1400 146161
+rect 1200 136161 1241 136279
+rect 1359 136161 1400 136279
+rect 1200 126279 1400 136161
+rect 1200 126161 1241 126279
+rect 1359 126161 1400 126279
+rect 1200 116279 1400 126161
+rect 1200 116161 1241 116279
+rect 1359 116161 1400 116279
+rect 1200 106279 1400 116161
+rect 1200 106161 1241 106279
+rect 1359 106161 1400 106279
+rect 1200 96279 1400 106161
+rect 1200 96161 1241 96279
+rect 1359 96161 1400 96279
+rect 1200 86279 1400 96161
+rect 1200 86161 1241 86279
+rect 1359 86161 1400 86279
+rect 1200 76279 1400 86161
+rect 1200 76161 1241 76279
+rect 1359 76161 1400 76279
+rect 1200 66279 1400 76161
+rect 1200 66161 1241 66279
+rect 1359 66161 1400 66279
+rect 1200 56279 1400 66161
+rect 1200 56161 1241 56279
+rect 1359 56161 1400 56279
+rect 1200 46279 1400 56161
+rect 1200 46161 1241 46279
+rect 1359 46161 1400 46279
+rect 1200 36279 1400 46161
+rect 1200 36161 1241 36279
+rect 1359 36161 1400 36279
+rect 1200 26279 1400 36161
+rect 1200 26161 1241 26279
+rect 1359 26161 1400 26279
+rect 1200 16279 1400 26161
+rect 1200 16161 1241 16279
+rect 1359 16161 1400 16279
+rect 1200 6279 1400 16161
+rect 1200 6161 1241 6279
+rect 1359 6161 1400 6279
+rect 1200 1359 1400 6161
+rect 1600 356751 1800 356792
+rect 1600 356633 1641 356751
+rect 1759 356633 1800 356751
+rect 1600 350319 1800 356633
+rect 1600 350201 1641 350319
+rect 1759 350201 1800 350319
+rect 1600 340319 1800 350201
+rect 1600 340201 1641 340319
+rect 1759 340201 1800 340319
+rect 1600 330319 1800 340201
+rect 1600 330201 1641 330319
+rect 1759 330201 1800 330319
+rect 1600 320319 1800 330201
+rect 1600 320201 1641 320319
+rect 1759 320201 1800 320319
+rect 1600 310319 1800 320201
+rect 1600 310201 1641 310319
+rect 1759 310201 1800 310319
+rect 1600 300319 1800 310201
+rect 1600 300201 1641 300319
+rect 1759 300201 1800 300319
+rect 1600 290319 1800 300201
+rect 1600 290201 1641 290319
+rect 1759 290201 1800 290319
+rect 1600 280319 1800 290201
+rect 1600 280201 1641 280319
+rect 1759 280201 1800 280319
+rect 1600 270319 1800 280201
+rect 1600 270201 1641 270319
+rect 1759 270201 1800 270319
+rect 1600 260319 1800 270201
+rect 1600 260201 1641 260319
+rect 1759 260201 1800 260319
+rect 1600 250319 1800 260201
+rect 1600 250201 1641 250319
+rect 1759 250201 1800 250319
+rect 1600 240319 1800 250201
+rect 1600 240201 1641 240319
+rect 1759 240201 1800 240319
+rect 1600 230319 1800 240201
+rect 1600 230201 1641 230319
+rect 1759 230201 1800 230319
+rect 1600 220319 1800 230201
+rect 1600 220201 1641 220319
+rect 1759 220201 1800 220319
+rect 1600 210319 1800 220201
+rect 1600 210201 1641 210319
+rect 1759 210201 1800 210319
+rect 1600 200319 1800 210201
+rect 1600 200201 1641 200319
+rect 1759 200201 1800 200319
+rect 1600 190319 1800 200201
+rect 1600 190201 1641 190319
+rect 1759 190201 1800 190319
+rect 1600 180319 1800 190201
+rect 1600 180201 1641 180319
+rect 1759 180201 1800 180319
+rect 1600 170319 1800 180201
+rect 1600 170201 1641 170319
+rect 1759 170201 1800 170319
+rect 1600 160319 1800 170201
+rect 1600 160201 1641 160319
+rect 1759 160201 1800 160319
+rect 1600 150319 1800 160201
+rect 1600 150201 1641 150319
+rect 1759 150201 1800 150319
+rect 1600 140319 1800 150201
+rect 1600 140201 1641 140319
+rect 1759 140201 1800 140319
+rect 1600 130319 1800 140201
+rect 1600 130201 1641 130319
+rect 1759 130201 1800 130319
+rect 1600 120319 1800 130201
+rect 1600 120201 1641 120319
+rect 1759 120201 1800 120319
+rect 1600 110319 1800 120201
+rect 1600 110201 1641 110319
+rect 1759 110201 1800 110319
+rect 1600 100319 1800 110201
+rect 1600 100201 1641 100319
+rect 1759 100201 1800 100319
+rect 1600 90319 1800 100201
+rect 1600 90201 1641 90319
+rect 1759 90201 1800 90319
+rect 1600 80319 1800 90201
+rect 1600 80201 1641 80319
+rect 1759 80201 1800 80319
+rect 1600 70319 1800 80201
+rect 1600 70201 1641 70319
+rect 1759 70201 1800 70319
+rect 1600 60319 1800 70201
+rect 1600 60201 1641 60319
+rect 1759 60201 1800 60319
+rect 1600 50319 1800 60201
+rect 1600 50201 1641 50319
+rect 1759 50201 1800 50319
+rect 1600 40319 1800 50201
+rect 1600 40201 1641 40319
+rect 1759 40201 1800 40319
+rect 1600 30319 1800 40201
+rect 1600 30201 1641 30319
+rect 1759 30201 1800 30319
+rect 1600 20319 1800 30201
+rect 1600 20201 1641 20319
+rect 1759 20201 1800 20319
+rect 1600 10319 1800 20201
+rect 1600 10201 1641 10319
+rect 1759 10201 1800 10319
+rect 1600 1759 1800 10201
+rect 2000 356351 2200 356392
+rect 2000 356233 2041 356351
+rect 2159 356233 2200 356351
+rect 2000 345319 2200 356233
+rect 5160 356351 5360 356792
+rect 5160 356233 5201 356351
+rect 5319 356233 5360 356351
+rect 2000 345201 2041 345319
+rect 2159 345201 2200 345319
+rect 2000 335319 2200 345201
+rect 2000 335201 2041 335319
+rect 2159 335201 2200 335319
+rect 2000 325319 2200 335201
+rect 2000 325201 2041 325319
+rect 2159 325201 2200 325319
+rect 2000 315319 2200 325201
+rect 2000 315201 2041 315319
+rect 2159 315201 2200 315319
+rect 2000 305319 2200 315201
+rect 2000 305201 2041 305319
+rect 2159 305201 2200 305319
+rect 2000 295319 2200 305201
+rect 2000 295201 2041 295319
+rect 2159 295201 2200 295319
+rect 2000 285319 2200 295201
+rect 2000 285201 2041 285319
+rect 2159 285201 2200 285319
+rect 2000 275319 2200 285201
+rect 2000 275201 2041 275319
+rect 2159 275201 2200 275319
+rect 2000 265319 2200 275201
+rect 2000 265201 2041 265319
+rect 2159 265201 2200 265319
+rect 2000 255319 2200 265201
+rect 2000 255201 2041 255319
+rect 2159 255201 2200 255319
+rect 2000 245319 2200 255201
+rect 2000 245201 2041 245319
+rect 2159 245201 2200 245319
+rect 2000 235319 2200 245201
+rect 2000 235201 2041 235319
+rect 2159 235201 2200 235319
+rect 2000 225319 2200 235201
+rect 2000 225201 2041 225319
+rect 2159 225201 2200 225319
+rect 2000 215319 2200 225201
+rect 2000 215201 2041 215319
+rect 2159 215201 2200 215319
+rect 2000 205319 2200 215201
+rect 2000 205201 2041 205319
+rect 2159 205201 2200 205319
+rect 2000 195319 2200 205201
+rect 2000 195201 2041 195319
+rect 2159 195201 2200 195319
+rect 2000 185319 2200 195201
+rect 2000 185201 2041 185319
+rect 2159 185201 2200 185319
+rect 2000 175319 2200 185201
+rect 2000 175201 2041 175319
+rect 2159 175201 2200 175319
+rect 2000 165319 2200 175201
+rect 2000 165201 2041 165319
+rect 2159 165201 2200 165319
+rect 2000 155319 2200 165201
+rect 2000 155201 2041 155319
+rect 2159 155201 2200 155319
+rect 2000 145319 2200 155201
+rect 2000 145201 2041 145319
+rect 2159 145201 2200 145319
+rect 2000 135319 2200 145201
+rect 2000 135201 2041 135319
+rect 2159 135201 2200 135319
+rect 2000 125319 2200 135201
+rect 2000 125201 2041 125319
+rect 2159 125201 2200 125319
+rect 2000 115319 2200 125201
+rect 2000 115201 2041 115319
+rect 2159 115201 2200 115319
+rect 2000 105319 2200 115201
+rect 2000 105201 2041 105319
+rect 2159 105201 2200 105319
+rect 2000 95319 2200 105201
+rect 2000 95201 2041 95319
+rect 2159 95201 2200 95319
+rect 2000 85319 2200 95201
+rect 2000 85201 2041 85319
+rect 2159 85201 2200 85319
+rect 2000 75319 2200 85201
+rect 2000 75201 2041 75319
+rect 2159 75201 2200 75319
+rect 2000 65319 2200 75201
+rect 2000 65201 2041 65319
+rect 2159 65201 2200 65319
+rect 2000 55319 2200 65201
+rect 2000 55201 2041 55319
+rect 2159 55201 2200 55319
+rect 2000 45319 2200 55201
+rect 2000 45201 2041 45319
+rect 2159 45201 2200 45319
+rect 2000 35319 2200 45201
+rect 2000 35201 2041 35319
+rect 2159 35201 2200 35319
+rect 2000 25319 2200 35201
+rect 2000 25201 2041 25319
+rect 2159 25201 2200 25319
+rect 2000 15319 2200 25201
+rect 2000 15201 2041 15319
+rect 2159 15201 2200 15319
+rect 2000 5319 2200 15201
+rect 2000 5201 2041 5319
+rect 2159 5201 2200 5319
+rect 2000 2159 2200 5201
+rect 2400 355951 2600 355992
+rect 2400 355833 2441 355951
+rect 2559 355833 2600 355951
+rect 2400 349359 2600 355833
+rect 2400 349241 2441 349359
+rect 2559 349241 2600 349359
+rect 2400 339359 2600 349241
+rect 2400 339241 2441 339359
+rect 2559 339241 2600 339359
+rect 2400 329359 2600 339241
+rect 2400 329241 2441 329359
+rect 2559 329241 2600 329359
+rect 2400 319359 2600 329241
+rect 2400 319241 2441 319359
+rect 2559 319241 2600 319359
+rect 2400 309359 2600 319241
+rect 2400 309241 2441 309359
+rect 2559 309241 2600 309359
+rect 2400 299359 2600 309241
+rect 2400 299241 2441 299359
+rect 2559 299241 2600 299359
+rect 2400 289359 2600 299241
+rect 2400 289241 2441 289359
+rect 2559 289241 2600 289359
+rect 2400 279359 2600 289241
+rect 2400 279241 2441 279359
+rect 2559 279241 2600 279359
+rect 2400 269359 2600 279241
+rect 2400 269241 2441 269359
+rect 2559 269241 2600 269359
+rect 2400 259359 2600 269241
+rect 2400 259241 2441 259359
+rect 2559 259241 2600 259359
+rect 2400 249359 2600 259241
+rect 2400 249241 2441 249359
+rect 2559 249241 2600 249359
+rect 2400 239359 2600 249241
+rect 2400 239241 2441 239359
+rect 2559 239241 2600 239359
+rect 2400 229359 2600 239241
+rect 2400 229241 2441 229359
+rect 2559 229241 2600 229359
+rect 2400 219359 2600 229241
+rect 2400 219241 2441 219359
+rect 2559 219241 2600 219359
+rect 2400 209359 2600 219241
+rect 2400 209241 2441 209359
+rect 2559 209241 2600 209359
+rect 2400 199359 2600 209241
+rect 2400 199241 2441 199359
+rect 2559 199241 2600 199359
+rect 2400 189359 2600 199241
+rect 2400 189241 2441 189359
+rect 2559 189241 2600 189359
+rect 2400 179359 2600 189241
+rect 2400 179241 2441 179359
+rect 2559 179241 2600 179359
+rect 2400 169359 2600 179241
+rect 2400 169241 2441 169359
+rect 2559 169241 2600 169359
+rect 2400 159359 2600 169241
+rect 2400 159241 2441 159359
+rect 2559 159241 2600 159359
+rect 2400 149359 2600 159241
+rect 2400 149241 2441 149359
+rect 2559 149241 2600 149359
+rect 2400 139359 2600 149241
+rect 2400 139241 2441 139359
+rect 2559 139241 2600 139359
+rect 2400 129359 2600 139241
+rect 2400 129241 2441 129359
+rect 2559 129241 2600 129359
+rect 2400 119359 2600 129241
+rect 2400 119241 2441 119359
+rect 2559 119241 2600 119359
+rect 2400 109359 2600 119241
+rect 2400 109241 2441 109359
+rect 2559 109241 2600 109359
+rect 2400 99359 2600 109241
+rect 2400 99241 2441 99359
+rect 2559 99241 2600 99359
+rect 2400 89359 2600 99241
+rect 2400 89241 2441 89359
+rect 2559 89241 2600 89359
+rect 2400 79359 2600 89241
+rect 2400 79241 2441 79359
+rect 2559 79241 2600 79359
+rect 2400 69359 2600 79241
+rect 2400 69241 2441 69359
+rect 2559 69241 2600 69359
+rect 2400 59359 2600 69241
+rect 2400 59241 2441 59359
+rect 2559 59241 2600 59359
+rect 2400 49359 2600 59241
+rect 2400 49241 2441 49359
+rect 2559 49241 2600 49359
+rect 2400 39359 2600 49241
+rect 2400 39241 2441 39359
+rect 2559 39241 2600 39359
+rect 2400 29359 2600 39241
+rect 2400 29241 2441 29359
+rect 2559 29241 2600 29359
+rect 2400 19359 2600 29241
+rect 2400 19241 2441 19359
+rect 2559 19241 2600 19359
+rect 2400 9359 2600 19241
+rect 2400 9241 2441 9359
+rect 2559 9241 2600 9359
+rect 2400 2559 2600 9241
+rect 2800 355551 3000 355592
+rect 2800 355433 2841 355551
+rect 2959 355433 3000 355551
+rect 2800 344359 3000 355433
+rect 4200 355551 4400 355992
+rect 4200 355433 4241 355551
+rect 4359 355433 4400 355551
+rect 4200 354972 4400 355433
+rect 5160 354972 5360 356233
+rect 6120 354972 6320 357033
+rect 7080 354972 7280 357833
+rect 12080 358351 12280 358392
+rect 12080 358233 12121 358351
+rect 12239 358233 12280 358351
+rect 11120 357551 11320 357592
+rect 11120 357433 11161 357551
+rect 11279 357433 11320 357551
+rect 10160 356751 10360 356792
+rect 10160 356633 10201 356751
+rect 10319 356633 10360 356751
+rect 9200 355951 9400 355992
+rect 9200 355833 9241 355951
+rect 9359 355833 9400 355951
+rect 9200 354972 9400 355833
+rect 10160 354972 10360 356633
+rect 11120 354972 11320 357433
+rect 12080 354972 12280 358233
+rect 17080 357951 17280 358392
+rect 17080 357833 17121 357951
+rect 17239 357833 17280 357951
+rect 16120 357151 16320 357592
+rect 16120 357033 16161 357151
+rect 16279 357033 16320 357151
+rect 15160 356351 15360 356792
+rect 15160 356233 15201 356351
+rect 15319 356233 15360 356351
+rect 14200 355551 14400 355992
+rect 14200 355433 14241 355551
+rect 14359 355433 14400 355551
+rect 14200 354972 14400 355433
+rect 15160 354972 15360 356233
+rect 16120 354972 16320 357033
+rect 17080 354972 17280 357833
+rect 22080 358351 22280 358392
+rect 22080 358233 22121 358351
+rect 22239 358233 22280 358351
+rect 21120 357551 21320 357592
+rect 21120 357433 21161 357551
+rect 21279 357433 21320 357551
+rect 20160 356751 20360 356792
+rect 20160 356633 20201 356751
+rect 20319 356633 20360 356751
+rect 19200 355951 19400 355992
+rect 19200 355833 19241 355951
+rect 19359 355833 19400 355951
+rect 19200 354972 19400 355833
+rect 20160 354972 20360 356633
+rect 21120 354972 21320 357433
+rect 22080 354972 22280 358233
+rect 27080 357951 27280 358392
+rect 27080 357833 27121 357951
+rect 27239 357833 27280 357951
+rect 26120 357151 26320 357592
+rect 26120 357033 26161 357151
+rect 26279 357033 26320 357151
+rect 25160 356351 25360 356792
+rect 25160 356233 25201 356351
+rect 25319 356233 25360 356351
+rect 24200 355551 24400 355992
+rect 24200 355433 24241 355551
+rect 24359 355433 24400 355551
+rect 24200 354972 24400 355433
+rect 25160 354972 25360 356233
+rect 26120 354972 26320 357033
+rect 27080 354972 27280 357833
+rect 32080 358351 32280 358392
+rect 32080 358233 32121 358351
+rect 32239 358233 32280 358351
+rect 31120 357551 31320 357592
+rect 31120 357433 31161 357551
+rect 31279 357433 31320 357551
+rect 30160 356751 30360 356792
+rect 30160 356633 30201 356751
+rect 30319 356633 30360 356751
+rect 29200 355951 29400 355992
+rect 29200 355833 29241 355951
+rect 29359 355833 29400 355951
+rect 29200 354972 29400 355833
+rect 30160 354972 30360 356633
+rect 31120 354972 31320 357433
+rect 32080 354972 32280 358233
+rect 37080 357951 37280 358392
+rect 37080 357833 37121 357951
+rect 37239 357833 37280 357951
+rect 36120 357151 36320 357592
+rect 36120 357033 36161 357151
+rect 36279 357033 36320 357151
+rect 35160 356351 35360 356792
+rect 35160 356233 35201 356351
+rect 35319 356233 35360 356351
+rect 34200 355551 34400 355992
+rect 34200 355433 34241 355551
+rect 34359 355433 34400 355551
+rect 34200 354972 34400 355433
+rect 35160 354972 35360 356233
+rect 36120 354972 36320 357033
+rect 37080 354972 37280 357833
+rect 42080 358351 42280 358392
+rect 42080 358233 42121 358351
+rect 42239 358233 42280 358351
+rect 41120 357551 41320 357592
+rect 41120 357433 41161 357551
+rect 41279 357433 41320 357551
+rect 40160 356751 40360 356792
+rect 40160 356633 40201 356751
+rect 40319 356633 40360 356751
+rect 39200 355951 39400 355992
+rect 39200 355833 39241 355951
+rect 39359 355833 39400 355951
+rect 39200 354972 39400 355833
+rect 40160 354972 40360 356633
+rect 41120 354972 41320 357433
+rect 42080 354972 42280 358233
+rect 47080 357951 47280 358392
+rect 47080 357833 47121 357951
+rect 47239 357833 47280 357951
+rect 46120 357151 46320 357592
+rect 46120 357033 46161 357151
+rect 46279 357033 46320 357151
+rect 45160 356351 45360 356792
+rect 45160 356233 45201 356351
+rect 45319 356233 45360 356351
+rect 44200 355551 44400 355992
+rect 44200 355433 44241 355551
+rect 44359 355433 44400 355551
+rect 44200 354972 44400 355433
+rect 45160 354972 45360 356233
+rect 46120 354972 46320 357033
+rect 47080 354972 47280 357833
+rect 52080 358351 52280 358392
+rect 52080 358233 52121 358351
+rect 52239 358233 52280 358351
+rect 51120 357551 51320 357592
+rect 51120 357433 51161 357551
+rect 51279 357433 51320 357551
+rect 50160 356751 50360 356792
+rect 50160 356633 50201 356751
+rect 50319 356633 50360 356751
+rect 49200 355951 49400 355992
+rect 49200 355833 49241 355951
+rect 49359 355833 49400 355951
+rect 49200 354972 49400 355833
+rect 50160 354972 50360 356633
+rect 51120 354972 51320 357433
+rect 52080 354972 52280 358233
+rect 57080 357951 57280 358392
+rect 57080 357833 57121 357951
+rect 57239 357833 57280 357951
+rect 56120 357151 56320 357592
+rect 56120 357033 56161 357151
+rect 56279 357033 56320 357151
+rect 55160 356351 55360 356792
+rect 55160 356233 55201 356351
+rect 55319 356233 55360 356351
+rect 54200 355551 54400 355992
+rect 54200 355433 54241 355551
+rect 54359 355433 54400 355551
+rect 54200 354972 54400 355433
+rect 55160 354972 55360 356233
+rect 56120 354972 56320 357033
+rect 57080 354972 57280 357833
+rect 62080 358351 62280 358392
+rect 62080 358233 62121 358351
+rect 62239 358233 62280 358351
+rect 61120 357551 61320 357592
+rect 61120 357433 61161 357551
+rect 61279 357433 61320 357551
+rect 60160 356751 60360 356792
+rect 60160 356633 60201 356751
+rect 60319 356633 60360 356751
+rect 59200 355951 59400 355992
+rect 59200 355833 59241 355951
+rect 59359 355833 59400 355951
+rect 59200 354972 59400 355833
+rect 60160 354972 60360 356633
+rect 61120 354972 61320 357433
+rect 62080 354972 62280 358233
+rect 67080 357951 67280 358392
+rect 67080 357833 67121 357951
+rect 67239 357833 67280 357951
+rect 66120 357151 66320 357592
+rect 66120 357033 66161 357151
+rect 66279 357033 66320 357151
+rect 65160 356351 65360 356792
+rect 65160 356233 65201 356351
+rect 65319 356233 65360 356351
+rect 64200 355551 64400 355992
+rect 64200 355433 64241 355551
+rect 64359 355433 64400 355551
+rect 64200 354972 64400 355433
+rect 65160 354972 65360 356233
+rect 66120 354972 66320 357033
+rect 67080 354972 67280 357833
+rect 72080 358351 72280 358392
+rect 72080 358233 72121 358351
+rect 72239 358233 72280 358351
+rect 71120 357551 71320 357592
+rect 71120 357433 71161 357551
+rect 71279 357433 71320 357551
+rect 70160 356751 70360 356792
+rect 70160 356633 70201 356751
+rect 70319 356633 70360 356751
+rect 69200 355951 69400 355992
+rect 69200 355833 69241 355951
+rect 69359 355833 69400 355951
+rect 69200 354972 69400 355833
+rect 70160 354972 70360 356633
+rect 71120 354972 71320 357433
+rect 72080 354972 72280 358233
+rect 77080 357951 77280 358392
+rect 77080 357833 77121 357951
+rect 77239 357833 77280 357951
+rect 76120 357151 76320 357592
+rect 76120 357033 76161 357151
+rect 76279 357033 76320 357151
+rect 75160 356351 75360 356792
+rect 75160 356233 75201 356351
+rect 75319 356233 75360 356351
+rect 74200 355551 74400 355992
+rect 74200 355433 74241 355551
+rect 74359 355433 74400 355551
+rect 74200 354972 74400 355433
+rect 75160 354972 75360 356233
+rect 76120 354972 76320 357033
+rect 77080 354972 77280 357833
+rect 82080 358351 82280 358392
+rect 82080 358233 82121 358351
+rect 82239 358233 82280 358351
+rect 81120 357551 81320 357592
+rect 81120 357433 81161 357551
+rect 81279 357433 81320 357551
+rect 80160 356751 80360 356792
+rect 80160 356633 80201 356751
+rect 80319 356633 80360 356751
+rect 79200 355951 79400 355992
+rect 79200 355833 79241 355951
+rect 79359 355833 79400 355951
+rect 79200 354972 79400 355833
+rect 80160 354972 80360 356633
+rect 81120 354972 81320 357433
+rect 82080 354972 82280 358233
+rect 87080 357951 87280 358392
+rect 87080 357833 87121 357951
+rect 87239 357833 87280 357951
+rect 86120 357151 86320 357592
+rect 86120 357033 86161 357151
+rect 86279 357033 86320 357151
+rect 85160 356351 85360 356792
+rect 85160 356233 85201 356351
+rect 85319 356233 85360 356351
+rect 84200 355551 84400 355992
+rect 84200 355433 84241 355551
+rect 84359 355433 84400 355551
+rect 84200 354972 84400 355433
+rect 85160 354972 85360 356233
+rect 86120 354972 86320 357033
+rect 87080 354972 87280 357833
+rect 92080 358351 92280 358392
+rect 92080 358233 92121 358351
+rect 92239 358233 92280 358351
+rect 91120 357551 91320 357592
+rect 91120 357433 91161 357551
+rect 91279 357433 91320 357551
+rect 90160 356751 90360 356792
+rect 90160 356633 90201 356751
+rect 90319 356633 90360 356751
+rect 89200 355951 89400 355992
+rect 89200 355833 89241 355951
+rect 89359 355833 89400 355951
+rect 89200 354972 89400 355833
+rect 90160 354972 90360 356633
+rect 91120 354972 91320 357433
+rect 92080 354972 92280 358233
+rect 97080 357951 97280 358392
+rect 97080 357833 97121 357951
+rect 97239 357833 97280 357951
+rect 96120 357151 96320 357592
+rect 96120 357033 96161 357151
+rect 96279 357033 96320 357151
+rect 95160 356351 95360 356792
+rect 95160 356233 95201 356351
+rect 95319 356233 95360 356351
+rect 94200 355551 94400 355992
+rect 94200 355433 94241 355551
+rect 94359 355433 94400 355551
+rect 94200 354972 94400 355433
+rect 95160 354972 95360 356233
+rect 96120 354972 96320 357033
+rect 97080 354972 97280 357833
+rect 102080 358351 102280 358392
+rect 102080 358233 102121 358351
+rect 102239 358233 102280 358351
+rect 101120 357551 101320 357592
+rect 101120 357433 101161 357551
+rect 101279 357433 101320 357551
+rect 100160 356751 100360 356792
+rect 100160 356633 100201 356751
+rect 100319 356633 100360 356751
+rect 99200 355951 99400 355992
+rect 99200 355833 99241 355951
+rect 99359 355833 99400 355951
+rect 99200 354972 99400 355833
+rect 100160 354972 100360 356633
+rect 101120 354972 101320 357433
+rect 102080 354972 102280 358233
+rect 107080 357951 107280 358392
+rect 107080 357833 107121 357951
+rect 107239 357833 107280 357951
+rect 106120 357151 106320 357592
+rect 106120 357033 106161 357151
+rect 106279 357033 106320 357151
+rect 105160 356351 105360 356792
+rect 105160 356233 105201 356351
+rect 105319 356233 105360 356351
+rect 104200 355551 104400 355992
+rect 104200 355433 104241 355551
+rect 104359 355433 104400 355551
+rect 104200 354972 104400 355433
+rect 105160 354972 105360 356233
+rect 106120 354972 106320 357033
+rect 107080 354972 107280 357833
+rect 112080 358351 112280 358392
+rect 112080 358233 112121 358351
+rect 112239 358233 112280 358351
+rect 111120 357551 111320 357592
+rect 111120 357433 111161 357551
+rect 111279 357433 111320 357551
+rect 110160 356751 110360 356792
+rect 110160 356633 110201 356751
+rect 110319 356633 110360 356751
+rect 109200 355951 109400 355992
+rect 109200 355833 109241 355951
+rect 109359 355833 109400 355951
+rect 109200 354972 109400 355833
+rect 110160 354972 110360 356633
+rect 111120 354972 111320 357433
+rect 112080 354972 112280 358233
+rect 117080 357951 117280 358392
+rect 117080 357833 117121 357951
+rect 117239 357833 117280 357951
+rect 116120 357151 116320 357592
+rect 116120 357033 116161 357151
+rect 116279 357033 116320 357151
+rect 115160 356351 115360 356792
+rect 115160 356233 115201 356351
+rect 115319 356233 115360 356351
+rect 114200 355551 114400 355992
+rect 114200 355433 114241 355551
+rect 114359 355433 114400 355551
+rect 114200 354972 114400 355433
+rect 115160 354972 115360 356233
+rect 116120 354972 116320 357033
+rect 117080 354972 117280 357833
+rect 122080 358351 122280 358392
+rect 122080 358233 122121 358351
+rect 122239 358233 122280 358351
+rect 121120 357551 121320 357592
+rect 121120 357433 121161 357551
+rect 121279 357433 121320 357551
+rect 120160 356751 120360 356792
+rect 120160 356633 120201 356751
+rect 120319 356633 120360 356751
+rect 119200 355951 119400 355992
+rect 119200 355833 119241 355951
+rect 119359 355833 119400 355951
+rect 119200 354972 119400 355833
+rect 120160 354972 120360 356633
+rect 121120 354972 121320 357433
+rect 122080 354972 122280 358233
+rect 127080 357951 127280 358392
+rect 127080 357833 127121 357951
+rect 127239 357833 127280 357951
+rect 126120 357151 126320 357592
+rect 126120 357033 126161 357151
+rect 126279 357033 126320 357151
+rect 125160 356351 125360 356792
+rect 125160 356233 125201 356351
+rect 125319 356233 125360 356351
+rect 124200 355551 124400 355992
+rect 124200 355433 124241 355551
+rect 124359 355433 124400 355551
+rect 124200 354972 124400 355433
+rect 125160 354972 125360 356233
+rect 126120 354972 126320 357033
+rect 127080 354972 127280 357833
+rect 132080 358351 132280 358392
+rect 132080 358233 132121 358351
+rect 132239 358233 132280 358351
+rect 131120 357551 131320 357592
+rect 131120 357433 131161 357551
+rect 131279 357433 131320 357551
+rect 130160 356751 130360 356792
+rect 130160 356633 130201 356751
+rect 130319 356633 130360 356751
+rect 129200 355951 129400 355992
+rect 129200 355833 129241 355951
+rect 129359 355833 129400 355951
+rect 129200 354972 129400 355833
+rect 130160 354972 130360 356633
+rect 131120 354972 131320 357433
+rect 132080 354972 132280 358233
+rect 137080 357951 137280 358392
+rect 137080 357833 137121 357951
+rect 137239 357833 137280 357951
+rect 136120 357151 136320 357592
+rect 136120 357033 136161 357151
+rect 136279 357033 136320 357151
+rect 135160 356351 135360 356792
+rect 135160 356233 135201 356351
+rect 135319 356233 135360 356351
+rect 134200 355551 134400 355992
+rect 134200 355433 134241 355551
+rect 134359 355433 134400 355551
+rect 134200 354972 134400 355433
+rect 135160 354972 135360 356233
+rect 136120 354972 136320 357033
+rect 137080 354972 137280 357833
+rect 142080 358351 142280 358392
+rect 142080 358233 142121 358351
+rect 142239 358233 142280 358351
+rect 141120 357551 141320 357592
+rect 141120 357433 141161 357551
+rect 141279 357433 141320 357551
+rect 140160 356751 140360 356792
+rect 140160 356633 140201 356751
+rect 140319 356633 140360 356751
+rect 139200 355951 139400 355992
+rect 139200 355833 139241 355951
+rect 139359 355833 139400 355951
+rect 139200 354972 139400 355833
+rect 140160 354972 140360 356633
+rect 141120 354972 141320 357433
+rect 142080 354972 142280 358233
+rect 147080 357951 147280 358392
+rect 147080 357833 147121 357951
+rect 147239 357833 147280 357951
+rect 146120 357151 146320 357592
+rect 146120 357033 146161 357151
+rect 146279 357033 146320 357151
+rect 145160 356351 145360 356792
+rect 145160 356233 145201 356351
+rect 145319 356233 145360 356351
+rect 144200 355551 144400 355992
+rect 144200 355433 144241 355551
+rect 144359 355433 144400 355551
+rect 144200 354972 144400 355433
+rect 145160 354972 145360 356233
+rect 146120 354972 146320 357033
+rect 147080 354972 147280 357833
+rect 152080 358351 152280 358392
+rect 152080 358233 152121 358351
+rect 152239 358233 152280 358351
+rect 151120 357551 151320 357592
+rect 151120 357433 151161 357551
+rect 151279 357433 151320 357551
+rect 150160 356751 150360 356792
+rect 150160 356633 150201 356751
+rect 150319 356633 150360 356751
+rect 149200 355951 149400 355992
+rect 149200 355833 149241 355951
+rect 149359 355833 149400 355951
+rect 149200 354972 149400 355833
+rect 150160 354972 150360 356633
+rect 151120 354972 151320 357433
+rect 152080 354972 152280 358233
+rect 157080 357951 157280 358392
+rect 157080 357833 157121 357951
+rect 157239 357833 157280 357951
+rect 156120 357151 156320 357592
+rect 156120 357033 156161 357151
+rect 156279 357033 156320 357151
+rect 155160 356351 155360 356792
+rect 155160 356233 155201 356351
+rect 155319 356233 155360 356351
+rect 154200 355551 154400 355992
+rect 154200 355433 154241 355551
+rect 154359 355433 154400 355551
+rect 154200 354972 154400 355433
+rect 155160 354972 155360 356233
+rect 156120 354972 156320 357033
+rect 157080 354972 157280 357833
+rect 162080 358351 162280 358392
+rect 162080 358233 162121 358351
+rect 162239 358233 162280 358351
+rect 161120 357551 161320 357592
+rect 161120 357433 161161 357551
+rect 161279 357433 161320 357551
+rect 160160 356751 160360 356792
+rect 160160 356633 160201 356751
+rect 160319 356633 160360 356751
+rect 159200 355951 159400 355992
+rect 159200 355833 159241 355951
+rect 159359 355833 159400 355951
+rect 159200 354972 159400 355833
+rect 160160 354972 160360 356633
+rect 161120 354972 161320 357433
+rect 162080 354972 162280 358233
+rect 167080 357951 167280 358392
+rect 167080 357833 167121 357951
+rect 167239 357833 167280 357951
+rect 166120 357151 166320 357592
+rect 166120 357033 166161 357151
+rect 166279 357033 166320 357151
+rect 165160 356351 165360 356792
+rect 165160 356233 165201 356351
+rect 165319 356233 165360 356351
+rect 164200 355551 164400 355992
+rect 164200 355433 164241 355551
+rect 164359 355433 164400 355551
+rect 164200 354972 164400 355433
+rect 165160 354972 165360 356233
+rect 166120 354972 166320 357033
+rect 167080 354972 167280 357833
+rect 172080 358351 172280 358392
+rect 172080 358233 172121 358351
+rect 172239 358233 172280 358351
+rect 171120 357551 171320 357592
+rect 171120 357433 171161 357551
+rect 171279 357433 171320 357551
+rect 170160 356751 170360 356792
+rect 170160 356633 170201 356751
+rect 170319 356633 170360 356751
+rect 169200 355951 169400 355992
+rect 169200 355833 169241 355951
+rect 169359 355833 169400 355951
+rect 169200 354972 169400 355833
+rect 170160 354972 170360 356633
+rect 171120 354972 171320 357433
+rect 172080 354972 172280 358233
+rect 177080 357951 177280 358392
+rect 177080 357833 177121 357951
+rect 177239 357833 177280 357951
+rect 176120 357151 176320 357592
+rect 176120 357033 176161 357151
+rect 176279 357033 176320 357151
+rect 175160 356351 175360 356792
+rect 175160 356233 175201 356351
+rect 175319 356233 175360 356351
+rect 174200 355551 174400 355992
+rect 174200 355433 174241 355551
+rect 174359 355433 174400 355551
+rect 174200 354972 174400 355433
+rect 175160 354972 175360 356233
+rect 176120 354972 176320 357033
+rect 177080 354972 177280 357833
+rect 182080 358351 182280 358392
+rect 182080 358233 182121 358351
+rect 182239 358233 182280 358351
+rect 181120 357551 181320 357592
+rect 181120 357433 181161 357551
+rect 181279 357433 181320 357551
+rect 180160 356751 180360 356792
+rect 180160 356633 180201 356751
+rect 180319 356633 180360 356751
+rect 179200 355951 179400 355992
+rect 179200 355833 179241 355951
+rect 179359 355833 179400 355951
+rect 179200 354972 179400 355833
+rect 180160 354972 180360 356633
+rect 181120 354972 181320 357433
+rect 182080 354972 182280 358233
+rect 187080 357951 187280 358392
+rect 187080 357833 187121 357951
+rect 187239 357833 187280 357951
+rect 186120 357151 186320 357592
+rect 186120 357033 186161 357151
+rect 186279 357033 186320 357151
+rect 185160 356351 185360 356792
+rect 185160 356233 185201 356351
+rect 185319 356233 185360 356351
+rect 184200 355551 184400 355992
+rect 184200 355433 184241 355551
+rect 184359 355433 184400 355551
+rect 184200 354972 184400 355433
+rect 185160 354972 185360 356233
+rect 186120 354972 186320 357033
+rect 187080 354972 187280 357833
+rect 192080 358351 192280 358392
+rect 192080 358233 192121 358351
+rect 192239 358233 192280 358351
+rect 191120 357551 191320 357592
+rect 191120 357433 191161 357551
+rect 191279 357433 191320 357551
+rect 190160 356751 190360 356792
+rect 190160 356633 190201 356751
+rect 190319 356633 190360 356751
+rect 189200 355951 189400 355992
+rect 189200 355833 189241 355951
+rect 189359 355833 189400 355951
+rect 189200 354972 189400 355833
+rect 190160 354972 190360 356633
+rect 191120 354972 191320 357433
+rect 192080 354972 192280 358233
+rect 197080 357951 197280 358392
+rect 197080 357833 197121 357951
+rect 197239 357833 197280 357951
+rect 196120 357151 196320 357592
+rect 196120 357033 196161 357151
+rect 196279 357033 196320 357151
+rect 195160 356351 195360 356792
+rect 195160 356233 195201 356351
+rect 195319 356233 195360 356351
+rect 194200 355551 194400 355992
+rect 194200 355433 194241 355551
+rect 194359 355433 194400 355551
+rect 194200 354972 194400 355433
+rect 195160 354972 195360 356233
+rect 196120 354972 196320 357033
+rect 197080 354972 197280 357833
+rect 202080 358351 202280 358392
+rect 202080 358233 202121 358351
+rect 202239 358233 202280 358351
+rect 201120 357551 201320 357592
+rect 201120 357433 201161 357551
+rect 201279 357433 201320 357551
+rect 200160 356751 200360 356792
+rect 200160 356633 200201 356751
+rect 200319 356633 200360 356751
+rect 199200 355951 199400 355992
+rect 199200 355833 199241 355951
+rect 199359 355833 199400 355951
+rect 199200 354972 199400 355833
+rect 200160 354972 200360 356633
+rect 201120 354972 201320 357433
+rect 202080 354972 202280 358233
+rect 207080 357951 207280 358392
+rect 207080 357833 207121 357951
+rect 207239 357833 207280 357951
+rect 206120 357151 206320 357592
+rect 206120 357033 206161 357151
+rect 206279 357033 206320 357151
+rect 205160 356351 205360 356792
+rect 205160 356233 205201 356351
+rect 205319 356233 205360 356351
+rect 204200 355551 204400 355992
+rect 204200 355433 204241 355551
+rect 204359 355433 204400 355551
+rect 204200 354972 204400 355433
+rect 205160 354972 205360 356233
+rect 206120 354972 206320 357033
+rect 207080 354972 207280 357833
+rect 212080 358351 212280 358392
+rect 212080 358233 212121 358351
+rect 212239 358233 212280 358351
+rect 211120 357551 211320 357592
+rect 211120 357433 211161 357551
+rect 211279 357433 211320 357551
+rect 210160 356751 210360 356792
+rect 210160 356633 210201 356751
+rect 210319 356633 210360 356751
+rect 209200 355951 209400 355992
+rect 209200 355833 209241 355951
+rect 209359 355833 209400 355951
+rect 209200 354972 209400 355833
+rect 210160 354972 210360 356633
+rect 211120 354972 211320 357433
+rect 212080 354972 212280 358233
+rect 217080 357951 217280 358392
+rect 217080 357833 217121 357951
+rect 217239 357833 217280 357951
+rect 216120 357151 216320 357592
+rect 216120 357033 216161 357151
+rect 216279 357033 216320 357151
+rect 215160 356351 215360 356792
+rect 215160 356233 215201 356351
+rect 215319 356233 215360 356351
+rect 214200 355551 214400 355992
+rect 214200 355433 214241 355551
+rect 214359 355433 214400 355551
+rect 214200 354972 214400 355433
+rect 215160 354972 215360 356233
+rect 216120 354972 216320 357033
+rect 217080 354972 217280 357833
+rect 222080 358351 222280 358392
+rect 222080 358233 222121 358351
+rect 222239 358233 222280 358351
+rect 221120 357551 221320 357592
+rect 221120 357433 221161 357551
+rect 221279 357433 221320 357551
+rect 220160 356751 220360 356792
+rect 220160 356633 220201 356751
+rect 220319 356633 220360 356751
+rect 219200 355951 219400 355992
+rect 219200 355833 219241 355951
+rect 219359 355833 219400 355951
+rect 219200 354972 219400 355833
+rect 220160 354972 220360 356633
+rect 221120 354972 221320 357433
+rect 222080 354972 222280 358233
+rect 227080 357951 227280 358392
+rect 227080 357833 227121 357951
+rect 227239 357833 227280 357951
+rect 226120 357151 226320 357592
+rect 226120 357033 226161 357151
+rect 226279 357033 226320 357151
+rect 225160 356351 225360 356792
+rect 225160 356233 225201 356351
+rect 225319 356233 225360 356351
+rect 224200 355551 224400 355992
+rect 224200 355433 224241 355551
+rect 224359 355433 224400 355551
+rect 224200 354972 224400 355433
+rect 225160 354972 225360 356233
+rect 226120 354972 226320 357033
+rect 227080 354972 227280 357833
+rect 232080 358351 232280 358392
+rect 232080 358233 232121 358351
+rect 232239 358233 232280 358351
+rect 231120 357551 231320 357592
+rect 231120 357433 231161 357551
+rect 231279 357433 231320 357551
+rect 230160 356751 230360 356792
+rect 230160 356633 230201 356751
+rect 230319 356633 230360 356751
+rect 229200 355951 229400 355992
+rect 229200 355833 229241 355951
+rect 229359 355833 229400 355951
+rect 229200 354972 229400 355833
+rect 230160 354972 230360 356633
+rect 231120 354972 231320 357433
+rect 232080 354972 232280 358233
+rect 237080 357951 237280 358392
+rect 237080 357833 237121 357951
+rect 237239 357833 237280 357951
+rect 236120 357151 236320 357592
+rect 236120 357033 236161 357151
+rect 236279 357033 236320 357151
+rect 235160 356351 235360 356792
+rect 235160 356233 235201 356351
+rect 235319 356233 235360 356351
+rect 234200 355551 234400 355992
+rect 234200 355433 234241 355551
+rect 234359 355433 234400 355551
+rect 234200 354972 234400 355433
+rect 235160 354972 235360 356233
+rect 236120 354972 236320 357033
+rect 237080 354972 237280 357833
+rect 242080 358351 242280 358392
+rect 242080 358233 242121 358351
+rect 242239 358233 242280 358351
+rect 241120 357551 241320 357592
+rect 241120 357433 241161 357551
+rect 241279 357433 241320 357551
+rect 240160 356751 240360 356792
+rect 240160 356633 240201 356751
+rect 240319 356633 240360 356751
+rect 239200 355951 239400 355992
+rect 239200 355833 239241 355951
+rect 239359 355833 239400 355951
+rect 239200 354972 239400 355833
+rect 240160 354972 240360 356633
+rect 241120 354972 241320 357433
+rect 242080 354972 242280 358233
+rect 247080 357951 247280 358392
+rect 247080 357833 247121 357951
+rect 247239 357833 247280 357951
+rect 246120 357151 246320 357592
+rect 246120 357033 246161 357151
+rect 246279 357033 246320 357151
+rect 245160 356351 245360 356792
+rect 245160 356233 245201 356351
+rect 245319 356233 245360 356351
+rect 244200 355551 244400 355992
+rect 244200 355433 244241 355551
+rect 244359 355433 244400 355551
+rect 244200 354972 244400 355433
+rect 245160 354972 245360 356233
+rect 246120 354972 246320 357033
+rect 247080 354972 247280 357833
+rect 252080 358351 252280 358392
+rect 252080 358233 252121 358351
+rect 252239 358233 252280 358351
+rect 251120 357551 251320 357592
+rect 251120 357433 251161 357551
+rect 251279 357433 251320 357551
+rect 250160 356751 250360 356792
+rect 250160 356633 250201 356751
+rect 250319 356633 250360 356751
+rect 249200 355951 249400 355992
+rect 249200 355833 249241 355951
+rect 249359 355833 249400 355951
+rect 249200 354972 249400 355833
+rect 250160 354972 250360 356633
+rect 251120 354972 251320 357433
+rect 252080 354972 252280 358233
+rect 257080 357951 257280 358392
+rect 257080 357833 257121 357951
+rect 257239 357833 257280 357951
+rect 256120 357151 256320 357592
+rect 256120 357033 256161 357151
+rect 256279 357033 256320 357151
+rect 255160 356351 255360 356792
+rect 255160 356233 255201 356351
+rect 255319 356233 255360 356351
+rect 254200 355551 254400 355992
+rect 254200 355433 254241 355551
+rect 254359 355433 254400 355551
+rect 254200 354972 254400 355433
+rect 255160 354972 255360 356233
+rect 256120 354972 256320 357033
+rect 257080 354972 257280 357833
+rect 262080 358351 262280 358392
+rect 262080 358233 262121 358351
+rect 262239 358233 262280 358351
+rect 261120 357551 261320 357592
+rect 261120 357433 261161 357551
+rect 261279 357433 261320 357551
+rect 260160 356751 260360 356792
+rect 260160 356633 260201 356751
+rect 260319 356633 260360 356751
+rect 259200 355951 259400 355992
+rect 259200 355833 259241 355951
+rect 259359 355833 259400 355951
+rect 259200 354972 259400 355833
+rect 260160 354972 260360 356633
+rect 261120 354972 261320 357433
+rect 262080 354972 262280 358233
+rect 267080 357951 267280 358392
+rect 267080 357833 267121 357951
+rect 267239 357833 267280 357951
+rect 266120 357151 266320 357592
+rect 266120 357033 266161 357151
+rect 266279 357033 266320 357151
+rect 265160 356351 265360 356792
+rect 265160 356233 265201 356351
+rect 265319 356233 265360 356351
+rect 264200 355551 264400 355992
+rect 264200 355433 264241 355551
+rect 264359 355433 264400 355551
+rect 264200 354972 264400 355433
+rect 265160 354972 265360 356233
+rect 266120 354972 266320 357033
+rect 267080 354972 267280 357833
+rect 272080 358351 272280 358392
+rect 272080 358233 272121 358351
+rect 272239 358233 272280 358351
+rect 271120 357551 271320 357592
+rect 271120 357433 271161 357551
+rect 271279 357433 271320 357551
+rect 270160 356751 270360 356792
+rect 270160 356633 270201 356751
+rect 270319 356633 270360 356751
+rect 269200 355951 269400 355992
+rect 269200 355833 269241 355951
+rect 269359 355833 269400 355951
+rect 269200 354972 269400 355833
+rect 270160 354972 270360 356633
+rect 271120 354972 271320 357433
+rect 272080 354972 272280 358233
+rect 277080 357951 277280 358392
+rect 277080 357833 277121 357951
+rect 277239 357833 277280 357951
+rect 276120 357151 276320 357592
+rect 276120 357033 276161 357151
+rect 276279 357033 276320 357151
+rect 275160 356351 275360 356792
+rect 275160 356233 275201 356351
+rect 275319 356233 275360 356351
+rect 274200 355551 274400 355992
+rect 274200 355433 274241 355551
+rect 274359 355433 274400 355551
+rect 274200 354972 274400 355433
+rect 275160 354972 275360 356233
+rect 276120 354972 276320 357033
+rect 277080 354972 277280 357833
+rect 282080 358351 282280 358392
+rect 282080 358233 282121 358351
+rect 282239 358233 282280 358351
+rect 281120 357551 281320 357592
+rect 281120 357433 281161 357551
+rect 281279 357433 281320 357551
+rect 280160 356751 280360 356792
+rect 280160 356633 280201 356751
+rect 280319 356633 280360 356751
+rect 279200 355951 279400 355992
+rect 279200 355833 279241 355951
+rect 279359 355833 279400 355951
+rect 279200 354972 279400 355833
+rect 280160 354972 280360 356633
+rect 281120 354972 281320 357433
+rect 282080 354972 282280 358233
+rect 287080 357951 287280 358392
+rect 287080 357833 287121 357951
+rect 287239 357833 287280 357951
+rect 286120 357151 286320 357592
+rect 286120 357033 286161 357151
+rect 286279 357033 286320 357151
+rect 285160 356351 285360 356792
+rect 285160 356233 285201 356351
+rect 285319 356233 285360 356351
+rect 284200 355551 284400 355992
+rect 284200 355433 284241 355551
+rect 284359 355433 284400 355551
+rect 284200 354972 284400 355433
+rect 285160 354972 285360 356233
+rect 286120 354972 286320 357033
+rect 287080 354972 287280 357833
+rect 292080 358351 292280 358392
+rect 292080 358233 292121 358351
+rect 292239 358233 292280 358351
+rect 291120 357551 291320 357592
+rect 291120 357433 291161 357551
+rect 291279 357433 291320 357551
+rect 290160 356751 290360 356792
+rect 290160 356633 290201 356751
+rect 290319 356633 290360 356751
+rect 289200 355951 289400 355992
+rect 289200 355833 289241 355951
+rect 289359 355833 289400 355951
+rect 289200 354972 289400 355833
+rect 290160 354972 290360 356633
+rect 291120 354972 291320 357433
+rect 292080 354972 292280 358233
+rect 299258 358351 299458 358392
+rect 299258 358233 299299 358351
+rect 299417 358233 299458 358351
+rect 298858 357951 299058 357992
+rect 298858 357833 298899 357951
+rect 299017 357833 299058 357951
+rect 298458 357551 298658 357592
+rect 298458 357433 298499 357551
+rect 298617 357433 298658 357551
+rect 298058 357151 298258 357192
+rect 298058 357033 298099 357151
+rect 298217 357033 298258 357151
+rect 297658 356751 297858 356792
+rect 297658 356633 297699 356751
+rect 297817 356633 297858 356751
+rect 297258 356351 297458 356392
+rect 297258 356233 297299 356351
+rect 297417 356233 297458 356351
+rect 294200 355551 294400 355992
+rect 296858 355951 297058 355992
+rect 296858 355833 296899 355951
+rect 297017 355833 297058 355951
+rect 294200 355433 294241 355551
+rect 294359 355433 294400 355551
+rect 294200 354972 294400 355433
+rect 296458 355551 296658 355592
+rect 296458 355433 296499 355551
+rect 296617 355433 296658 355551
+rect 2800 344241 2841 344359
+rect 2959 344241 3000 344359
+rect 2800 334359 3000 344241
+rect 2800 334241 2841 334359
+rect 2959 334241 3000 334359
+rect 2800 324359 3000 334241
+rect 2800 324241 2841 324359
+rect 2959 324241 3000 324359
+rect 2800 314359 3000 324241
+rect 2800 314241 2841 314359
+rect 2959 314241 3000 314359
+rect 2800 304359 3000 314241
+rect 2800 304241 2841 304359
+rect 2959 304241 3000 304359
+rect 2800 294359 3000 304241
+rect 2800 294241 2841 294359
+rect 2959 294241 3000 294359
+rect 2800 284359 3000 294241
+rect 2800 284241 2841 284359
+rect 2959 284241 3000 284359
+rect 2800 274359 3000 284241
+rect 2800 274241 2841 274359
+rect 2959 274241 3000 274359
+rect 2800 264359 3000 274241
+rect 2800 264241 2841 264359
+rect 2959 264241 3000 264359
+rect 2800 254359 3000 264241
+rect 2800 254241 2841 254359
+rect 2959 254241 3000 254359
+rect 2800 244359 3000 254241
+rect 2800 244241 2841 244359
+rect 2959 244241 3000 244359
+rect 2800 234359 3000 244241
+rect 2800 234241 2841 234359
+rect 2959 234241 3000 234359
+rect 2800 224359 3000 234241
+rect 2800 224241 2841 224359
+rect 2959 224241 3000 224359
+rect 2800 214359 3000 224241
+rect 2800 214241 2841 214359
+rect 2959 214241 3000 214359
+rect 2800 204359 3000 214241
+rect 2800 204241 2841 204359
+rect 2959 204241 3000 204359
+rect 2800 194359 3000 204241
+rect 2800 194241 2841 194359
+rect 2959 194241 3000 194359
+rect 2800 184359 3000 194241
+rect 2800 184241 2841 184359
+rect 2959 184241 3000 184359
+rect 2800 174359 3000 184241
+rect 2800 174241 2841 174359
+rect 2959 174241 3000 174359
+rect 2800 164359 3000 174241
+rect 2800 164241 2841 164359
+rect 2959 164241 3000 164359
+rect 2800 154359 3000 164241
+rect 2800 154241 2841 154359
+rect 2959 154241 3000 154359
+rect 2800 144359 3000 154241
+rect 2800 144241 2841 144359
+rect 2959 144241 3000 144359
+rect 2800 134359 3000 144241
+rect 2800 134241 2841 134359
+rect 2959 134241 3000 134359
+rect 2800 124359 3000 134241
+rect 2800 124241 2841 124359
+rect 2959 124241 3000 124359
+rect 2800 114359 3000 124241
+rect 2800 114241 2841 114359
+rect 2959 114241 3000 114359
+rect 2800 104359 3000 114241
+rect 2800 104241 2841 104359
+rect 2959 104241 3000 104359
+rect 2800 94359 3000 104241
+rect 2800 94241 2841 94359
+rect 2959 94241 3000 94359
+rect 2800 84359 3000 94241
+rect 2800 84241 2841 84359
+rect 2959 84241 3000 84359
+rect 2800 74359 3000 84241
+rect 2800 74241 2841 74359
+rect 2959 74241 3000 74359
+rect 2800 64359 3000 74241
+rect 2800 64241 2841 64359
+rect 2959 64241 3000 64359
+rect 2800 54359 3000 64241
+rect 2800 54241 2841 54359
+rect 2959 54241 3000 54359
+rect 2800 44359 3000 54241
+rect 2800 44241 2841 44359
+rect 2959 44241 3000 44359
+rect 2800 34359 3000 44241
+rect 2800 34241 2841 34359
+rect 2959 34241 3000 34359
+rect 2800 24359 3000 34241
+rect 2800 24241 2841 24359
+rect 2959 24241 3000 24359
+rect 2800 14359 3000 24241
+rect 2800 14241 2841 14359
+rect 2959 14241 3000 14359
+rect 2800 4359 3000 14241
+rect 2800 4241 2841 4359
+rect 2959 4241 3000 4359
+rect 2800 2959 3000 4241
+rect 296458 344359 296658 355433
+rect 296458 344241 296499 344359
+rect 296617 344241 296658 344359
+rect 296458 334359 296658 344241
+rect 296458 334241 296499 334359
+rect 296617 334241 296658 334359
+rect 296458 324359 296658 334241
+rect 296458 324241 296499 324359
+rect 296617 324241 296658 324359
+rect 296458 314359 296658 324241
+rect 296458 314241 296499 314359
+rect 296617 314241 296658 314359
+rect 296458 304359 296658 314241
+rect 296458 304241 296499 304359
+rect 296617 304241 296658 304359
+rect 296458 294359 296658 304241
+rect 296458 294241 296499 294359
+rect 296617 294241 296658 294359
+rect 296458 284359 296658 294241
+rect 296458 284241 296499 284359
+rect 296617 284241 296658 284359
+rect 296458 274359 296658 284241
+rect 296458 274241 296499 274359
+rect 296617 274241 296658 274359
+rect 296458 264359 296658 274241
+rect 296458 264241 296499 264359
+rect 296617 264241 296658 264359
+rect 296458 254359 296658 264241
+rect 296458 254241 296499 254359
+rect 296617 254241 296658 254359
+rect 296458 244359 296658 254241
+rect 296458 244241 296499 244359
+rect 296617 244241 296658 244359
+rect 296458 234359 296658 244241
+rect 296458 234241 296499 234359
+rect 296617 234241 296658 234359
+rect 296458 224359 296658 234241
+rect 296458 224241 296499 224359
+rect 296617 224241 296658 224359
+rect 296458 214359 296658 224241
+rect 296458 214241 296499 214359
+rect 296617 214241 296658 214359
+rect 296458 204359 296658 214241
+rect 296458 204241 296499 204359
+rect 296617 204241 296658 204359
+rect 296458 194359 296658 204241
+rect 296458 194241 296499 194359
+rect 296617 194241 296658 194359
+rect 296458 184359 296658 194241
+rect 296458 184241 296499 184359
+rect 296617 184241 296658 184359
+rect 296458 174359 296658 184241
+rect 296458 174241 296499 174359
+rect 296617 174241 296658 174359
+rect 296458 164359 296658 174241
+rect 296458 164241 296499 164359
+rect 296617 164241 296658 164359
+rect 296458 154359 296658 164241
+rect 296458 154241 296499 154359
+rect 296617 154241 296658 154359
+rect 296458 144359 296658 154241
+rect 296458 144241 296499 144359
+rect 296617 144241 296658 144359
+rect 296458 134359 296658 144241
+rect 296458 134241 296499 134359
+rect 296617 134241 296658 134359
+rect 296458 124359 296658 134241
+rect 296458 124241 296499 124359
+rect 296617 124241 296658 124359
+rect 296458 114359 296658 124241
+rect 296458 114241 296499 114359
+rect 296617 114241 296658 114359
+rect 296458 104359 296658 114241
+rect 296458 104241 296499 104359
+rect 296617 104241 296658 104359
+rect 296458 94359 296658 104241
+rect 296458 94241 296499 94359
+rect 296617 94241 296658 94359
+rect 296458 84359 296658 94241
+rect 296458 84241 296499 84359
+rect 296617 84241 296658 84359
+rect 296458 74359 296658 84241
+rect 296458 74241 296499 74359
+rect 296617 74241 296658 74359
+rect 296458 64359 296658 74241
+rect 296458 64241 296499 64359
+rect 296617 64241 296658 64359
+rect 296458 54359 296658 64241
+rect 296458 54241 296499 54359
+rect 296617 54241 296658 54359
+rect 296458 44359 296658 54241
+rect 296458 44241 296499 44359
+rect 296617 44241 296658 44359
+rect 296458 34359 296658 44241
+rect 296458 34241 296499 34359
+rect 296617 34241 296658 34359
+rect 296458 24359 296658 34241
+rect 296458 24241 296499 24359
+rect 296617 24241 296658 24359
+rect 296458 14359 296658 24241
+rect 296458 14241 296499 14359
+rect 296617 14241 296658 14359
+rect 296458 4359 296658 14241
+rect 296458 4241 296499 4359
+rect 296617 4241 296658 4359
+rect 2800 2841 2841 2959
+rect 2959 2841 3000 2959
+rect 2800 2800 3000 2841
+rect 4200 2959 4400 3452
+rect 4200 2841 4241 2959
+rect 4359 2841 4400 2959
+rect 2400 2441 2441 2559
+rect 2559 2441 2600 2559
+rect 2400 2400 2600 2441
+rect 4200 2400 4400 2841
+rect 2000 2041 2041 2159
+rect 2159 2041 2200 2159
+rect 2000 2000 2200 2041
+rect 5160 2159 5360 3452
+rect 5160 2041 5201 2159
+rect 5319 2041 5360 2159
+rect 1600 1641 1641 1759
+rect 1759 1641 1800 1759
+rect 1600 1600 1800 1641
+rect 5160 1600 5360 2041
+rect 1200 1241 1241 1359
+rect 1359 1241 1400 1359
+rect 1200 1200 1400 1241
+rect 6120 1359 6320 3452
+rect 6120 1241 6161 1359
+rect 6279 1241 6320 1359
+rect 800 841 841 959
+rect 959 841 1000 959
+rect 800 800 1000 841
+rect 6120 800 6320 1241
+rect 400 441 441 559
+rect 559 441 600 559
+rect 400 400 600 441
+rect 7080 559 7280 3452
+rect 9200 2559 9400 3452
+rect 9200 2441 9241 2559
+rect 9359 2441 9400 2559
+rect 9200 2400 9400 2441
+rect 10160 1759 10360 3452
+rect 10160 1641 10201 1759
+rect 10319 1641 10360 1759
+rect 10160 1600 10360 1641
+rect 11120 959 11320 3452
+rect 11120 841 11161 959
+rect 11279 841 11320 959
+rect 11120 800 11320 841
+rect 7080 441 7121 559
+rect 7239 441 7280 559
+rect 0 41 41 159
+rect 159 41 200 159
+rect 0 0 200 41
+rect 7080 0 7280 441
+rect 12080 159 12280 3452
+rect 14200 2959 14400 3452
+rect 14200 2841 14241 2959
+rect 14359 2841 14400 2959
+rect 14200 2400 14400 2841
+rect 15160 2159 15360 3452
+rect 15160 2041 15201 2159
+rect 15319 2041 15360 2159
+rect 15160 1600 15360 2041
+rect 16120 1359 16320 3452
+rect 16120 1241 16161 1359
+rect 16279 1241 16320 1359
+rect 16120 800 16320 1241
+rect 12080 41 12121 159
+rect 12239 41 12280 159
+rect 12080 0 12280 41
+rect 17080 559 17280 3452
+rect 19200 2559 19400 3452
+rect 19200 2441 19241 2559
+rect 19359 2441 19400 2559
+rect 19200 2400 19400 2441
+rect 20160 1759 20360 3452
+rect 20160 1641 20201 1759
+rect 20319 1641 20360 1759
+rect 20160 1600 20360 1641
+rect 21120 959 21320 3452
+rect 21120 841 21161 959
+rect 21279 841 21320 959
+rect 21120 800 21320 841
+rect 17080 441 17121 559
+rect 17239 441 17280 559
+rect 17080 0 17280 441
+rect 22080 159 22280 3452
+rect 24200 2959 24400 3452
+rect 24200 2841 24241 2959
+rect 24359 2841 24400 2959
+rect 24200 2400 24400 2841
+rect 25160 2159 25360 3452
+rect 25160 2041 25201 2159
+rect 25319 2041 25360 2159
+rect 25160 1600 25360 2041
+rect 26120 1359 26320 3452
+rect 26120 1241 26161 1359
+rect 26279 1241 26320 1359
+rect 26120 800 26320 1241
+rect 22080 41 22121 159
+rect 22239 41 22280 159
+rect 22080 0 22280 41
+rect 27080 559 27280 3452
+rect 29200 2559 29400 3452
+rect 29200 2441 29241 2559
+rect 29359 2441 29400 2559
+rect 29200 2400 29400 2441
+rect 30160 1759 30360 3452
+rect 30160 1641 30201 1759
+rect 30319 1641 30360 1759
+rect 30160 1600 30360 1641
+rect 31120 959 31320 3452
+rect 31120 841 31161 959
+rect 31279 841 31320 959
+rect 31120 800 31320 841
+rect 27080 441 27121 559
+rect 27239 441 27280 559
+rect 27080 0 27280 441
+rect 32080 159 32280 3452
+rect 34200 2959 34400 3452
+rect 34200 2841 34241 2959
+rect 34359 2841 34400 2959
+rect 34200 2400 34400 2841
+rect 35160 2159 35360 3452
+rect 35160 2041 35201 2159
+rect 35319 2041 35360 2159
+rect 35160 1600 35360 2041
+rect 36120 1359 36320 3452
+rect 36120 1241 36161 1359
+rect 36279 1241 36320 1359
+rect 36120 800 36320 1241
+rect 32080 41 32121 159
+rect 32239 41 32280 159
+rect 32080 0 32280 41
+rect 37080 559 37280 3452
+rect 39200 2559 39400 3452
+rect 39200 2441 39241 2559
+rect 39359 2441 39400 2559
+rect 39200 2400 39400 2441
+rect 40160 1759 40360 3452
+rect 40160 1641 40201 1759
+rect 40319 1641 40360 1759
+rect 40160 1600 40360 1641
+rect 41120 959 41320 3452
+rect 41120 841 41161 959
+rect 41279 841 41320 959
+rect 41120 800 41320 841
+rect 37080 441 37121 559
+rect 37239 441 37280 559
+rect 37080 0 37280 441
+rect 42080 159 42280 3452
+rect 44200 2959 44400 3452
+rect 44200 2841 44241 2959
+rect 44359 2841 44400 2959
+rect 44200 2400 44400 2841
+rect 45160 2159 45360 3452
+rect 45160 2041 45201 2159
+rect 45319 2041 45360 2159
+rect 45160 1600 45360 2041
+rect 46120 1359 46320 3452
+rect 46120 1241 46161 1359
+rect 46279 1241 46320 1359
+rect 46120 800 46320 1241
+rect 42080 41 42121 159
+rect 42239 41 42280 159
+rect 42080 0 42280 41
+rect 47080 559 47280 3452
+rect 49200 2559 49400 3452
+rect 49200 2441 49241 2559
+rect 49359 2441 49400 2559
+rect 49200 2400 49400 2441
+rect 50160 1759 50360 3452
+rect 50160 1641 50201 1759
+rect 50319 1641 50360 1759
+rect 50160 1600 50360 1641
+rect 51120 959 51320 3452
+rect 51120 841 51161 959
+rect 51279 841 51320 959
+rect 51120 800 51320 841
+rect 47080 441 47121 559
+rect 47239 441 47280 559
+rect 47080 0 47280 441
+rect 52080 159 52280 3452
+rect 54200 2959 54400 3452
+rect 54200 2841 54241 2959
+rect 54359 2841 54400 2959
+rect 54200 2400 54400 2841
+rect 55160 2159 55360 3452
+rect 55160 2041 55201 2159
+rect 55319 2041 55360 2159
+rect 55160 1600 55360 2041
+rect 56120 1359 56320 3452
+rect 56120 1241 56161 1359
+rect 56279 1241 56320 1359
+rect 56120 800 56320 1241
+rect 52080 41 52121 159
+rect 52239 41 52280 159
+rect 52080 0 52280 41
+rect 57080 559 57280 3452
+rect 59200 2559 59400 3452
+rect 59200 2441 59241 2559
+rect 59359 2441 59400 2559
+rect 59200 2400 59400 2441
+rect 60160 1759 60360 3452
+rect 60160 1641 60201 1759
+rect 60319 1641 60360 1759
+rect 60160 1600 60360 1641
+rect 61120 959 61320 3452
+rect 61120 841 61161 959
+rect 61279 841 61320 959
+rect 61120 800 61320 841
+rect 57080 441 57121 559
+rect 57239 441 57280 559
+rect 57080 0 57280 441
+rect 62080 159 62280 3452
+rect 64200 2959 64400 3452
+rect 64200 2841 64241 2959
+rect 64359 2841 64400 2959
+rect 64200 2400 64400 2841
+rect 65160 2159 65360 3452
+rect 65160 2041 65201 2159
+rect 65319 2041 65360 2159
+rect 65160 1600 65360 2041
+rect 66120 1359 66320 3452
+rect 66120 1241 66161 1359
+rect 66279 1241 66320 1359
+rect 66120 800 66320 1241
+rect 62080 41 62121 159
+rect 62239 41 62280 159
+rect 62080 0 62280 41
+rect 67080 559 67280 3452
+rect 69200 2559 69400 3452
+rect 69200 2441 69241 2559
+rect 69359 2441 69400 2559
+rect 69200 2400 69400 2441
+rect 70160 1759 70360 3452
+rect 70160 1641 70201 1759
+rect 70319 1641 70360 1759
+rect 70160 1600 70360 1641
+rect 71120 959 71320 3452
+rect 71120 841 71161 959
+rect 71279 841 71320 959
+rect 71120 800 71320 841
+rect 67080 441 67121 559
+rect 67239 441 67280 559
+rect 67080 0 67280 441
+rect 72080 159 72280 3452
+rect 74200 2959 74400 3452
+rect 74200 2841 74241 2959
+rect 74359 2841 74400 2959
+rect 74200 2400 74400 2841
+rect 75160 2159 75360 3452
+rect 75160 2041 75201 2159
+rect 75319 2041 75360 2159
+rect 75160 1600 75360 2041
+rect 76120 1359 76320 3452
+rect 76120 1241 76161 1359
+rect 76279 1241 76320 1359
+rect 76120 800 76320 1241
+rect 72080 41 72121 159
+rect 72239 41 72280 159
+rect 72080 0 72280 41
+rect 77080 559 77280 3452
+rect 79200 2559 79400 3452
+rect 79200 2441 79241 2559
+rect 79359 2441 79400 2559
+rect 79200 2400 79400 2441
+rect 80160 1759 80360 3452
+rect 80160 1641 80201 1759
+rect 80319 1641 80360 1759
+rect 80160 1600 80360 1641
+rect 81120 959 81320 3452
+rect 81120 841 81161 959
+rect 81279 841 81320 959
+rect 81120 800 81320 841
+rect 77080 441 77121 559
+rect 77239 441 77280 559
+rect 77080 0 77280 441
+rect 82080 159 82280 3452
+rect 84200 2959 84400 3452
+rect 84200 2841 84241 2959
+rect 84359 2841 84400 2959
+rect 84200 2400 84400 2841
+rect 85160 2159 85360 3452
+rect 85160 2041 85201 2159
+rect 85319 2041 85360 2159
+rect 85160 1600 85360 2041
+rect 86120 1359 86320 3452
+rect 86120 1241 86161 1359
+rect 86279 1241 86320 1359
+rect 86120 800 86320 1241
+rect 82080 41 82121 159
+rect 82239 41 82280 159
+rect 82080 0 82280 41
+rect 87080 559 87280 3452
+rect 89200 2559 89400 3452
+rect 89200 2441 89241 2559
+rect 89359 2441 89400 2559
+rect 89200 2400 89400 2441
+rect 90160 1759 90360 3452
+rect 90160 1641 90201 1759
+rect 90319 1641 90360 1759
+rect 90160 1600 90360 1641
+rect 91120 959 91320 3452
+rect 91120 841 91161 959
+rect 91279 841 91320 959
+rect 91120 800 91320 841
+rect 87080 441 87121 559
+rect 87239 441 87280 559
+rect 87080 0 87280 441
+rect 92080 159 92280 3452
+rect 94200 2959 94400 3452
+rect 94200 2841 94241 2959
+rect 94359 2841 94400 2959
+rect 94200 2400 94400 2841
+rect 95160 2159 95360 3452
+rect 95160 2041 95201 2159
+rect 95319 2041 95360 2159
+rect 95160 1600 95360 2041
+rect 96120 1359 96320 3452
+rect 96120 1241 96161 1359
+rect 96279 1241 96320 1359
+rect 96120 800 96320 1241
+rect 92080 41 92121 159
+rect 92239 41 92280 159
+rect 92080 0 92280 41
+rect 97080 559 97280 3452
+rect 99200 2559 99400 3452
+rect 99200 2441 99241 2559
+rect 99359 2441 99400 2559
+rect 99200 2400 99400 2441
+rect 100160 1759 100360 3452
+rect 100160 1641 100201 1759
+rect 100319 1641 100360 1759
+rect 100160 1600 100360 1641
+rect 101120 959 101320 3452
+rect 101120 841 101161 959
+rect 101279 841 101320 959
+rect 101120 800 101320 841
+rect 97080 441 97121 559
+rect 97239 441 97280 559
+rect 97080 0 97280 441
+rect 102080 159 102280 3452
+rect 104200 2959 104400 3452
+rect 104200 2841 104241 2959
+rect 104359 2841 104400 2959
+rect 104200 2400 104400 2841
+rect 105160 2159 105360 3452
+rect 105160 2041 105201 2159
+rect 105319 2041 105360 2159
+rect 105160 1600 105360 2041
+rect 106120 1359 106320 3452
+rect 106120 1241 106161 1359
+rect 106279 1241 106320 1359
+rect 106120 800 106320 1241
+rect 102080 41 102121 159
+rect 102239 41 102280 159
+rect 102080 0 102280 41
+rect 107080 559 107280 3452
+rect 109200 2559 109400 3452
+rect 109200 2441 109241 2559
+rect 109359 2441 109400 2559
+rect 109200 2400 109400 2441
+rect 110160 1759 110360 3452
+rect 110160 1641 110201 1759
+rect 110319 1641 110360 1759
+rect 110160 1600 110360 1641
+rect 111120 959 111320 3452
+rect 111120 841 111161 959
+rect 111279 841 111320 959
+rect 111120 800 111320 841
+rect 107080 441 107121 559
+rect 107239 441 107280 559
+rect 107080 0 107280 441
+rect 112080 159 112280 3452
+rect 114200 2959 114400 3452
+rect 114200 2841 114241 2959
+rect 114359 2841 114400 2959
+rect 114200 2400 114400 2841
+rect 115160 2159 115360 3452
+rect 115160 2041 115201 2159
+rect 115319 2041 115360 2159
+rect 115160 1600 115360 2041
+rect 116120 1359 116320 3452
+rect 116120 1241 116161 1359
+rect 116279 1241 116320 1359
+rect 116120 800 116320 1241
+rect 112080 41 112121 159
+rect 112239 41 112280 159
+rect 112080 0 112280 41
+rect 117080 559 117280 3452
+rect 119200 2559 119400 3452
+rect 119200 2441 119241 2559
+rect 119359 2441 119400 2559
+rect 119200 2400 119400 2441
+rect 120160 1759 120360 3452
+rect 120160 1641 120201 1759
+rect 120319 1641 120360 1759
+rect 120160 1600 120360 1641
+rect 121120 959 121320 3452
+rect 121120 841 121161 959
+rect 121279 841 121320 959
+rect 121120 800 121320 841
+rect 117080 441 117121 559
+rect 117239 441 117280 559
+rect 117080 0 117280 441
+rect 122080 159 122280 3452
+rect 124200 2959 124400 3452
+rect 124200 2841 124241 2959
+rect 124359 2841 124400 2959
+rect 124200 2400 124400 2841
+rect 125160 2159 125360 3452
+rect 125160 2041 125201 2159
+rect 125319 2041 125360 2159
+rect 125160 1600 125360 2041
+rect 126120 1359 126320 3452
+rect 126120 1241 126161 1359
+rect 126279 1241 126320 1359
+rect 126120 800 126320 1241
+rect 122080 41 122121 159
+rect 122239 41 122280 159
+rect 122080 0 122280 41
+rect 127080 559 127280 3452
+rect 129200 2559 129400 3452
+rect 129200 2441 129241 2559
+rect 129359 2441 129400 2559
+rect 129200 2400 129400 2441
+rect 130160 1759 130360 3452
+rect 130160 1641 130201 1759
+rect 130319 1641 130360 1759
+rect 130160 1600 130360 1641
+rect 131120 959 131320 3452
+rect 131120 841 131161 959
+rect 131279 841 131320 959
+rect 131120 800 131320 841
+rect 127080 441 127121 559
+rect 127239 441 127280 559
+rect 127080 0 127280 441
+rect 132080 159 132280 3452
+rect 134200 2959 134400 3452
+rect 134200 2841 134241 2959
+rect 134359 2841 134400 2959
+rect 134200 2400 134400 2841
+rect 135160 2159 135360 3452
+rect 135160 2041 135201 2159
+rect 135319 2041 135360 2159
+rect 135160 1600 135360 2041
+rect 136120 1359 136320 3452
+rect 136120 1241 136161 1359
+rect 136279 1241 136320 1359
+rect 136120 800 136320 1241
+rect 132080 41 132121 159
+rect 132239 41 132280 159
+rect 132080 0 132280 41
+rect 137080 559 137280 3452
+rect 139200 2559 139400 3452
+rect 139200 2441 139241 2559
+rect 139359 2441 139400 2559
+rect 139200 2400 139400 2441
+rect 140160 1759 140360 3452
+rect 140160 1641 140201 1759
+rect 140319 1641 140360 1759
+rect 140160 1600 140360 1641
+rect 141120 959 141320 3452
+rect 141120 841 141161 959
+rect 141279 841 141320 959
+rect 141120 800 141320 841
+rect 137080 441 137121 559
+rect 137239 441 137280 559
+rect 137080 0 137280 441
+rect 142080 159 142280 3452
+rect 144200 2959 144400 3452
+rect 144200 2841 144241 2959
+rect 144359 2841 144400 2959
+rect 144200 2400 144400 2841
+rect 145160 2159 145360 3452
+rect 145160 2041 145201 2159
+rect 145319 2041 145360 2159
+rect 145160 1600 145360 2041
+rect 146120 1359 146320 3452
+rect 146120 1241 146161 1359
+rect 146279 1241 146320 1359
+rect 146120 800 146320 1241
+rect 142080 41 142121 159
+rect 142239 41 142280 159
+rect 142080 0 142280 41
+rect 147080 559 147280 3452
+rect 149200 2559 149400 3452
+rect 149200 2441 149241 2559
+rect 149359 2441 149400 2559
+rect 149200 2400 149400 2441
+rect 150160 1759 150360 3452
+rect 150160 1641 150201 1759
+rect 150319 1641 150360 1759
+rect 150160 1600 150360 1641
+rect 151120 959 151320 3452
+rect 151120 841 151161 959
+rect 151279 841 151320 959
+rect 151120 800 151320 841
+rect 147080 441 147121 559
+rect 147239 441 147280 559
+rect 147080 0 147280 441
+rect 152080 159 152280 3452
+rect 154200 2959 154400 3452
+rect 154200 2841 154241 2959
+rect 154359 2841 154400 2959
+rect 154200 2400 154400 2841
+rect 155160 2159 155360 3452
+rect 155160 2041 155201 2159
+rect 155319 2041 155360 2159
+rect 155160 1600 155360 2041
+rect 156120 1359 156320 3452
+rect 156120 1241 156161 1359
+rect 156279 1241 156320 1359
+rect 156120 800 156320 1241
+rect 152080 41 152121 159
+rect 152239 41 152280 159
+rect 152080 0 152280 41
+rect 157080 559 157280 3452
+rect 159200 2559 159400 3452
+rect 159200 2441 159241 2559
+rect 159359 2441 159400 2559
+rect 159200 2400 159400 2441
+rect 160160 1759 160360 3452
+rect 160160 1641 160201 1759
+rect 160319 1641 160360 1759
+rect 160160 1600 160360 1641
+rect 161120 959 161320 3452
+rect 161120 841 161161 959
+rect 161279 841 161320 959
+rect 161120 800 161320 841
+rect 157080 441 157121 559
+rect 157239 441 157280 559
+rect 157080 0 157280 441
+rect 162080 159 162280 3452
+rect 164200 2959 164400 3452
+rect 164200 2841 164241 2959
+rect 164359 2841 164400 2959
+rect 164200 2400 164400 2841
+rect 165160 2159 165360 3452
+rect 165160 2041 165201 2159
+rect 165319 2041 165360 2159
+rect 165160 1600 165360 2041
+rect 166120 1359 166320 3452
+rect 166120 1241 166161 1359
+rect 166279 1241 166320 1359
+rect 166120 800 166320 1241
+rect 162080 41 162121 159
+rect 162239 41 162280 159
+rect 162080 0 162280 41
+rect 167080 559 167280 3452
+rect 169200 2559 169400 3452
+rect 169200 2441 169241 2559
+rect 169359 2441 169400 2559
+rect 169200 2400 169400 2441
+rect 170160 1759 170360 3452
+rect 170160 1641 170201 1759
+rect 170319 1641 170360 1759
+rect 170160 1600 170360 1641
+rect 171120 959 171320 3452
+rect 171120 841 171161 959
+rect 171279 841 171320 959
+rect 171120 800 171320 841
+rect 167080 441 167121 559
+rect 167239 441 167280 559
+rect 167080 0 167280 441
+rect 172080 159 172280 3452
+rect 174200 2959 174400 3452
+rect 174200 2841 174241 2959
+rect 174359 2841 174400 2959
+rect 174200 2400 174400 2841
+rect 175160 2159 175360 3452
+rect 175160 2041 175201 2159
+rect 175319 2041 175360 2159
+rect 175160 1600 175360 2041
+rect 176120 1359 176320 3452
+rect 176120 1241 176161 1359
+rect 176279 1241 176320 1359
+rect 176120 800 176320 1241
+rect 172080 41 172121 159
+rect 172239 41 172280 159
+rect 172080 0 172280 41
+rect 177080 559 177280 3452
+rect 179200 2559 179400 3452
+rect 179200 2441 179241 2559
+rect 179359 2441 179400 2559
+rect 179200 2400 179400 2441
+rect 180160 1759 180360 3452
+rect 180160 1641 180201 1759
+rect 180319 1641 180360 1759
+rect 180160 1600 180360 1641
+rect 181120 959 181320 3452
+rect 181120 841 181161 959
+rect 181279 841 181320 959
+rect 181120 800 181320 841
+rect 177080 441 177121 559
+rect 177239 441 177280 559
+rect 177080 0 177280 441
+rect 182080 159 182280 3452
+rect 184200 2959 184400 3452
+rect 184200 2841 184241 2959
+rect 184359 2841 184400 2959
+rect 184200 2400 184400 2841
+rect 185160 2159 185360 3452
+rect 185160 2041 185201 2159
+rect 185319 2041 185360 2159
+rect 185160 1600 185360 2041
+rect 186120 1359 186320 3452
+rect 186120 1241 186161 1359
+rect 186279 1241 186320 1359
+rect 186120 800 186320 1241
+rect 182080 41 182121 159
+rect 182239 41 182280 159
+rect 182080 0 182280 41
+rect 187080 559 187280 3452
+rect 189200 2559 189400 3452
+rect 189200 2441 189241 2559
+rect 189359 2441 189400 2559
+rect 189200 2400 189400 2441
+rect 190160 1759 190360 3452
+rect 190160 1641 190201 1759
+rect 190319 1641 190360 1759
+rect 190160 1600 190360 1641
+rect 191120 959 191320 3452
+rect 191120 841 191161 959
+rect 191279 841 191320 959
+rect 191120 800 191320 841
+rect 187080 441 187121 559
+rect 187239 441 187280 559
+rect 187080 0 187280 441
+rect 192080 159 192280 3452
+rect 194200 2959 194400 3452
+rect 194200 2841 194241 2959
+rect 194359 2841 194400 2959
+rect 194200 2400 194400 2841
+rect 195160 2159 195360 3452
+rect 195160 2041 195201 2159
+rect 195319 2041 195360 2159
+rect 195160 1600 195360 2041
+rect 196120 1359 196320 3452
+rect 196120 1241 196161 1359
+rect 196279 1241 196320 1359
+rect 196120 800 196320 1241
+rect 192080 41 192121 159
+rect 192239 41 192280 159
+rect 192080 0 192280 41
+rect 197080 559 197280 3452
+rect 199200 2559 199400 3452
+rect 199200 2441 199241 2559
+rect 199359 2441 199400 2559
+rect 199200 2400 199400 2441
+rect 200160 1759 200360 3452
+rect 200160 1641 200201 1759
+rect 200319 1641 200360 1759
+rect 200160 1600 200360 1641
+rect 201120 959 201320 3452
+rect 201120 841 201161 959
+rect 201279 841 201320 959
+rect 201120 800 201320 841
+rect 197080 441 197121 559
+rect 197239 441 197280 559
+rect 197080 0 197280 441
+rect 202080 159 202280 3452
+rect 204200 2959 204400 3452
+rect 204200 2841 204241 2959
+rect 204359 2841 204400 2959
+rect 204200 2400 204400 2841
+rect 205160 2159 205360 3452
+rect 205160 2041 205201 2159
+rect 205319 2041 205360 2159
+rect 205160 1600 205360 2041
+rect 206120 1359 206320 3452
+rect 206120 1241 206161 1359
+rect 206279 1241 206320 1359
+rect 206120 800 206320 1241
+rect 202080 41 202121 159
+rect 202239 41 202280 159
+rect 202080 0 202280 41
+rect 207080 559 207280 3452
+rect 209200 2559 209400 3452
+rect 209200 2441 209241 2559
+rect 209359 2441 209400 2559
+rect 209200 2400 209400 2441
+rect 210160 1759 210360 3452
+rect 210160 1641 210201 1759
+rect 210319 1641 210360 1759
+rect 210160 1600 210360 1641
+rect 211120 959 211320 3452
+rect 211120 841 211161 959
+rect 211279 841 211320 959
+rect 211120 800 211320 841
+rect 207080 441 207121 559
+rect 207239 441 207280 559
+rect 207080 0 207280 441
+rect 212080 159 212280 3452
+rect 214200 2959 214400 3452
+rect 214200 2841 214241 2959
+rect 214359 2841 214400 2959
+rect 214200 2400 214400 2841
+rect 215160 2159 215360 3452
+rect 215160 2041 215201 2159
+rect 215319 2041 215360 2159
+rect 215160 1600 215360 2041
+rect 216120 1359 216320 3452
+rect 216120 1241 216161 1359
+rect 216279 1241 216320 1359
+rect 216120 800 216320 1241
+rect 212080 41 212121 159
+rect 212239 41 212280 159
+rect 212080 0 212280 41
+rect 217080 559 217280 3452
+rect 219200 2559 219400 3452
+rect 219200 2441 219241 2559
+rect 219359 2441 219400 2559
+rect 219200 2400 219400 2441
+rect 220160 1759 220360 3452
+rect 220160 1641 220201 1759
+rect 220319 1641 220360 1759
+rect 220160 1600 220360 1641
+rect 221120 959 221320 3452
+rect 221120 841 221161 959
+rect 221279 841 221320 959
+rect 221120 800 221320 841
+rect 217080 441 217121 559
+rect 217239 441 217280 559
+rect 217080 0 217280 441
+rect 222080 159 222280 3452
+rect 224200 2959 224400 3452
+rect 224200 2841 224241 2959
+rect 224359 2841 224400 2959
+rect 224200 2400 224400 2841
+rect 225160 2159 225360 3452
+rect 225160 2041 225201 2159
+rect 225319 2041 225360 2159
+rect 225160 1600 225360 2041
+rect 226120 1359 226320 3452
+rect 226120 1241 226161 1359
+rect 226279 1241 226320 1359
+rect 226120 800 226320 1241
+rect 222080 41 222121 159
+rect 222239 41 222280 159
+rect 222080 0 222280 41
+rect 227080 559 227280 3452
+rect 229200 2559 229400 3452
+rect 229200 2441 229241 2559
+rect 229359 2441 229400 2559
+rect 229200 2400 229400 2441
+rect 230160 1759 230360 3452
+rect 230160 1641 230201 1759
+rect 230319 1641 230360 1759
+rect 230160 1600 230360 1641
+rect 231120 959 231320 3452
+rect 231120 841 231161 959
+rect 231279 841 231320 959
+rect 231120 800 231320 841
+rect 227080 441 227121 559
+rect 227239 441 227280 559
+rect 227080 0 227280 441
+rect 232080 159 232280 3452
+rect 234200 2959 234400 3452
+rect 234200 2841 234241 2959
+rect 234359 2841 234400 2959
+rect 234200 2400 234400 2841
+rect 235160 2159 235360 3452
+rect 235160 2041 235201 2159
+rect 235319 2041 235360 2159
+rect 235160 1600 235360 2041
+rect 236120 1359 236320 3452
+rect 236120 1241 236161 1359
+rect 236279 1241 236320 1359
+rect 236120 800 236320 1241
+rect 232080 41 232121 159
+rect 232239 41 232280 159
+rect 232080 0 232280 41
+rect 237080 559 237280 3452
+rect 239200 2559 239400 3452
+rect 239200 2441 239241 2559
+rect 239359 2441 239400 2559
+rect 239200 2400 239400 2441
+rect 240160 1759 240360 3452
+rect 240160 1641 240201 1759
+rect 240319 1641 240360 1759
+rect 240160 1600 240360 1641
+rect 241120 959 241320 3452
+rect 241120 841 241161 959
+rect 241279 841 241320 959
+rect 241120 800 241320 841
+rect 237080 441 237121 559
+rect 237239 441 237280 559
+rect 237080 0 237280 441
+rect 242080 159 242280 3452
+rect 244200 2959 244400 3452
+rect 244200 2841 244241 2959
+rect 244359 2841 244400 2959
+rect 244200 2400 244400 2841
+rect 245160 2159 245360 3452
+rect 245160 2041 245201 2159
+rect 245319 2041 245360 2159
+rect 245160 1600 245360 2041
+rect 246120 1359 246320 3452
+rect 246120 1241 246161 1359
+rect 246279 1241 246320 1359
+rect 246120 800 246320 1241
+rect 242080 41 242121 159
+rect 242239 41 242280 159
+rect 242080 0 242280 41
+rect 247080 559 247280 3452
+rect 249200 2559 249400 3452
+rect 249200 2441 249241 2559
+rect 249359 2441 249400 2559
+rect 249200 2400 249400 2441
+rect 250160 1759 250360 3452
+rect 250160 1641 250201 1759
+rect 250319 1641 250360 1759
+rect 250160 1600 250360 1641
+rect 251120 959 251320 3452
+rect 251120 841 251161 959
+rect 251279 841 251320 959
+rect 251120 800 251320 841
+rect 247080 441 247121 559
+rect 247239 441 247280 559
+rect 247080 0 247280 441
+rect 252080 159 252280 3452
+rect 254200 2959 254400 3452
+rect 254200 2841 254241 2959
+rect 254359 2841 254400 2959
+rect 254200 2400 254400 2841
+rect 255160 2159 255360 3452
+rect 255160 2041 255201 2159
+rect 255319 2041 255360 2159
+rect 255160 1600 255360 2041
+rect 256120 1359 256320 3452
+rect 256120 1241 256161 1359
+rect 256279 1241 256320 1359
+rect 256120 800 256320 1241
+rect 252080 41 252121 159
+rect 252239 41 252280 159
+rect 252080 0 252280 41
+rect 257080 559 257280 3452
+rect 259200 2559 259400 3452
+rect 259200 2441 259241 2559
+rect 259359 2441 259400 2559
+rect 259200 2400 259400 2441
+rect 260160 1759 260360 3452
+rect 260160 1641 260201 1759
+rect 260319 1641 260360 1759
+rect 260160 1600 260360 1641
+rect 261120 959 261320 3452
+rect 261120 841 261161 959
+rect 261279 841 261320 959
+rect 261120 800 261320 841
+rect 257080 441 257121 559
+rect 257239 441 257280 559
+rect 257080 0 257280 441
+rect 262080 159 262280 3452
+rect 264200 2959 264400 3452
+rect 264200 2841 264241 2959
+rect 264359 2841 264400 2959
+rect 264200 2400 264400 2841
+rect 265160 2159 265360 3452
+rect 265160 2041 265201 2159
+rect 265319 2041 265360 2159
+rect 265160 1600 265360 2041
+rect 266120 1359 266320 3452
+rect 266120 1241 266161 1359
+rect 266279 1241 266320 1359
+rect 266120 800 266320 1241
+rect 262080 41 262121 159
+rect 262239 41 262280 159
+rect 262080 0 262280 41
+rect 267080 559 267280 3452
+rect 269200 2559 269400 3452
+rect 269200 2441 269241 2559
+rect 269359 2441 269400 2559
+rect 269200 2400 269400 2441
+rect 270160 1759 270360 3452
+rect 270160 1641 270201 1759
+rect 270319 1641 270360 1759
+rect 270160 1600 270360 1641
+rect 271120 959 271320 3452
+rect 271120 841 271161 959
+rect 271279 841 271320 959
+rect 271120 800 271320 841
+rect 267080 441 267121 559
+rect 267239 441 267280 559
+rect 267080 0 267280 441
+rect 272080 159 272280 3452
+rect 274200 2959 274400 3452
+rect 274200 2841 274241 2959
+rect 274359 2841 274400 2959
+rect 274200 2400 274400 2841
+rect 275160 2159 275360 3452
+rect 275160 2041 275201 2159
+rect 275319 2041 275360 2159
+rect 275160 1600 275360 2041
+rect 276120 1359 276320 3452
+rect 276120 1241 276161 1359
+rect 276279 1241 276320 1359
+rect 276120 800 276320 1241
+rect 272080 41 272121 159
+rect 272239 41 272280 159
+rect 272080 0 272280 41
+rect 277080 559 277280 3452
+rect 279200 2559 279400 3452
+rect 279200 2441 279241 2559
+rect 279359 2441 279400 2559
+rect 279200 2400 279400 2441
+rect 280160 1759 280360 3452
+rect 280160 1641 280201 1759
+rect 280319 1641 280360 1759
+rect 280160 1600 280360 1641
+rect 281120 959 281320 3452
+rect 281120 841 281161 959
+rect 281279 841 281320 959
+rect 281120 800 281320 841
+rect 277080 441 277121 559
+rect 277239 441 277280 559
+rect 277080 0 277280 441
+rect 282080 159 282280 3452
+rect 284200 2959 284400 3452
+rect 284200 2841 284241 2959
+rect 284359 2841 284400 2959
+rect 284200 2400 284400 2841
+rect 285160 2159 285360 3452
+rect 285160 2041 285201 2159
+rect 285319 2041 285360 2159
+rect 285160 1600 285360 2041
+rect 286120 1359 286320 3452
+rect 286120 1241 286161 1359
+rect 286279 1241 286320 1359
+rect 286120 800 286320 1241
+rect 282080 41 282121 159
+rect 282239 41 282280 159
+rect 282080 0 282280 41
+rect 287080 559 287280 3452
+rect 289200 2559 289400 3452
+rect 289200 2441 289241 2559
+rect 289359 2441 289400 2559
+rect 289200 2400 289400 2441
+rect 290160 1759 290360 3452
+rect 290160 1641 290201 1759
+rect 290319 1641 290360 1759
+rect 290160 1600 290360 1641
+rect 291120 959 291320 3452
+rect 291120 841 291161 959
+rect 291279 841 291320 959
+rect 291120 800 291320 841
+rect 287080 441 287121 559
+rect 287239 441 287280 559
+rect 287080 0 287280 441
+rect 292080 159 292280 3452
+rect 294200 2959 294400 3452
+rect 294200 2841 294241 2959
+rect 294359 2841 294400 2959
+rect 294200 2400 294400 2841
+rect 296458 2959 296658 4241
+rect 296458 2841 296499 2959
+rect 296617 2841 296658 2959
+rect 296458 2800 296658 2841
+rect 296858 349359 297058 355833
+rect 296858 349241 296899 349359
+rect 297017 349241 297058 349359
+rect 296858 339359 297058 349241
+rect 296858 339241 296899 339359
+rect 297017 339241 297058 339359
+rect 296858 329359 297058 339241
+rect 296858 329241 296899 329359
+rect 297017 329241 297058 329359
+rect 296858 319359 297058 329241
+rect 296858 319241 296899 319359
+rect 297017 319241 297058 319359
+rect 296858 309359 297058 319241
+rect 296858 309241 296899 309359
+rect 297017 309241 297058 309359
+rect 296858 299359 297058 309241
+rect 296858 299241 296899 299359
+rect 297017 299241 297058 299359
+rect 296858 289359 297058 299241
+rect 296858 289241 296899 289359
+rect 297017 289241 297058 289359
+rect 296858 279359 297058 289241
+rect 296858 279241 296899 279359
+rect 297017 279241 297058 279359
+rect 296858 269359 297058 279241
+rect 296858 269241 296899 269359
+rect 297017 269241 297058 269359
+rect 296858 259359 297058 269241
+rect 296858 259241 296899 259359
+rect 297017 259241 297058 259359
+rect 296858 249359 297058 259241
+rect 296858 249241 296899 249359
+rect 297017 249241 297058 249359
+rect 296858 239359 297058 249241
+rect 296858 239241 296899 239359
+rect 297017 239241 297058 239359
+rect 296858 229359 297058 239241
+rect 296858 229241 296899 229359
+rect 297017 229241 297058 229359
+rect 296858 219359 297058 229241
+rect 296858 219241 296899 219359
+rect 297017 219241 297058 219359
+rect 296858 209359 297058 219241
+rect 296858 209241 296899 209359
+rect 297017 209241 297058 209359
+rect 296858 199359 297058 209241
+rect 296858 199241 296899 199359
+rect 297017 199241 297058 199359
+rect 296858 189359 297058 199241
+rect 296858 189241 296899 189359
+rect 297017 189241 297058 189359
+rect 296858 179359 297058 189241
+rect 296858 179241 296899 179359
+rect 297017 179241 297058 179359
+rect 296858 169359 297058 179241
+rect 296858 169241 296899 169359
+rect 297017 169241 297058 169359
+rect 296858 159359 297058 169241
+rect 296858 159241 296899 159359
+rect 297017 159241 297058 159359
+rect 296858 149359 297058 159241
+rect 296858 149241 296899 149359
+rect 297017 149241 297058 149359
+rect 296858 139359 297058 149241
+rect 296858 139241 296899 139359
+rect 297017 139241 297058 139359
+rect 296858 129359 297058 139241
+rect 296858 129241 296899 129359
+rect 297017 129241 297058 129359
+rect 296858 119359 297058 129241
+rect 296858 119241 296899 119359
+rect 297017 119241 297058 119359
+rect 296858 109359 297058 119241
+rect 296858 109241 296899 109359
+rect 297017 109241 297058 109359
+rect 296858 99359 297058 109241
+rect 296858 99241 296899 99359
+rect 297017 99241 297058 99359
+rect 296858 89359 297058 99241
+rect 296858 89241 296899 89359
+rect 297017 89241 297058 89359
+rect 296858 79359 297058 89241
+rect 296858 79241 296899 79359
+rect 297017 79241 297058 79359
+rect 296858 69359 297058 79241
+rect 296858 69241 296899 69359
+rect 297017 69241 297058 69359
+rect 296858 59359 297058 69241
+rect 296858 59241 296899 59359
+rect 297017 59241 297058 59359
+rect 296858 49359 297058 59241
+rect 296858 49241 296899 49359
+rect 297017 49241 297058 49359
+rect 296858 39359 297058 49241
+rect 296858 39241 296899 39359
+rect 297017 39241 297058 39359
+rect 296858 29359 297058 39241
+rect 296858 29241 296899 29359
+rect 297017 29241 297058 29359
+rect 296858 19359 297058 29241
+rect 296858 19241 296899 19359
+rect 297017 19241 297058 19359
+rect 296858 9359 297058 19241
+rect 296858 9241 296899 9359
+rect 297017 9241 297058 9359
+rect 296858 2559 297058 9241
+rect 296858 2441 296899 2559
+rect 297017 2441 297058 2559
+rect 296858 2400 297058 2441
+rect 297258 345319 297458 356233
+rect 297258 345201 297299 345319
+rect 297417 345201 297458 345319
+rect 297258 335319 297458 345201
+rect 297258 335201 297299 335319
+rect 297417 335201 297458 335319
+rect 297258 325319 297458 335201
+rect 297258 325201 297299 325319
+rect 297417 325201 297458 325319
+rect 297258 315319 297458 325201
+rect 297258 315201 297299 315319
+rect 297417 315201 297458 315319
+rect 297258 305319 297458 315201
+rect 297258 305201 297299 305319
+rect 297417 305201 297458 305319
+rect 297258 295319 297458 305201
+rect 297258 295201 297299 295319
+rect 297417 295201 297458 295319
+rect 297258 285319 297458 295201
+rect 297258 285201 297299 285319
+rect 297417 285201 297458 285319
+rect 297258 275319 297458 285201
+rect 297258 275201 297299 275319
+rect 297417 275201 297458 275319
+rect 297258 265319 297458 275201
+rect 297258 265201 297299 265319
+rect 297417 265201 297458 265319
+rect 297258 255319 297458 265201
+rect 297258 255201 297299 255319
+rect 297417 255201 297458 255319
+rect 297258 245319 297458 255201
+rect 297258 245201 297299 245319
+rect 297417 245201 297458 245319
+rect 297258 235319 297458 245201
+rect 297258 235201 297299 235319
+rect 297417 235201 297458 235319
+rect 297258 225319 297458 235201
+rect 297258 225201 297299 225319
+rect 297417 225201 297458 225319
+rect 297258 215319 297458 225201
+rect 297258 215201 297299 215319
+rect 297417 215201 297458 215319
+rect 297258 205319 297458 215201
+rect 297258 205201 297299 205319
+rect 297417 205201 297458 205319
+rect 297258 195319 297458 205201
+rect 297258 195201 297299 195319
+rect 297417 195201 297458 195319
+rect 297258 185319 297458 195201
+rect 297258 185201 297299 185319
+rect 297417 185201 297458 185319
+rect 297258 175319 297458 185201
+rect 297258 175201 297299 175319
+rect 297417 175201 297458 175319
+rect 297258 165319 297458 175201
+rect 297258 165201 297299 165319
+rect 297417 165201 297458 165319
+rect 297258 155319 297458 165201
+rect 297258 155201 297299 155319
+rect 297417 155201 297458 155319
+rect 297258 145319 297458 155201
+rect 297258 145201 297299 145319
+rect 297417 145201 297458 145319
+rect 297258 135319 297458 145201
+rect 297258 135201 297299 135319
+rect 297417 135201 297458 135319
+rect 297258 125319 297458 135201
+rect 297258 125201 297299 125319
+rect 297417 125201 297458 125319
+rect 297258 115319 297458 125201
+rect 297258 115201 297299 115319
+rect 297417 115201 297458 115319
+rect 297258 105319 297458 115201
+rect 297258 105201 297299 105319
+rect 297417 105201 297458 105319
+rect 297258 95319 297458 105201
+rect 297258 95201 297299 95319
+rect 297417 95201 297458 95319
+rect 297258 85319 297458 95201
+rect 297258 85201 297299 85319
+rect 297417 85201 297458 85319
+rect 297258 75319 297458 85201
+rect 297258 75201 297299 75319
+rect 297417 75201 297458 75319
+rect 297258 65319 297458 75201
+rect 297258 65201 297299 65319
+rect 297417 65201 297458 65319
+rect 297258 55319 297458 65201
+rect 297258 55201 297299 55319
+rect 297417 55201 297458 55319
+rect 297258 45319 297458 55201
+rect 297258 45201 297299 45319
+rect 297417 45201 297458 45319
+rect 297258 35319 297458 45201
+rect 297258 35201 297299 35319
+rect 297417 35201 297458 35319
+rect 297258 25319 297458 35201
+rect 297258 25201 297299 25319
+rect 297417 25201 297458 25319
+rect 297258 15319 297458 25201
+rect 297258 15201 297299 15319
+rect 297417 15201 297458 15319
+rect 297258 5319 297458 15201
+rect 297258 5201 297299 5319
+rect 297417 5201 297458 5319
+rect 297258 2159 297458 5201
+rect 297258 2041 297299 2159
+rect 297417 2041 297458 2159
+rect 297258 2000 297458 2041
+rect 297658 350319 297858 356633
+rect 297658 350201 297699 350319
+rect 297817 350201 297858 350319
+rect 297658 340319 297858 350201
+rect 297658 340201 297699 340319
+rect 297817 340201 297858 340319
+rect 297658 330319 297858 340201
+rect 297658 330201 297699 330319
+rect 297817 330201 297858 330319
+rect 297658 320319 297858 330201
+rect 297658 320201 297699 320319
+rect 297817 320201 297858 320319
+rect 297658 310319 297858 320201
+rect 297658 310201 297699 310319
+rect 297817 310201 297858 310319
+rect 297658 300319 297858 310201
+rect 297658 300201 297699 300319
+rect 297817 300201 297858 300319
+rect 297658 290319 297858 300201
+rect 297658 290201 297699 290319
+rect 297817 290201 297858 290319
+rect 297658 280319 297858 290201
+rect 297658 280201 297699 280319
+rect 297817 280201 297858 280319
+rect 297658 270319 297858 280201
+rect 297658 270201 297699 270319
+rect 297817 270201 297858 270319
+rect 297658 260319 297858 270201
+rect 297658 260201 297699 260319
+rect 297817 260201 297858 260319
+rect 297658 250319 297858 260201
+rect 297658 250201 297699 250319
+rect 297817 250201 297858 250319
+rect 297658 240319 297858 250201
+rect 297658 240201 297699 240319
+rect 297817 240201 297858 240319
+rect 297658 230319 297858 240201
+rect 297658 230201 297699 230319
+rect 297817 230201 297858 230319
+rect 297658 220319 297858 230201
+rect 297658 220201 297699 220319
+rect 297817 220201 297858 220319
+rect 297658 210319 297858 220201
+rect 297658 210201 297699 210319
+rect 297817 210201 297858 210319
+rect 297658 200319 297858 210201
+rect 297658 200201 297699 200319
+rect 297817 200201 297858 200319
+rect 297658 190319 297858 200201
+rect 297658 190201 297699 190319
+rect 297817 190201 297858 190319
+rect 297658 180319 297858 190201
+rect 297658 180201 297699 180319
+rect 297817 180201 297858 180319
+rect 297658 170319 297858 180201
+rect 297658 170201 297699 170319
+rect 297817 170201 297858 170319
+rect 297658 160319 297858 170201
+rect 297658 160201 297699 160319
+rect 297817 160201 297858 160319
+rect 297658 150319 297858 160201
+rect 297658 150201 297699 150319
+rect 297817 150201 297858 150319
+rect 297658 140319 297858 150201
+rect 297658 140201 297699 140319
+rect 297817 140201 297858 140319
+rect 297658 130319 297858 140201
+rect 297658 130201 297699 130319
+rect 297817 130201 297858 130319
+rect 297658 120319 297858 130201
+rect 297658 120201 297699 120319
+rect 297817 120201 297858 120319
+rect 297658 110319 297858 120201
+rect 297658 110201 297699 110319
+rect 297817 110201 297858 110319
+rect 297658 100319 297858 110201
+rect 297658 100201 297699 100319
+rect 297817 100201 297858 100319
+rect 297658 90319 297858 100201
+rect 297658 90201 297699 90319
+rect 297817 90201 297858 90319
+rect 297658 80319 297858 90201
+rect 297658 80201 297699 80319
+rect 297817 80201 297858 80319
+rect 297658 70319 297858 80201
+rect 297658 70201 297699 70319
+rect 297817 70201 297858 70319
+rect 297658 60319 297858 70201
+rect 297658 60201 297699 60319
+rect 297817 60201 297858 60319
+rect 297658 50319 297858 60201
+rect 297658 50201 297699 50319
+rect 297817 50201 297858 50319
+rect 297658 40319 297858 50201
+rect 297658 40201 297699 40319
+rect 297817 40201 297858 40319
+rect 297658 30319 297858 40201
+rect 297658 30201 297699 30319
+rect 297817 30201 297858 30319
+rect 297658 20319 297858 30201
+rect 297658 20201 297699 20319
+rect 297817 20201 297858 20319
+rect 297658 10319 297858 20201
+rect 297658 10201 297699 10319
+rect 297817 10201 297858 10319
+rect 297658 1759 297858 10201
+rect 297658 1641 297699 1759
+rect 297817 1641 297858 1759
+rect 297658 1600 297858 1641
+rect 298058 346279 298258 357033
+rect 298058 346161 298099 346279
+rect 298217 346161 298258 346279
+rect 298058 336279 298258 346161
+rect 298058 336161 298099 336279
+rect 298217 336161 298258 336279
+rect 298058 326279 298258 336161
+rect 298058 326161 298099 326279
+rect 298217 326161 298258 326279
+rect 298058 316279 298258 326161
+rect 298058 316161 298099 316279
+rect 298217 316161 298258 316279
+rect 298058 306279 298258 316161
+rect 298058 306161 298099 306279
+rect 298217 306161 298258 306279
+rect 298058 296279 298258 306161
+rect 298058 296161 298099 296279
+rect 298217 296161 298258 296279
+rect 298058 286279 298258 296161
+rect 298058 286161 298099 286279
+rect 298217 286161 298258 286279
+rect 298058 276279 298258 286161
+rect 298058 276161 298099 276279
+rect 298217 276161 298258 276279
+rect 298058 266279 298258 276161
+rect 298058 266161 298099 266279
+rect 298217 266161 298258 266279
+rect 298058 256279 298258 266161
+rect 298058 256161 298099 256279
+rect 298217 256161 298258 256279
+rect 298058 246279 298258 256161
+rect 298058 246161 298099 246279
+rect 298217 246161 298258 246279
+rect 298058 236279 298258 246161
+rect 298058 236161 298099 236279
+rect 298217 236161 298258 236279
+rect 298058 226279 298258 236161
+rect 298058 226161 298099 226279
+rect 298217 226161 298258 226279
+rect 298058 216279 298258 226161
+rect 298058 216161 298099 216279
+rect 298217 216161 298258 216279
+rect 298058 206279 298258 216161
+rect 298058 206161 298099 206279
+rect 298217 206161 298258 206279
+rect 298058 196279 298258 206161
+rect 298058 196161 298099 196279
+rect 298217 196161 298258 196279
+rect 298058 186279 298258 196161
+rect 298058 186161 298099 186279
+rect 298217 186161 298258 186279
+rect 298058 176279 298258 186161
+rect 298058 176161 298099 176279
+rect 298217 176161 298258 176279
+rect 298058 166279 298258 176161
+rect 298058 166161 298099 166279
+rect 298217 166161 298258 166279
+rect 298058 156279 298258 166161
+rect 298058 156161 298099 156279
+rect 298217 156161 298258 156279
+rect 298058 146279 298258 156161
+rect 298058 146161 298099 146279
+rect 298217 146161 298258 146279
+rect 298058 136279 298258 146161
+rect 298058 136161 298099 136279
+rect 298217 136161 298258 136279
+rect 298058 126279 298258 136161
+rect 298058 126161 298099 126279
+rect 298217 126161 298258 126279
+rect 298058 116279 298258 126161
+rect 298058 116161 298099 116279
+rect 298217 116161 298258 116279
+rect 298058 106279 298258 116161
+rect 298058 106161 298099 106279
+rect 298217 106161 298258 106279
+rect 298058 96279 298258 106161
+rect 298058 96161 298099 96279
+rect 298217 96161 298258 96279
+rect 298058 86279 298258 96161
+rect 298058 86161 298099 86279
+rect 298217 86161 298258 86279
+rect 298058 76279 298258 86161
+rect 298058 76161 298099 76279
+rect 298217 76161 298258 76279
+rect 298058 66279 298258 76161
+rect 298058 66161 298099 66279
+rect 298217 66161 298258 66279
+rect 298058 56279 298258 66161
+rect 298058 56161 298099 56279
+rect 298217 56161 298258 56279
+rect 298058 46279 298258 56161
+rect 298058 46161 298099 46279
+rect 298217 46161 298258 46279
+rect 298058 36279 298258 46161
+rect 298058 36161 298099 36279
+rect 298217 36161 298258 36279
+rect 298058 26279 298258 36161
+rect 298058 26161 298099 26279
+rect 298217 26161 298258 26279
+rect 298058 16279 298258 26161
+rect 298058 16161 298099 16279
+rect 298217 16161 298258 16279
+rect 298058 6279 298258 16161
+rect 298058 6161 298099 6279
+rect 298217 6161 298258 6279
+rect 298058 1359 298258 6161
+rect 298058 1241 298099 1359
+rect 298217 1241 298258 1359
+rect 298058 1200 298258 1241
+rect 298458 351279 298658 357433
+rect 298458 351161 298499 351279
+rect 298617 351161 298658 351279
+rect 298458 341279 298658 351161
+rect 298458 341161 298499 341279
+rect 298617 341161 298658 341279
+rect 298458 331279 298658 341161
+rect 298458 331161 298499 331279
+rect 298617 331161 298658 331279
+rect 298458 321279 298658 331161
+rect 298458 321161 298499 321279
+rect 298617 321161 298658 321279
+rect 298458 311279 298658 321161
+rect 298458 311161 298499 311279
+rect 298617 311161 298658 311279
+rect 298458 301279 298658 311161
+rect 298458 301161 298499 301279
+rect 298617 301161 298658 301279
+rect 298458 291279 298658 301161
+rect 298458 291161 298499 291279
+rect 298617 291161 298658 291279
+rect 298458 281279 298658 291161
+rect 298458 281161 298499 281279
+rect 298617 281161 298658 281279
+rect 298458 271279 298658 281161
+rect 298458 271161 298499 271279
+rect 298617 271161 298658 271279
+rect 298458 261279 298658 271161
+rect 298458 261161 298499 261279
+rect 298617 261161 298658 261279
+rect 298458 251279 298658 261161
+rect 298458 251161 298499 251279
+rect 298617 251161 298658 251279
+rect 298458 241279 298658 251161
+rect 298458 241161 298499 241279
+rect 298617 241161 298658 241279
+rect 298458 231279 298658 241161
+rect 298458 231161 298499 231279
+rect 298617 231161 298658 231279
+rect 298458 221279 298658 231161
+rect 298458 221161 298499 221279
+rect 298617 221161 298658 221279
+rect 298458 211279 298658 221161
+rect 298458 211161 298499 211279
+rect 298617 211161 298658 211279
+rect 298458 201279 298658 211161
+rect 298458 201161 298499 201279
+rect 298617 201161 298658 201279
+rect 298458 191279 298658 201161
+rect 298458 191161 298499 191279
+rect 298617 191161 298658 191279
+rect 298458 181279 298658 191161
+rect 298458 181161 298499 181279
+rect 298617 181161 298658 181279
+rect 298458 171279 298658 181161
+rect 298458 171161 298499 171279
+rect 298617 171161 298658 171279
+rect 298458 161279 298658 171161
+rect 298458 161161 298499 161279
+rect 298617 161161 298658 161279
+rect 298458 151279 298658 161161
+rect 298458 151161 298499 151279
+rect 298617 151161 298658 151279
+rect 298458 141279 298658 151161
+rect 298458 141161 298499 141279
+rect 298617 141161 298658 141279
+rect 298458 131279 298658 141161
+rect 298458 131161 298499 131279
+rect 298617 131161 298658 131279
+rect 298458 121279 298658 131161
+rect 298458 121161 298499 121279
+rect 298617 121161 298658 121279
+rect 298458 111279 298658 121161
+rect 298458 111161 298499 111279
+rect 298617 111161 298658 111279
+rect 298458 101279 298658 111161
+rect 298458 101161 298499 101279
+rect 298617 101161 298658 101279
+rect 298458 91279 298658 101161
+rect 298458 91161 298499 91279
+rect 298617 91161 298658 91279
+rect 298458 81279 298658 91161
+rect 298458 81161 298499 81279
+rect 298617 81161 298658 81279
+rect 298458 71279 298658 81161
+rect 298458 71161 298499 71279
+rect 298617 71161 298658 71279
+rect 298458 61279 298658 71161
+rect 298458 61161 298499 61279
+rect 298617 61161 298658 61279
+rect 298458 51279 298658 61161
+rect 298458 51161 298499 51279
+rect 298617 51161 298658 51279
+rect 298458 41279 298658 51161
+rect 298458 41161 298499 41279
+rect 298617 41161 298658 41279
+rect 298458 31279 298658 41161
+rect 298458 31161 298499 31279
+rect 298617 31161 298658 31279
+rect 298458 21279 298658 31161
+rect 298458 21161 298499 21279
+rect 298617 21161 298658 21279
+rect 298458 11279 298658 21161
+rect 298458 11161 298499 11279
+rect 298617 11161 298658 11279
+rect 298458 959 298658 11161
+rect 298458 841 298499 959
+rect 298617 841 298658 959
+rect 298458 800 298658 841
+rect 298858 347239 299058 357833
+rect 298858 347121 298899 347239
+rect 299017 347121 299058 347239
+rect 298858 337239 299058 347121
+rect 298858 337121 298899 337239
+rect 299017 337121 299058 337239
+rect 298858 327239 299058 337121
+rect 298858 327121 298899 327239
+rect 299017 327121 299058 327239
+rect 298858 317239 299058 327121
+rect 298858 317121 298899 317239
+rect 299017 317121 299058 317239
+rect 298858 307239 299058 317121
+rect 298858 307121 298899 307239
+rect 299017 307121 299058 307239
+rect 298858 297239 299058 307121
+rect 298858 297121 298899 297239
+rect 299017 297121 299058 297239
+rect 298858 287239 299058 297121
+rect 298858 287121 298899 287239
+rect 299017 287121 299058 287239
+rect 298858 277239 299058 287121
+rect 298858 277121 298899 277239
+rect 299017 277121 299058 277239
+rect 298858 267239 299058 277121
+rect 298858 267121 298899 267239
+rect 299017 267121 299058 267239
+rect 298858 257239 299058 267121
+rect 298858 257121 298899 257239
+rect 299017 257121 299058 257239
+rect 298858 247239 299058 257121
+rect 298858 247121 298899 247239
+rect 299017 247121 299058 247239
+rect 298858 237239 299058 247121
+rect 298858 237121 298899 237239
+rect 299017 237121 299058 237239
+rect 298858 227239 299058 237121
+rect 298858 227121 298899 227239
+rect 299017 227121 299058 227239
+rect 298858 217239 299058 227121
+rect 298858 217121 298899 217239
+rect 299017 217121 299058 217239
+rect 298858 207239 299058 217121
+rect 298858 207121 298899 207239
+rect 299017 207121 299058 207239
+rect 298858 197239 299058 207121
+rect 298858 197121 298899 197239
+rect 299017 197121 299058 197239
+rect 298858 187239 299058 197121
+rect 298858 187121 298899 187239
+rect 299017 187121 299058 187239
+rect 298858 177239 299058 187121
+rect 298858 177121 298899 177239
+rect 299017 177121 299058 177239
+rect 298858 167239 299058 177121
+rect 298858 167121 298899 167239
+rect 299017 167121 299058 167239
+rect 298858 157239 299058 167121
+rect 298858 157121 298899 157239
+rect 299017 157121 299058 157239
+rect 298858 147239 299058 157121
+rect 298858 147121 298899 147239
+rect 299017 147121 299058 147239
+rect 298858 137239 299058 147121
+rect 298858 137121 298899 137239
+rect 299017 137121 299058 137239
+rect 298858 127239 299058 137121
+rect 298858 127121 298899 127239
+rect 299017 127121 299058 127239
+rect 298858 117239 299058 127121
+rect 298858 117121 298899 117239
+rect 299017 117121 299058 117239
+rect 298858 107239 299058 117121
+rect 298858 107121 298899 107239
+rect 299017 107121 299058 107239
+rect 298858 97239 299058 107121
+rect 298858 97121 298899 97239
+rect 299017 97121 299058 97239
+rect 298858 87239 299058 97121
+rect 298858 87121 298899 87239
+rect 299017 87121 299058 87239
+rect 298858 77239 299058 87121
+rect 298858 77121 298899 77239
+rect 299017 77121 299058 77239
+rect 298858 67239 299058 77121
+rect 298858 67121 298899 67239
+rect 299017 67121 299058 67239
+rect 298858 57239 299058 67121
+rect 298858 57121 298899 57239
+rect 299017 57121 299058 57239
+rect 298858 47239 299058 57121
+rect 298858 47121 298899 47239
+rect 299017 47121 299058 47239
+rect 298858 37239 299058 47121
+rect 298858 37121 298899 37239
+rect 299017 37121 299058 37239
+rect 298858 27239 299058 37121
+rect 298858 27121 298899 27239
+rect 299017 27121 299058 27239
+rect 298858 17239 299058 27121
+rect 298858 17121 298899 17239
+rect 299017 17121 299058 17239
+rect 298858 7239 299058 17121
+rect 298858 7121 298899 7239
+rect 299017 7121 299058 7239
+rect 298858 559 299058 7121
+rect 298858 441 298899 559
+rect 299017 441 299058 559
+rect 298858 400 299058 441
+rect 299258 352239 299458 358233
+rect 299258 352121 299299 352239
+rect 299417 352121 299458 352239
+rect 299258 342239 299458 352121
+rect 299258 342121 299299 342239
+rect 299417 342121 299458 342239
+rect 299258 332239 299458 342121
+rect 299258 332121 299299 332239
+rect 299417 332121 299458 332239
+rect 299258 322239 299458 332121
+rect 299258 322121 299299 322239
+rect 299417 322121 299458 322239
+rect 299258 312239 299458 322121
+rect 299258 312121 299299 312239
+rect 299417 312121 299458 312239
+rect 299258 302239 299458 312121
+rect 299258 302121 299299 302239
+rect 299417 302121 299458 302239
+rect 299258 292239 299458 302121
+rect 299258 292121 299299 292239
+rect 299417 292121 299458 292239
+rect 299258 282239 299458 292121
+rect 299258 282121 299299 282239
+rect 299417 282121 299458 282239
+rect 299258 272239 299458 282121
+rect 299258 272121 299299 272239
+rect 299417 272121 299458 272239
+rect 299258 262239 299458 272121
+rect 299258 262121 299299 262239
+rect 299417 262121 299458 262239
+rect 299258 252239 299458 262121
+rect 299258 252121 299299 252239
+rect 299417 252121 299458 252239
+rect 299258 242239 299458 252121
+rect 299258 242121 299299 242239
+rect 299417 242121 299458 242239
+rect 299258 232239 299458 242121
+rect 299258 232121 299299 232239
+rect 299417 232121 299458 232239
+rect 299258 222239 299458 232121
+rect 299258 222121 299299 222239
+rect 299417 222121 299458 222239
+rect 299258 212239 299458 222121
+rect 299258 212121 299299 212239
+rect 299417 212121 299458 212239
+rect 299258 202239 299458 212121
+rect 299258 202121 299299 202239
+rect 299417 202121 299458 202239
+rect 299258 192239 299458 202121
+rect 299258 192121 299299 192239
+rect 299417 192121 299458 192239
+rect 299258 182239 299458 192121
+rect 299258 182121 299299 182239
+rect 299417 182121 299458 182239
+rect 299258 172239 299458 182121
+rect 299258 172121 299299 172239
+rect 299417 172121 299458 172239
+rect 299258 162239 299458 172121
+rect 299258 162121 299299 162239
+rect 299417 162121 299458 162239
+rect 299258 152239 299458 162121
+rect 299258 152121 299299 152239
+rect 299417 152121 299458 152239
+rect 299258 142239 299458 152121
+rect 299258 142121 299299 142239
+rect 299417 142121 299458 142239
+rect 299258 132239 299458 142121
+rect 299258 132121 299299 132239
+rect 299417 132121 299458 132239
+rect 299258 122239 299458 132121
+rect 299258 122121 299299 122239
+rect 299417 122121 299458 122239
+rect 299258 112239 299458 122121
+rect 299258 112121 299299 112239
+rect 299417 112121 299458 112239
+rect 299258 102239 299458 112121
+rect 299258 102121 299299 102239
+rect 299417 102121 299458 102239
+rect 299258 92239 299458 102121
+rect 299258 92121 299299 92239
+rect 299417 92121 299458 92239
+rect 299258 82239 299458 92121
+rect 299258 82121 299299 82239
+rect 299417 82121 299458 82239
+rect 299258 72239 299458 82121
+rect 299258 72121 299299 72239
+rect 299417 72121 299458 72239
+rect 299258 62239 299458 72121
+rect 299258 62121 299299 62239
+rect 299417 62121 299458 62239
+rect 299258 52239 299458 62121
+rect 299258 52121 299299 52239
+rect 299417 52121 299458 52239
+rect 299258 42239 299458 52121
+rect 299258 42121 299299 42239
+rect 299417 42121 299458 42239
+rect 299258 32239 299458 42121
+rect 299258 32121 299299 32239
+rect 299417 32121 299458 32239
+rect 299258 22239 299458 32121
+rect 299258 22121 299299 22239
+rect 299417 22121 299458 22239
+rect 299258 12239 299458 22121
+rect 299258 12121 299299 12239
+rect 299417 12121 299458 12239
+rect 292080 41 292121 159
+rect 292239 41 292280 159
+rect 292080 0 292280 41
+rect 299258 159 299458 12121
+rect 299258 41 299299 159
+rect 299417 41 299458 159
+rect 299258 0 299458 41
+<< via4 >>
+rect 41 358233 159 358351
+rect 41 352121 159 352239
+rect 41 342121 159 342239
+rect 41 332121 159 332239
+rect 41 322121 159 322239
+rect 41 312121 159 312239
+rect 41 302121 159 302239
+rect 41 292121 159 292239
+rect 41 282121 159 282239
+rect 41 272121 159 272239
+rect 41 262121 159 262239
+rect 41 252121 159 252239
+rect 41 242121 159 242239
+rect 41 232121 159 232239
+rect 41 222121 159 222239
+rect 41 212121 159 212239
+rect 41 202121 159 202239
+rect 41 192121 159 192239
+rect 41 182121 159 182239
+rect 41 172121 159 172239
+rect 41 162121 159 162239
+rect 41 152121 159 152239
+rect 41 142121 159 142239
+rect 41 132121 159 132239
+rect 41 122121 159 122239
+rect 41 112121 159 112239
+rect 41 102121 159 102239
+rect 41 92121 159 92239
+rect 41 82121 159 82239
+rect 41 72121 159 72239
+rect 41 62121 159 62239
+rect 41 52121 159 52239
+rect 41 42121 159 42239
+rect 41 32121 159 32239
+rect 41 22121 159 22239
+rect 41 12121 159 12239
+rect 441 357833 559 357951
+rect 7121 357833 7239 357951
+rect 441 347121 559 347239
+rect 441 337121 559 337239
+rect 441 327121 559 327239
+rect 441 317121 559 317239
+rect 441 307121 559 307239
+rect 441 297121 559 297239
+rect 441 287121 559 287239
+rect 441 277121 559 277239
+rect 441 267121 559 267239
+rect 441 257121 559 257239
+rect 441 247121 559 247239
+rect 441 237121 559 237239
+rect 441 227121 559 227239
+rect 441 217121 559 217239
+rect 441 207121 559 207239
+rect 441 197121 559 197239
+rect 441 187121 559 187239
+rect 441 177121 559 177239
+rect 441 167121 559 167239
+rect 441 157121 559 157239
+rect 441 147121 559 147239
+rect 441 137121 559 137239
+rect 441 127121 559 127239
+rect 441 117121 559 117239
+rect 441 107121 559 107239
+rect 441 97121 559 97239
+rect 441 87121 559 87239
+rect 441 77121 559 77239
+rect 441 67121 559 67239
+rect 441 57121 559 57239
+rect 441 47121 559 47239
+rect 441 37121 559 37239
+rect 441 27121 559 27239
+rect 441 17121 559 17239
+rect 441 7121 559 7239
+rect 841 357433 959 357551
+rect 841 351161 959 351279
+rect 841 341161 959 341279
+rect 841 331161 959 331279
+rect 841 321161 959 321279
+rect 841 311161 959 311279
+rect 841 301161 959 301279
+rect 841 291161 959 291279
+rect 841 281161 959 281279
+rect 841 271161 959 271279
+rect 841 261161 959 261279
+rect 841 251161 959 251279
+rect 841 241161 959 241279
+rect 841 231161 959 231279
+rect 841 221161 959 221279
+rect 841 211161 959 211279
+rect 841 201161 959 201279
+rect 841 191161 959 191279
+rect 841 181161 959 181279
+rect 841 171161 959 171279
+rect 841 161161 959 161279
+rect 841 151161 959 151279
+rect 841 141161 959 141279
+rect 841 131161 959 131279
+rect 841 121161 959 121279
+rect 841 111161 959 111279
+rect 841 101161 959 101279
+rect 841 91161 959 91279
+rect 841 81161 959 81279
+rect 841 71161 959 71279
+rect 841 61161 959 61279
+rect 841 51161 959 51279
+rect 841 41161 959 41279
+rect 841 31161 959 31279
+rect 841 21161 959 21279
+rect 841 11161 959 11279
+rect 1241 357033 1359 357151
+rect 6161 357033 6279 357151
+rect 1241 346161 1359 346279
+rect 1241 336161 1359 336279
+rect 1241 326161 1359 326279
+rect 1241 316161 1359 316279
+rect 1241 306161 1359 306279
+rect 1241 296161 1359 296279
+rect 1241 286161 1359 286279
+rect 1241 276161 1359 276279
+rect 1241 266161 1359 266279
+rect 1241 256161 1359 256279
+rect 1241 246161 1359 246279
+rect 1241 236161 1359 236279
+rect 1241 226161 1359 226279
+rect 1241 216161 1359 216279
+rect 1241 206161 1359 206279
+rect 1241 196161 1359 196279
+rect 1241 186161 1359 186279
+rect 1241 176161 1359 176279
+rect 1241 166161 1359 166279
+rect 1241 156161 1359 156279
+rect 1241 146161 1359 146279
+rect 1241 136161 1359 136279
+rect 1241 126161 1359 126279
+rect 1241 116161 1359 116279
+rect 1241 106161 1359 106279
+rect 1241 96161 1359 96279
+rect 1241 86161 1359 86279
+rect 1241 76161 1359 76279
+rect 1241 66161 1359 66279
+rect 1241 56161 1359 56279
+rect 1241 46161 1359 46279
+rect 1241 36161 1359 36279
+rect 1241 26161 1359 26279
+rect 1241 16161 1359 16279
+rect 1241 6161 1359 6279
+rect 1641 356633 1759 356751
+rect 1641 350201 1759 350319
+rect 1641 340201 1759 340319
+rect 1641 330201 1759 330319
+rect 1641 320201 1759 320319
+rect 1641 310201 1759 310319
+rect 1641 300201 1759 300319
+rect 1641 290201 1759 290319
+rect 1641 280201 1759 280319
+rect 1641 270201 1759 270319
+rect 1641 260201 1759 260319
+rect 1641 250201 1759 250319
+rect 1641 240201 1759 240319
+rect 1641 230201 1759 230319
+rect 1641 220201 1759 220319
+rect 1641 210201 1759 210319
+rect 1641 200201 1759 200319
+rect 1641 190201 1759 190319
+rect 1641 180201 1759 180319
+rect 1641 170201 1759 170319
+rect 1641 160201 1759 160319
+rect 1641 150201 1759 150319
+rect 1641 140201 1759 140319
+rect 1641 130201 1759 130319
+rect 1641 120201 1759 120319
+rect 1641 110201 1759 110319
+rect 1641 100201 1759 100319
+rect 1641 90201 1759 90319
+rect 1641 80201 1759 80319
+rect 1641 70201 1759 70319
+rect 1641 60201 1759 60319
+rect 1641 50201 1759 50319
+rect 1641 40201 1759 40319
+rect 1641 30201 1759 30319
+rect 1641 20201 1759 20319
+rect 1641 10201 1759 10319
+rect 2041 356233 2159 356351
+rect 5201 356233 5319 356351
+rect 2041 345201 2159 345319
+rect 2041 335201 2159 335319
+rect 2041 325201 2159 325319
+rect 2041 315201 2159 315319
+rect 2041 305201 2159 305319
+rect 2041 295201 2159 295319
+rect 2041 285201 2159 285319
+rect 2041 275201 2159 275319
+rect 2041 265201 2159 265319
+rect 2041 255201 2159 255319
+rect 2041 245201 2159 245319
+rect 2041 235201 2159 235319
+rect 2041 225201 2159 225319
+rect 2041 215201 2159 215319
+rect 2041 205201 2159 205319
+rect 2041 195201 2159 195319
+rect 2041 185201 2159 185319
+rect 2041 175201 2159 175319
+rect 2041 165201 2159 165319
+rect 2041 155201 2159 155319
+rect 2041 145201 2159 145319
+rect 2041 135201 2159 135319
+rect 2041 125201 2159 125319
+rect 2041 115201 2159 115319
+rect 2041 105201 2159 105319
+rect 2041 95201 2159 95319
+rect 2041 85201 2159 85319
+rect 2041 75201 2159 75319
+rect 2041 65201 2159 65319
+rect 2041 55201 2159 55319
+rect 2041 45201 2159 45319
+rect 2041 35201 2159 35319
+rect 2041 25201 2159 25319
+rect 2041 15201 2159 15319
+rect 2041 5201 2159 5319
+rect 2441 355833 2559 355951
+rect 2441 349241 2559 349359
+rect 2441 339241 2559 339359
+rect 2441 329241 2559 329359
+rect 2441 319241 2559 319359
+rect 2441 309241 2559 309359
+rect 2441 299241 2559 299359
+rect 2441 289241 2559 289359
+rect 2441 279241 2559 279359
+rect 2441 269241 2559 269359
+rect 2441 259241 2559 259359
+rect 2441 249241 2559 249359
+rect 2441 239241 2559 239359
+rect 2441 229241 2559 229359
+rect 2441 219241 2559 219359
+rect 2441 209241 2559 209359
+rect 2441 199241 2559 199359
+rect 2441 189241 2559 189359
+rect 2441 179241 2559 179359
+rect 2441 169241 2559 169359
+rect 2441 159241 2559 159359
+rect 2441 149241 2559 149359
+rect 2441 139241 2559 139359
+rect 2441 129241 2559 129359
+rect 2441 119241 2559 119359
+rect 2441 109241 2559 109359
+rect 2441 99241 2559 99359
+rect 2441 89241 2559 89359
+rect 2441 79241 2559 79359
+rect 2441 69241 2559 69359
+rect 2441 59241 2559 59359
+rect 2441 49241 2559 49359
+rect 2441 39241 2559 39359
+rect 2441 29241 2559 29359
+rect 2441 19241 2559 19359
+rect 2441 9241 2559 9359
+rect 2841 355433 2959 355551
+rect 4241 355433 4359 355551
+rect 12121 358233 12239 358351
+rect 11161 357433 11279 357551
+rect 10201 356633 10319 356751
+rect 9241 355833 9359 355951
+rect 17121 357833 17239 357951
+rect 16161 357033 16279 357151
+rect 15201 356233 15319 356351
+rect 14241 355433 14359 355551
+rect 22121 358233 22239 358351
+rect 21161 357433 21279 357551
+rect 20201 356633 20319 356751
+rect 19241 355833 19359 355951
+rect 27121 357833 27239 357951
+rect 26161 357033 26279 357151
+rect 25201 356233 25319 356351
+rect 24241 355433 24359 355551
+rect 32121 358233 32239 358351
+rect 31161 357433 31279 357551
+rect 30201 356633 30319 356751
+rect 29241 355833 29359 355951
+rect 37121 357833 37239 357951
+rect 36161 357033 36279 357151
+rect 35201 356233 35319 356351
+rect 34241 355433 34359 355551
+rect 42121 358233 42239 358351
+rect 41161 357433 41279 357551
+rect 40201 356633 40319 356751
+rect 39241 355833 39359 355951
+rect 47121 357833 47239 357951
+rect 46161 357033 46279 357151
+rect 45201 356233 45319 356351
+rect 44241 355433 44359 355551
+rect 52121 358233 52239 358351
+rect 51161 357433 51279 357551
+rect 50201 356633 50319 356751
+rect 49241 355833 49359 355951
+rect 57121 357833 57239 357951
+rect 56161 357033 56279 357151
+rect 55201 356233 55319 356351
+rect 54241 355433 54359 355551
+rect 62121 358233 62239 358351
+rect 61161 357433 61279 357551
+rect 60201 356633 60319 356751
+rect 59241 355833 59359 355951
+rect 67121 357833 67239 357951
+rect 66161 357033 66279 357151
+rect 65201 356233 65319 356351
+rect 64241 355433 64359 355551
+rect 72121 358233 72239 358351
+rect 71161 357433 71279 357551
+rect 70201 356633 70319 356751
+rect 69241 355833 69359 355951
+rect 77121 357833 77239 357951
+rect 76161 357033 76279 357151
+rect 75201 356233 75319 356351
+rect 74241 355433 74359 355551
+rect 82121 358233 82239 358351
+rect 81161 357433 81279 357551
+rect 80201 356633 80319 356751
+rect 79241 355833 79359 355951
+rect 87121 357833 87239 357951
+rect 86161 357033 86279 357151
+rect 85201 356233 85319 356351
+rect 84241 355433 84359 355551
+rect 92121 358233 92239 358351
+rect 91161 357433 91279 357551
+rect 90201 356633 90319 356751
+rect 89241 355833 89359 355951
+rect 97121 357833 97239 357951
+rect 96161 357033 96279 357151
+rect 95201 356233 95319 356351
+rect 94241 355433 94359 355551
+rect 102121 358233 102239 358351
+rect 101161 357433 101279 357551
+rect 100201 356633 100319 356751
+rect 99241 355833 99359 355951
+rect 107121 357833 107239 357951
+rect 106161 357033 106279 357151
+rect 105201 356233 105319 356351
+rect 104241 355433 104359 355551
+rect 112121 358233 112239 358351
+rect 111161 357433 111279 357551
+rect 110201 356633 110319 356751
+rect 109241 355833 109359 355951
+rect 117121 357833 117239 357951
+rect 116161 357033 116279 357151
+rect 115201 356233 115319 356351
+rect 114241 355433 114359 355551
+rect 122121 358233 122239 358351
+rect 121161 357433 121279 357551
+rect 120201 356633 120319 356751
+rect 119241 355833 119359 355951
+rect 127121 357833 127239 357951
+rect 126161 357033 126279 357151
+rect 125201 356233 125319 356351
+rect 124241 355433 124359 355551
+rect 132121 358233 132239 358351
+rect 131161 357433 131279 357551
+rect 130201 356633 130319 356751
+rect 129241 355833 129359 355951
+rect 137121 357833 137239 357951
+rect 136161 357033 136279 357151
+rect 135201 356233 135319 356351
+rect 134241 355433 134359 355551
+rect 142121 358233 142239 358351
+rect 141161 357433 141279 357551
+rect 140201 356633 140319 356751
+rect 139241 355833 139359 355951
+rect 147121 357833 147239 357951
+rect 146161 357033 146279 357151
+rect 145201 356233 145319 356351
+rect 144241 355433 144359 355551
+rect 152121 358233 152239 358351
+rect 151161 357433 151279 357551
+rect 150201 356633 150319 356751
+rect 149241 355833 149359 355951
+rect 157121 357833 157239 357951
+rect 156161 357033 156279 357151
+rect 155201 356233 155319 356351
+rect 154241 355433 154359 355551
+rect 162121 358233 162239 358351
+rect 161161 357433 161279 357551
+rect 160201 356633 160319 356751
+rect 159241 355833 159359 355951
+rect 167121 357833 167239 357951
+rect 166161 357033 166279 357151
+rect 165201 356233 165319 356351
+rect 164241 355433 164359 355551
+rect 172121 358233 172239 358351
+rect 171161 357433 171279 357551
+rect 170201 356633 170319 356751
+rect 169241 355833 169359 355951
+rect 177121 357833 177239 357951
+rect 176161 357033 176279 357151
+rect 175201 356233 175319 356351
+rect 174241 355433 174359 355551
+rect 182121 358233 182239 358351
+rect 181161 357433 181279 357551
+rect 180201 356633 180319 356751
+rect 179241 355833 179359 355951
+rect 187121 357833 187239 357951
+rect 186161 357033 186279 357151
+rect 185201 356233 185319 356351
+rect 184241 355433 184359 355551
+rect 192121 358233 192239 358351
+rect 191161 357433 191279 357551
+rect 190201 356633 190319 356751
+rect 189241 355833 189359 355951
+rect 197121 357833 197239 357951
+rect 196161 357033 196279 357151
+rect 195201 356233 195319 356351
+rect 194241 355433 194359 355551
+rect 202121 358233 202239 358351
+rect 201161 357433 201279 357551
+rect 200201 356633 200319 356751
+rect 199241 355833 199359 355951
+rect 207121 357833 207239 357951
+rect 206161 357033 206279 357151
+rect 205201 356233 205319 356351
+rect 204241 355433 204359 355551
+rect 212121 358233 212239 358351
+rect 211161 357433 211279 357551
+rect 210201 356633 210319 356751
+rect 209241 355833 209359 355951
+rect 217121 357833 217239 357951
+rect 216161 357033 216279 357151
+rect 215201 356233 215319 356351
+rect 214241 355433 214359 355551
+rect 222121 358233 222239 358351
+rect 221161 357433 221279 357551
+rect 220201 356633 220319 356751
+rect 219241 355833 219359 355951
+rect 227121 357833 227239 357951
+rect 226161 357033 226279 357151
+rect 225201 356233 225319 356351
+rect 224241 355433 224359 355551
+rect 232121 358233 232239 358351
+rect 231161 357433 231279 357551
+rect 230201 356633 230319 356751
+rect 229241 355833 229359 355951
+rect 237121 357833 237239 357951
+rect 236161 357033 236279 357151
+rect 235201 356233 235319 356351
+rect 234241 355433 234359 355551
+rect 242121 358233 242239 358351
+rect 241161 357433 241279 357551
+rect 240201 356633 240319 356751
+rect 239241 355833 239359 355951
+rect 247121 357833 247239 357951
+rect 246161 357033 246279 357151
+rect 245201 356233 245319 356351
+rect 244241 355433 244359 355551
+rect 252121 358233 252239 358351
+rect 251161 357433 251279 357551
+rect 250201 356633 250319 356751
+rect 249241 355833 249359 355951
+rect 257121 357833 257239 357951
+rect 256161 357033 256279 357151
+rect 255201 356233 255319 356351
+rect 254241 355433 254359 355551
+rect 262121 358233 262239 358351
+rect 261161 357433 261279 357551
+rect 260201 356633 260319 356751
+rect 259241 355833 259359 355951
+rect 267121 357833 267239 357951
+rect 266161 357033 266279 357151
+rect 265201 356233 265319 356351
+rect 264241 355433 264359 355551
+rect 272121 358233 272239 358351
+rect 271161 357433 271279 357551
+rect 270201 356633 270319 356751
+rect 269241 355833 269359 355951
+rect 277121 357833 277239 357951
+rect 276161 357033 276279 357151
+rect 275201 356233 275319 356351
+rect 274241 355433 274359 355551
+rect 282121 358233 282239 358351
+rect 281161 357433 281279 357551
+rect 280201 356633 280319 356751
+rect 279241 355833 279359 355951
+rect 287121 357833 287239 357951
+rect 286161 357033 286279 357151
+rect 285201 356233 285319 356351
+rect 284241 355433 284359 355551
+rect 292121 358233 292239 358351
+rect 291161 357433 291279 357551
+rect 290201 356633 290319 356751
+rect 289241 355833 289359 355951
+rect 299299 358233 299417 358351
+rect 298899 357833 299017 357951
+rect 298499 357433 298617 357551
+rect 298099 357033 298217 357151
+rect 297699 356633 297817 356751
+rect 297299 356233 297417 356351
+rect 296899 355833 297017 355951
+rect 294241 355433 294359 355551
+rect 296499 355433 296617 355551
+rect 2841 344241 2959 344359
+rect 2841 334241 2959 334359
+rect 2841 324241 2959 324359
+rect 2841 314241 2959 314359
+rect 2841 304241 2959 304359
+rect 2841 294241 2959 294359
+rect 2841 284241 2959 284359
+rect 2841 274241 2959 274359
+rect 2841 264241 2959 264359
+rect 2841 254241 2959 254359
+rect 2841 244241 2959 244359
+rect 2841 234241 2959 234359
+rect 2841 224241 2959 224359
+rect 2841 214241 2959 214359
+rect 2841 204241 2959 204359
+rect 2841 194241 2959 194359
+rect 2841 184241 2959 184359
+rect 2841 174241 2959 174359
+rect 2841 164241 2959 164359
+rect 2841 154241 2959 154359
+rect 2841 144241 2959 144359
+rect 2841 134241 2959 134359
+rect 2841 124241 2959 124359
+rect 2841 114241 2959 114359
+rect 2841 104241 2959 104359
+rect 2841 94241 2959 94359
+rect 2841 84241 2959 84359
+rect 2841 74241 2959 74359
+rect 2841 64241 2959 64359
+rect 2841 54241 2959 54359
+rect 2841 44241 2959 44359
+rect 2841 34241 2959 34359
+rect 2841 24241 2959 24359
+rect 2841 14241 2959 14359
+rect 2841 4241 2959 4359
+rect 296499 344241 296617 344359
+rect 296499 334241 296617 334359
+rect 296499 324241 296617 324359
+rect 296499 314241 296617 314359
+rect 296499 304241 296617 304359
+rect 296499 294241 296617 294359
+rect 296499 284241 296617 284359
+rect 296499 274241 296617 274359
+rect 296499 264241 296617 264359
+rect 296499 254241 296617 254359
+rect 296499 244241 296617 244359
+rect 296499 234241 296617 234359
+rect 296499 224241 296617 224359
+rect 296499 214241 296617 214359
+rect 296499 204241 296617 204359
+rect 296499 194241 296617 194359
+rect 296499 184241 296617 184359
+rect 296499 174241 296617 174359
+rect 296499 164241 296617 164359
+rect 296499 154241 296617 154359
+rect 296499 144241 296617 144359
+rect 296499 134241 296617 134359
+rect 296499 124241 296617 124359
+rect 296499 114241 296617 114359
+rect 296499 104241 296617 104359
+rect 296499 94241 296617 94359
+rect 296499 84241 296617 84359
+rect 296499 74241 296617 74359
+rect 296499 64241 296617 64359
+rect 296499 54241 296617 54359
+rect 296499 44241 296617 44359
+rect 296499 34241 296617 34359
+rect 296499 24241 296617 24359
+rect 296499 14241 296617 14359
+rect 296499 4241 296617 4359
+rect 2841 2841 2959 2959
+rect 4241 2841 4359 2959
+rect 2441 2441 2559 2559
+rect 2041 2041 2159 2159
+rect 5201 2041 5319 2159
+rect 1641 1641 1759 1759
+rect 1241 1241 1359 1359
+rect 6161 1241 6279 1359
+rect 841 841 959 959
+rect 441 441 559 559
+rect 9241 2441 9359 2559
+rect 10201 1641 10319 1759
+rect 11161 841 11279 959
+rect 7121 441 7239 559
+rect 41 41 159 159
+rect 14241 2841 14359 2959
+rect 15201 2041 15319 2159
+rect 16161 1241 16279 1359
+rect 12121 41 12239 159
+rect 19241 2441 19359 2559
+rect 20201 1641 20319 1759
+rect 21161 841 21279 959
+rect 17121 441 17239 559
+rect 24241 2841 24359 2959
+rect 25201 2041 25319 2159
+rect 26161 1241 26279 1359
+rect 22121 41 22239 159
+rect 29241 2441 29359 2559
+rect 30201 1641 30319 1759
+rect 31161 841 31279 959
+rect 27121 441 27239 559
+rect 34241 2841 34359 2959
+rect 35201 2041 35319 2159
+rect 36161 1241 36279 1359
+rect 32121 41 32239 159
+rect 39241 2441 39359 2559
+rect 40201 1641 40319 1759
+rect 41161 841 41279 959
+rect 37121 441 37239 559
+rect 44241 2841 44359 2959
+rect 45201 2041 45319 2159
+rect 46161 1241 46279 1359
+rect 42121 41 42239 159
+rect 49241 2441 49359 2559
+rect 50201 1641 50319 1759
+rect 51161 841 51279 959
+rect 47121 441 47239 559
+rect 54241 2841 54359 2959
+rect 55201 2041 55319 2159
+rect 56161 1241 56279 1359
+rect 52121 41 52239 159
+rect 59241 2441 59359 2559
+rect 60201 1641 60319 1759
+rect 61161 841 61279 959
+rect 57121 441 57239 559
+rect 64241 2841 64359 2959
+rect 65201 2041 65319 2159
+rect 66161 1241 66279 1359
+rect 62121 41 62239 159
+rect 69241 2441 69359 2559
+rect 70201 1641 70319 1759
+rect 71161 841 71279 959
+rect 67121 441 67239 559
+rect 74241 2841 74359 2959
+rect 75201 2041 75319 2159
+rect 76161 1241 76279 1359
+rect 72121 41 72239 159
+rect 79241 2441 79359 2559
+rect 80201 1641 80319 1759
+rect 81161 841 81279 959
+rect 77121 441 77239 559
+rect 84241 2841 84359 2959
+rect 85201 2041 85319 2159
+rect 86161 1241 86279 1359
+rect 82121 41 82239 159
+rect 89241 2441 89359 2559
+rect 90201 1641 90319 1759
+rect 91161 841 91279 959
+rect 87121 441 87239 559
+rect 94241 2841 94359 2959
+rect 95201 2041 95319 2159
+rect 96161 1241 96279 1359
+rect 92121 41 92239 159
+rect 99241 2441 99359 2559
+rect 100201 1641 100319 1759
+rect 101161 841 101279 959
+rect 97121 441 97239 559
+rect 104241 2841 104359 2959
+rect 105201 2041 105319 2159
+rect 106161 1241 106279 1359
+rect 102121 41 102239 159
+rect 109241 2441 109359 2559
+rect 110201 1641 110319 1759
+rect 111161 841 111279 959
+rect 107121 441 107239 559
+rect 114241 2841 114359 2959
+rect 115201 2041 115319 2159
+rect 116161 1241 116279 1359
+rect 112121 41 112239 159
+rect 119241 2441 119359 2559
+rect 120201 1641 120319 1759
+rect 121161 841 121279 959
+rect 117121 441 117239 559
+rect 124241 2841 124359 2959
+rect 125201 2041 125319 2159
+rect 126161 1241 126279 1359
+rect 122121 41 122239 159
+rect 129241 2441 129359 2559
+rect 130201 1641 130319 1759
+rect 131161 841 131279 959
+rect 127121 441 127239 559
+rect 134241 2841 134359 2959
+rect 135201 2041 135319 2159
+rect 136161 1241 136279 1359
+rect 132121 41 132239 159
+rect 139241 2441 139359 2559
+rect 140201 1641 140319 1759
+rect 141161 841 141279 959
+rect 137121 441 137239 559
+rect 144241 2841 144359 2959
+rect 145201 2041 145319 2159
+rect 146161 1241 146279 1359
+rect 142121 41 142239 159
+rect 149241 2441 149359 2559
+rect 150201 1641 150319 1759
+rect 151161 841 151279 959
+rect 147121 441 147239 559
+rect 154241 2841 154359 2959
+rect 155201 2041 155319 2159
+rect 156161 1241 156279 1359
+rect 152121 41 152239 159
+rect 159241 2441 159359 2559
+rect 160201 1641 160319 1759
+rect 161161 841 161279 959
+rect 157121 441 157239 559
+rect 164241 2841 164359 2959
+rect 165201 2041 165319 2159
+rect 166161 1241 166279 1359
+rect 162121 41 162239 159
+rect 169241 2441 169359 2559
+rect 170201 1641 170319 1759
+rect 171161 841 171279 959
+rect 167121 441 167239 559
+rect 174241 2841 174359 2959
+rect 175201 2041 175319 2159
+rect 176161 1241 176279 1359
+rect 172121 41 172239 159
+rect 179241 2441 179359 2559
+rect 180201 1641 180319 1759
+rect 181161 841 181279 959
+rect 177121 441 177239 559
+rect 184241 2841 184359 2959
+rect 185201 2041 185319 2159
+rect 186161 1241 186279 1359
+rect 182121 41 182239 159
+rect 189241 2441 189359 2559
+rect 190201 1641 190319 1759
+rect 191161 841 191279 959
+rect 187121 441 187239 559
+rect 194241 2841 194359 2959
+rect 195201 2041 195319 2159
+rect 196161 1241 196279 1359
+rect 192121 41 192239 159
+rect 199241 2441 199359 2559
+rect 200201 1641 200319 1759
+rect 201161 841 201279 959
+rect 197121 441 197239 559
+rect 204241 2841 204359 2959
+rect 205201 2041 205319 2159
+rect 206161 1241 206279 1359
+rect 202121 41 202239 159
+rect 209241 2441 209359 2559
+rect 210201 1641 210319 1759
+rect 211161 841 211279 959
+rect 207121 441 207239 559
+rect 214241 2841 214359 2959
+rect 215201 2041 215319 2159
+rect 216161 1241 216279 1359
+rect 212121 41 212239 159
+rect 219241 2441 219359 2559
+rect 220201 1641 220319 1759
+rect 221161 841 221279 959
+rect 217121 441 217239 559
+rect 224241 2841 224359 2959
+rect 225201 2041 225319 2159
+rect 226161 1241 226279 1359
+rect 222121 41 222239 159
+rect 229241 2441 229359 2559
+rect 230201 1641 230319 1759
+rect 231161 841 231279 959
+rect 227121 441 227239 559
+rect 234241 2841 234359 2959
+rect 235201 2041 235319 2159
+rect 236161 1241 236279 1359
+rect 232121 41 232239 159
+rect 239241 2441 239359 2559
+rect 240201 1641 240319 1759
+rect 241161 841 241279 959
+rect 237121 441 237239 559
+rect 244241 2841 244359 2959
+rect 245201 2041 245319 2159
+rect 246161 1241 246279 1359
+rect 242121 41 242239 159
+rect 249241 2441 249359 2559
+rect 250201 1641 250319 1759
+rect 251161 841 251279 959
+rect 247121 441 247239 559
+rect 254241 2841 254359 2959
+rect 255201 2041 255319 2159
+rect 256161 1241 256279 1359
+rect 252121 41 252239 159
+rect 259241 2441 259359 2559
+rect 260201 1641 260319 1759
+rect 261161 841 261279 959
+rect 257121 441 257239 559
+rect 264241 2841 264359 2959
+rect 265201 2041 265319 2159
+rect 266161 1241 266279 1359
+rect 262121 41 262239 159
+rect 269241 2441 269359 2559
+rect 270201 1641 270319 1759
+rect 271161 841 271279 959
+rect 267121 441 267239 559
+rect 274241 2841 274359 2959
+rect 275201 2041 275319 2159
+rect 276161 1241 276279 1359
+rect 272121 41 272239 159
+rect 279241 2441 279359 2559
+rect 280201 1641 280319 1759
+rect 281161 841 281279 959
+rect 277121 441 277239 559
+rect 284241 2841 284359 2959
+rect 285201 2041 285319 2159
+rect 286161 1241 286279 1359
+rect 282121 41 282239 159
+rect 289241 2441 289359 2559
+rect 290201 1641 290319 1759
+rect 291161 841 291279 959
+rect 287121 441 287239 559
+rect 294241 2841 294359 2959
+rect 296499 2841 296617 2959
+rect 296899 349241 297017 349359
+rect 296899 339241 297017 339359
+rect 296899 329241 297017 329359
+rect 296899 319241 297017 319359
+rect 296899 309241 297017 309359
+rect 296899 299241 297017 299359
+rect 296899 289241 297017 289359
+rect 296899 279241 297017 279359
+rect 296899 269241 297017 269359
+rect 296899 259241 297017 259359
+rect 296899 249241 297017 249359
+rect 296899 239241 297017 239359
+rect 296899 229241 297017 229359
+rect 296899 219241 297017 219359
+rect 296899 209241 297017 209359
+rect 296899 199241 297017 199359
+rect 296899 189241 297017 189359
+rect 296899 179241 297017 179359
+rect 296899 169241 297017 169359
+rect 296899 159241 297017 159359
+rect 296899 149241 297017 149359
+rect 296899 139241 297017 139359
+rect 296899 129241 297017 129359
+rect 296899 119241 297017 119359
+rect 296899 109241 297017 109359
+rect 296899 99241 297017 99359
+rect 296899 89241 297017 89359
+rect 296899 79241 297017 79359
+rect 296899 69241 297017 69359
+rect 296899 59241 297017 59359
+rect 296899 49241 297017 49359
+rect 296899 39241 297017 39359
+rect 296899 29241 297017 29359
+rect 296899 19241 297017 19359
+rect 296899 9241 297017 9359
+rect 296899 2441 297017 2559
+rect 297299 345201 297417 345319
+rect 297299 335201 297417 335319
+rect 297299 325201 297417 325319
+rect 297299 315201 297417 315319
+rect 297299 305201 297417 305319
+rect 297299 295201 297417 295319
+rect 297299 285201 297417 285319
+rect 297299 275201 297417 275319
+rect 297299 265201 297417 265319
+rect 297299 255201 297417 255319
+rect 297299 245201 297417 245319
+rect 297299 235201 297417 235319
+rect 297299 225201 297417 225319
+rect 297299 215201 297417 215319
+rect 297299 205201 297417 205319
+rect 297299 195201 297417 195319
+rect 297299 185201 297417 185319
+rect 297299 175201 297417 175319
+rect 297299 165201 297417 165319
+rect 297299 155201 297417 155319
+rect 297299 145201 297417 145319
+rect 297299 135201 297417 135319
+rect 297299 125201 297417 125319
+rect 297299 115201 297417 115319
+rect 297299 105201 297417 105319
+rect 297299 95201 297417 95319
+rect 297299 85201 297417 85319
+rect 297299 75201 297417 75319
+rect 297299 65201 297417 65319
+rect 297299 55201 297417 55319
+rect 297299 45201 297417 45319
+rect 297299 35201 297417 35319
+rect 297299 25201 297417 25319
+rect 297299 15201 297417 15319
+rect 297299 5201 297417 5319
+rect 297299 2041 297417 2159
+rect 297699 350201 297817 350319
+rect 297699 340201 297817 340319
+rect 297699 330201 297817 330319
+rect 297699 320201 297817 320319
+rect 297699 310201 297817 310319
+rect 297699 300201 297817 300319
+rect 297699 290201 297817 290319
+rect 297699 280201 297817 280319
+rect 297699 270201 297817 270319
+rect 297699 260201 297817 260319
+rect 297699 250201 297817 250319
+rect 297699 240201 297817 240319
+rect 297699 230201 297817 230319
+rect 297699 220201 297817 220319
+rect 297699 210201 297817 210319
+rect 297699 200201 297817 200319
+rect 297699 190201 297817 190319
+rect 297699 180201 297817 180319
+rect 297699 170201 297817 170319
+rect 297699 160201 297817 160319
+rect 297699 150201 297817 150319
+rect 297699 140201 297817 140319
+rect 297699 130201 297817 130319
+rect 297699 120201 297817 120319
+rect 297699 110201 297817 110319
+rect 297699 100201 297817 100319
+rect 297699 90201 297817 90319
+rect 297699 80201 297817 80319
+rect 297699 70201 297817 70319
+rect 297699 60201 297817 60319
+rect 297699 50201 297817 50319
+rect 297699 40201 297817 40319
+rect 297699 30201 297817 30319
+rect 297699 20201 297817 20319
+rect 297699 10201 297817 10319
+rect 297699 1641 297817 1759
+rect 298099 346161 298217 346279
+rect 298099 336161 298217 336279
+rect 298099 326161 298217 326279
+rect 298099 316161 298217 316279
+rect 298099 306161 298217 306279
+rect 298099 296161 298217 296279
+rect 298099 286161 298217 286279
+rect 298099 276161 298217 276279
+rect 298099 266161 298217 266279
+rect 298099 256161 298217 256279
+rect 298099 246161 298217 246279
+rect 298099 236161 298217 236279
+rect 298099 226161 298217 226279
+rect 298099 216161 298217 216279
+rect 298099 206161 298217 206279
+rect 298099 196161 298217 196279
+rect 298099 186161 298217 186279
+rect 298099 176161 298217 176279
+rect 298099 166161 298217 166279
+rect 298099 156161 298217 156279
+rect 298099 146161 298217 146279
+rect 298099 136161 298217 136279
+rect 298099 126161 298217 126279
+rect 298099 116161 298217 116279
+rect 298099 106161 298217 106279
+rect 298099 96161 298217 96279
+rect 298099 86161 298217 86279
+rect 298099 76161 298217 76279
+rect 298099 66161 298217 66279
+rect 298099 56161 298217 56279
+rect 298099 46161 298217 46279
+rect 298099 36161 298217 36279
+rect 298099 26161 298217 26279
+rect 298099 16161 298217 16279
+rect 298099 6161 298217 6279
+rect 298099 1241 298217 1359
+rect 298499 351161 298617 351279
+rect 298499 341161 298617 341279
+rect 298499 331161 298617 331279
+rect 298499 321161 298617 321279
+rect 298499 311161 298617 311279
+rect 298499 301161 298617 301279
+rect 298499 291161 298617 291279
+rect 298499 281161 298617 281279
+rect 298499 271161 298617 271279
+rect 298499 261161 298617 261279
+rect 298499 251161 298617 251279
+rect 298499 241161 298617 241279
+rect 298499 231161 298617 231279
+rect 298499 221161 298617 221279
+rect 298499 211161 298617 211279
+rect 298499 201161 298617 201279
+rect 298499 191161 298617 191279
+rect 298499 181161 298617 181279
+rect 298499 171161 298617 171279
+rect 298499 161161 298617 161279
+rect 298499 151161 298617 151279
+rect 298499 141161 298617 141279
+rect 298499 131161 298617 131279
+rect 298499 121161 298617 121279
+rect 298499 111161 298617 111279
+rect 298499 101161 298617 101279
+rect 298499 91161 298617 91279
+rect 298499 81161 298617 81279
+rect 298499 71161 298617 71279
+rect 298499 61161 298617 61279
+rect 298499 51161 298617 51279
+rect 298499 41161 298617 41279
+rect 298499 31161 298617 31279
+rect 298499 21161 298617 21279
+rect 298499 11161 298617 11279
+rect 298499 841 298617 959
+rect 298899 347121 299017 347239
+rect 298899 337121 299017 337239
+rect 298899 327121 299017 327239
+rect 298899 317121 299017 317239
+rect 298899 307121 299017 307239
+rect 298899 297121 299017 297239
+rect 298899 287121 299017 287239
+rect 298899 277121 299017 277239
+rect 298899 267121 299017 267239
+rect 298899 257121 299017 257239
+rect 298899 247121 299017 247239
+rect 298899 237121 299017 237239
+rect 298899 227121 299017 227239
+rect 298899 217121 299017 217239
+rect 298899 207121 299017 207239
+rect 298899 197121 299017 197239
+rect 298899 187121 299017 187239
+rect 298899 177121 299017 177239
+rect 298899 167121 299017 167239
+rect 298899 157121 299017 157239
+rect 298899 147121 299017 147239
+rect 298899 137121 299017 137239
+rect 298899 127121 299017 127239
+rect 298899 117121 299017 117239
+rect 298899 107121 299017 107239
+rect 298899 97121 299017 97239
+rect 298899 87121 299017 87239
+rect 298899 77121 299017 77239
+rect 298899 67121 299017 67239
+rect 298899 57121 299017 57239
+rect 298899 47121 299017 47239
+rect 298899 37121 299017 37239
+rect 298899 27121 299017 27239
+rect 298899 17121 299017 17239
+rect 298899 7121 299017 7239
+rect 298899 441 299017 559
+rect 299299 352121 299417 352239
+rect 299299 342121 299417 342239
+rect 299299 332121 299417 332239
+rect 299299 322121 299417 322239
+rect 299299 312121 299417 312239
+rect 299299 302121 299417 302239
+rect 299299 292121 299417 292239
+rect 299299 282121 299417 282239
+rect 299299 272121 299417 272239
+rect 299299 262121 299417 262239
+rect 299299 252121 299417 252239
+rect 299299 242121 299417 242239
+rect 299299 232121 299417 232239
+rect 299299 222121 299417 222239
+rect 299299 212121 299417 212239
+rect 299299 202121 299417 202239
+rect 299299 192121 299417 192239
+rect 299299 182121 299417 182239
+rect 299299 172121 299417 172239
+rect 299299 162121 299417 162239
+rect 299299 152121 299417 152239
+rect 299299 142121 299417 142239
+rect 299299 132121 299417 132239
+rect 299299 122121 299417 122239
+rect 299299 112121 299417 112239
+rect 299299 102121 299417 102239
+rect 299299 92121 299417 92239
+rect 299299 82121 299417 82239
+rect 299299 72121 299417 72239
+rect 299299 62121 299417 62239
+rect 299299 52121 299417 52239
+rect 299299 42121 299417 42239
+rect 299299 32121 299417 32239
+rect 299299 22121 299417 22239
+rect 299299 12121 299417 12239
+rect 292121 41 292239 159
+rect 299299 41 299417 159
+<< metal5 >>
+rect 0 358351 299458 358392
+rect 0 358233 41 358351
+rect 159 358233 12121 358351
+rect 12239 358233 22121 358351
+rect 22239 358233 32121 358351
+rect 32239 358233 42121 358351
+rect 42239 358233 52121 358351
+rect 52239 358233 62121 358351
+rect 62239 358233 72121 358351
+rect 72239 358233 82121 358351
+rect 82239 358233 92121 358351
+rect 92239 358233 102121 358351
+rect 102239 358233 112121 358351
+rect 112239 358233 122121 358351
+rect 122239 358233 132121 358351
+rect 132239 358233 142121 358351
+rect 142239 358233 152121 358351
+rect 152239 358233 162121 358351
+rect 162239 358233 172121 358351
+rect 172239 358233 182121 358351
+rect 182239 358233 192121 358351
+rect 192239 358233 202121 358351
+rect 202239 358233 212121 358351
+rect 212239 358233 222121 358351
+rect 222239 358233 232121 358351
+rect 232239 358233 242121 358351
+rect 242239 358233 252121 358351
+rect 252239 358233 262121 358351
+rect 262239 358233 272121 358351
+rect 272239 358233 282121 358351
+rect 282239 358233 292121 358351
+rect 292239 358233 299299 358351
+rect 299417 358233 299458 358351
+rect 0 358192 299458 358233
+rect 400 357951 299058 357992
+rect 400 357833 441 357951
+rect 559 357833 7121 357951
+rect 7239 357833 17121 357951
+rect 17239 357833 27121 357951
+rect 27239 357833 37121 357951
+rect 37239 357833 47121 357951
+rect 47239 357833 57121 357951
+rect 57239 357833 67121 357951
+rect 67239 357833 77121 357951
+rect 77239 357833 87121 357951
+rect 87239 357833 97121 357951
+rect 97239 357833 107121 357951
+rect 107239 357833 117121 357951
+rect 117239 357833 127121 357951
+rect 127239 357833 137121 357951
+rect 137239 357833 147121 357951
+rect 147239 357833 157121 357951
+rect 157239 357833 167121 357951
+rect 167239 357833 177121 357951
+rect 177239 357833 187121 357951
+rect 187239 357833 197121 357951
+rect 197239 357833 207121 357951
+rect 207239 357833 217121 357951
+rect 217239 357833 227121 357951
+rect 227239 357833 237121 357951
+rect 237239 357833 247121 357951
+rect 247239 357833 257121 357951
+rect 257239 357833 267121 357951
+rect 267239 357833 277121 357951
+rect 277239 357833 287121 357951
+rect 287239 357833 298899 357951
+rect 299017 357833 299058 357951
+rect 400 357792 299058 357833
+rect 800 357551 298658 357592
+rect 800 357433 841 357551
+rect 959 357433 11161 357551
+rect 11279 357433 21161 357551
+rect 21279 357433 31161 357551
+rect 31279 357433 41161 357551
+rect 41279 357433 51161 357551
+rect 51279 357433 61161 357551
+rect 61279 357433 71161 357551
+rect 71279 357433 81161 357551
+rect 81279 357433 91161 357551
+rect 91279 357433 101161 357551
+rect 101279 357433 111161 357551
+rect 111279 357433 121161 357551
+rect 121279 357433 131161 357551
+rect 131279 357433 141161 357551
+rect 141279 357433 151161 357551
+rect 151279 357433 161161 357551
+rect 161279 357433 171161 357551
+rect 171279 357433 181161 357551
+rect 181279 357433 191161 357551
+rect 191279 357433 201161 357551
+rect 201279 357433 211161 357551
+rect 211279 357433 221161 357551
+rect 221279 357433 231161 357551
+rect 231279 357433 241161 357551
+rect 241279 357433 251161 357551
+rect 251279 357433 261161 357551
+rect 261279 357433 271161 357551
+rect 271279 357433 281161 357551
+rect 281279 357433 291161 357551
+rect 291279 357433 298499 357551
+rect 298617 357433 298658 357551
+rect 800 357392 298658 357433
+rect 1200 357151 298258 357192
+rect 1200 357033 1241 357151
+rect 1359 357033 6161 357151
+rect 6279 357033 16161 357151
+rect 16279 357033 26161 357151
+rect 26279 357033 36161 357151
+rect 36279 357033 46161 357151
+rect 46279 357033 56161 357151
+rect 56279 357033 66161 357151
+rect 66279 357033 76161 357151
+rect 76279 357033 86161 357151
+rect 86279 357033 96161 357151
+rect 96279 357033 106161 357151
+rect 106279 357033 116161 357151
+rect 116279 357033 126161 357151
+rect 126279 357033 136161 357151
+rect 136279 357033 146161 357151
+rect 146279 357033 156161 357151
+rect 156279 357033 166161 357151
+rect 166279 357033 176161 357151
+rect 176279 357033 186161 357151
+rect 186279 357033 196161 357151
+rect 196279 357033 206161 357151
+rect 206279 357033 216161 357151
+rect 216279 357033 226161 357151
+rect 226279 357033 236161 357151
+rect 236279 357033 246161 357151
+rect 246279 357033 256161 357151
+rect 256279 357033 266161 357151
+rect 266279 357033 276161 357151
+rect 276279 357033 286161 357151
+rect 286279 357033 298099 357151
+rect 298217 357033 298258 357151
+rect 1200 356992 298258 357033
+rect 1600 356751 297858 356792
+rect 1600 356633 1641 356751
+rect 1759 356633 10201 356751
+rect 10319 356633 20201 356751
+rect 20319 356633 30201 356751
+rect 30319 356633 40201 356751
+rect 40319 356633 50201 356751
+rect 50319 356633 60201 356751
+rect 60319 356633 70201 356751
+rect 70319 356633 80201 356751
+rect 80319 356633 90201 356751
+rect 90319 356633 100201 356751
+rect 100319 356633 110201 356751
+rect 110319 356633 120201 356751
+rect 120319 356633 130201 356751
+rect 130319 356633 140201 356751
+rect 140319 356633 150201 356751
+rect 150319 356633 160201 356751
+rect 160319 356633 170201 356751
+rect 170319 356633 180201 356751
+rect 180319 356633 190201 356751
+rect 190319 356633 200201 356751
+rect 200319 356633 210201 356751
+rect 210319 356633 220201 356751
+rect 220319 356633 230201 356751
+rect 230319 356633 240201 356751
+rect 240319 356633 250201 356751
+rect 250319 356633 260201 356751
+rect 260319 356633 270201 356751
+rect 270319 356633 280201 356751
+rect 280319 356633 290201 356751
+rect 290319 356633 297699 356751
+rect 297817 356633 297858 356751
+rect 1600 356592 297858 356633
+rect 2000 356351 297458 356392
+rect 2000 356233 2041 356351
+rect 2159 356233 5201 356351
+rect 5319 356233 15201 356351
+rect 15319 356233 25201 356351
+rect 25319 356233 35201 356351
+rect 35319 356233 45201 356351
+rect 45319 356233 55201 356351
+rect 55319 356233 65201 356351
+rect 65319 356233 75201 356351
+rect 75319 356233 85201 356351
+rect 85319 356233 95201 356351
+rect 95319 356233 105201 356351
+rect 105319 356233 115201 356351
+rect 115319 356233 125201 356351
+rect 125319 356233 135201 356351
+rect 135319 356233 145201 356351
+rect 145319 356233 155201 356351
+rect 155319 356233 165201 356351
+rect 165319 356233 175201 356351
+rect 175319 356233 185201 356351
+rect 185319 356233 195201 356351
+rect 195319 356233 205201 356351
+rect 205319 356233 215201 356351
+rect 215319 356233 225201 356351
+rect 225319 356233 235201 356351
+rect 235319 356233 245201 356351
+rect 245319 356233 255201 356351
+rect 255319 356233 265201 356351
+rect 265319 356233 275201 356351
+rect 275319 356233 285201 356351
+rect 285319 356233 297299 356351
+rect 297417 356233 297458 356351
+rect 2000 356192 297458 356233
+rect 2400 355951 297058 355992
+rect 2400 355833 2441 355951
+rect 2559 355833 9241 355951
+rect 9359 355833 19241 355951
+rect 19359 355833 29241 355951
+rect 29359 355833 39241 355951
+rect 39359 355833 49241 355951
+rect 49359 355833 59241 355951
+rect 59359 355833 69241 355951
+rect 69359 355833 79241 355951
+rect 79359 355833 89241 355951
+rect 89359 355833 99241 355951
+rect 99359 355833 109241 355951
+rect 109359 355833 119241 355951
+rect 119359 355833 129241 355951
+rect 129359 355833 139241 355951
+rect 139359 355833 149241 355951
+rect 149359 355833 159241 355951
+rect 159359 355833 169241 355951
+rect 169359 355833 179241 355951
+rect 179359 355833 189241 355951
+rect 189359 355833 199241 355951
+rect 199359 355833 209241 355951
+rect 209359 355833 219241 355951
+rect 219359 355833 229241 355951
+rect 229359 355833 239241 355951
+rect 239359 355833 249241 355951
+rect 249359 355833 259241 355951
+rect 259359 355833 269241 355951
+rect 269359 355833 279241 355951
+rect 279359 355833 289241 355951
+rect 289359 355833 296899 355951
+rect 297017 355833 297058 355951
+rect 2400 355792 297058 355833
+rect 2800 355551 296658 355592
+rect 2800 355433 2841 355551
+rect 2959 355433 4241 355551
+rect 4359 355433 14241 355551
+rect 14359 355433 24241 355551
+rect 24359 355433 34241 355551
+rect 34359 355433 44241 355551
+rect 44359 355433 54241 355551
+rect 54359 355433 64241 355551
+rect 64359 355433 74241 355551
+rect 74359 355433 84241 355551
+rect 84359 355433 94241 355551
+rect 94359 355433 104241 355551
+rect 104359 355433 114241 355551
+rect 114359 355433 124241 355551
+rect 124359 355433 134241 355551
+rect 134359 355433 144241 355551
+rect 144359 355433 154241 355551
+rect 154359 355433 164241 355551
+rect 164359 355433 174241 355551
+rect 174359 355433 184241 355551
+rect 184359 355433 194241 355551
+rect 194359 355433 204241 355551
+rect 204359 355433 214241 355551
+rect 214359 355433 224241 355551
+rect 224359 355433 234241 355551
+rect 234359 355433 244241 355551
+rect 244359 355433 254241 355551
+rect 254359 355433 264241 355551
+rect 264359 355433 274241 355551
+rect 274359 355433 284241 355551
+rect 284359 355433 294241 355551
+rect 294359 355433 296499 355551
+rect 296617 355433 296658 355551
+rect 2800 355392 296658 355433
+rect 0 352239 3988 352280
+rect 0 352121 41 352239
+rect 159 352121 3988 352239
+rect 0 352080 3988 352121
+rect 295508 352239 299458 352280
+rect 295508 352121 299299 352239
+rect 299417 352121 299458 352239
+rect 295508 352080 299458 352121
+rect 800 351279 3988 351320
+rect 800 351161 841 351279
+rect 959 351161 3988 351279
+rect 800 351120 3988 351161
+rect 295508 351279 298658 351320
+rect 295508 351161 298499 351279
+rect 298617 351161 298658 351279
+rect 295508 351120 298658 351161
+rect 1600 350319 3988 350360
+rect 1600 350201 1641 350319
+rect 1759 350201 3988 350319
+rect 1600 350160 3988 350201
+rect 295508 350319 297858 350360
+rect 295508 350201 297699 350319
+rect 297817 350201 297858 350319
+rect 295508 350160 297858 350201
+rect 2400 349359 3988 349400
+rect 2400 349241 2441 349359
+rect 2559 349241 3988 349359
+rect 2400 349200 3988 349241
+rect 295508 349359 297058 349400
+rect 295508 349241 296899 349359
+rect 297017 349241 297058 349359
+rect 295508 349200 297058 349241
+rect 0 347239 3988 347280
+rect 0 347121 441 347239
+rect 559 347121 3988 347239
+rect 0 347080 3988 347121
+rect 295508 347239 299458 347280
+rect 295508 347121 298899 347239
+rect 299017 347121 299458 347239
+rect 295508 347080 299458 347121
+rect 800 346279 3988 346320
+rect 800 346161 1241 346279
+rect 1359 346161 3988 346279
+rect 800 346120 3988 346161
+rect 295508 346279 298658 346320
+rect 295508 346161 298099 346279
+rect 298217 346161 298658 346279
+rect 295508 346120 298658 346161
+rect 1600 345319 3988 345360
+rect 1600 345201 2041 345319
+rect 2159 345201 3988 345319
+rect 1600 345160 3988 345201
+rect 295508 345319 297858 345360
+rect 295508 345201 297299 345319
+rect 297417 345201 297858 345319
+rect 295508 345160 297858 345201
+rect 2400 344359 3988 344400
+rect 2400 344241 2841 344359
+rect 2959 344241 3988 344359
+rect 2400 344200 3988 344241
+rect 295508 344359 297058 344400
+rect 295508 344241 296499 344359
+rect 296617 344241 297058 344359
+rect 295508 344200 297058 344241
+rect 0 342239 3988 342280
+rect 0 342121 41 342239
+rect 159 342121 3988 342239
+rect 0 342080 3988 342121
+rect 295508 342239 299458 342280
+rect 295508 342121 299299 342239
+rect 299417 342121 299458 342239
+rect 295508 342080 299458 342121
+rect 800 341279 3988 341320
+rect 800 341161 841 341279
+rect 959 341161 3988 341279
+rect 800 341120 3988 341161
+rect 295508 341279 298658 341320
+rect 295508 341161 298499 341279
+rect 298617 341161 298658 341279
+rect 295508 341120 298658 341161
+rect 1600 340319 3988 340360
+rect 1600 340201 1641 340319
+rect 1759 340201 3988 340319
+rect 1600 340160 3988 340201
+rect 295508 340319 297858 340360
+rect 295508 340201 297699 340319
+rect 297817 340201 297858 340319
+rect 295508 340160 297858 340201
+rect 2400 339359 3988 339400
+rect 2400 339241 2441 339359
+rect 2559 339241 3988 339359
+rect 2400 339200 3988 339241
+rect 295508 339359 297058 339400
+rect 295508 339241 296899 339359
+rect 297017 339241 297058 339359
+rect 295508 339200 297058 339241
+rect 0 337239 3988 337280
+rect 0 337121 441 337239
+rect 559 337121 3988 337239
+rect 0 337080 3988 337121
+rect 295508 337239 299458 337280
+rect 295508 337121 298899 337239
+rect 299017 337121 299458 337239
+rect 295508 337080 299458 337121
+rect 800 336279 3988 336320
+rect 800 336161 1241 336279
+rect 1359 336161 3988 336279
+rect 800 336120 3988 336161
+rect 295508 336279 298658 336320
+rect 295508 336161 298099 336279
+rect 298217 336161 298658 336279
+rect 295508 336120 298658 336161
+rect 1600 335319 3988 335360
+rect 1600 335201 2041 335319
+rect 2159 335201 3988 335319
+rect 1600 335160 3988 335201
+rect 295508 335319 297858 335360
+rect 295508 335201 297299 335319
+rect 297417 335201 297858 335319
+rect 295508 335160 297858 335201
+rect 2400 334359 3988 334400
+rect 2400 334241 2841 334359
+rect 2959 334241 3988 334359
+rect 2400 334200 3988 334241
+rect 295508 334359 297058 334400
+rect 295508 334241 296499 334359
+rect 296617 334241 297058 334359
+rect 295508 334200 297058 334241
+rect 0 332239 3988 332280
+rect 0 332121 41 332239
+rect 159 332121 3988 332239
+rect 0 332080 3988 332121
+rect 295508 332239 299458 332280
+rect 295508 332121 299299 332239
+rect 299417 332121 299458 332239
+rect 295508 332080 299458 332121
+rect 800 331279 3988 331320
+rect 800 331161 841 331279
+rect 959 331161 3988 331279
+rect 800 331120 3988 331161
+rect 295508 331279 298658 331320
+rect 295508 331161 298499 331279
+rect 298617 331161 298658 331279
+rect 295508 331120 298658 331161
+rect 1600 330319 3988 330360
+rect 1600 330201 1641 330319
+rect 1759 330201 3988 330319
+rect 1600 330160 3988 330201
+rect 295508 330319 297858 330360
+rect 295508 330201 297699 330319
+rect 297817 330201 297858 330319
+rect 295508 330160 297858 330201
+rect 2400 329359 3988 329400
+rect 2400 329241 2441 329359
+rect 2559 329241 3988 329359
+rect 2400 329200 3988 329241
+rect 295508 329359 297058 329400
+rect 295508 329241 296899 329359
+rect 297017 329241 297058 329359
+rect 295508 329200 297058 329241
+rect 0 327239 3988 327280
+rect 0 327121 441 327239
+rect 559 327121 3988 327239
+rect 0 327080 3988 327121
+rect 295508 327239 299458 327280
+rect 295508 327121 298899 327239
+rect 299017 327121 299458 327239
+rect 295508 327080 299458 327121
+rect 800 326279 3988 326320
+rect 800 326161 1241 326279
+rect 1359 326161 3988 326279
+rect 800 326120 3988 326161
+rect 295508 326279 298658 326320
+rect 295508 326161 298099 326279
+rect 298217 326161 298658 326279
+rect 295508 326120 298658 326161
+rect 1600 325319 3988 325360
+rect 1600 325201 2041 325319
+rect 2159 325201 3988 325319
+rect 1600 325160 3988 325201
+rect 295508 325319 297858 325360
+rect 295508 325201 297299 325319
+rect 297417 325201 297858 325319
+rect 295508 325160 297858 325201
+rect 2400 324359 3988 324400
+rect 2400 324241 2841 324359
+rect 2959 324241 3988 324359
+rect 2400 324200 3988 324241
+rect 295508 324359 297058 324400
+rect 295508 324241 296499 324359
+rect 296617 324241 297058 324359
+rect 295508 324200 297058 324241
+rect 0 322239 3988 322280
+rect 0 322121 41 322239
+rect 159 322121 3988 322239
+rect 0 322080 3988 322121
+rect 295508 322239 299458 322280
+rect 295508 322121 299299 322239
+rect 299417 322121 299458 322239
+rect 295508 322080 299458 322121
+rect 800 321279 3988 321320
+rect 800 321161 841 321279
+rect 959 321161 3988 321279
+rect 800 321120 3988 321161
+rect 295508 321279 298658 321320
+rect 295508 321161 298499 321279
+rect 298617 321161 298658 321279
+rect 295508 321120 298658 321161
+rect 1600 320319 3988 320360
+rect 1600 320201 1641 320319
+rect 1759 320201 3988 320319
+rect 1600 320160 3988 320201
+rect 295508 320319 297858 320360
+rect 295508 320201 297699 320319
+rect 297817 320201 297858 320319
+rect 295508 320160 297858 320201
+rect 2400 319359 3988 319400
+rect 2400 319241 2441 319359
+rect 2559 319241 3988 319359
+rect 2400 319200 3988 319241
+rect 295508 319359 297058 319400
+rect 295508 319241 296899 319359
+rect 297017 319241 297058 319359
+rect 295508 319200 297058 319241
+rect 0 317239 3988 317280
+rect 0 317121 441 317239
+rect 559 317121 3988 317239
+rect 0 317080 3988 317121
+rect 295508 317239 299458 317280
+rect 295508 317121 298899 317239
+rect 299017 317121 299458 317239
+rect 295508 317080 299458 317121
+rect 800 316279 3988 316320
+rect 800 316161 1241 316279
+rect 1359 316161 3988 316279
+rect 800 316120 3988 316161
+rect 295508 316279 298658 316320
+rect 295508 316161 298099 316279
+rect 298217 316161 298658 316279
+rect 295508 316120 298658 316161
+rect 1600 315319 3988 315360
+rect 1600 315201 2041 315319
+rect 2159 315201 3988 315319
+rect 1600 315160 3988 315201
+rect 295508 315319 297858 315360
+rect 295508 315201 297299 315319
+rect 297417 315201 297858 315319
+rect 295508 315160 297858 315201
+rect 2400 314359 3988 314400
+rect 2400 314241 2841 314359
+rect 2959 314241 3988 314359
+rect 2400 314200 3988 314241
+rect 295508 314359 297058 314400
+rect 295508 314241 296499 314359
+rect 296617 314241 297058 314359
+rect 295508 314200 297058 314241
+rect 0 312239 3988 312280
+rect 0 312121 41 312239
+rect 159 312121 3988 312239
+rect 0 312080 3988 312121
+rect 295508 312239 299458 312280
+rect 295508 312121 299299 312239
+rect 299417 312121 299458 312239
+rect 295508 312080 299458 312121
+rect 800 311279 3988 311320
+rect 800 311161 841 311279
+rect 959 311161 3988 311279
+rect 800 311120 3988 311161
+rect 295508 311279 298658 311320
+rect 295508 311161 298499 311279
+rect 298617 311161 298658 311279
+rect 295508 311120 298658 311161
+rect 1600 310319 3988 310360
+rect 1600 310201 1641 310319
+rect 1759 310201 3988 310319
+rect 1600 310160 3988 310201
+rect 295508 310319 297858 310360
+rect 295508 310201 297699 310319
+rect 297817 310201 297858 310319
+rect 295508 310160 297858 310201
+rect 2400 309359 3988 309400
+rect 2400 309241 2441 309359
+rect 2559 309241 3988 309359
+rect 2400 309200 3988 309241
+rect 295508 309359 297058 309400
+rect 295508 309241 296899 309359
+rect 297017 309241 297058 309359
+rect 295508 309200 297058 309241
+rect 0 307239 3988 307280
+rect 0 307121 441 307239
+rect 559 307121 3988 307239
+rect 0 307080 3988 307121
+rect 295508 307239 299458 307280
+rect 295508 307121 298899 307239
+rect 299017 307121 299458 307239
+rect 295508 307080 299458 307121
+rect 800 306279 3988 306320
+rect 800 306161 1241 306279
+rect 1359 306161 3988 306279
+rect 800 306120 3988 306161
+rect 295508 306279 298658 306320
+rect 295508 306161 298099 306279
+rect 298217 306161 298658 306279
+rect 295508 306120 298658 306161
+rect 1600 305319 3988 305360
+rect 1600 305201 2041 305319
+rect 2159 305201 3988 305319
+rect 1600 305160 3988 305201
+rect 295508 305319 297858 305360
+rect 295508 305201 297299 305319
+rect 297417 305201 297858 305319
+rect 295508 305160 297858 305201
+rect 2400 304359 3988 304400
+rect 2400 304241 2841 304359
+rect 2959 304241 3988 304359
+rect 2400 304200 3988 304241
+rect 295508 304359 297058 304400
+rect 295508 304241 296499 304359
+rect 296617 304241 297058 304359
+rect 295508 304200 297058 304241
+rect 0 302239 3988 302280
+rect 0 302121 41 302239
+rect 159 302121 3988 302239
+rect 0 302080 3988 302121
+rect 295508 302239 299458 302280
+rect 295508 302121 299299 302239
+rect 299417 302121 299458 302239
+rect 295508 302080 299458 302121
+rect 800 301279 3988 301320
+rect 800 301161 841 301279
+rect 959 301161 3988 301279
+rect 800 301120 3988 301161
+rect 295508 301279 298658 301320
+rect 295508 301161 298499 301279
+rect 298617 301161 298658 301279
+rect 295508 301120 298658 301161
+rect 1600 300319 3988 300360
+rect 1600 300201 1641 300319
+rect 1759 300201 3988 300319
+rect 1600 300160 3988 300201
+rect 295508 300319 297858 300360
+rect 295508 300201 297699 300319
+rect 297817 300201 297858 300319
+rect 295508 300160 297858 300201
+rect 2400 299359 3988 299400
+rect 2400 299241 2441 299359
+rect 2559 299241 3988 299359
+rect 2400 299200 3988 299241
+rect 295508 299359 297058 299400
+rect 295508 299241 296899 299359
+rect 297017 299241 297058 299359
+rect 295508 299200 297058 299241
+rect 0 297239 3988 297280
+rect 0 297121 441 297239
+rect 559 297121 3988 297239
+rect 0 297080 3988 297121
+rect 295508 297239 299458 297280
+rect 295508 297121 298899 297239
+rect 299017 297121 299458 297239
+rect 295508 297080 299458 297121
+rect 800 296279 3988 296320
+rect 800 296161 1241 296279
+rect 1359 296161 3988 296279
+rect 800 296120 3988 296161
+rect 295508 296279 298658 296320
+rect 295508 296161 298099 296279
+rect 298217 296161 298658 296279
+rect 295508 296120 298658 296161
+rect 1600 295319 3988 295360
+rect 1600 295201 2041 295319
+rect 2159 295201 3988 295319
+rect 1600 295160 3988 295201
+rect 295508 295319 297858 295360
+rect 295508 295201 297299 295319
+rect 297417 295201 297858 295319
+rect 295508 295160 297858 295201
+rect 2400 294359 3988 294400
+rect 2400 294241 2841 294359
+rect 2959 294241 3988 294359
+rect 2400 294200 3988 294241
+rect 295508 294359 297058 294400
+rect 295508 294241 296499 294359
+rect 296617 294241 297058 294359
+rect 295508 294200 297058 294241
+rect 0 292239 3988 292280
+rect 0 292121 41 292239
+rect 159 292121 3988 292239
+rect 0 292080 3988 292121
+rect 295508 292239 299458 292280
+rect 295508 292121 299299 292239
+rect 299417 292121 299458 292239
+rect 295508 292080 299458 292121
+rect 800 291279 3988 291320
+rect 800 291161 841 291279
+rect 959 291161 3988 291279
+rect 800 291120 3988 291161
+rect 295508 291279 298658 291320
+rect 295508 291161 298499 291279
+rect 298617 291161 298658 291279
+rect 295508 291120 298658 291161
+rect 1600 290319 3988 290360
+rect 1600 290201 1641 290319
+rect 1759 290201 3988 290319
+rect 1600 290160 3988 290201
+rect 295508 290319 297858 290360
+rect 295508 290201 297699 290319
+rect 297817 290201 297858 290319
+rect 295508 290160 297858 290201
+rect 2400 289359 3988 289400
+rect 2400 289241 2441 289359
+rect 2559 289241 3988 289359
+rect 2400 289200 3988 289241
+rect 295508 289359 297058 289400
+rect 295508 289241 296899 289359
+rect 297017 289241 297058 289359
+rect 295508 289200 297058 289241
+rect 0 287239 3988 287280
+rect 0 287121 441 287239
+rect 559 287121 3988 287239
+rect 0 287080 3988 287121
+rect 295508 287239 299458 287280
+rect 295508 287121 298899 287239
+rect 299017 287121 299458 287239
+rect 295508 287080 299458 287121
+rect 800 286279 3988 286320
+rect 800 286161 1241 286279
+rect 1359 286161 3988 286279
+rect 800 286120 3988 286161
+rect 295508 286279 298658 286320
+rect 295508 286161 298099 286279
+rect 298217 286161 298658 286279
+rect 295508 286120 298658 286161
+rect 1600 285319 3988 285360
+rect 1600 285201 2041 285319
+rect 2159 285201 3988 285319
+rect 1600 285160 3988 285201
+rect 295508 285319 297858 285360
+rect 295508 285201 297299 285319
+rect 297417 285201 297858 285319
+rect 295508 285160 297858 285201
+rect 2400 284359 3988 284400
+rect 2400 284241 2841 284359
+rect 2959 284241 3988 284359
+rect 2400 284200 3988 284241
+rect 295508 284359 297058 284400
+rect 295508 284241 296499 284359
+rect 296617 284241 297058 284359
+rect 295508 284200 297058 284241
+rect 0 282239 3988 282280
+rect 0 282121 41 282239
+rect 159 282121 3988 282239
+rect 0 282080 3988 282121
+rect 295508 282239 299458 282280
+rect 295508 282121 299299 282239
+rect 299417 282121 299458 282239
+rect 295508 282080 299458 282121
+rect 800 281279 3988 281320
+rect 800 281161 841 281279
+rect 959 281161 3988 281279
+rect 800 281120 3988 281161
+rect 295508 281279 298658 281320
+rect 295508 281161 298499 281279
+rect 298617 281161 298658 281279
+rect 295508 281120 298658 281161
+rect 1600 280319 3988 280360
+rect 1600 280201 1641 280319
+rect 1759 280201 3988 280319
+rect 1600 280160 3988 280201
+rect 295508 280319 297858 280360
+rect 295508 280201 297699 280319
+rect 297817 280201 297858 280319
+rect 295508 280160 297858 280201
+rect 2400 279359 3988 279400
+rect 2400 279241 2441 279359
+rect 2559 279241 3988 279359
+rect 2400 279200 3988 279241
+rect 295508 279359 297058 279400
+rect 295508 279241 296899 279359
+rect 297017 279241 297058 279359
+rect 295508 279200 297058 279241
+rect 0 277239 3988 277280
+rect 0 277121 441 277239
+rect 559 277121 3988 277239
+rect 0 277080 3988 277121
+rect 295508 277239 299458 277280
+rect 295508 277121 298899 277239
+rect 299017 277121 299458 277239
+rect 295508 277080 299458 277121
+rect 800 276279 3988 276320
+rect 800 276161 1241 276279
+rect 1359 276161 3988 276279
+rect 800 276120 3988 276161
+rect 295508 276279 298658 276320
+rect 295508 276161 298099 276279
+rect 298217 276161 298658 276279
+rect 295508 276120 298658 276161
+rect 1600 275319 3988 275360
+rect 1600 275201 2041 275319
+rect 2159 275201 3988 275319
+rect 1600 275160 3988 275201
+rect 295508 275319 297858 275360
+rect 295508 275201 297299 275319
+rect 297417 275201 297858 275319
+rect 295508 275160 297858 275201
+rect 2400 274359 3988 274400
+rect 2400 274241 2841 274359
+rect 2959 274241 3988 274359
+rect 2400 274200 3988 274241
+rect 295508 274359 297058 274400
+rect 295508 274241 296499 274359
+rect 296617 274241 297058 274359
+rect 295508 274200 297058 274241
+rect 0 272239 3988 272280
+rect 0 272121 41 272239
+rect 159 272121 3988 272239
+rect 0 272080 3988 272121
+rect 295508 272239 299458 272280
+rect 295508 272121 299299 272239
+rect 299417 272121 299458 272239
+rect 295508 272080 299458 272121
+rect 800 271279 3988 271320
+rect 800 271161 841 271279
+rect 959 271161 3988 271279
+rect 800 271120 3988 271161
+rect 295508 271279 298658 271320
+rect 295508 271161 298499 271279
+rect 298617 271161 298658 271279
+rect 295508 271120 298658 271161
+rect 1600 270319 3988 270360
+rect 1600 270201 1641 270319
+rect 1759 270201 3988 270319
+rect 1600 270160 3988 270201
+rect 295508 270319 297858 270360
+rect 295508 270201 297699 270319
+rect 297817 270201 297858 270319
+rect 295508 270160 297858 270201
+rect 2400 269359 3988 269400
+rect 2400 269241 2441 269359
+rect 2559 269241 3988 269359
+rect 2400 269200 3988 269241
+rect 295508 269359 297058 269400
+rect 295508 269241 296899 269359
+rect 297017 269241 297058 269359
+rect 295508 269200 297058 269241
+rect 0 267239 3988 267280
+rect 0 267121 441 267239
+rect 559 267121 3988 267239
+rect 0 267080 3988 267121
+rect 295508 267239 299458 267280
+rect 295508 267121 298899 267239
+rect 299017 267121 299458 267239
+rect 295508 267080 299458 267121
+rect 800 266279 3988 266320
+rect 800 266161 1241 266279
+rect 1359 266161 3988 266279
+rect 800 266120 3988 266161
+rect 295508 266279 298658 266320
+rect 295508 266161 298099 266279
+rect 298217 266161 298658 266279
+rect 295508 266120 298658 266161
+rect 1600 265319 3988 265360
+rect 1600 265201 2041 265319
+rect 2159 265201 3988 265319
+rect 1600 265160 3988 265201
+rect 295508 265319 297858 265360
+rect 295508 265201 297299 265319
+rect 297417 265201 297858 265319
+rect 295508 265160 297858 265201
+rect 2400 264359 3988 264400
+rect 2400 264241 2841 264359
+rect 2959 264241 3988 264359
+rect 2400 264200 3988 264241
+rect 295508 264359 297058 264400
+rect 295508 264241 296499 264359
+rect 296617 264241 297058 264359
+rect 295508 264200 297058 264241
+rect 0 262239 3988 262280
+rect 0 262121 41 262239
+rect 159 262121 3988 262239
+rect 0 262080 3988 262121
+rect 295508 262239 299458 262280
+rect 295508 262121 299299 262239
+rect 299417 262121 299458 262239
+rect 295508 262080 299458 262121
+rect 800 261279 3988 261320
+rect 800 261161 841 261279
+rect 959 261161 3988 261279
+rect 800 261120 3988 261161
+rect 295508 261279 298658 261320
+rect 295508 261161 298499 261279
+rect 298617 261161 298658 261279
+rect 295508 261120 298658 261161
+rect 1600 260319 3988 260360
+rect 1600 260201 1641 260319
+rect 1759 260201 3988 260319
+rect 1600 260160 3988 260201
+rect 295508 260319 297858 260360
+rect 295508 260201 297699 260319
+rect 297817 260201 297858 260319
+rect 295508 260160 297858 260201
+rect 2400 259359 3988 259400
+rect 2400 259241 2441 259359
+rect 2559 259241 3988 259359
+rect 2400 259200 3988 259241
+rect 295508 259359 297058 259400
+rect 295508 259241 296899 259359
+rect 297017 259241 297058 259359
+rect 295508 259200 297058 259241
+rect 0 257239 3988 257280
+rect 0 257121 441 257239
+rect 559 257121 3988 257239
+rect 0 257080 3988 257121
+rect 295508 257239 299458 257280
+rect 295508 257121 298899 257239
+rect 299017 257121 299458 257239
+rect 295508 257080 299458 257121
+rect 800 256279 3988 256320
+rect 800 256161 1241 256279
+rect 1359 256161 3988 256279
+rect 800 256120 3988 256161
+rect 295508 256279 298658 256320
+rect 295508 256161 298099 256279
+rect 298217 256161 298658 256279
+rect 295508 256120 298658 256161
+rect 1600 255319 3988 255360
+rect 1600 255201 2041 255319
+rect 2159 255201 3988 255319
+rect 1600 255160 3988 255201
+rect 295508 255319 297858 255360
+rect 295508 255201 297299 255319
+rect 297417 255201 297858 255319
+rect 295508 255160 297858 255201
+rect 2400 254359 3988 254400
+rect 2400 254241 2841 254359
+rect 2959 254241 3988 254359
+rect 2400 254200 3988 254241
+rect 295508 254359 297058 254400
+rect 295508 254241 296499 254359
+rect 296617 254241 297058 254359
+rect 295508 254200 297058 254241
+rect 0 252239 3988 252280
+rect 0 252121 41 252239
+rect 159 252121 3988 252239
+rect 0 252080 3988 252121
+rect 295508 252239 299458 252280
+rect 295508 252121 299299 252239
+rect 299417 252121 299458 252239
+rect 295508 252080 299458 252121
+rect 800 251279 3988 251320
+rect 800 251161 841 251279
+rect 959 251161 3988 251279
+rect 800 251120 3988 251161
+rect 295508 251279 298658 251320
+rect 295508 251161 298499 251279
+rect 298617 251161 298658 251279
+rect 295508 251120 298658 251161
+rect 1600 250319 3988 250360
+rect 1600 250201 1641 250319
+rect 1759 250201 3988 250319
+rect 1600 250160 3988 250201
+rect 295508 250319 297858 250360
+rect 295508 250201 297699 250319
+rect 297817 250201 297858 250319
+rect 295508 250160 297858 250201
+rect 2400 249359 3988 249400
+rect 2400 249241 2441 249359
+rect 2559 249241 3988 249359
+rect 2400 249200 3988 249241
+rect 295508 249359 297058 249400
+rect 295508 249241 296899 249359
+rect 297017 249241 297058 249359
+rect 295508 249200 297058 249241
+rect 0 247239 3988 247280
+rect 0 247121 441 247239
+rect 559 247121 3988 247239
+rect 0 247080 3988 247121
+rect 295508 247239 299458 247280
+rect 295508 247121 298899 247239
+rect 299017 247121 299458 247239
+rect 295508 247080 299458 247121
+rect 800 246279 3988 246320
+rect 800 246161 1241 246279
+rect 1359 246161 3988 246279
+rect 800 246120 3988 246161
+rect 295508 246279 298658 246320
+rect 295508 246161 298099 246279
+rect 298217 246161 298658 246279
+rect 295508 246120 298658 246161
+rect 1600 245319 3988 245360
+rect 1600 245201 2041 245319
+rect 2159 245201 3988 245319
+rect 1600 245160 3988 245201
+rect 295508 245319 297858 245360
+rect 295508 245201 297299 245319
+rect 297417 245201 297858 245319
+rect 295508 245160 297858 245201
+rect 2400 244359 3988 244400
+rect 2400 244241 2841 244359
+rect 2959 244241 3988 244359
+rect 2400 244200 3988 244241
+rect 295508 244359 297058 244400
+rect 295508 244241 296499 244359
+rect 296617 244241 297058 244359
+rect 295508 244200 297058 244241
+rect 0 242239 3988 242280
+rect 0 242121 41 242239
+rect 159 242121 3988 242239
+rect 0 242080 3988 242121
+rect 295508 242239 299458 242280
+rect 295508 242121 299299 242239
+rect 299417 242121 299458 242239
+rect 295508 242080 299458 242121
+rect 800 241279 3988 241320
+rect 800 241161 841 241279
+rect 959 241161 3988 241279
+rect 800 241120 3988 241161
+rect 295508 241279 298658 241320
+rect 295508 241161 298499 241279
+rect 298617 241161 298658 241279
+rect 295508 241120 298658 241161
+rect 1600 240319 3988 240360
+rect 1600 240201 1641 240319
+rect 1759 240201 3988 240319
+rect 1600 240160 3988 240201
+rect 295508 240319 297858 240360
+rect 295508 240201 297699 240319
+rect 297817 240201 297858 240319
+rect 295508 240160 297858 240201
+rect 2400 239359 3988 239400
+rect 2400 239241 2441 239359
+rect 2559 239241 3988 239359
+rect 2400 239200 3988 239241
+rect 295508 239359 297058 239400
+rect 295508 239241 296899 239359
+rect 297017 239241 297058 239359
+rect 295508 239200 297058 239241
+rect 0 237239 3988 237280
+rect 0 237121 441 237239
+rect 559 237121 3988 237239
+rect 0 237080 3988 237121
+rect 295508 237239 299458 237280
+rect 295508 237121 298899 237239
+rect 299017 237121 299458 237239
+rect 295508 237080 299458 237121
+rect 800 236279 3988 236320
+rect 800 236161 1241 236279
+rect 1359 236161 3988 236279
+rect 800 236120 3988 236161
+rect 295508 236279 298658 236320
+rect 295508 236161 298099 236279
+rect 298217 236161 298658 236279
+rect 295508 236120 298658 236161
+rect 1600 235319 3988 235360
+rect 1600 235201 2041 235319
+rect 2159 235201 3988 235319
+rect 1600 235160 3988 235201
+rect 295508 235319 297858 235360
+rect 295508 235201 297299 235319
+rect 297417 235201 297858 235319
+rect 295508 235160 297858 235201
+rect 2400 234359 3988 234400
+rect 2400 234241 2841 234359
+rect 2959 234241 3988 234359
+rect 2400 234200 3988 234241
+rect 295508 234359 297058 234400
+rect 295508 234241 296499 234359
+rect 296617 234241 297058 234359
+rect 295508 234200 297058 234241
+rect 0 232239 3988 232280
+rect 0 232121 41 232239
+rect 159 232121 3988 232239
+rect 0 232080 3988 232121
+rect 295508 232239 299458 232280
+rect 295508 232121 299299 232239
+rect 299417 232121 299458 232239
+rect 295508 232080 299458 232121
+rect 800 231279 3988 231320
+rect 800 231161 841 231279
+rect 959 231161 3988 231279
+rect 800 231120 3988 231161
+rect 295508 231279 298658 231320
+rect 295508 231161 298499 231279
+rect 298617 231161 298658 231279
+rect 295508 231120 298658 231161
+rect 1600 230319 3988 230360
+rect 1600 230201 1641 230319
+rect 1759 230201 3988 230319
+rect 1600 230160 3988 230201
+rect 295508 230319 297858 230360
+rect 295508 230201 297699 230319
+rect 297817 230201 297858 230319
+rect 295508 230160 297858 230201
+rect 2400 229359 3988 229400
+rect 2400 229241 2441 229359
+rect 2559 229241 3988 229359
+rect 2400 229200 3988 229241
+rect 295508 229359 297058 229400
+rect 295508 229241 296899 229359
+rect 297017 229241 297058 229359
+rect 295508 229200 297058 229241
+rect 0 227239 3988 227280
+rect 0 227121 441 227239
+rect 559 227121 3988 227239
+rect 0 227080 3988 227121
+rect 295508 227239 299458 227280
+rect 295508 227121 298899 227239
+rect 299017 227121 299458 227239
+rect 295508 227080 299458 227121
+rect 800 226279 3988 226320
+rect 800 226161 1241 226279
+rect 1359 226161 3988 226279
+rect 800 226120 3988 226161
+rect 295508 226279 298658 226320
+rect 295508 226161 298099 226279
+rect 298217 226161 298658 226279
+rect 295508 226120 298658 226161
+rect 1600 225319 3988 225360
+rect 1600 225201 2041 225319
+rect 2159 225201 3988 225319
+rect 1600 225160 3988 225201
+rect 295508 225319 297858 225360
+rect 295508 225201 297299 225319
+rect 297417 225201 297858 225319
+rect 295508 225160 297858 225201
+rect 2400 224359 3988 224400
+rect 2400 224241 2841 224359
+rect 2959 224241 3988 224359
+rect 2400 224200 3988 224241
+rect 295508 224359 297058 224400
+rect 295508 224241 296499 224359
+rect 296617 224241 297058 224359
+rect 295508 224200 297058 224241
+rect 0 222239 3988 222280
+rect 0 222121 41 222239
+rect 159 222121 3988 222239
+rect 0 222080 3988 222121
+rect 295508 222239 299458 222280
+rect 295508 222121 299299 222239
+rect 299417 222121 299458 222239
+rect 295508 222080 299458 222121
+rect 800 221279 3988 221320
+rect 800 221161 841 221279
+rect 959 221161 3988 221279
+rect 800 221120 3988 221161
+rect 295508 221279 298658 221320
+rect 295508 221161 298499 221279
+rect 298617 221161 298658 221279
+rect 295508 221120 298658 221161
+rect 1600 220319 3988 220360
+rect 1600 220201 1641 220319
+rect 1759 220201 3988 220319
+rect 1600 220160 3988 220201
+rect 295508 220319 297858 220360
+rect 295508 220201 297699 220319
+rect 297817 220201 297858 220319
+rect 295508 220160 297858 220201
+rect 2400 219359 3988 219400
+rect 2400 219241 2441 219359
+rect 2559 219241 3988 219359
+rect 2400 219200 3988 219241
+rect 295508 219359 297058 219400
+rect 295508 219241 296899 219359
+rect 297017 219241 297058 219359
+rect 295508 219200 297058 219241
+rect 0 217239 3988 217280
+rect 0 217121 441 217239
+rect 559 217121 3988 217239
+rect 0 217080 3988 217121
+rect 295508 217239 299458 217280
+rect 295508 217121 298899 217239
+rect 299017 217121 299458 217239
+rect 295508 217080 299458 217121
+rect 800 216279 3988 216320
+rect 800 216161 1241 216279
+rect 1359 216161 3988 216279
+rect 800 216120 3988 216161
+rect 295508 216279 298658 216320
+rect 295508 216161 298099 216279
+rect 298217 216161 298658 216279
+rect 295508 216120 298658 216161
+rect 1600 215319 3988 215360
+rect 1600 215201 2041 215319
+rect 2159 215201 3988 215319
+rect 1600 215160 3988 215201
+rect 295508 215319 297858 215360
+rect 295508 215201 297299 215319
+rect 297417 215201 297858 215319
+rect 295508 215160 297858 215201
+rect 2400 214359 3988 214400
+rect 2400 214241 2841 214359
+rect 2959 214241 3988 214359
+rect 2400 214200 3988 214241
+rect 295508 214359 297058 214400
+rect 295508 214241 296499 214359
+rect 296617 214241 297058 214359
+rect 295508 214200 297058 214241
+rect 0 212239 3988 212280
+rect 0 212121 41 212239
+rect 159 212121 3988 212239
+rect 0 212080 3988 212121
+rect 295508 212239 299458 212280
+rect 295508 212121 299299 212239
+rect 299417 212121 299458 212239
+rect 295508 212080 299458 212121
+rect 800 211279 3988 211320
+rect 800 211161 841 211279
+rect 959 211161 3988 211279
+rect 800 211120 3988 211161
+rect 295508 211279 298658 211320
+rect 295508 211161 298499 211279
+rect 298617 211161 298658 211279
+rect 295508 211120 298658 211161
+rect 1600 210319 3988 210360
+rect 1600 210201 1641 210319
+rect 1759 210201 3988 210319
+rect 1600 210160 3988 210201
+rect 295508 210319 297858 210360
+rect 295508 210201 297699 210319
+rect 297817 210201 297858 210319
+rect 295508 210160 297858 210201
+rect 2400 209359 3988 209400
+rect 2400 209241 2441 209359
+rect 2559 209241 3988 209359
+rect 2400 209200 3988 209241
+rect 295508 209359 297058 209400
+rect 295508 209241 296899 209359
+rect 297017 209241 297058 209359
+rect 295508 209200 297058 209241
+rect 0 207239 3988 207280
+rect 0 207121 441 207239
+rect 559 207121 3988 207239
+rect 0 207080 3988 207121
+rect 295508 207239 299458 207280
+rect 295508 207121 298899 207239
+rect 299017 207121 299458 207239
+rect 295508 207080 299458 207121
+rect 800 206279 3988 206320
+rect 800 206161 1241 206279
+rect 1359 206161 3988 206279
+rect 800 206120 3988 206161
+rect 295508 206279 298658 206320
+rect 295508 206161 298099 206279
+rect 298217 206161 298658 206279
+rect 295508 206120 298658 206161
+rect 1600 205319 3988 205360
+rect 1600 205201 2041 205319
+rect 2159 205201 3988 205319
+rect 1600 205160 3988 205201
+rect 295508 205319 297858 205360
+rect 295508 205201 297299 205319
+rect 297417 205201 297858 205319
+rect 295508 205160 297858 205201
+rect 2400 204359 3988 204400
+rect 2400 204241 2841 204359
+rect 2959 204241 3988 204359
+rect 2400 204200 3988 204241
+rect 295508 204359 297058 204400
+rect 295508 204241 296499 204359
+rect 296617 204241 297058 204359
+rect 295508 204200 297058 204241
+rect 0 202239 3988 202280
+rect 0 202121 41 202239
+rect 159 202121 3988 202239
+rect 0 202080 3988 202121
+rect 295508 202239 299458 202280
+rect 295508 202121 299299 202239
+rect 299417 202121 299458 202239
+rect 295508 202080 299458 202121
+rect 800 201279 3988 201320
+rect 800 201161 841 201279
+rect 959 201161 3988 201279
+rect 800 201120 3988 201161
+rect 295508 201279 298658 201320
+rect 295508 201161 298499 201279
+rect 298617 201161 298658 201279
+rect 295508 201120 298658 201161
+rect 1600 200319 3988 200360
+rect 1600 200201 1641 200319
+rect 1759 200201 3988 200319
+rect 1600 200160 3988 200201
+rect 295508 200319 297858 200360
+rect 295508 200201 297699 200319
+rect 297817 200201 297858 200319
+rect 295508 200160 297858 200201
+rect 2400 199359 3988 199400
+rect 2400 199241 2441 199359
+rect 2559 199241 3988 199359
+rect 2400 199200 3988 199241
+rect 295508 199359 297058 199400
+rect 295508 199241 296899 199359
+rect 297017 199241 297058 199359
+rect 295508 199200 297058 199241
+rect 0 197239 3988 197280
+rect 0 197121 441 197239
+rect 559 197121 3988 197239
+rect 0 197080 3988 197121
+rect 295508 197239 299458 197280
+rect 295508 197121 298899 197239
+rect 299017 197121 299458 197239
+rect 295508 197080 299458 197121
+rect 800 196279 3988 196320
+rect 800 196161 1241 196279
+rect 1359 196161 3988 196279
+rect 800 196120 3988 196161
+rect 295508 196279 298658 196320
+rect 295508 196161 298099 196279
+rect 298217 196161 298658 196279
+rect 295508 196120 298658 196161
+rect 1600 195319 3988 195360
+rect 1600 195201 2041 195319
+rect 2159 195201 3988 195319
+rect 1600 195160 3988 195201
+rect 295508 195319 297858 195360
+rect 295508 195201 297299 195319
+rect 297417 195201 297858 195319
+rect 295508 195160 297858 195201
+rect 2400 194359 3988 194400
+rect 2400 194241 2841 194359
+rect 2959 194241 3988 194359
+rect 2400 194200 3988 194241
+rect 295508 194359 297058 194400
+rect 295508 194241 296499 194359
+rect 296617 194241 297058 194359
+rect 295508 194200 297058 194241
+rect 0 192239 3988 192280
+rect 0 192121 41 192239
+rect 159 192121 3988 192239
+rect 0 192080 3988 192121
+rect 295508 192239 299458 192280
+rect 295508 192121 299299 192239
+rect 299417 192121 299458 192239
+rect 295508 192080 299458 192121
+rect 800 191279 3988 191320
+rect 800 191161 841 191279
+rect 959 191161 3988 191279
+rect 800 191120 3988 191161
+rect 295508 191279 298658 191320
+rect 295508 191161 298499 191279
+rect 298617 191161 298658 191279
+rect 295508 191120 298658 191161
+rect 1600 190319 3988 190360
+rect 1600 190201 1641 190319
+rect 1759 190201 3988 190319
+rect 1600 190160 3988 190201
+rect 295508 190319 297858 190360
+rect 295508 190201 297699 190319
+rect 297817 190201 297858 190319
+rect 295508 190160 297858 190201
+rect 2400 189359 3988 189400
+rect 2400 189241 2441 189359
+rect 2559 189241 3988 189359
+rect 2400 189200 3988 189241
+rect 295508 189359 297058 189400
+rect 295508 189241 296899 189359
+rect 297017 189241 297058 189359
+rect 295508 189200 297058 189241
+rect 0 187239 3988 187280
+rect 0 187121 441 187239
+rect 559 187121 3988 187239
+rect 0 187080 3988 187121
+rect 295508 187239 299458 187280
+rect 295508 187121 298899 187239
+rect 299017 187121 299458 187239
+rect 295508 187080 299458 187121
+rect 800 186279 3988 186320
+rect 800 186161 1241 186279
+rect 1359 186161 3988 186279
+rect 800 186120 3988 186161
+rect 295508 186279 298658 186320
+rect 295508 186161 298099 186279
+rect 298217 186161 298658 186279
+rect 295508 186120 298658 186161
+rect 1600 185319 3988 185360
+rect 1600 185201 2041 185319
+rect 2159 185201 3988 185319
+rect 1600 185160 3988 185201
+rect 295508 185319 297858 185360
+rect 295508 185201 297299 185319
+rect 297417 185201 297858 185319
+rect 295508 185160 297858 185201
+rect 2400 184359 3988 184400
+rect 2400 184241 2841 184359
+rect 2959 184241 3988 184359
+rect 2400 184200 3988 184241
+rect 295508 184359 297058 184400
+rect 295508 184241 296499 184359
+rect 296617 184241 297058 184359
+rect 295508 184200 297058 184241
+rect 0 182239 3988 182280
+rect 0 182121 41 182239
+rect 159 182121 3988 182239
+rect 0 182080 3988 182121
+rect 295508 182239 299458 182280
+rect 295508 182121 299299 182239
+rect 299417 182121 299458 182239
+rect 295508 182080 299458 182121
+rect 800 181279 3988 181320
+rect 800 181161 841 181279
+rect 959 181161 3988 181279
+rect 800 181120 3988 181161
+rect 295508 181279 298658 181320
+rect 295508 181161 298499 181279
+rect 298617 181161 298658 181279
+rect 295508 181120 298658 181161
+rect 1600 180319 3988 180360
+rect 1600 180201 1641 180319
+rect 1759 180201 3988 180319
+rect 1600 180160 3988 180201
+rect 295508 180319 297858 180360
+rect 295508 180201 297699 180319
+rect 297817 180201 297858 180319
+rect 295508 180160 297858 180201
+rect 2400 179359 3988 179400
+rect 2400 179241 2441 179359
+rect 2559 179241 3988 179359
+rect 2400 179200 3988 179241
+rect 295508 179359 297058 179400
+rect 295508 179241 296899 179359
+rect 297017 179241 297058 179359
+rect 295508 179200 297058 179241
+rect 0 177239 3988 177280
+rect 0 177121 441 177239
+rect 559 177121 3988 177239
+rect 0 177080 3988 177121
+rect 295508 177239 299458 177280
+rect 295508 177121 298899 177239
+rect 299017 177121 299458 177239
+rect 295508 177080 299458 177121
+rect 800 176279 3988 176320
+rect 800 176161 1241 176279
+rect 1359 176161 3988 176279
+rect 800 176120 3988 176161
+rect 295508 176279 298658 176320
+rect 295508 176161 298099 176279
+rect 298217 176161 298658 176279
+rect 295508 176120 298658 176161
+rect 1600 175319 3988 175360
+rect 1600 175201 2041 175319
+rect 2159 175201 3988 175319
+rect 1600 175160 3988 175201
+rect 295508 175319 297858 175360
+rect 295508 175201 297299 175319
+rect 297417 175201 297858 175319
+rect 295508 175160 297858 175201
+rect 2400 174359 3988 174400
+rect 2400 174241 2841 174359
+rect 2959 174241 3988 174359
+rect 2400 174200 3988 174241
+rect 295508 174359 297058 174400
+rect 295508 174241 296499 174359
+rect 296617 174241 297058 174359
+rect 295508 174200 297058 174241
+rect 0 172239 3988 172280
+rect 0 172121 41 172239
+rect 159 172121 3988 172239
+rect 0 172080 3988 172121
+rect 295508 172239 299458 172280
+rect 295508 172121 299299 172239
+rect 299417 172121 299458 172239
+rect 295508 172080 299458 172121
+rect 800 171279 3988 171320
+rect 800 171161 841 171279
+rect 959 171161 3988 171279
+rect 800 171120 3988 171161
+rect 295508 171279 298658 171320
+rect 295508 171161 298499 171279
+rect 298617 171161 298658 171279
+rect 295508 171120 298658 171161
+rect 1600 170319 3988 170360
+rect 1600 170201 1641 170319
+rect 1759 170201 3988 170319
+rect 1600 170160 3988 170201
+rect 295508 170319 297858 170360
+rect 295508 170201 297699 170319
+rect 297817 170201 297858 170319
+rect 295508 170160 297858 170201
+rect 2400 169359 3988 169400
+rect 2400 169241 2441 169359
+rect 2559 169241 3988 169359
+rect 2400 169200 3988 169241
+rect 295508 169359 297058 169400
+rect 295508 169241 296899 169359
+rect 297017 169241 297058 169359
+rect 295508 169200 297058 169241
+rect 0 167239 3988 167280
+rect 0 167121 441 167239
+rect 559 167121 3988 167239
+rect 0 167080 3988 167121
+rect 295508 167239 299458 167280
+rect 295508 167121 298899 167239
+rect 299017 167121 299458 167239
+rect 295508 167080 299458 167121
+rect 800 166279 3988 166320
+rect 800 166161 1241 166279
+rect 1359 166161 3988 166279
+rect 800 166120 3988 166161
+rect 295508 166279 298658 166320
+rect 295508 166161 298099 166279
+rect 298217 166161 298658 166279
+rect 295508 166120 298658 166161
+rect 1600 165319 3988 165360
+rect 1600 165201 2041 165319
+rect 2159 165201 3988 165319
+rect 1600 165160 3988 165201
+rect 295508 165319 297858 165360
+rect 295508 165201 297299 165319
+rect 297417 165201 297858 165319
+rect 295508 165160 297858 165201
+rect 2400 164359 3988 164400
+rect 2400 164241 2841 164359
+rect 2959 164241 3988 164359
+rect 2400 164200 3988 164241
+rect 295508 164359 297058 164400
+rect 295508 164241 296499 164359
+rect 296617 164241 297058 164359
+rect 295508 164200 297058 164241
+rect 0 162239 3988 162280
+rect 0 162121 41 162239
+rect 159 162121 3988 162239
+rect 0 162080 3988 162121
+rect 295508 162239 299458 162280
+rect 295508 162121 299299 162239
+rect 299417 162121 299458 162239
+rect 295508 162080 299458 162121
+rect 800 161279 3988 161320
+rect 800 161161 841 161279
+rect 959 161161 3988 161279
+rect 800 161120 3988 161161
+rect 295508 161279 298658 161320
+rect 295508 161161 298499 161279
+rect 298617 161161 298658 161279
+rect 295508 161120 298658 161161
+rect 1600 160319 3988 160360
+rect 1600 160201 1641 160319
+rect 1759 160201 3988 160319
+rect 1600 160160 3988 160201
+rect 295508 160319 297858 160360
+rect 295508 160201 297699 160319
+rect 297817 160201 297858 160319
+rect 295508 160160 297858 160201
+rect 2400 159359 3988 159400
+rect 2400 159241 2441 159359
+rect 2559 159241 3988 159359
+rect 2400 159200 3988 159241
+rect 295508 159359 297058 159400
+rect 295508 159241 296899 159359
+rect 297017 159241 297058 159359
+rect 295508 159200 297058 159241
+rect 0 157239 3988 157280
+rect 0 157121 441 157239
+rect 559 157121 3988 157239
+rect 0 157080 3988 157121
+rect 295508 157239 299458 157280
+rect 295508 157121 298899 157239
+rect 299017 157121 299458 157239
+rect 295508 157080 299458 157121
+rect 800 156279 3988 156320
+rect 800 156161 1241 156279
+rect 1359 156161 3988 156279
+rect 800 156120 3988 156161
+rect 295508 156279 298658 156320
+rect 295508 156161 298099 156279
+rect 298217 156161 298658 156279
+rect 295508 156120 298658 156161
+rect 1600 155319 3988 155360
+rect 1600 155201 2041 155319
+rect 2159 155201 3988 155319
+rect 1600 155160 3988 155201
+rect 295508 155319 297858 155360
+rect 295508 155201 297299 155319
+rect 297417 155201 297858 155319
+rect 295508 155160 297858 155201
+rect 2400 154359 3988 154400
+rect 2400 154241 2841 154359
+rect 2959 154241 3988 154359
+rect 2400 154200 3988 154241
+rect 295508 154359 297058 154400
+rect 295508 154241 296499 154359
+rect 296617 154241 297058 154359
+rect 295508 154200 297058 154241
+rect 0 152239 3988 152280
+rect 0 152121 41 152239
+rect 159 152121 3988 152239
+rect 0 152080 3988 152121
+rect 295508 152239 299458 152280
+rect 295508 152121 299299 152239
+rect 299417 152121 299458 152239
+rect 295508 152080 299458 152121
+rect 800 151279 3988 151320
+rect 800 151161 841 151279
+rect 959 151161 3988 151279
+rect 800 151120 3988 151161
+rect 295508 151279 298658 151320
+rect 295508 151161 298499 151279
+rect 298617 151161 298658 151279
+rect 295508 151120 298658 151161
+rect 1600 150319 3988 150360
+rect 1600 150201 1641 150319
+rect 1759 150201 3988 150319
+rect 1600 150160 3988 150201
+rect 295508 150319 297858 150360
+rect 295508 150201 297699 150319
+rect 297817 150201 297858 150319
+rect 295508 150160 297858 150201
+rect 2400 149359 3988 149400
+rect 2400 149241 2441 149359
+rect 2559 149241 3988 149359
+rect 2400 149200 3988 149241
+rect 295508 149359 297058 149400
+rect 295508 149241 296899 149359
+rect 297017 149241 297058 149359
+rect 295508 149200 297058 149241
+rect 0 147239 3988 147280
+rect 0 147121 441 147239
+rect 559 147121 3988 147239
+rect 0 147080 3988 147121
+rect 295508 147239 299458 147280
+rect 295508 147121 298899 147239
+rect 299017 147121 299458 147239
+rect 295508 147080 299458 147121
+rect 800 146279 3988 146320
+rect 800 146161 1241 146279
+rect 1359 146161 3988 146279
+rect 800 146120 3988 146161
+rect 295508 146279 298658 146320
+rect 295508 146161 298099 146279
+rect 298217 146161 298658 146279
+rect 295508 146120 298658 146161
+rect 1600 145319 3988 145360
+rect 1600 145201 2041 145319
+rect 2159 145201 3988 145319
+rect 1600 145160 3988 145201
+rect 295508 145319 297858 145360
+rect 295508 145201 297299 145319
+rect 297417 145201 297858 145319
+rect 295508 145160 297858 145201
+rect 2400 144359 3988 144400
+rect 2400 144241 2841 144359
+rect 2959 144241 3988 144359
+rect 2400 144200 3988 144241
+rect 295508 144359 297058 144400
+rect 295508 144241 296499 144359
+rect 296617 144241 297058 144359
+rect 295508 144200 297058 144241
+rect 0 142239 3988 142280
+rect 0 142121 41 142239
+rect 159 142121 3988 142239
+rect 0 142080 3988 142121
+rect 295508 142239 299458 142280
+rect 295508 142121 299299 142239
+rect 299417 142121 299458 142239
+rect 295508 142080 299458 142121
+rect 800 141279 3988 141320
+rect 800 141161 841 141279
+rect 959 141161 3988 141279
+rect 800 141120 3988 141161
+rect 295508 141279 298658 141320
+rect 295508 141161 298499 141279
+rect 298617 141161 298658 141279
+rect 295508 141120 298658 141161
+rect 1600 140319 3988 140360
+rect 1600 140201 1641 140319
+rect 1759 140201 3988 140319
+rect 1600 140160 3988 140201
+rect 295508 140319 297858 140360
+rect 295508 140201 297699 140319
+rect 297817 140201 297858 140319
+rect 295508 140160 297858 140201
+rect 2400 139359 3988 139400
+rect 2400 139241 2441 139359
+rect 2559 139241 3988 139359
+rect 2400 139200 3988 139241
+rect 295508 139359 297058 139400
+rect 295508 139241 296899 139359
+rect 297017 139241 297058 139359
+rect 295508 139200 297058 139241
+rect 0 137239 3988 137280
+rect 0 137121 441 137239
+rect 559 137121 3988 137239
+rect 0 137080 3988 137121
+rect 295508 137239 299458 137280
+rect 295508 137121 298899 137239
+rect 299017 137121 299458 137239
+rect 295508 137080 299458 137121
+rect 800 136279 3988 136320
+rect 800 136161 1241 136279
+rect 1359 136161 3988 136279
+rect 800 136120 3988 136161
+rect 295508 136279 298658 136320
+rect 295508 136161 298099 136279
+rect 298217 136161 298658 136279
+rect 295508 136120 298658 136161
+rect 1600 135319 3988 135360
+rect 1600 135201 2041 135319
+rect 2159 135201 3988 135319
+rect 1600 135160 3988 135201
+rect 295508 135319 297858 135360
+rect 295508 135201 297299 135319
+rect 297417 135201 297858 135319
+rect 295508 135160 297858 135201
+rect 2400 134359 3988 134400
+rect 2400 134241 2841 134359
+rect 2959 134241 3988 134359
+rect 2400 134200 3988 134241
+rect 295508 134359 297058 134400
+rect 295508 134241 296499 134359
+rect 296617 134241 297058 134359
+rect 295508 134200 297058 134241
+rect 0 132239 3988 132280
+rect 0 132121 41 132239
+rect 159 132121 3988 132239
+rect 0 132080 3988 132121
+rect 295508 132239 299458 132280
+rect 295508 132121 299299 132239
+rect 299417 132121 299458 132239
+rect 295508 132080 299458 132121
+rect 800 131279 3988 131320
+rect 800 131161 841 131279
+rect 959 131161 3988 131279
+rect 800 131120 3988 131161
+rect 295508 131279 298658 131320
+rect 295508 131161 298499 131279
+rect 298617 131161 298658 131279
+rect 295508 131120 298658 131161
+rect 1600 130319 3988 130360
+rect 1600 130201 1641 130319
+rect 1759 130201 3988 130319
+rect 1600 130160 3988 130201
+rect 295508 130319 297858 130360
+rect 295508 130201 297699 130319
+rect 297817 130201 297858 130319
+rect 295508 130160 297858 130201
+rect 2400 129359 3988 129400
+rect 2400 129241 2441 129359
+rect 2559 129241 3988 129359
+rect 2400 129200 3988 129241
+rect 295508 129359 297058 129400
+rect 295508 129241 296899 129359
+rect 297017 129241 297058 129359
+rect 295508 129200 297058 129241
+rect 0 127239 3988 127280
+rect 0 127121 441 127239
+rect 559 127121 3988 127239
+rect 0 127080 3988 127121
+rect 295508 127239 299458 127280
+rect 295508 127121 298899 127239
+rect 299017 127121 299458 127239
+rect 295508 127080 299458 127121
+rect 800 126279 3988 126320
+rect 800 126161 1241 126279
+rect 1359 126161 3988 126279
+rect 800 126120 3988 126161
+rect 295508 126279 298658 126320
+rect 295508 126161 298099 126279
+rect 298217 126161 298658 126279
+rect 295508 126120 298658 126161
+rect 1600 125319 3988 125360
+rect 1600 125201 2041 125319
+rect 2159 125201 3988 125319
+rect 1600 125160 3988 125201
+rect 295508 125319 297858 125360
+rect 295508 125201 297299 125319
+rect 297417 125201 297858 125319
+rect 295508 125160 297858 125201
+rect 2400 124359 3988 124400
+rect 2400 124241 2841 124359
+rect 2959 124241 3988 124359
+rect 2400 124200 3988 124241
+rect 295508 124359 297058 124400
+rect 295508 124241 296499 124359
+rect 296617 124241 297058 124359
+rect 295508 124200 297058 124241
+rect 0 122239 3988 122280
+rect 0 122121 41 122239
+rect 159 122121 3988 122239
+rect 0 122080 3988 122121
+rect 295508 122239 299458 122280
+rect 295508 122121 299299 122239
+rect 299417 122121 299458 122239
+rect 295508 122080 299458 122121
+rect 800 121279 3988 121320
+rect 800 121161 841 121279
+rect 959 121161 3988 121279
+rect 800 121120 3988 121161
+rect 295508 121279 298658 121320
+rect 295508 121161 298499 121279
+rect 298617 121161 298658 121279
+rect 295508 121120 298658 121161
+rect 1600 120319 3988 120360
+rect 1600 120201 1641 120319
+rect 1759 120201 3988 120319
+rect 1600 120160 3988 120201
+rect 295508 120319 297858 120360
+rect 295508 120201 297699 120319
+rect 297817 120201 297858 120319
+rect 295508 120160 297858 120201
+rect 2400 119359 3988 119400
+rect 2400 119241 2441 119359
+rect 2559 119241 3988 119359
+rect 2400 119200 3988 119241
+rect 295508 119359 297058 119400
+rect 295508 119241 296899 119359
+rect 297017 119241 297058 119359
+rect 295508 119200 297058 119241
+rect 0 117239 3988 117280
+rect 0 117121 441 117239
+rect 559 117121 3988 117239
+rect 0 117080 3988 117121
+rect 295508 117239 299458 117280
+rect 295508 117121 298899 117239
+rect 299017 117121 299458 117239
+rect 295508 117080 299458 117121
+rect 800 116279 3988 116320
+rect 800 116161 1241 116279
+rect 1359 116161 3988 116279
+rect 800 116120 3988 116161
+rect 295508 116279 298658 116320
+rect 295508 116161 298099 116279
+rect 298217 116161 298658 116279
+rect 295508 116120 298658 116161
+rect 1600 115319 3988 115360
+rect 1600 115201 2041 115319
+rect 2159 115201 3988 115319
+rect 1600 115160 3988 115201
+rect 295508 115319 297858 115360
+rect 295508 115201 297299 115319
+rect 297417 115201 297858 115319
+rect 295508 115160 297858 115201
+rect 2400 114359 3988 114400
+rect 2400 114241 2841 114359
+rect 2959 114241 3988 114359
+rect 2400 114200 3988 114241
+rect 295508 114359 297058 114400
+rect 295508 114241 296499 114359
+rect 296617 114241 297058 114359
+rect 295508 114200 297058 114241
+rect 0 112239 3988 112280
+rect 0 112121 41 112239
+rect 159 112121 3988 112239
+rect 0 112080 3988 112121
+rect 295508 112239 299458 112280
+rect 295508 112121 299299 112239
+rect 299417 112121 299458 112239
+rect 295508 112080 299458 112121
+rect 800 111279 3988 111320
+rect 800 111161 841 111279
+rect 959 111161 3988 111279
+rect 800 111120 3988 111161
+rect 295508 111279 298658 111320
+rect 295508 111161 298499 111279
+rect 298617 111161 298658 111279
+rect 295508 111120 298658 111161
+rect 1600 110319 3988 110360
+rect 1600 110201 1641 110319
+rect 1759 110201 3988 110319
+rect 1600 110160 3988 110201
+rect 295508 110319 297858 110360
+rect 295508 110201 297699 110319
+rect 297817 110201 297858 110319
+rect 295508 110160 297858 110201
+rect 2400 109359 3988 109400
+rect 2400 109241 2441 109359
+rect 2559 109241 3988 109359
+rect 2400 109200 3988 109241
+rect 295508 109359 297058 109400
+rect 295508 109241 296899 109359
+rect 297017 109241 297058 109359
+rect 295508 109200 297058 109241
+rect 0 107239 3988 107280
+rect 0 107121 441 107239
+rect 559 107121 3988 107239
+rect 0 107080 3988 107121
+rect 295508 107239 299458 107280
+rect 295508 107121 298899 107239
+rect 299017 107121 299458 107239
+rect 295508 107080 299458 107121
+rect 800 106279 3988 106320
+rect 800 106161 1241 106279
+rect 1359 106161 3988 106279
+rect 800 106120 3988 106161
+rect 295508 106279 298658 106320
+rect 295508 106161 298099 106279
+rect 298217 106161 298658 106279
+rect 295508 106120 298658 106161
+rect 1600 105319 3988 105360
+rect 1600 105201 2041 105319
+rect 2159 105201 3988 105319
+rect 1600 105160 3988 105201
+rect 295508 105319 297858 105360
+rect 295508 105201 297299 105319
+rect 297417 105201 297858 105319
+rect 295508 105160 297858 105201
+rect 2400 104359 3988 104400
+rect 2400 104241 2841 104359
+rect 2959 104241 3988 104359
+rect 2400 104200 3988 104241
+rect 295508 104359 297058 104400
+rect 295508 104241 296499 104359
+rect 296617 104241 297058 104359
+rect 295508 104200 297058 104241
+rect 0 102239 3988 102280
+rect 0 102121 41 102239
+rect 159 102121 3988 102239
+rect 0 102080 3988 102121
+rect 295508 102239 299458 102280
+rect 295508 102121 299299 102239
+rect 299417 102121 299458 102239
+rect 295508 102080 299458 102121
+rect 800 101279 3988 101320
+rect 800 101161 841 101279
+rect 959 101161 3988 101279
+rect 800 101120 3988 101161
+rect 295508 101279 298658 101320
+rect 295508 101161 298499 101279
+rect 298617 101161 298658 101279
+rect 295508 101120 298658 101161
+rect 1600 100319 3988 100360
+rect 1600 100201 1641 100319
+rect 1759 100201 3988 100319
+rect 1600 100160 3988 100201
+rect 295508 100319 297858 100360
+rect 295508 100201 297699 100319
+rect 297817 100201 297858 100319
+rect 295508 100160 297858 100201
+rect 2400 99359 3988 99400
+rect 2400 99241 2441 99359
+rect 2559 99241 3988 99359
+rect 2400 99200 3988 99241
+rect 295508 99359 297058 99400
+rect 295508 99241 296899 99359
+rect 297017 99241 297058 99359
+rect 295508 99200 297058 99241
+rect 0 97239 3988 97280
+rect 0 97121 441 97239
+rect 559 97121 3988 97239
+rect 0 97080 3988 97121
+rect 295508 97239 299458 97280
+rect 295508 97121 298899 97239
+rect 299017 97121 299458 97239
+rect 295508 97080 299458 97121
+rect 800 96279 3988 96320
+rect 800 96161 1241 96279
+rect 1359 96161 3988 96279
+rect 800 96120 3988 96161
+rect 295508 96279 298658 96320
+rect 295508 96161 298099 96279
+rect 298217 96161 298658 96279
+rect 295508 96120 298658 96161
+rect 1600 95319 3988 95360
+rect 1600 95201 2041 95319
+rect 2159 95201 3988 95319
+rect 1600 95160 3988 95201
+rect 295508 95319 297858 95360
+rect 295508 95201 297299 95319
+rect 297417 95201 297858 95319
+rect 295508 95160 297858 95201
+rect 2400 94359 3988 94400
+rect 2400 94241 2841 94359
+rect 2959 94241 3988 94359
+rect 2400 94200 3988 94241
+rect 295508 94359 297058 94400
+rect 295508 94241 296499 94359
+rect 296617 94241 297058 94359
+rect 295508 94200 297058 94241
+rect 0 92239 3988 92280
+rect 0 92121 41 92239
+rect 159 92121 3988 92239
+rect 0 92080 3988 92121
+rect 295508 92239 299458 92280
+rect 295508 92121 299299 92239
+rect 299417 92121 299458 92239
+rect 295508 92080 299458 92121
+rect 800 91279 3988 91320
+rect 800 91161 841 91279
+rect 959 91161 3988 91279
+rect 800 91120 3988 91161
+rect 295508 91279 298658 91320
+rect 295508 91161 298499 91279
+rect 298617 91161 298658 91279
+rect 295508 91120 298658 91161
+rect 1600 90319 3988 90360
+rect 1600 90201 1641 90319
+rect 1759 90201 3988 90319
+rect 1600 90160 3988 90201
+rect 295508 90319 297858 90360
+rect 295508 90201 297699 90319
+rect 297817 90201 297858 90319
+rect 295508 90160 297858 90201
+rect 2400 89359 3988 89400
+rect 2400 89241 2441 89359
+rect 2559 89241 3988 89359
+rect 2400 89200 3988 89241
+rect 295508 89359 297058 89400
+rect 295508 89241 296899 89359
+rect 297017 89241 297058 89359
+rect 295508 89200 297058 89241
+rect 0 87239 3988 87280
+rect 0 87121 441 87239
+rect 559 87121 3988 87239
+rect 0 87080 3988 87121
+rect 295508 87239 299458 87280
+rect 295508 87121 298899 87239
+rect 299017 87121 299458 87239
+rect 295508 87080 299458 87121
+rect 800 86279 3988 86320
+rect 800 86161 1241 86279
+rect 1359 86161 3988 86279
+rect 800 86120 3988 86161
+rect 295508 86279 298658 86320
+rect 295508 86161 298099 86279
+rect 298217 86161 298658 86279
+rect 295508 86120 298658 86161
+rect 1600 85319 3988 85360
+rect 1600 85201 2041 85319
+rect 2159 85201 3988 85319
+rect 1600 85160 3988 85201
+rect 295508 85319 297858 85360
+rect 295508 85201 297299 85319
+rect 297417 85201 297858 85319
+rect 295508 85160 297858 85201
+rect 2400 84359 3988 84400
+rect 2400 84241 2841 84359
+rect 2959 84241 3988 84359
+rect 2400 84200 3988 84241
+rect 295508 84359 297058 84400
+rect 295508 84241 296499 84359
+rect 296617 84241 297058 84359
+rect 295508 84200 297058 84241
+rect 0 82239 3988 82280
+rect 0 82121 41 82239
+rect 159 82121 3988 82239
+rect 0 82080 3988 82121
+rect 295508 82239 299458 82280
+rect 295508 82121 299299 82239
+rect 299417 82121 299458 82239
+rect 295508 82080 299458 82121
+rect 800 81279 3988 81320
+rect 800 81161 841 81279
+rect 959 81161 3988 81279
+rect 800 81120 3988 81161
+rect 295508 81279 298658 81320
+rect 295508 81161 298499 81279
+rect 298617 81161 298658 81279
+rect 295508 81120 298658 81161
+rect 1600 80319 3988 80360
+rect 1600 80201 1641 80319
+rect 1759 80201 3988 80319
+rect 1600 80160 3988 80201
+rect 295508 80319 297858 80360
+rect 295508 80201 297699 80319
+rect 297817 80201 297858 80319
+rect 295508 80160 297858 80201
+rect 2400 79359 3988 79400
+rect 2400 79241 2441 79359
+rect 2559 79241 3988 79359
+rect 2400 79200 3988 79241
+rect 295508 79359 297058 79400
+rect 295508 79241 296899 79359
+rect 297017 79241 297058 79359
+rect 295508 79200 297058 79241
+rect 0 77239 3988 77280
+rect 0 77121 441 77239
+rect 559 77121 3988 77239
+rect 0 77080 3988 77121
+rect 295508 77239 299458 77280
+rect 295508 77121 298899 77239
+rect 299017 77121 299458 77239
+rect 295508 77080 299458 77121
+rect 800 76279 3988 76320
+rect 800 76161 1241 76279
+rect 1359 76161 3988 76279
+rect 800 76120 3988 76161
+rect 295508 76279 298658 76320
+rect 295508 76161 298099 76279
+rect 298217 76161 298658 76279
+rect 295508 76120 298658 76161
+rect 1600 75319 3988 75360
+rect 1600 75201 2041 75319
+rect 2159 75201 3988 75319
+rect 1600 75160 3988 75201
+rect 295508 75319 297858 75360
+rect 295508 75201 297299 75319
+rect 297417 75201 297858 75319
+rect 295508 75160 297858 75201
+rect 2400 74359 3988 74400
+rect 2400 74241 2841 74359
+rect 2959 74241 3988 74359
+rect 2400 74200 3988 74241
+rect 295508 74359 297058 74400
+rect 295508 74241 296499 74359
+rect 296617 74241 297058 74359
+rect 295508 74200 297058 74241
+rect 0 72239 3988 72280
+rect 0 72121 41 72239
+rect 159 72121 3988 72239
+rect 0 72080 3988 72121
+rect 295508 72239 299458 72280
+rect 295508 72121 299299 72239
+rect 299417 72121 299458 72239
+rect 295508 72080 299458 72121
+rect 800 71279 3988 71320
+rect 800 71161 841 71279
+rect 959 71161 3988 71279
+rect 800 71120 3988 71161
+rect 295508 71279 298658 71320
+rect 295508 71161 298499 71279
+rect 298617 71161 298658 71279
+rect 295508 71120 298658 71161
+rect 1600 70319 3988 70360
+rect 1600 70201 1641 70319
+rect 1759 70201 3988 70319
+rect 1600 70160 3988 70201
+rect 295508 70319 297858 70360
+rect 295508 70201 297699 70319
+rect 297817 70201 297858 70319
+rect 295508 70160 297858 70201
+rect 2400 69359 3988 69400
+rect 2400 69241 2441 69359
+rect 2559 69241 3988 69359
+rect 2400 69200 3988 69241
+rect 295508 69359 297058 69400
+rect 295508 69241 296899 69359
+rect 297017 69241 297058 69359
+rect 295508 69200 297058 69241
+rect 0 67239 3988 67280
+rect 0 67121 441 67239
+rect 559 67121 3988 67239
+rect 0 67080 3988 67121
+rect 295508 67239 299458 67280
+rect 295508 67121 298899 67239
+rect 299017 67121 299458 67239
+rect 295508 67080 299458 67121
+rect 800 66279 3988 66320
+rect 800 66161 1241 66279
+rect 1359 66161 3988 66279
+rect 800 66120 3988 66161
+rect 295508 66279 298658 66320
+rect 295508 66161 298099 66279
+rect 298217 66161 298658 66279
+rect 295508 66120 298658 66161
+rect 1600 65319 3988 65360
+rect 1600 65201 2041 65319
+rect 2159 65201 3988 65319
+rect 1600 65160 3988 65201
+rect 295508 65319 297858 65360
+rect 295508 65201 297299 65319
+rect 297417 65201 297858 65319
+rect 295508 65160 297858 65201
+rect 2400 64359 3988 64400
+rect 2400 64241 2841 64359
+rect 2959 64241 3988 64359
+rect 2400 64200 3988 64241
+rect 295508 64359 297058 64400
+rect 295508 64241 296499 64359
+rect 296617 64241 297058 64359
+rect 295508 64200 297058 64241
+rect 0 62239 3988 62280
+rect 0 62121 41 62239
+rect 159 62121 3988 62239
+rect 0 62080 3988 62121
+rect 295508 62239 299458 62280
+rect 295508 62121 299299 62239
+rect 299417 62121 299458 62239
+rect 295508 62080 299458 62121
+rect 800 61279 3988 61320
+rect 800 61161 841 61279
+rect 959 61161 3988 61279
+rect 800 61120 3988 61161
+rect 295508 61279 298658 61320
+rect 295508 61161 298499 61279
+rect 298617 61161 298658 61279
+rect 295508 61120 298658 61161
+rect 1600 60319 3988 60360
+rect 1600 60201 1641 60319
+rect 1759 60201 3988 60319
+rect 1600 60160 3988 60201
+rect 295508 60319 297858 60360
+rect 295508 60201 297699 60319
+rect 297817 60201 297858 60319
+rect 295508 60160 297858 60201
+rect 2400 59359 3988 59400
+rect 2400 59241 2441 59359
+rect 2559 59241 3988 59359
+rect 2400 59200 3988 59241
+rect 295508 59359 297058 59400
+rect 295508 59241 296899 59359
+rect 297017 59241 297058 59359
+rect 295508 59200 297058 59241
+rect 0 57239 3988 57280
+rect 0 57121 441 57239
+rect 559 57121 3988 57239
+rect 0 57080 3988 57121
+rect 295508 57239 299458 57280
+rect 295508 57121 298899 57239
+rect 299017 57121 299458 57239
+rect 295508 57080 299458 57121
+rect 800 56279 3988 56320
+rect 800 56161 1241 56279
+rect 1359 56161 3988 56279
+rect 800 56120 3988 56161
+rect 295508 56279 298658 56320
+rect 295508 56161 298099 56279
+rect 298217 56161 298658 56279
+rect 295508 56120 298658 56161
+rect 1600 55319 3988 55360
+rect 1600 55201 2041 55319
+rect 2159 55201 3988 55319
+rect 1600 55160 3988 55201
+rect 295508 55319 297858 55360
+rect 295508 55201 297299 55319
+rect 297417 55201 297858 55319
+rect 295508 55160 297858 55201
+rect 2400 54359 3988 54400
+rect 2400 54241 2841 54359
+rect 2959 54241 3988 54359
+rect 2400 54200 3988 54241
+rect 295508 54359 297058 54400
+rect 295508 54241 296499 54359
+rect 296617 54241 297058 54359
+rect 295508 54200 297058 54241
+rect 0 52239 3988 52280
+rect 0 52121 41 52239
+rect 159 52121 3988 52239
+rect 0 52080 3988 52121
+rect 295508 52239 299458 52280
+rect 295508 52121 299299 52239
+rect 299417 52121 299458 52239
+rect 295508 52080 299458 52121
+rect 800 51279 3988 51320
+rect 800 51161 841 51279
+rect 959 51161 3988 51279
+rect 800 51120 3988 51161
+rect 295508 51279 298658 51320
+rect 295508 51161 298499 51279
+rect 298617 51161 298658 51279
+rect 295508 51120 298658 51161
+rect 1600 50319 3988 50360
+rect 1600 50201 1641 50319
+rect 1759 50201 3988 50319
+rect 1600 50160 3988 50201
+rect 295508 50319 297858 50360
+rect 295508 50201 297699 50319
+rect 297817 50201 297858 50319
+rect 295508 50160 297858 50201
+rect 2400 49359 3988 49400
+rect 2400 49241 2441 49359
+rect 2559 49241 3988 49359
+rect 2400 49200 3988 49241
+rect 295508 49359 297058 49400
+rect 295508 49241 296899 49359
+rect 297017 49241 297058 49359
+rect 295508 49200 297058 49241
+rect 0 47239 3988 47280
+rect 0 47121 441 47239
+rect 559 47121 3988 47239
+rect 0 47080 3988 47121
+rect 295508 47239 299458 47280
+rect 295508 47121 298899 47239
+rect 299017 47121 299458 47239
+rect 295508 47080 299458 47121
+rect 800 46279 3988 46320
+rect 800 46161 1241 46279
+rect 1359 46161 3988 46279
+rect 800 46120 3988 46161
+rect 295508 46279 298658 46320
+rect 295508 46161 298099 46279
+rect 298217 46161 298658 46279
+rect 295508 46120 298658 46161
+rect 1600 45319 3988 45360
+rect 1600 45201 2041 45319
+rect 2159 45201 3988 45319
+rect 1600 45160 3988 45201
+rect 295508 45319 297858 45360
+rect 295508 45201 297299 45319
+rect 297417 45201 297858 45319
+rect 295508 45160 297858 45201
+rect 2400 44359 3988 44400
+rect 2400 44241 2841 44359
+rect 2959 44241 3988 44359
+rect 2400 44200 3988 44241
+rect 295508 44359 297058 44400
+rect 295508 44241 296499 44359
+rect 296617 44241 297058 44359
+rect 295508 44200 297058 44241
+rect 0 42239 3988 42280
+rect 0 42121 41 42239
+rect 159 42121 3988 42239
+rect 0 42080 3988 42121
+rect 295508 42239 299458 42280
+rect 295508 42121 299299 42239
+rect 299417 42121 299458 42239
+rect 295508 42080 299458 42121
+rect 800 41279 3988 41320
+rect 800 41161 841 41279
+rect 959 41161 3988 41279
+rect 800 41120 3988 41161
+rect 295508 41279 298658 41320
+rect 295508 41161 298499 41279
+rect 298617 41161 298658 41279
+rect 295508 41120 298658 41161
+rect 1600 40319 3988 40360
+rect 1600 40201 1641 40319
+rect 1759 40201 3988 40319
+rect 1600 40160 3988 40201
+rect 295508 40319 297858 40360
+rect 295508 40201 297699 40319
+rect 297817 40201 297858 40319
+rect 295508 40160 297858 40201
+rect 2400 39359 3988 39400
+rect 2400 39241 2441 39359
+rect 2559 39241 3988 39359
+rect 2400 39200 3988 39241
+rect 295508 39359 297058 39400
+rect 295508 39241 296899 39359
+rect 297017 39241 297058 39359
+rect 295508 39200 297058 39241
+rect 0 37239 3988 37280
+rect 0 37121 441 37239
+rect 559 37121 3988 37239
+rect 0 37080 3988 37121
+rect 295508 37239 299458 37280
+rect 295508 37121 298899 37239
+rect 299017 37121 299458 37239
+rect 295508 37080 299458 37121
+rect 800 36279 3988 36320
+rect 800 36161 1241 36279
+rect 1359 36161 3988 36279
+rect 800 36120 3988 36161
+rect 295508 36279 298658 36320
+rect 295508 36161 298099 36279
+rect 298217 36161 298658 36279
+rect 295508 36120 298658 36161
+rect 1600 35319 3988 35360
+rect 1600 35201 2041 35319
+rect 2159 35201 3988 35319
+rect 1600 35160 3988 35201
+rect 295508 35319 297858 35360
+rect 295508 35201 297299 35319
+rect 297417 35201 297858 35319
+rect 295508 35160 297858 35201
+rect 2400 34359 3988 34400
+rect 2400 34241 2841 34359
+rect 2959 34241 3988 34359
+rect 2400 34200 3988 34241
+rect 295508 34359 297058 34400
+rect 295508 34241 296499 34359
+rect 296617 34241 297058 34359
+rect 295508 34200 297058 34241
+rect 0 32239 3988 32280
+rect 0 32121 41 32239
+rect 159 32121 3988 32239
+rect 0 32080 3988 32121
+rect 295508 32239 299458 32280
+rect 295508 32121 299299 32239
+rect 299417 32121 299458 32239
+rect 295508 32080 299458 32121
+rect 800 31279 3988 31320
+rect 800 31161 841 31279
+rect 959 31161 3988 31279
+rect 800 31120 3988 31161
+rect 295508 31279 298658 31320
+rect 295508 31161 298499 31279
+rect 298617 31161 298658 31279
+rect 295508 31120 298658 31161
+rect 1600 30319 3988 30360
+rect 1600 30201 1641 30319
+rect 1759 30201 3988 30319
+rect 1600 30160 3988 30201
+rect 295508 30319 297858 30360
+rect 295508 30201 297699 30319
+rect 297817 30201 297858 30319
+rect 295508 30160 297858 30201
+rect 2400 29359 3988 29400
+rect 2400 29241 2441 29359
+rect 2559 29241 3988 29359
+rect 2400 29200 3988 29241
+rect 295508 29359 297058 29400
+rect 295508 29241 296899 29359
+rect 297017 29241 297058 29359
+rect 295508 29200 297058 29241
+rect 0 27239 3988 27280
+rect 0 27121 441 27239
+rect 559 27121 3988 27239
+rect 0 27080 3988 27121
+rect 295508 27239 299458 27280
+rect 295508 27121 298899 27239
+rect 299017 27121 299458 27239
+rect 295508 27080 299458 27121
+rect 800 26279 3988 26320
+rect 800 26161 1241 26279
+rect 1359 26161 3988 26279
+rect 800 26120 3988 26161
+rect 295508 26279 298658 26320
+rect 295508 26161 298099 26279
+rect 298217 26161 298658 26279
+rect 295508 26120 298658 26161
+rect 1600 25319 3988 25360
+rect 1600 25201 2041 25319
+rect 2159 25201 3988 25319
+rect 1600 25160 3988 25201
+rect 295508 25319 297858 25360
+rect 295508 25201 297299 25319
+rect 297417 25201 297858 25319
+rect 295508 25160 297858 25201
+rect 2400 24359 3988 24400
+rect 2400 24241 2841 24359
+rect 2959 24241 3988 24359
+rect 2400 24200 3988 24241
+rect 295508 24359 297058 24400
+rect 295508 24241 296499 24359
+rect 296617 24241 297058 24359
+rect 295508 24200 297058 24241
+rect 0 22239 3988 22280
+rect 0 22121 41 22239
+rect 159 22121 3988 22239
+rect 0 22080 3988 22121
+rect 295508 22239 299458 22280
+rect 295508 22121 299299 22239
+rect 299417 22121 299458 22239
+rect 295508 22080 299458 22121
+rect 800 21279 3988 21320
+rect 800 21161 841 21279
+rect 959 21161 3988 21279
+rect 800 21120 3988 21161
+rect 295508 21279 298658 21320
+rect 295508 21161 298499 21279
+rect 298617 21161 298658 21279
+rect 295508 21120 298658 21161
+rect 1600 20319 3988 20360
+rect 1600 20201 1641 20319
+rect 1759 20201 3988 20319
+rect 1600 20160 3988 20201
+rect 295508 20319 297858 20360
+rect 295508 20201 297699 20319
+rect 297817 20201 297858 20319
+rect 295508 20160 297858 20201
+rect 2400 19359 3988 19400
+rect 2400 19241 2441 19359
+rect 2559 19241 3988 19359
+rect 2400 19200 3988 19241
+rect 295508 19359 297058 19400
+rect 295508 19241 296899 19359
+rect 297017 19241 297058 19359
+rect 295508 19200 297058 19241
+rect 0 17239 3988 17280
+rect 0 17121 441 17239
+rect 559 17121 3988 17239
+rect 0 17080 3988 17121
+rect 295508 17239 299458 17280
+rect 295508 17121 298899 17239
+rect 299017 17121 299458 17239
+rect 295508 17080 299458 17121
+rect 800 16279 3988 16320
+rect 800 16161 1241 16279
+rect 1359 16161 3988 16279
+rect 800 16120 3988 16161
+rect 295508 16279 298658 16320
+rect 295508 16161 298099 16279
+rect 298217 16161 298658 16279
+rect 295508 16120 298658 16161
+rect 1600 15319 3988 15360
+rect 1600 15201 2041 15319
+rect 2159 15201 3988 15319
+rect 1600 15160 3988 15201
+rect 295508 15319 297858 15360
+rect 295508 15201 297299 15319
+rect 297417 15201 297858 15319
+rect 295508 15160 297858 15201
+rect 2400 14359 3988 14400
+rect 2400 14241 2841 14359
+rect 2959 14241 3988 14359
+rect 2400 14200 3988 14241
+rect 295508 14359 297058 14400
+rect 295508 14241 296499 14359
+rect 296617 14241 297058 14359
+rect 295508 14200 297058 14241
+rect 0 12239 3988 12280
+rect 0 12121 41 12239
+rect 159 12121 3988 12239
+rect 0 12080 3988 12121
+rect 295508 12239 299458 12280
+rect 295508 12121 299299 12239
+rect 299417 12121 299458 12239
+rect 295508 12080 299458 12121
+rect 800 11279 3988 11320
+rect 800 11161 841 11279
+rect 959 11161 3988 11279
+rect 800 11120 3988 11161
+rect 295508 11279 298658 11320
+rect 295508 11161 298499 11279
+rect 298617 11161 298658 11279
+rect 295508 11120 298658 11161
+rect 1600 10319 3988 10360
+rect 1600 10201 1641 10319
+rect 1759 10201 3988 10319
+rect 1600 10160 3988 10201
+rect 295508 10319 297858 10360
+rect 295508 10201 297699 10319
+rect 297817 10201 297858 10319
+rect 295508 10160 297858 10201
+rect 2400 9359 3988 9400
+rect 2400 9241 2441 9359
+rect 2559 9241 3988 9359
+rect 2400 9200 3988 9241
+rect 295508 9359 297058 9400
+rect 295508 9241 296899 9359
+rect 297017 9241 297058 9359
+rect 295508 9200 297058 9241
+rect 0 7239 3988 7280
+rect 0 7121 441 7239
+rect 559 7121 3988 7239
+rect 0 7080 3988 7121
+rect 295508 7239 299458 7280
+rect 295508 7121 298899 7239
+rect 299017 7121 299458 7239
+rect 295508 7080 299458 7121
+rect 800 6279 3988 6320
+rect 800 6161 1241 6279
+rect 1359 6161 3988 6279
+rect 800 6120 3988 6161
+rect 295508 6279 298658 6320
+rect 295508 6161 298099 6279
+rect 298217 6161 298658 6279
+rect 295508 6120 298658 6161
+rect 1600 5319 3988 5360
+rect 1600 5201 2041 5319
+rect 2159 5201 3988 5319
+rect 1600 5160 3988 5201
+rect 295508 5319 297858 5360
+rect 295508 5201 297299 5319
+rect 297417 5201 297858 5319
+rect 295508 5160 297858 5201
+rect 2400 4359 3988 4400
+rect 2400 4241 2841 4359
+rect 2959 4241 3988 4359
+rect 2400 4200 3988 4241
+rect 295508 4359 297058 4400
+rect 295508 4241 296499 4359
+rect 296617 4241 297058 4359
+rect 295508 4200 297058 4241
+rect 2800 2959 296658 3000
+rect 2800 2841 2841 2959
+rect 2959 2841 4241 2959
+rect 4359 2841 14241 2959
+rect 14359 2841 24241 2959
+rect 24359 2841 34241 2959
+rect 34359 2841 44241 2959
+rect 44359 2841 54241 2959
+rect 54359 2841 64241 2959
+rect 64359 2841 74241 2959
+rect 74359 2841 84241 2959
+rect 84359 2841 94241 2959
+rect 94359 2841 104241 2959
+rect 104359 2841 114241 2959
+rect 114359 2841 124241 2959
+rect 124359 2841 134241 2959
+rect 134359 2841 144241 2959
+rect 144359 2841 154241 2959
+rect 154359 2841 164241 2959
+rect 164359 2841 174241 2959
+rect 174359 2841 184241 2959
+rect 184359 2841 194241 2959
+rect 194359 2841 204241 2959
+rect 204359 2841 214241 2959
+rect 214359 2841 224241 2959
+rect 224359 2841 234241 2959
+rect 234359 2841 244241 2959
+rect 244359 2841 254241 2959
+rect 254359 2841 264241 2959
+rect 264359 2841 274241 2959
+rect 274359 2841 284241 2959
+rect 284359 2841 294241 2959
+rect 294359 2841 296499 2959
+rect 296617 2841 296658 2959
+rect 2800 2800 296658 2841
+rect 2400 2559 297058 2600
+rect 2400 2441 2441 2559
+rect 2559 2441 9241 2559
+rect 9359 2441 19241 2559
+rect 19359 2441 29241 2559
+rect 29359 2441 39241 2559
+rect 39359 2441 49241 2559
+rect 49359 2441 59241 2559
+rect 59359 2441 69241 2559
+rect 69359 2441 79241 2559
+rect 79359 2441 89241 2559
+rect 89359 2441 99241 2559
+rect 99359 2441 109241 2559
+rect 109359 2441 119241 2559
+rect 119359 2441 129241 2559
+rect 129359 2441 139241 2559
+rect 139359 2441 149241 2559
+rect 149359 2441 159241 2559
+rect 159359 2441 169241 2559
+rect 169359 2441 179241 2559
+rect 179359 2441 189241 2559
+rect 189359 2441 199241 2559
+rect 199359 2441 209241 2559
+rect 209359 2441 219241 2559
+rect 219359 2441 229241 2559
+rect 229359 2441 239241 2559
+rect 239359 2441 249241 2559
+rect 249359 2441 259241 2559
+rect 259359 2441 269241 2559
+rect 269359 2441 279241 2559
+rect 279359 2441 289241 2559
+rect 289359 2441 296899 2559
+rect 297017 2441 297058 2559
+rect 2400 2400 297058 2441
+rect 2000 2159 297458 2200
+rect 2000 2041 2041 2159
+rect 2159 2041 5201 2159
+rect 5319 2041 15201 2159
+rect 15319 2041 25201 2159
+rect 25319 2041 35201 2159
+rect 35319 2041 45201 2159
+rect 45319 2041 55201 2159
+rect 55319 2041 65201 2159
+rect 65319 2041 75201 2159
+rect 75319 2041 85201 2159
+rect 85319 2041 95201 2159
+rect 95319 2041 105201 2159
+rect 105319 2041 115201 2159
+rect 115319 2041 125201 2159
+rect 125319 2041 135201 2159
+rect 135319 2041 145201 2159
+rect 145319 2041 155201 2159
+rect 155319 2041 165201 2159
+rect 165319 2041 175201 2159
+rect 175319 2041 185201 2159
+rect 185319 2041 195201 2159
+rect 195319 2041 205201 2159
+rect 205319 2041 215201 2159
+rect 215319 2041 225201 2159
+rect 225319 2041 235201 2159
+rect 235319 2041 245201 2159
+rect 245319 2041 255201 2159
+rect 255319 2041 265201 2159
+rect 265319 2041 275201 2159
+rect 275319 2041 285201 2159
+rect 285319 2041 297299 2159
+rect 297417 2041 297458 2159
+rect 2000 2000 297458 2041
+rect 1600 1759 297858 1800
+rect 1600 1641 1641 1759
+rect 1759 1641 10201 1759
+rect 10319 1641 20201 1759
+rect 20319 1641 30201 1759
+rect 30319 1641 40201 1759
+rect 40319 1641 50201 1759
+rect 50319 1641 60201 1759
+rect 60319 1641 70201 1759
+rect 70319 1641 80201 1759
+rect 80319 1641 90201 1759
+rect 90319 1641 100201 1759
+rect 100319 1641 110201 1759
+rect 110319 1641 120201 1759
+rect 120319 1641 130201 1759
+rect 130319 1641 140201 1759
+rect 140319 1641 150201 1759
+rect 150319 1641 160201 1759
+rect 160319 1641 170201 1759
+rect 170319 1641 180201 1759
+rect 180319 1641 190201 1759
+rect 190319 1641 200201 1759
+rect 200319 1641 210201 1759
+rect 210319 1641 220201 1759
+rect 220319 1641 230201 1759
+rect 230319 1641 240201 1759
+rect 240319 1641 250201 1759
+rect 250319 1641 260201 1759
+rect 260319 1641 270201 1759
+rect 270319 1641 280201 1759
+rect 280319 1641 290201 1759
+rect 290319 1641 297699 1759
+rect 297817 1641 297858 1759
+rect 1600 1600 297858 1641
+rect 1200 1359 298258 1400
+rect 1200 1241 1241 1359
+rect 1359 1241 6161 1359
+rect 6279 1241 16161 1359
+rect 16279 1241 26161 1359
+rect 26279 1241 36161 1359
+rect 36279 1241 46161 1359
+rect 46279 1241 56161 1359
+rect 56279 1241 66161 1359
+rect 66279 1241 76161 1359
+rect 76279 1241 86161 1359
+rect 86279 1241 96161 1359
+rect 96279 1241 106161 1359
+rect 106279 1241 116161 1359
+rect 116279 1241 126161 1359
+rect 126279 1241 136161 1359
+rect 136279 1241 146161 1359
+rect 146279 1241 156161 1359
+rect 156279 1241 166161 1359
+rect 166279 1241 176161 1359
+rect 176279 1241 186161 1359
+rect 186279 1241 196161 1359
+rect 196279 1241 206161 1359
+rect 206279 1241 216161 1359
+rect 216279 1241 226161 1359
+rect 226279 1241 236161 1359
+rect 236279 1241 246161 1359
+rect 246279 1241 256161 1359
+rect 256279 1241 266161 1359
+rect 266279 1241 276161 1359
+rect 276279 1241 286161 1359
+rect 286279 1241 298099 1359
+rect 298217 1241 298258 1359
+rect 1200 1200 298258 1241
+rect 800 959 298658 1000
+rect 800 841 841 959
+rect 959 841 11161 959
+rect 11279 841 21161 959
+rect 21279 841 31161 959
+rect 31279 841 41161 959
+rect 41279 841 51161 959
+rect 51279 841 61161 959
+rect 61279 841 71161 959
+rect 71279 841 81161 959
+rect 81279 841 91161 959
+rect 91279 841 101161 959
+rect 101279 841 111161 959
+rect 111279 841 121161 959
+rect 121279 841 131161 959
+rect 131279 841 141161 959
+rect 141279 841 151161 959
+rect 151279 841 161161 959
+rect 161279 841 171161 959
+rect 171279 841 181161 959
+rect 181279 841 191161 959
+rect 191279 841 201161 959
+rect 201279 841 211161 959
+rect 211279 841 221161 959
+rect 221279 841 231161 959
+rect 231279 841 241161 959
+rect 241279 841 251161 959
+rect 251279 841 261161 959
+rect 261279 841 271161 959
+rect 271279 841 281161 959
+rect 281279 841 291161 959
+rect 291279 841 298499 959
+rect 298617 841 298658 959
+rect 800 800 298658 841
+rect 400 559 299058 600
+rect 400 441 441 559
+rect 559 441 7121 559
+rect 7239 441 17121 559
+rect 17239 441 27121 559
+rect 27239 441 37121 559
+rect 37239 441 47121 559
+rect 47239 441 57121 559
+rect 57239 441 67121 559
+rect 67239 441 77121 559
+rect 77239 441 87121 559
+rect 87239 441 97121 559
+rect 97239 441 107121 559
+rect 107239 441 117121 559
+rect 117239 441 127121 559
+rect 127239 441 137121 559
+rect 137239 441 147121 559
+rect 147239 441 157121 559
+rect 157239 441 167121 559
+rect 167239 441 177121 559
+rect 177239 441 187121 559
+rect 187239 441 197121 559
+rect 197239 441 207121 559
+rect 207239 441 217121 559
+rect 217239 441 227121 559
+rect 227239 441 237121 559
+rect 237239 441 247121 559
+rect 247239 441 257121 559
+rect 257239 441 267121 559
+rect 267239 441 277121 559
+rect 277239 441 287121 559
+rect 287239 441 298899 559
+rect 299017 441 299058 559
+rect 400 400 299058 441
+rect 0 159 299458 200
+rect 0 41 41 159
+rect 159 41 12121 159
+rect 12239 41 22121 159
+rect 22239 41 32121 159
+rect 32239 41 42121 159
+rect 42239 41 52121 159
+rect 52239 41 62121 159
+rect 62239 41 72121 159
+rect 72239 41 82121 159
+rect 82239 41 92121 159
+rect 92239 41 102121 159
+rect 102239 41 112121 159
+rect 112239 41 122121 159
+rect 122239 41 132121 159
+rect 132239 41 142121 159
+rect 142239 41 152121 159
+rect 152239 41 162121 159
+rect 162239 41 172121 159
+rect 172239 41 182121 159
+rect 182239 41 192121 159
+rect 192239 41 202121 159
+rect 202239 41 212121 159
+rect 212239 41 222121 159
+rect 222239 41 232121 159
+rect 232239 41 242121 159
+rect 242239 41 252121 159
+rect 252239 41 262121 159
+rect 262239 41 272121 159
+rect 272239 41 282121 159
+rect 282239 41 292121 159
+rect 292239 41 299299 159
+rect 299417 41 299458 159
+rect 0 0 299458 41
+use user_proj_example  mprj
+timestamp 1606369131
+transform 1 0 3748 0 1 3212
+box 0 0 59876 60000
+<< labels >>
+rlabel metal3 s 295508 6140 295748 6200 4 analog_io[0]
+port 1 nsew
+rlabel metal3 s 295508 240740 295748 240800 4 analog_io[10]
+port 2 nsew
+rlabel metal3 s 295508 264200 295748 264260 4 analog_io[11]
+port 3 nsew
+rlabel metal3 s 295508 287660 295748 287720 4 analog_io[12]
+port 4 nsew
+rlabel metal3 s 295508 311120 295748 311180 4 analog_io[13]
+port 5 nsew
+rlabel metal3 s 295508 334580 295748 334640 4 analog_io[14]
+port 6 nsew
+rlabel metal2 s 291671 354972 291699 355212 4 analog_io[15]
+port 7 nsew
+rlabel metal2 s 259241 354972 259269 355212 4 analog_io[16]
+port 8 nsew
+rlabel metal2 s 226811 354972 226839 355212 4 analog_io[17]
+port 9 nsew
+rlabel metal2 s 194335 354972 194363 355212 4 analog_io[18]
+port 10 nsew
+rlabel metal2 s 161905 354972 161933 355212 4 analog_io[19]
+port 11 nsew
+rlabel metal3 s 295508 29600 295748 29660 4 analog_io[1]
+port 12 nsew
+rlabel metal2 s 129475 354972 129503 355212 4 analog_io[20]
+port 13 nsew
+rlabel metal2 s 96999 354972 97027 355212 4 analog_io[21]
+port 14 nsew
+rlabel metal2 s 64569 354972 64597 355212 4 analog_io[22]
+port 15 nsew
+rlabel metal2 s 32139 354972 32167 355212 4 analog_io[23]
+port 16 nsew
+rlabel metal3 s 3748 351512 3988 351572 4 analog_io[24]
+port 17 nsew
+rlabel metal3 s 3748 322748 3988 322808 4 analog_io[25]
+port 18 nsew
+rlabel metal3 s 3748 294052 3988 294112 4 analog_io[26]
+port 19 nsew
+rlabel metal3 s 3748 265288 3988 265348 4 analog_io[27]
+port 20 nsew
+rlabel metal3 s 3748 236592 3988 236652 4 analog_io[28]
+port 21 nsew
+rlabel metal3 s 3748 207828 3988 207888 4 analog_io[29]
+port 22 nsew
+rlabel metal3 s 295508 53060 295748 53120 4 analog_io[2]
+port 23 nsew
+rlabel metal3 s 3748 179132 3988 179192 4 analog_io[30]
+port 24 nsew
+rlabel metal3 s 295508 76520 295748 76580 4 analog_io[3]
+port 25 nsew
+rlabel metal3 s 295508 99980 295748 100040 4 analog_io[4]
+port 26 nsew
+rlabel metal3 s 295508 123440 295748 123500 4 analog_io[5]
+port 27 nsew
+rlabel metal3 s 295508 146900 295748 146960 4 analog_io[6]
+port 28 nsew
+rlabel metal3 s 295508 170360 295748 170420 4 analog_io[7]
+port 29 nsew
+rlabel metal3 s 295508 193820 295748 193880 4 analog_io[8]
+port 30 nsew
+rlabel metal3 s 295508 217280 295748 217340 4 analog_io[9]
+port 31 nsew
+rlabel metal3 s 295508 11988 295748 12048 4 io_in[0]
+port 32 nsew
+rlabel metal3 s 295508 246588 295748 246648 4 io_in[10]
+port 33 nsew
+rlabel metal3 s 295508 270116 295748 270176 4 io_in[11]
+port 34 nsew
+rlabel metal3 s 295508 293576 295748 293636 4 io_in[12]
+port 35 nsew
+rlabel metal3 s 295508 317036 295748 317096 4 io_in[13]
+port 36 nsew
+rlabel metal3 s 295508 340496 295748 340556 4 io_in[14]
+port 37 nsew
+rlabel metal2 s 283575 354972 283603 355212 4 io_in[15]
+port 38 nsew
+rlabel metal2 s 251145 354972 251173 355212 4 io_in[16]
+port 39 nsew
+rlabel metal2 s 218669 354972 218697 355212 4 io_in[17]
+port 40 nsew
+rlabel metal2 s 186239 354972 186267 355212 4 io_in[18]
+port 41 nsew
+rlabel metal2 s 153809 354972 153837 355212 4 io_in[19]
+port 42 nsew
+rlabel metal3 s 295508 35448 295748 35508 4 io_in[1]
+port 43 nsew
+rlabel metal2 s 121333 354972 121361 355212 4 io_in[20]
+port 44 nsew
+rlabel metal2 s 88903 354972 88931 355212 4 io_in[21]
+port 45 nsew
+rlabel metal2 s 56473 354972 56501 355212 4 io_in[22]
+port 46 nsew
+rlabel metal2 s 23997 354972 24025 355212 4 io_in[23]
+port 47 nsew
+rlabel metal3 s 3748 344304 3988 344364 4 io_in[24]
+port 48 nsew
+rlabel metal3 s 3748 315608 3988 315668 4 io_in[25]
+port 49 nsew
+rlabel metal3 s 3748 286844 3988 286904 4 io_in[26]
+port 50 nsew
+rlabel metal3 s 3748 258148 3988 258208 4 io_in[27]
+port 51 nsew
+rlabel metal3 s 3748 229384 3988 229444 4 io_in[28]
+port 52 nsew
+rlabel metal3 s 3748 200688 3988 200748 4 io_in[29]
+port 53 nsew
+rlabel metal3 s 295508 58908 295748 58968 4 io_in[2]
+port 54 nsew
+rlabel metal3 s 3748 171924 3988 171984 4 io_in[30]
+port 55 nsew
+rlabel metal3 s 3748 150368 3988 150428 4 io_in[31]
+port 56 nsew
+rlabel metal3 s 3748 128812 3988 128872 4 io_in[32]
+port 57 nsew
+rlabel metal3 s 3748 107256 3988 107316 4 io_in[33]
+port 58 nsew
+rlabel metal3 s 3748 85700 3988 85760 4 io_in[34]
+port 59 nsew
+rlabel metal3 s 3748 64212 3988 64272 4 io_in[35]
+port 60 nsew
+rlabel metal3 s 3748 42656 3988 42716 4 io_in[36]
+port 61 nsew
+rlabel metal3 s 3748 21100 3988 21160 4 io_in[37]
+port 62 nsew
+rlabel metal3 s 295508 82368 295748 82428 4 io_in[3]
+port 63 nsew
+rlabel metal3 s 295508 105828 295748 105888 4 io_in[4]
+port 64 nsew
+rlabel metal3 s 295508 129288 295748 129348 4 io_in[5]
+port 65 nsew
+rlabel metal3 s 295508 152748 295748 152808 4 io_in[6]
+port 66 nsew
+rlabel metal3 s 295508 176208 295748 176268 4 io_in[7]
+port 67 nsew
+rlabel metal3 s 295508 199668 295748 199728 4 io_in[8]
+port 68 nsew
+rlabel metal3 s 295508 223128 295748 223188 4 io_in[9]
+port 69 nsew
+rlabel metal3 s 295508 23684 295748 23744 4 io_oeb[0]
+port 70 nsew
+rlabel metal3 s 295508 258352 295748 258412 4 io_oeb[10]
+port 71 nsew
+rlabel metal3 s 295508 281812 295748 281872 4 io_oeb[11]
+port 72 nsew
+rlabel metal3 s 295508 305272 295748 305332 4 io_oeb[12]
+port 73 nsew
+rlabel metal3 s 295508 328732 295748 328792 4 io_oeb[13]
+port 74 nsew
+rlabel metal3 s 295508 352192 295748 352252 4 io_oeb[14]
+port 75 nsew
+rlabel metal2 s 267337 354972 267365 355212 4 io_oeb[15]
+port 76 nsew
+rlabel metal2 s 234907 354972 234935 355212 4 io_oeb[16]
+port 77 nsew
+rlabel metal2 s 202477 354972 202505 355212 4 io_oeb[17]
+port 78 nsew
+rlabel metal2 s 170001 354972 170029 355212 4 io_oeb[18]
+port 79 nsew
+rlabel metal2 s 137571 354972 137599 355212 4 io_oeb[19]
+port 80 nsew
+rlabel metal3 s 295508 47144 295748 47204 4 io_oeb[1]
+port 81 nsew
+rlabel metal2 s 105141 354972 105169 355212 4 io_oeb[20]
+port 82 nsew
+rlabel metal2 s 72665 354972 72693 355212 4 io_oeb[21]
+port 83 nsew
+rlabel metal2 s 40235 354972 40263 355212 4 io_oeb[22]
+port 84 nsew
+rlabel metal2 s 7805 354972 7833 355212 4 io_oeb[23]
+port 85 nsew
+rlabel metal3 s 3748 329956 3988 330016 4 io_oeb[24]
+port 86 nsew
+rlabel metal3 s 3748 301192 3988 301252 4 io_oeb[25]
+port 87 nsew
+rlabel metal3 s 3748 272496 3988 272556 4 io_oeb[26]
+port 88 nsew
+rlabel metal3 s 3748 243732 3988 243792 4 io_oeb[27]
+port 89 nsew
+rlabel metal3 s 3748 215036 3988 215096 4 io_oeb[28]
+port 90 nsew
+rlabel metal3 s 3748 186272 3988 186332 4 io_oeb[29]
+port 91 nsew
+rlabel metal3 s 295508 70604 295748 70664 4 io_oeb[2]
+port 92 nsew
+rlabel metal3 s 3748 157576 3988 157636 4 io_oeb[30]
+port 93 nsew
+rlabel metal3 s 3748 136020 3988 136080 4 io_oeb[31]
+port 94 nsew
+rlabel metal3 s 3748 114464 3988 114524 4 io_oeb[32]
+port 95 nsew
+rlabel metal3 s 3748 92908 3988 92968 4 io_oeb[33]
+port 96 nsew
+rlabel metal3 s 3748 71352 3988 71412 4 io_oeb[34]
+port 97 nsew
+rlabel metal3 s 3748 49796 3988 49856 4 io_oeb[35]
+port 98 nsew
+rlabel metal3 s 3748 28240 3988 28300 4 io_oeb[36]
+port 99 nsew
+rlabel metal3 s 3748 6752 3988 6812 4 io_oeb[37]
+port 100 nsew
+rlabel metal3 s 295508 94132 295748 94192 4 io_oeb[3]
+port 101 nsew
+rlabel metal3 s 295508 117592 295748 117652 4 io_oeb[4]
+port 102 nsew
+rlabel metal3 s 295508 141052 295748 141112 4 io_oeb[5]
+port 103 nsew
+rlabel metal3 s 295508 164512 295748 164572 4 io_oeb[6]
+port 104 nsew
+rlabel metal3 s 295508 187972 295748 188032 4 io_oeb[7]
+port 105 nsew
+rlabel metal3 s 295508 211432 295748 211492 4 io_oeb[8]
+port 106 nsew
+rlabel metal3 s 295508 234892 295748 234952 4 io_oeb[9]
+port 107 nsew
+rlabel metal3 s 295508 17836 295748 17896 4 io_out[0]
+port 108 nsew
+rlabel metal3 s 295508 252504 295748 252564 4 io_out[10]
+port 109 nsew
+rlabel metal3 s 295508 275964 295748 276024 4 io_out[11]
+port 110 nsew
+rlabel metal3 s 295508 299424 295748 299484 4 io_out[12]
+port 111 nsew
+rlabel metal3 s 295508 322884 295748 322944 4 io_out[13]
+port 112 nsew
+rlabel metal3 s 295508 346344 295748 346404 4 io_out[14]
+port 113 nsew
+rlabel metal2 s 275479 354972 275507 355212 4 io_out[15]
+port 114 nsew
+rlabel metal2 s 243003 354972 243031 355212 4 io_out[16]
+port 115 nsew
+rlabel metal2 s 210573 354972 210601 355212 4 io_out[17]
+port 116 nsew
+rlabel metal2 s 178143 354972 178171 355212 4 io_out[18]
+port 117 nsew
+rlabel metal2 s 145667 354972 145695 355212 4 io_out[19]
+port 118 nsew
+rlabel metal3 s 295508 41296 295748 41356 4 io_out[1]
+port 119 nsew
+rlabel metal2 s 113237 354972 113265 355212 4 io_out[20]
+port 120 nsew
+rlabel metal2 s 80807 354972 80835 355212 4 io_out[21]
+port 121 nsew
+rlabel metal2 s 48331 354972 48359 355212 4 io_out[22]
+port 122 nsew
+rlabel metal2 s 15901 354972 15929 355212 4 io_out[23]
+port 123 nsew
+rlabel metal3 s 3748 337164 3988 337224 4 io_out[24]
+port 124 nsew
+rlabel metal3 s 3748 308400 3988 308460 4 io_out[25]
+port 125 nsew
+rlabel metal3 s 3748 279704 3988 279764 4 io_out[26]
+port 126 nsew
+rlabel metal3 s 3748 250940 3988 251000 4 io_out[27]
+port 127 nsew
+rlabel metal3 s 3748 222176 3988 222236 4 io_out[28]
+port 128 nsew
+rlabel metal3 s 3748 193480 3988 193540 4 io_out[29]
+port 129 nsew
+rlabel metal3 s 295508 64756 295748 64816 4 io_out[2]
+port 130 nsew
+rlabel metal3 s 3748 164716 3988 164776 4 io_out[30]
+port 131 nsew
+rlabel metal3 s 3748 143228 3988 143288 4 io_out[31]
+port 132 nsew
+rlabel metal3 s 3748 121672 3988 121732 4 io_out[32]
+port 133 nsew
+rlabel metal3 s 3748 100116 3988 100176 4 io_out[33]
+port 134 nsew
+rlabel metal3 s 3748 78560 3988 78620 4 io_out[34]
+port 135 nsew
+rlabel metal3 s 3748 57004 3988 57064 4 io_out[35]
+port 136 nsew
+rlabel metal3 s 3748 35448 3988 35508 4 io_out[36]
+port 137 nsew
+rlabel metal3 s 3748 13892 3988 13952 4 io_out[37]
+port 138 nsew
+rlabel metal3 s 295508 88216 295748 88276 4 io_out[3]
+port 139 nsew
+rlabel metal3 s 295508 111676 295748 111736 4 io_out[4]
+port 140 nsew
+rlabel metal3 s 295508 135136 295748 135196 4 io_out[5]
+port 141 nsew
+rlabel metal3 s 295508 158596 295748 158656 4 io_out[6]
+port 142 nsew
+rlabel metal3 s 295508 182124 295748 182184 4 io_out[7]
+port 143 nsew
+rlabel metal3 s 295508 205584 295748 205644 4 io_out[8]
+port 144 nsew
+rlabel metal3 s 295508 229044 295748 229104 4 io_out[9]
+port 145 nsew
+rlabel metal2 s 67053 3212 67081 3452 4 la_data_in[0]
+port 146 nsew
+rlabel metal2 s 245487 3212 245515 3452 4 la_data_in[100]
+port 147 nsew
+rlabel metal2 s 247235 3212 247263 3452 4 la_data_in[101]
+port 148 nsew
+rlabel metal2 s 249029 3212 249057 3452 4 la_data_in[102]
+port 149 nsew
+rlabel metal2 s 250823 3212 250851 3452 4 la_data_in[103]
+port 150 nsew
+rlabel metal2 s 252617 3212 252645 3452 4 la_data_in[104]
+port 151 nsew
+rlabel metal2 s 254365 3212 254393 3452 4 la_data_in[105]
+port 152 nsew
+rlabel metal2 s 256159 3212 256187 3452 4 la_data_in[106]
+port 153 nsew
+rlabel metal2 s 257953 3212 257981 3452 4 la_data_in[107]
+port 154 nsew
+rlabel metal2 s 259747 3212 259775 3452 4 la_data_in[108]
+port 155 nsew
+rlabel metal2 s 261541 3212 261569 3452 4 la_data_in[109]
+port 156 nsew
+rlabel metal2 s 84901 3212 84929 3452 4 la_data_in[10]
+port 157 nsew
+rlabel metal2 s 263289 3212 263317 3452 4 la_data_in[110]
+port 158 nsew
+rlabel metal2 s 265083 3212 265111 3452 4 la_data_in[111]
+port 159 nsew
+rlabel metal2 s 266877 3212 266905 3452 4 la_data_in[112]
+port 160 nsew
+rlabel metal2 s 268671 3212 268699 3452 4 la_data_in[113]
+port 161 nsew
+rlabel metal2 s 270465 3212 270493 3452 4 la_data_in[114]
+port 162 nsew
+rlabel metal2 s 272213 3212 272241 3452 4 la_data_in[115]
+port 163 nsew
+rlabel metal2 s 274007 3212 274035 3452 4 la_data_in[116]
+port 164 nsew
+rlabel metal2 s 275801 3212 275829 3452 4 la_data_in[117]
+port 165 nsew
+rlabel metal2 s 277595 3212 277623 3452 4 la_data_in[118]
+port 166 nsew
+rlabel metal2 s 279343 3212 279371 3452 4 la_data_in[119]
+port 167 nsew
+rlabel metal2 s 86695 3212 86723 3452 4 la_data_in[11]
+port 168 nsew
+rlabel metal2 s 281137 3212 281165 3452 4 la_data_in[120]
+port 169 nsew
+rlabel metal2 s 282931 3212 282959 3452 4 la_data_in[121]
+port 170 nsew
+rlabel metal2 s 284725 3212 284753 3452 4 la_data_in[122]
+port 171 nsew
+rlabel metal2 s 286519 3212 286547 3452 4 la_data_in[123]
+port 172 nsew
+rlabel metal2 s 288267 3212 288295 3452 4 la_data_in[124]
+port 173 nsew
+rlabel metal2 s 290061 3212 290089 3452 4 la_data_in[125]
+port 174 nsew
+rlabel metal2 s 291855 3212 291883 3452 4 la_data_in[126]
+port 175 nsew
+rlabel metal2 s 293649 3212 293677 3452 4 la_data_in[127]
+port 176 nsew
+rlabel metal2 s 88443 3212 88471 3452 4 la_data_in[12]
+port 177 nsew
+rlabel metal2 s 90237 3212 90265 3452 4 la_data_in[13]
+port 178 nsew
+rlabel metal2 s 92031 3212 92059 3452 4 la_data_in[14]
+port 179 nsew
+rlabel metal2 s 93825 3212 93853 3452 4 la_data_in[15]
+port 180 nsew
+rlabel metal2 s 95619 3212 95647 3452 4 la_data_in[16]
+port 181 nsew
+rlabel metal2 s 97367 3212 97395 3452 4 la_data_in[17]
+port 182 nsew
+rlabel metal2 s 99161 3212 99189 3452 4 la_data_in[18]
+port 183 nsew
+rlabel metal2 s 100955 3212 100983 3452 4 la_data_in[19]
+port 184 nsew
+rlabel metal2 s 68847 3212 68875 3452 4 la_data_in[1]
+port 185 nsew
+rlabel metal2 s 102749 3212 102777 3452 4 la_data_in[20]
+port 186 nsew
+rlabel metal2 s 104497 3212 104525 3452 4 la_data_in[21]
+port 187 nsew
+rlabel metal2 s 106291 3212 106319 3452 4 la_data_in[22]
+port 188 nsew
+rlabel metal2 s 108085 3212 108113 3452 4 la_data_in[23]
+port 189 nsew
+rlabel metal2 s 109879 3212 109907 3452 4 la_data_in[24]
+port 190 nsew
+rlabel metal2 s 111673 3212 111701 3452 4 la_data_in[25]
+port 191 nsew
+rlabel metal2 s 113421 3212 113449 3452 4 la_data_in[26]
+port 192 nsew
+rlabel metal2 s 115215 3212 115243 3452 4 la_data_in[27]
+port 193 nsew
+rlabel metal2 s 117009 3212 117037 3452 4 la_data_in[28]
+port 194 nsew
+rlabel metal2 s 118803 3212 118831 3452 4 la_data_in[29]
+port 195 nsew
+rlabel metal2 s 70641 3212 70669 3452 4 la_data_in[2]
+port 196 nsew
+rlabel metal2 s 120597 3212 120625 3452 4 la_data_in[30]
+port 197 nsew
+rlabel metal2 s 122345 3212 122373 3452 4 la_data_in[31]
+port 198 nsew
+rlabel metal2 s 124139 3212 124167 3452 4 la_data_in[32]
+port 199 nsew
+rlabel metal2 s 125933 3212 125961 3452 4 la_data_in[33]
+port 200 nsew
+rlabel metal2 s 127727 3212 127755 3452 4 la_data_in[34]
+port 201 nsew
+rlabel metal2 s 129475 3212 129503 3452 4 la_data_in[35]
+port 202 nsew
+rlabel metal2 s 131269 3212 131297 3452 4 la_data_in[36]
+port 203 nsew
+rlabel metal2 s 133063 3212 133091 3452 4 la_data_in[37]
+port 204 nsew
+rlabel metal2 s 134857 3212 134885 3452 4 la_data_in[38]
+port 205 nsew
+rlabel metal2 s 136651 3212 136679 3452 4 la_data_in[39]
+port 206 nsew
+rlabel metal2 s 72389 3212 72417 3452 4 la_data_in[3]
+port 207 nsew
+rlabel metal2 s 138399 3212 138427 3452 4 la_data_in[40]
+port 208 nsew
+rlabel metal2 s 140193 3212 140221 3452 4 la_data_in[41]
+port 209 nsew
+rlabel metal2 s 141987 3212 142015 3452 4 la_data_in[42]
+port 210 nsew
+rlabel metal2 s 143781 3212 143809 3452 4 la_data_in[43]
+port 211 nsew
+rlabel metal2 s 145575 3212 145603 3452 4 la_data_in[44]
+port 212 nsew
+rlabel metal2 s 147323 3212 147351 3452 4 la_data_in[45]
+port 213 nsew
+rlabel metal2 s 149117 3212 149145 3452 4 la_data_in[46]
+port 214 nsew
+rlabel metal2 s 150911 3212 150939 3452 4 la_data_in[47]
+port 215 nsew
+rlabel metal2 s 152705 3212 152733 3452 4 la_data_in[48]
+port 216 nsew
+rlabel metal2 s 154453 3212 154481 3452 4 la_data_in[49]
+port 217 nsew
+rlabel metal2 s 74183 3212 74211 3452 4 la_data_in[4]
+port 218 nsew
+rlabel metal2 s 156247 3212 156275 3452 4 la_data_in[50]
+port 219 nsew
+rlabel metal2 s 158041 3212 158069 3452 4 la_data_in[51]
+port 220 nsew
+rlabel metal2 s 159835 3212 159863 3452 4 la_data_in[52]
+port 221 nsew
+rlabel metal2 s 161629 3212 161657 3452 4 la_data_in[53]
+port 222 nsew
+rlabel metal2 s 163377 3212 163405 3452 4 la_data_in[54]
+port 223 nsew
+rlabel metal2 s 165171 3212 165199 3452 4 la_data_in[55]
+port 224 nsew
+rlabel metal2 s 166965 3212 166993 3452 4 la_data_in[56]
+port 225 nsew
+rlabel metal2 s 168759 3212 168787 3452 4 la_data_in[57]
+port 226 nsew
+rlabel metal2 s 170553 3212 170581 3452 4 la_data_in[58]
+port 227 nsew
+rlabel metal2 s 172301 3212 172329 3452 4 la_data_in[59]
+port 228 nsew
+rlabel metal2 s 75977 3212 76005 3452 4 la_data_in[5]
+port 229 nsew
+rlabel metal2 s 174095 3212 174123 3452 4 la_data_in[60]
+port 230 nsew
+rlabel metal2 s 175889 3212 175917 3452 4 la_data_in[61]
+port 231 nsew
+rlabel metal2 s 177683 3212 177711 3452 4 la_data_in[62]
+port 232 nsew
+rlabel metal2 s 179431 3212 179459 3452 4 la_data_in[63]
+port 233 nsew
+rlabel metal2 s 181225 3212 181253 3452 4 la_data_in[64]
+port 234 nsew
+rlabel metal2 s 183019 3212 183047 3452 4 la_data_in[65]
+port 235 nsew
+rlabel metal2 s 184813 3212 184841 3452 4 la_data_in[66]
+port 236 nsew
+rlabel metal2 s 186607 3212 186635 3452 4 la_data_in[67]
+port 237 nsew
+rlabel metal2 s 188355 3212 188383 3452 4 la_data_in[68]
+port 238 nsew
+rlabel metal2 s 190149 3212 190177 3452 4 la_data_in[69]
+port 239 nsew
+rlabel metal2 s 77771 3212 77799 3452 4 la_data_in[6]
+port 240 nsew
+rlabel metal2 s 191943 3212 191971 3452 4 la_data_in[70]
+port 241 nsew
+rlabel metal2 s 193737 3212 193765 3452 4 la_data_in[71]
+port 242 nsew
+rlabel metal2 s 195531 3212 195559 3452 4 la_data_in[72]
+port 243 nsew
+rlabel metal2 s 197279 3212 197307 3452 4 la_data_in[73]
+port 244 nsew
+rlabel metal2 s 199073 3212 199101 3452 4 la_data_in[74]
+port 245 nsew
+rlabel metal2 s 200867 3212 200895 3452 4 la_data_in[75]
+port 246 nsew
+rlabel metal2 s 202661 3212 202689 3452 4 la_data_in[76]
+port 247 nsew
+rlabel metal2 s 204409 3212 204437 3452 4 la_data_in[77]
+port 248 nsew
+rlabel metal2 s 206203 3212 206231 3452 4 la_data_in[78]
+port 249 nsew
+rlabel metal2 s 207997 3212 208025 3452 4 la_data_in[79]
+port 250 nsew
+rlabel metal2 s 79519 3212 79547 3452 4 la_data_in[7]
+port 251 nsew
+rlabel metal2 s 209791 3212 209819 3452 4 la_data_in[80]
+port 252 nsew
+rlabel metal2 s 211585 3212 211613 3452 4 la_data_in[81]
+port 253 nsew
+rlabel metal2 s 213333 3212 213361 3452 4 la_data_in[82]
+port 254 nsew
+rlabel metal2 s 215127 3212 215155 3452 4 la_data_in[83]
+port 255 nsew
+rlabel metal2 s 216921 3212 216949 3452 4 la_data_in[84]
+port 256 nsew
+rlabel metal2 s 218715 3212 218743 3452 4 la_data_in[85]
+port 257 nsew
+rlabel metal2 s 220509 3212 220537 3452 4 la_data_in[86]
+port 258 nsew
+rlabel metal2 s 222257 3212 222285 3452 4 la_data_in[87]
+port 259 nsew
+rlabel metal2 s 224051 3212 224079 3452 4 la_data_in[88]
+port 260 nsew
+rlabel metal2 s 225845 3212 225873 3452 4 la_data_in[89]
+port 261 nsew
+rlabel metal2 s 81313 3212 81341 3452 4 la_data_in[8]
+port 262 nsew
+rlabel metal2 s 227639 3212 227667 3452 4 la_data_in[90]
+port 263 nsew
+rlabel metal2 s 229387 3212 229415 3452 4 la_data_in[91]
+port 264 nsew
+rlabel metal2 s 231181 3212 231209 3452 4 la_data_in[92]
+port 265 nsew
+rlabel metal2 s 232975 3212 233003 3452 4 la_data_in[93]
+port 266 nsew
+rlabel metal2 s 234769 3212 234797 3452 4 la_data_in[94]
+port 267 nsew
+rlabel metal2 s 236563 3212 236591 3452 4 la_data_in[95]
+port 268 nsew
+rlabel metal2 s 238311 3212 238339 3452 4 la_data_in[96]
+port 269 nsew
+rlabel metal2 s 240105 3212 240133 3452 4 la_data_in[97]
+port 270 nsew
+rlabel metal2 s 241899 3212 241927 3452 4 la_data_in[98]
+port 271 nsew
+rlabel metal2 s 243693 3212 243721 3452 4 la_data_in[99]
+port 272 nsew
+rlabel metal2 s 83107 3212 83135 3452 4 la_data_in[9]
+port 273 nsew
+rlabel metal2 s 67651 3212 67679 3452 4 la_data_out[0]
+port 274 nsew
+rlabel metal2 s 246039 3212 246067 3452 4 la_data_out[100]
+port 275 nsew
+rlabel metal2 s 247833 3212 247861 3452 4 la_data_out[101]
+port 276 nsew
+rlabel metal2 s 249627 3212 249655 3452 4 la_data_out[102]
+port 277 nsew
+rlabel metal2 s 251421 3212 251449 3452 4 la_data_out[103]
+port 278 nsew
+rlabel metal2 s 253215 3212 253243 3452 4 la_data_out[104]
+port 279 nsew
+rlabel metal2 s 254963 3212 254991 3452 4 la_data_out[105]
+port 280 nsew
+rlabel metal2 s 256757 3212 256785 3452 4 la_data_out[106]
+port 281 nsew
+rlabel metal2 s 258551 3212 258579 3452 4 la_data_out[107]
+port 282 nsew
+rlabel metal2 s 260345 3212 260373 3452 4 la_data_out[108]
+port 283 nsew
+rlabel metal2 s 262139 3212 262167 3452 4 la_data_out[109]
+port 284 nsew
+rlabel metal2 s 85499 3212 85527 3452 4 la_data_out[10]
+port 285 nsew
+rlabel metal2 s 263887 3212 263915 3452 4 la_data_out[110]
+port 286 nsew
+rlabel metal2 s 265681 3212 265709 3452 4 la_data_out[111]
+port 287 nsew
+rlabel metal2 s 267475 3212 267503 3452 4 la_data_out[112]
+port 288 nsew
+rlabel metal2 s 269269 3212 269297 3452 4 la_data_out[113]
+port 289 nsew
+rlabel metal2 s 271017 3212 271045 3452 4 la_data_out[114]
+port 290 nsew
+rlabel metal2 s 272811 3212 272839 3452 4 la_data_out[115]
+port 291 nsew
+rlabel metal2 s 274605 3212 274633 3452 4 la_data_out[116]
+port 292 nsew
+rlabel metal2 s 276399 3212 276427 3452 4 la_data_out[117]
+port 293 nsew
+rlabel metal2 s 278193 3212 278221 3452 4 la_data_out[118]
+port 294 nsew
+rlabel metal2 s 279941 3212 279969 3452 4 la_data_out[119]
+port 295 nsew
+rlabel metal2 s 87293 3212 87321 3452 4 la_data_out[11]
+port 296 nsew
+rlabel metal2 s 281735 3212 281763 3452 4 la_data_out[120]
+port 297 nsew
+rlabel metal2 s 283529 3212 283557 3452 4 la_data_out[121]
+port 298 nsew
+rlabel metal2 s 285323 3212 285351 3452 4 la_data_out[122]
+port 299 nsew
+rlabel metal2 s 287117 3212 287145 3452 4 la_data_out[123]
+port 300 nsew
+rlabel metal2 s 288865 3212 288893 3452 4 la_data_out[124]
+port 301 nsew
+rlabel metal2 s 290659 3212 290687 3452 4 la_data_out[125]
+port 302 nsew
+rlabel metal2 s 292453 3212 292481 3452 4 la_data_out[126]
+port 303 nsew
+rlabel metal2 s 294247 3212 294275 3452 4 la_data_out[127]
+port 304 nsew
+rlabel metal2 s 89041 3212 89069 3452 4 la_data_out[12]
+port 305 nsew
+rlabel metal2 s 90835 3212 90863 3452 4 la_data_out[13]
+port 306 nsew
+rlabel metal2 s 92629 3212 92657 3452 4 la_data_out[14]
+port 307 nsew
+rlabel metal2 s 94423 3212 94451 3452 4 la_data_out[15]
+port 308 nsew
+rlabel metal2 s 96171 3212 96199 3452 4 la_data_out[16]
+port 309 nsew
+rlabel metal2 s 97965 3212 97993 3452 4 la_data_out[17]
+port 310 nsew
+rlabel metal2 s 99759 3212 99787 3452 4 la_data_out[18]
+port 311 nsew
+rlabel metal2 s 101553 3212 101581 3452 4 la_data_out[19]
+port 312 nsew
+rlabel metal2 s 69445 3212 69473 3452 4 la_data_out[1]
+port 313 nsew
+rlabel metal2 s 103347 3212 103375 3452 4 la_data_out[20]
+port 314 nsew
+rlabel metal2 s 105095 3212 105123 3452 4 la_data_out[21]
+port 315 nsew
+rlabel metal2 s 106889 3212 106917 3452 4 la_data_out[22]
+port 316 nsew
+rlabel metal2 s 108683 3212 108711 3452 4 la_data_out[23]
+port 317 nsew
+rlabel metal2 s 110477 3212 110505 3452 4 la_data_out[24]
+port 318 nsew
+rlabel metal2 s 112271 3212 112299 3452 4 la_data_out[25]
+port 319 nsew
+rlabel metal2 s 114019 3212 114047 3452 4 la_data_out[26]
+port 320 nsew
+rlabel metal2 s 115813 3212 115841 3452 4 la_data_out[27]
+port 321 nsew
+rlabel metal2 s 117607 3212 117635 3452 4 la_data_out[28]
+port 322 nsew
+rlabel metal2 s 119401 3212 119429 3452 4 la_data_out[29]
+port 323 nsew
+rlabel metal2 s 71193 3212 71221 3452 4 la_data_out[2]
+port 324 nsew
+rlabel metal2 s 121149 3212 121177 3452 4 la_data_out[30]
+port 325 nsew
+rlabel metal2 s 122943 3212 122971 3452 4 la_data_out[31]
+port 326 nsew
+rlabel metal2 s 124737 3212 124765 3452 4 la_data_out[32]
+port 327 nsew
+rlabel metal2 s 126531 3212 126559 3452 4 la_data_out[33]
+port 328 nsew
+rlabel metal2 s 128325 3212 128353 3452 4 la_data_out[34]
+port 329 nsew
+rlabel metal2 s 130073 3212 130101 3452 4 la_data_out[35]
+port 330 nsew
+rlabel metal2 s 131867 3212 131895 3452 4 la_data_out[36]
+port 331 nsew
+rlabel metal2 s 133661 3212 133689 3452 4 la_data_out[37]
+port 332 nsew
+rlabel metal2 s 135455 3212 135483 3452 4 la_data_out[38]
+port 333 nsew
+rlabel metal2 s 137249 3212 137277 3452 4 la_data_out[39]
+port 334 nsew
+rlabel metal2 s 72987 3212 73015 3452 4 la_data_out[3]
+port 335 nsew
+rlabel metal2 s 138997 3212 139025 3452 4 la_data_out[40]
+port 336 nsew
+rlabel metal2 s 140791 3212 140819 3452 4 la_data_out[41]
+port 337 nsew
+rlabel metal2 s 142585 3212 142613 3452 4 la_data_out[42]
+port 338 nsew
+rlabel metal2 s 144379 3212 144407 3452 4 la_data_out[43]
+port 339 nsew
+rlabel metal2 s 146127 3212 146155 3452 4 la_data_out[44]
+port 340 nsew
+rlabel metal2 s 147921 3212 147949 3452 4 la_data_out[45]
+port 341 nsew
+rlabel metal2 s 149715 3212 149743 3452 4 la_data_out[46]
+port 342 nsew
+rlabel metal2 s 151509 3212 151537 3452 4 la_data_out[47]
+port 343 nsew
+rlabel metal2 s 153303 3212 153331 3452 4 la_data_out[48]
+port 344 nsew
+rlabel metal2 s 155051 3212 155079 3452 4 la_data_out[49]
+port 345 nsew
+rlabel metal2 s 74781 3212 74809 3452 4 la_data_out[4]
+port 346 nsew
+rlabel metal2 s 156845 3212 156873 3452 4 la_data_out[50]
+port 347 nsew
+rlabel metal2 s 158639 3212 158667 3452 4 la_data_out[51]
+port 348 nsew
+rlabel metal2 s 160433 3212 160461 3452 4 la_data_out[52]
+port 349 nsew
+rlabel metal2 s 162227 3212 162255 3452 4 la_data_out[53]
+port 350 nsew
+rlabel metal2 s 163975 3212 164003 3452 4 la_data_out[54]
+port 351 nsew
+rlabel metal2 s 165769 3212 165797 3452 4 la_data_out[55]
+port 352 nsew
+rlabel metal2 s 167563 3212 167591 3452 4 la_data_out[56]
+port 353 nsew
+rlabel metal2 s 169357 3212 169385 3452 4 la_data_out[57]
+port 354 nsew
+rlabel metal2 s 171105 3212 171133 3452 4 la_data_out[58]
+port 355 nsew
+rlabel metal2 s 172899 3212 172927 3452 4 la_data_out[59]
+port 356 nsew
+rlabel metal2 s 76575 3212 76603 3452 4 la_data_out[5]
+port 357 nsew
+rlabel metal2 s 174693 3212 174721 3452 4 la_data_out[60]
+port 358 nsew
+rlabel metal2 s 176487 3212 176515 3452 4 la_data_out[61]
+port 359 nsew
+rlabel metal2 s 178281 3212 178309 3452 4 la_data_out[62]
+port 360 nsew
+rlabel metal2 s 180029 3212 180057 3452 4 la_data_out[63]
+port 361 nsew
+rlabel metal2 s 181823 3212 181851 3452 4 la_data_out[64]
+port 362 nsew
+rlabel metal2 s 183617 3212 183645 3452 4 la_data_out[65]
+port 363 nsew
+rlabel metal2 s 185411 3212 185439 3452 4 la_data_out[66]
+port 364 nsew
+rlabel metal2 s 187205 3212 187233 3452 4 la_data_out[67]
+port 365 nsew
+rlabel metal2 s 188953 3212 188981 3452 4 la_data_out[68]
+port 366 nsew
+rlabel metal2 s 190747 3212 190775 3452 4 la_data_out[69]
+port 367 nsew
+rlabel metal2 s 78369 3212 78397 3452 4 la_data_out[6]
+port 368 nsew
+rlabel metal2 s 192541 3212 192569 3452 4 la_data_out[70]
+port 369 nsew
+rlabel metal2 s 194335 3212 194363 3452 4 la_data_out[71]
+port 370 nsew
+rlabel metal2 s 196083 3212 196111 3452 4 la_data_out[72]
+port 371 nsew
+rlabel metal2 s 197877 3212 197905 3452 4 la_data_out[73]
+port 372 nsew
+rlabel metal2 s 199671 3212 199699 3452 4 la_data_out[74]
+port 373 nsew
+rlabel metal2 s 201465 3212 201493 3452 4 la_data_out[75]
+port 374 nsew
+rlabel metal2 s 203259 3212 203287 3452 4 la_data_out[76]
+port 375 nsew
+rlabel metal2 s 205007 3212 205035 3452 4 la_data_out[77]
+port 376 nsew
+rlabel metal2 s 206801 3212 206829 3452 4 la_data_out[78]
+port 377 nsew
+rlabel metal2 s 208595 3212 208623 3452 4 la_data_out[79]
+port 378 nsew
+rlabel metal2 s 80117 3212 80145 3452 4 la_data_out[7]
+port 379 nsew
+rlabel metal2 s 210389 3212 210417 3452 4 la_data_out[80]
+port 380 nsew
+rlabel metal2 s 212183 3212 212211 3452 4 la_data_out[81]
+port 381 nsew
+rlabel metal2 s 213931 3212 213959 3452 4 la_data_out[82]
+port 382 nsew
+rlabel metal2 s 215725 3212 215753 3452 4 la_data_out[83]
+port 383 nsew
+rlabel metal2 s 217519 3212 217547 3452 4 la_data_out[84]
+port 384 nsew
+rlabel metal2 s 219313 3212 219341 3452 4 la_data_out[85]
+port 385 nsew
+rlabel metal2 s 221061 3212 221089 3452 4 la_data_out[86]
+port 386 nsew
+rlabel metal2 s 222855 3212 222883 3452 4 la_data_out[87]
+port 387 nsew
+rlabel metal2 s 224649 3212 224677 3452 4 la_data_out[88]
+port 388 nsew
+rlabel metal2 s 226443 3212 226471 3452 4 la_data_out[89]
+port 389 nsew
+rlabel metal2 s 81911 3212 81939 3452 4 la_data_out[8]
+port 390 nsew
+rlabel metal2 s 228237 3212 228265 3452 4 la_data_out[90]
+port 391 nsew
+rlabel metal2 s 229985 3212 230013 3452 4 la_data_out[91]
+port 392 nsew
+rlabel metal2 s 231779 3212 231807 3452 4 la_data_out[92]
+port 393 nsew
+rlabel metal2 s 233573 3212 233601 3452 4 la_data_out[93]
+port 394 nsew
+rlabel metal2 s 235367 3212 235395 3452 4 la_data_out[94]
+port 395 nsew
+rlabel metal2 s 237161 3212 237189 3452 4 la_data_out[95]
+port 396 nsew
+rlabel metal2 s 238909 3212 238937 3452 4 la_data_out[96]
+port 397 nsew
+rlabel metal2 s 240703 3212 240731 3452 4 la_data_out[97]
+port 398 nsew
+rlabel metal2 s 242497 3212 242525 3452 4 la_data_out[98]
+port 399 nsew
+rlabel metal2 s 244291 3212 244319 3452 4 la_data_out[99]
+port 400 nsew
+rlabel metal2 s 83705 3212 83733 3452 4 la_data_out[9]
+port 401 nsew
+rlabel metal2 s 68249 3212 68277 3452 4 la_oen[0]
+port 402 nsew
+rlabel metal2 s 246637 3212 246665 3452 4 la_oen[100]
+port 403 nsew
+rlabel metal2 s 248431 3212 248459 3452 4 la_oen[101]
+port 404 nsew
+rlabel metal2 s 250225 3212 250253 3452 4 la_oen[102]
+port 405 nsew
+rlabel metal2 s 252019 3212 252047 3452 4 la_oen[103]
+port 406 nsew
+rlabel metal2 s 253813 3212 253841 3452 4 la_oen[104]
+port 407 nsew
+rlabel metal2 s 255561 3212 255589 3452 4 la_oen[105]
+port 408 nsew
+rlabel metal2 s 257355 3212 257383 3452 4 la_oen[106]
+port 409 nsew
+rlabel metal2 s 259149 3212 259177 3452 4 la_oen[107]
+port 410 nsew
+rlabel metal2 s 260943 3212 260971 3452 4 la_oen[108]
+port 411 nsew
+rlabel metal2 s 262691 3212 262719 3452 4 la_oen[109]
+port 412 nsew
+rlabel metal2 s 86097 3212 86125 3452 4 la_oen[10]
+port 413 nsew
+rlabel metal2 s 264485 3212 264513 3452 4 la_oen[110]
+port 414 nsew
+rlabel metal2 s 266279 3212 266307 3452 4 la_oen[111]
+port 415 nsew
+rlabel metal2 s 268073 3212 268101 3452 4 la_oen[112]
+port 416 nsew
+rlabel metal2 s 269867 3212 269895 3452 4 la_oen[113]
+port 417 nsew
+rlabel metal2 s 271615 3212 271643 3452 4 la_oen[114]
+port 418 nsew
+rlabel metal2 s 273409 3212 273437 3452 4 la_oen[115]
+port 419 nsew
+rlabel metal2 s 275203 3212 275231 3452 4 la_oen[116]
+port 420 nsew
+rlabel metal2 s 276997 3212 277025 3452 4 la_oen[117]
+port 421 nsew
+rlabel metal2 s 278791 3212 278819 3452 4 la_oen[118]
+port 422 nsew
+rlabel metal2 s 280539 3212 280567 3452 4 la_oen[119]
+port 423 nsew
+rlabel metal2 s 87845 3212 87873 3452 4 la_oen[11]
+port 424 nsew
+rlabel metal2 s 282333 3212 282361 3452 4 la_oen[120]
+port 425 nsew
+rlabel metal2 s 284127 3212 284155 3452 4 la_oen[121]
+port 426 nsew
+rlabel metal2 s 285921 3212 285949 3452 4 la_oen[122]
+port 427 nsew
+rlabel metal2 s 287669 3212 287697 3452 4 la_oen[123]
+port 428 nsew
+rlabel metal2 s 289463 3212 289491 3452 4 la_oen[124]
+port 429 nsew
+rlabel metal2 s 291257 3212 291285 3452 4 la_oen[125]
+port 430 nsew
+rlabel metal2 s 293051 3212 293079 3452 4 la_oen[126]
+port 431 nsew
+rlabel metal2 s 294845 3212 294873 3452 4 la_oen[127]
+port 432 nsew
+rlabel metal2 s 89639 3212 89667 3452 4 la_oen[12]
+port 433 nsew
+rlabel metal2 s 91433 3212 91461 3452 4 la_oen[13]
+port 434 nsew
+rlabel metal2 s 93227 3212 93255 3452 4 la_oen[14]
+port 435 nsew
+rlabel metal2 s 95021 3212 95049 3452 4 la_oen[15]
+port 436 nsew
+rlabel metal2 s 96769 3212 96797 3452 4 la_oen[16]
+port 437 nsew
+rlabel metal2 s 98563 3212 98591 3452 4 la_oen[17]
+port 438 nsew
+rlabel metal2 s 100357 3212 100385 3452 4 la_oen[18]
+port 439 nsew
+rlabel metal2 s 102151 3212 102179 3452 4 la_oen[19]
+port 440 nsew
+rlabel metal2 s 70043 3212 70071 3452 4 la_oen[1]
+port 441 nsew
+rlabel metal2 s 103945 3212 103973 3452 4 la_oen[20]
+port 442 nsew
+rlabel metal2 s 105693 3212 105721 3452 4 la_oen[21]
+port 443 nsew
+rlabel metal2 s 107487 3212 107515 3452 4 la_oen[22]
+port 444 nsew
+rlabel metal2 s 109281 3212 109309 3452 4 la_oen[23]
+port 445 nsew
+rlabel metal2 s 111075 3212 111103 3452 4 la_oen[24]
+port 446 nsew
+rlabel metal2 s 112823 3212 112851 3452 4 la_oen[25]
+port 447 nsew
+rlabel metal2 s 114617 3212 114645 3452 4 la_oen[26]
+port 448 nsew
+rlabel metal2 s 116411 3212 116439 3452 4 la_oen[27]
+port 449 nsew
+rlabel metal2 s 118205 3212 118233 3452 4 la_oen[28]
+port 450 nsew
+rlabel metal2 s 119999 3212 120027 3452 4 la_oen[29]
+port 451 nsew
+rlabel metal2 s 71791 3212 71819 3452 4 la_oen[2]
+port 452 nsew
+rlabel metal2 s 121747 3212 121775 3452 4 la_oen[30]
+port 453 nsew
+rlabel metal2 s 123541 3212 123569 3452 4 la_oen[31]
+port 454 nsew
+rlabel metal2 s 125335 3212 125363 3452 4 la_oen[32]
+port 455 nsew
+rlabel metal2 s 127129 3212 127157 3452 4 la_oen[33]
+port 456 nsew
+rlabel metal2 s 128923 3212 128951 3452 4 la_oen[34]
+port 457 nsew
+rlabel metal2 s 130671 3212 130699 3452 4 la_oen[35]
+port 458 nsew
+rlabel metal2 s 132465 3212 132493 3452 4 la_oen[36]
+port 459 nsew
+rlabel metal2 s 134259 3212 134287 3452 4 la_oen[37]
+port 460 nsew
+rlabel metal2 s 136053 3212 136081 3452 4 la_oen[38]
+port 461 nsew
+rlabel metal2 s 137801 3212 137829 3452 4 la_oen[39]
+port 462 nsew
+rlabel metal2 s 73585 3212 73613 3452 4 la_oen[3]
+port 463 nsew
+rlabel metal2 s 139595 3212 139623 3452 4 la_oen[40]
+port 464 nsew
+rlabel metal2 s 141389 3212 141417 3452 4 la_oen[41]
+port 465 nsew
+rlabel metal2 s 143183 3212 143211 3452 4 la_oen[42]
+port 466 nsew
+rlabel metal2 s 144977 3212 145005 3452 4 la_oen[43]
+port 467 nsew
+rlabel metal2 s 146725 3212 146753 3452 4 la_oen[44]
+port 468 nsew
+rlabel metal2 s 148519 3212 148547 3452 4 la_oen[45]
+port 469 nsew
+rlabel metal2 s 150313 3212 150341 3452 4 la_oen[46]
+port 470 nsew
+rlabel metal2 s 152107 3212 152135 3452 4 la_oen[47]
+port 471 nsew
+rlabel metal2 s 153901 3212 153929 3452 4 la_oen[48]
+port 472 nsew
+rlabel metal2 s 155649 3212 155677 3452 4 la_oen[49]
+port 473 nsew
+rlabel metal2 s 75379 3212 75407 3452 4 la_oen[4]
+port 474 nsew
+rlabel metal2 s 157443 3212 157471 3452 4 la_oen[50]
+port 475 nsew
+rlabel metal2 s 159237 3212 159265 3452 4 la_oen[51]
+port 476 nsew
+rlabel metal2 s 161031 3212 161059 3452 4 la_oen[52]
+port 477 nsew
+rlabel metal2 s 162779 3212 162807 3452 4 la_oen[53]
+port 478 nsew
+rlabel metal2 s 164573 3212 164601 3452 4 la_oen[54]
+port 479 nsew
+rlabel metal2 s 166367 3212 166395 3452 4 la_oen[55]
+port 480 nsew
+rlabel metal2 s 168161 3212 168189 3452 4 la_oen[56]
+port 481 nsew
+rlabel metal2 s 169955 3212 169983 3452 4 la_oen[57]
+port 482 nsew
+rlabel metal2 s 171703 3212 171731 3452 4 la_oen[58]
+port 483 nsew
+rlabel metal2 s 173497 3212 173525 3452 4 la_oen[59]
+port 484 nsew
+rlabel metal2 s 77173 3212 77201 3452 4 la_oen[5]
+port 485 nsew
+rlabel metal2 s 175291 3212 175319 3452 4 la_oen[60]
+port 486 nsew
+rlabel metal2 s 177085 3212 177113 3452 4 la_oen[61]
+port 487 nsew
+rlabel metal2 s 178879 3212 178907 3452 4 la_oen[62]
+port 488 nsew
+rlabel metal2 s 180627 3212 180655 3452 4 la_oen[63]
+port 489 nsew
+rlabel metal2 s 182421 3212 182449 3452 4 la_oen[64]
+port 490 nsew
+rlabel metal2 s 184215 3212 184243 3452 4 la_oen[65]
+port 491 nsew
+rlabel metal2 s 186009 3212 186037 3452 4 la_oen[66]
+port 492 nsew
+rlabel metal2 s 187757 3212 187785 3452 4 la_oen[67]
+port 493 nsew
+rlabel metal2 s 189551 3212 189579 3452 4 la_oen[68]
+port 494 nsew
+rlabel metal2 s 191345 3212 191373 3452 4 la_oen[69]
+port 495 nsew
+rlabel metal2 s 78967 3212 78995 3452 4 la_oen[6]
+port 496 nsew
+rlabel metal2 s 193139 3212 193167 3452 4 la_oen[70]
+port 497 nsew
+rlabel metal2 s 194933 3212 194961 3452 4 la_oen[71]
+port 498 nsew
+rlabel metal2 s 196681 3212 196709 3452 4 la_oen[72]
+port 499 nsew
+rlabel metal2 s 198475 3212 198503 3452 4 la_oen[73]
+port 500 nsew
+rlabel metal2 s 200269 3212 200297 3452 4 la_oen[74]
+port 501 nsew
+rlabel metal2 s 202063 3212 202091 3452 4 la_oen[75]
+port 502 nsew
+rlabel metal2 s 203857 3212 203885 3452 4 la_oen[76]
+port 503 nsew
+rlabel metal2 s 205605 3212 205633 3452 4 la_oen[77]
+port 504 nsew
+rlabel metal2 s 207399 3212 207427 3452 4 la_oen[78]
+port 505 nsew
+rlabel metal2 s 209193 3212 209221 3452 4 la_oen[79]
+port 506 nsew
+rlabel metal2 s 80715 3212 80743 3452 4 la_oen[7]
+port 507 nsew
+rlabel metal2 s 210987 3212 211015 3452 4 la_oen[80]
+port 508 nsew
+rlabel metal2 s 212735 3212 212763 3452 4 la_oen[81]
+port 509 nsew
+rlabel metal2 s 214529 3212 214557 3452 4 la_oen[82]
+port 510 nsew
+rlabel metal2 s 216323 3212 216351 3452 4 la_oen[83]
+port 511 nsew
+rlabel metal2 s 218117 3212 218145 3452 4 la_oen[84]
+port 512 nsew
+rlabel metal2 s 219911 3212 219939 3452 4 la_oen[85]
+port 513 nsew
+rlabel metal2 s 221659 3212 221687 3452 4 la_oen[86]
+port 514 nsew
+rlabel metal2 s 223453 3212 223481 3452 4 la_oen[87]
+port 515 nsew
+rlabel metal2 s 225247 3212 225275 3452 4 la_oen[88]
+port 516 nsew
+rlabel metal2 s 227041 3212 227069 3452 4 la_oen[89]
+port 517 nsew
+rlabel metal2 s 82509 3212 82537 3452 4 la_oen[8]
+port 518 nsew
+rlabel metal2 s 228835 3212 228863 3452 4 la_oen[90]
+port 519 nsew
+rlabel metal2 s 230583 3212 230611 3452 4 la_oen[91]
+port 520 nsew
+rlabel metal2 s 232377 3212 232405 3452 4 la_oen[92]
+port 521 nsew
+rlabel metal2 s 234171 3212 234199 3452 4 la_oen[93]
+port 522 nsew
+rlabel metal2 s 235965 3212 235993 3452 4 la_oen[94]
+port 523 nsew
+rlabel metal2 s 237713 3212 237741 3452 4 la_oen[95]
+port 524 nsew
+rlabel metal2 s 239507 3212 239535 3452 4 la_oen[96]
+port 525 nsew
+rlabel metal2 s 241301 3212 241329 3452 4 la_oen[97]
+port 526 nsew
+rlabel metal2 s 243095 3212 243123 3452 4 la_oen[98]
+port 527 nsew
+rlabel metal2 s 244889 3212 244917 3452 4 la_oen[99]
+port 528 nsew
+rlabel metal2 s 84303 3212 84331 3452 4 la_oen[9]
+port 529 nsew
+rlabel metal2 s 295443 3212 295471 3452 4 user_clock2
+port 530 nsew
+rlabel metal2 s 4033 3212 4061 3452 4 wb_clk_i
+port 531 nsew
+rlabel metal2 s 4585 3212 4613 3452 4 wb_rst_i
+port 532 nsew
+rlabel metal2 s 5183 3212 5211 3452 4 wbs_ack_o
+port 533 nsew
+rlabel metal2 s 7575 3212 7603 3452 4 wbs_adr_i[0]
+port 534 nsew
+rlabel metal2 s 27815 3212 27843 3452 4 wbs_adr_i[10]
+port 535 nsew
+rlabel metal2 s 29563 3212 29591 3452 4 wbs_adr_i[11]
+port 536 nsew
+rlabel metal2 s 31357 3212 31385 3452 4 wbs_adr_i[12]
+port 537 nsew
+rlabel metal2 s 33151 3212 33179 3452 4 wbs_adr_i[13]
+port 538 nsew
+rlabel metal2 s 34945 3212 34973 3452 4 wbs_adr_i[14]
+port 539 nsew
+rlabel metal2 s 36739 3212 36767 3452 4 wbs_adr_i[15]
+port 540 nsew
+rlabel metal2 s 38487 3212 38515 3452 4 wbs_adr_i[16]
+port 541 nsew
+rlabel metal2 s 40281 3212 40309 3452 4 wbs_adr_i[17]
+port 542 nsew
+rlabel metal2 s 42075 3212 42103 3452 4 wbs_adr_i[18]
+port 543 nsew
+rlabel metal2 s 43869 3212 43897 3452 4 wbs_adr_i[19]
+port 544 nsew
+rlabel metal2 s 9967 3212 9995 3452 4 wbs_adr_i[1]
+port 545 nsew
+rlabel metal2 s 45663 3212 45691 3452 4 wbs_adr_i[20]
+port 546 nsew
+rlabel metal2 s 47411 3212 47439 3452 4 wbs_adr_i[21]
+port 547 nsew
+rlabel metal2 s 49205 3212 49233 3452 4 wbs_adr_i[22]
+port 548 nsew
+rlabel metal2 s 50999 3212 51027 3452 4 wbs_adr_i[23]
+port 549 nsew
+rlabel metal2 s 52793 3212 52821 3452 4 wbs_adr_i[24]
+port 550 nsew
+rlabel metal2 s 54541 3212 54569 3452 4 wbs_adr_i[25]
+port 551 nsew
+rlabel metal2 s 56335 3212 56363 3452 4 wbs_adr_i[26]
+port 552 nsew
+rlabel metal2 s 58129 3212 58157 3452 4 wbs_adr_i[27]
+port 553 nsew
+rlabel metal2 s 59923 3212 59951 3452 4 wbs_adr_i[28]
+port 554 nsew
+rlabel metal2 s 61717 3212 61745 3452 4 wbs_adr_i[29]
+port 555 nsew
+rlabel metal2 s 12359 3212 12387 3452 4 wbs_adr_i[2]
+port 556 nsew
+rlabel metal2 s 63465 3212 63493 3452 4 wbs_adr_i[30]
+port 557 nsew
+rlabel metal2 s 65259 3212 65287 3452 4 wbs_adr_i[31]
+port 558 nsew
+rlabel metal2 s 14705 3212 14733 3452 4 wbs_adr_i[3]
+port 559 nsew
+rlabel metal2 s 17097 3212 17125 3452 4 wbs_adr_i[4]
+port 560 nsew
+rlabel metal2 s 18891 3212 18919 3452 4 wbs_adr_i[5]
+port 561 nsew
+rlabel metal2 s 20685 3212 20713 3452 4 wbs_adr_i[6]
+port 562 nsew
+rlabel metal2 s 22433 3212 22461 3452 4 wbs_adr_i[7]
+port 563 nsew
+rlabel metal2 s 24227 3212 24255 3452 4 wbs_adr_i[8]
+port 564 nsew
+rlabel metal2 s 26021 3212 26049 3452 4 wbs_adr_i[9]
+port 565 nsew
+rlabel metal2 s 5781 3212 5809 3452 4 wbs_cyc_i
+port 566 nsew
+rlabel metal2 s 8173 3212 8201 3452 4 wbs_dat_i[0]
+port 567 nsew
+rlabel metal2 s 28413 3212 28441 3452 4 wbs_dat_i[10]
+port 568 nsew
+rlabel metal2 s 30161 3212 30189 3452 4 wbs_dat_i[11]
+port 569 nsew
+rlabel metal2 s 31955 3212 31983 3452 4 wbs_dat_i[12]
+port 570 nsew
+rlabel metal2 s 33749 3212 33777 3452 4 wbs_dat_i[13]
+port 571 nsew
+rlabel metal2 s 35543 3212 35571 3452 4 wbs_dat_i[14]
+port 572 nsew
+rlabel metal2 s 37337 3212 37365 3452 4 wbs_dat_i[15]
+port 573 nsew
+rlabel metal2 s 39085 3212 39113 3452 4 wbs_dat_i[16]
+port 574 nsew
+rlabel metal2 s 40879 3212 40907 3452 4 wbs_dat_i[17]
+port 575 nsew
+rlabel metal2 s 42673 3212 42701 3452 4 wbs_dat_i[18]
+port 576 nsew
+rlabel metal2 s 44467 3212 44495 3452 4 wbs_dat_i[19]
+port 577 nsew
+rlabel metal2 s 10565 3212 10593 3452 4 wbs_dat_i[1]
+port 578 nsew
+rlabel metal2 s 46215 3212 46243 3452 4 wbs_dat_i[20]
+port 579 nsew
+rlabel metal2 s 48009 3212 48037 3452 4 wbs_dat_i[21]
+port 580 nsew
+rlabel metal2 s 49803 3212 49831 3452 4 wbs_dat_i[22]
+port 581 nsew
+rlabel metal2 s 51597 3212 51625 3452 4 wbs_dat_i[23]
+port 582 nsew
+rlabel metal2 s 53391 3212 53419 3452 4 wbs_dat_i[24]
+port 583 nsew
+rlabel metal2 s 55139 3212 55167 3452 4 wbs_dat_i[25]
+port 584 nsew
+rlabel metal2 s 56933 3212 56961 3452 4 wbs_dat_i[26]
+port 585 nsew
+rlabel metal2 s 58727 3212 58755 3452 4 wbs_dat_i[27]
+port 586 nsew
+rlabel metal2 s 60521 3212 60549 3452 4 wbs_dat_i[28]
+port 587 nsew
+rlabel metal2 s 62315 3212 62343 3452 4 wbs_dat_i[29]
+port 588 nsew
+rlabel metal2 s 12911 3212 12939 3452 4 wbs_dat_i[2]
+port 589 nsew
+rlabel metal2 s 64063 3212 64091 3452 4 wbs_dat_i[30]
+port 590 nsew
+rlabel metal2 s 65857 3212 65885 3452 4 wbs_dat_i[31]
+port 591 nsew
+rlabel metal2 s 15303 3212 15331 3452 4 wbs_dat_i[3]
+port 592 nsew
+rlabel metal2 s 17695 3212 17723 3452 4 wbs_dat_i[4]
+port 593 nsew
+rlabel metal2 s 19489 3212 19517 3452 4 wbs_dat_i[5]
+port 594 nsew
+rlabel metal2 s 21237 3212 21265 3452 4 wbs_dat_i[6]
+port 595 nsew
+rlabel metal2 s 23031 3212 23059 3452 4 wbs_dat_i[7]
+port 596 nsew
+rlabel metal2 s 24825 3212 24853 3452 4 wbs_dat_i[8]
+port 597 nsew
+rlabel metal2 s 26619 3212 26647 3452 4 wbs_dat_i[9]
+port 598 nsew
+rlabel metal2 s 8771 3212 8799 3452 4 wbs_dat_o[0]
+port 599 nsew
+rlabel metal2 s 29011 3212 29039 3452 4 wbs_dat_o[10]
+port 600 nsew
+rlabel metal2 s 30759 3212 30787 3452 4 wbs_dat_o[11]
+port 601 nsew
+rlabel metal2 s 32553 3212 32581 3452 4 wbs_dat_o[12]
+port 602 nsew
+rlabel metal2 s 34347 3212 34375 3452 4 wbs_dat_o[13]
+port 603 nsew
+rlabel metal2 s 36141 3212 36169 3452 4 wbs_dat_o[14]
+port 604 nsew
+rlabel metal2 s 37889 3212 37917 3452 4 wbs_dat_o[15]
+port 605 nsew
+rlabel metal2 s 39683 3212 39711 3452 4 wbs_dat_o[16]
+port 606 nsew
+rlabel metal2 s 41477 3212 41505 3452 4 wbs_dat_o[17]
+port 607 nsew
+rlabel metal2 s 43271 3212 43299 3452 4 wbs_dat_o[18]
+port 608 nsew
+rlabel metal2 s 45065 3212 45093 3452 4 wbs_dat_o[19]
+port 609 nsew
+rlabel metal2 s 11163 3212 11191 3452 4 wbs_dat_o[1]
+port 610 nsew
+rlabel metal2 s 46813 3212 46841 3452 4 wbs_dat_o[20]
+port 611 nsew
+rlabel metal2 s 48607 3212 48635 3452 4 wbs_dat_o[21]
+port 612 nsew
+rlabel metal2 s 50401 3212 50429 3452 4 wbs_dat_o[22]
+port 613 nsew
+rlabel metal2 s 52195 3212 52223 3452 4 wbs_dat_o[23]
+port 614 nsew
+rlabel metal2 s 53989 3212 54017 3452 4 wbs_dat_o[24]
+port 615 nsew
+rlabel metal2 s 55737 3212 55765 3452 4 wbs_dat_o[25]
+port 616 nsew
+rlabel metal2 s 57531 3212 57559 3452 4 wbs_dat_o[26]
+port 617 nsew
+rlabel metal2 s 59325 3212 59353 3452 4 wbs_dat_o[27]
+port 618 nsew
+rlabel metal2 s 61119 3212 61147 3452 4 wbs_dat_o[28]
+port 619 nsew
+rlabel metal2 s 62867 3212 62895 3452 4 wbs_dat_o[29]
+port 620 nsew
+rlabel metal2 s 13509 3212 13537 3452 4 wbs_dat_o[2]
+port 621 nsew
+rlabel metal2 s 64661 3212 64689 3452 4 wbs_dat_o[30]
+port 622 nsew
+rlabel metal2 s 66455 3212 66483 3452 4 wbs_dat_o[31]
+port 623 nsew
+rlabel metal2 s 15901 3212 15929 3452 4 wbs_dat_o[3]
+port 624 nsew
+rlabel metal2 s 18293 3212 18321 3452 4 wbs_dat_o[4]
+port 625 nsew
+rlabel metal2 s 20087 3212 20115 3452 4 wbs_dat_o[5]
+port 626 nsew
+rlabel metal2 s 21835 3212 21863 3452 4 wbs_dat_o[6]
+port 627 nsew
+rlabel metal2 s 23629 3212 23657 3452 4 wbs_dat_o[7]
+port 628 nsew
+rlabel metal2 s 25423 3212 25451 3452 4 wbs_dat_o[8]
+port 629 nsew
+rlabel metal2 s 27217 3212 27245 3452 4 wbs_dat_o[9]
+port 630 nsew
+rlabel metal2 s 9369 3212 9397 3452 4 wbs_sel_i[0]
+port 631 nsew
+rlabel metal2 s 11761 3212 11789 3452 4 wbs_sel_i[1]
+port 632 nsew
+rlabel metal2 s 14107 3212 14135 3452 4 wbs_sel_i[2]
+port 633 nsew
+rlabel metal2 s 16499 3212 16527 3452 4 wbs_sel_i[3]
+port 634 nsew
+rlabel metal2 s 6379 3212 6407 3452 4 wbs_stb_i
+port 635 nsew
+rlabel metal2 s 6977 3212 7005 3452 4 wbs_we_i
+port 636 nsew
+rlabel metal5 s 2800 2800 296658 3000 4 vccd1
+port 637 nsew
+rlabel metal5 s 2400 2400 297058 2600 4 vssd1
+port 638 nsew
+rlabel metal5 s 2000 2000 297458 2200 4 vccd2
+port 639 nsew
+rlabel metal5 s 1600 1600 297858 1800 4 vssd2
+port 640 nsew
+rlabel metal5 s 1200 1200 298258 1400 4 vdda1
+port 641 nsew
+rlabel metal5 s 800 800 298658 1000 4 vssa1
+port 642 nsew
+rlabel metal5 s 400 400 299058 600 4 vdda2
+port 643 nsew
+rlabel metal5 s 0 0 299458 200 4 vssa2
+port 644 nsew
+<< properties >>
+string FIXED_BBOX 0 0 299458 358392
+string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
+string GDS_END 5390882
+string GDS_START 5141968
+<< end >>
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.spice b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.spice
new file mode 100644
index 0000000..36b7a54
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.spice
@@ -0,0 +1,322 @@
+* NGSPICE file created from user_project_wrapper.ext - technology: sky130A
+
+* Black-box entry subcircuit for user_proj_example abstract view
+.subckt user_proj_example io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14]
++ io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22]
++ io_in[23] io_in[24] io_in[25] io_in[26] io_in[27] io_in[28] io_in[29] io_in[2] io_in[30]
++ io_in[31] io_in[32] io_in[33] io_in[34] io_in[35] io_in[36] io_in[37] io_in[3] io_in[4]
++ io_in[5] io_in[6] io_in[7] io_in[8] io_in[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12]
++ io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1]
++ io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[27]
++ io_oeb[28] io_oeb[29] io_oeb[2] io_oeb[30] io_oeb[31] io_oeb[32] io_oeb[33] io_oeb[34]
++ io_oeb[35] io_oeb[36] io_oeb[37] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7]
++ io_oeb[8] io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14]
++ io_out[15] io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21]
++ io_out[22] io_out[23] io_out[24] io_out[25] io_out[26] io_out[27] io_out[28] io_out[29]
++ io_out[2] io_out[30] io_out[31] io_out[32] io_out[33] io_out[34] io_out[35] io_out[36]
++ io_out[37] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8] io_out[9]
++ la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103] la_data_in[104]
++ la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108] la_data_in[109]
++ la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113] la_data_in[114]
++ la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118] la_data_in[119]
++ la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123] la_data_in[124]
++ la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14]
++ la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1]
++ la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25]
++ la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30]
++ la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36]
++ la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41]
++ la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47]
++ la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52]
++ la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58]
++ la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63]
++ la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69]
++ la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74]
++ la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7]
++ la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85]
++ la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90]
++ la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96]
++ la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100]
++ la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105]
++ la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109] la_data_out[10]
++ la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113] la_data_out[114]
++ la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118] la_data_out[119]
++ la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122] la_data_out[123]
++ la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127] la_data_out[12]
++ la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16] la_data_out[17]
++ la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22]
++ la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26] la_data_out[27]
++ la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32]
++ la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36] la_data_out[37]
++ la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42]
++ la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46] la_data_out[47]
++ la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52]
++ la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56] la_data_out[57]
++ la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62]
++ la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66] la_data_out[67]
++ la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72]
++ la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76] la_data_out[77]
++ la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82]
++ la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86] la_data_out[87]
++ la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92]
++ la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96] la_data_out[97]
++ la_data_out[98] la_data_out[99] la_data_out[9] la_oen[0] la_oen[100] la_oen[101]
++ la_oen[102] la_oen[103] la_oen[104] la_oen[105] la_oen[106] la_oen[107] la_oen[108]
++ la_oen[109] la_oen[10] la_oen[110] la_oen[111] la_oen[112] la_oen[113] la_oen[114]
++ la_oen[115] la_oen[116] la_oen[117] la_oen[118] la_oen[119] la_oen[11] la_oen[120]
++ la_oen[121] la_oen[122] la_oen[123] la_oen[124] la_oen[125] la_oen[126] la_oen[127]
++ la_oen[12] la_oen[13] la_oen[14] la_oen[15] la_oen[16] la_oen[17] la_oen[18] la_oen[19]
++ la_oen[1] la_oen[20] la_oen[21] la_oen[22] la_oen[23] la_oen[24] la_oen[25] la_oen[26]
++ la_oen[27] la_oen[28] la_oen[29] la_oen[2] la_oen[30] la_oen[31] la_oen[32] la_oen[33]
++ la_oen[34] la_oen[35] la_oen[36] la_oen[37] la_oen[38] la_oen[39] la_oen[3] la_oen[40]
++ la_oen[41] la_oen[42] la_oen[43] la_oen[44] la_oen[45] la_oen[46] la_oen[47] la_oen[48]
++ la_oen[49] la_oen[4] la_oen[50] la_oen[51] la_oen[52] la_oen[53] la_oen[54] la_oen[55]
++ la_oen[56] la_oen[57] la_oen[58] la_oen[59] la_oen[5] la_oen[60] la_oen[61] la_oen[62]
++ la_oen[63] la_oen[64] la_oen[65] la_oen[66] la_oen[67] la_oen[68] la_oen[69] la_oen[6]
++ la_oen[70] la_oen[71] la_oen[72] la_oen[73] la_oen[74] la_oen[75] la_oen[76] la_oen[77]
++ la_oen[78] la_oen[79] la_oen[7] la_oen[80] la_oen[81] la_oen[82] la_oen[83] la_oen[84]
++ la_oen[85] la_oen[86] la_oen[87] la_oen[88] la_oen[89] la_oen[8] la_oen[90] la_oen[91]
++ la_oen[92] la_oen[93] la_oen[94] la_oen[95] la_oen[96] la_oen[97] la_oen[98] la_oen[99]
++ la_oen[9] wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12]
++ wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18]
++ wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23]
++ wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29]
++ wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5]
++ wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10]
++ wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15] wbs_dat_i[16]
++ wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20] wbs_dat_i[21]
++ wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26] wbs_dat_i[27]
++ wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31] wbs_dat_i[3]
++ wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9] wbs_dat_o[0]
++ wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14] wbs_dat_o[15]
++ wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1] wbs_dat_o[20]
++ wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25] wbs_dat_o[26]
++ wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30] wbs_dat_o[31]
++ wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9]
++ wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i VPWR VGND
+.ends
+
+.subckt user_project_wrapper analog_io[0] analog_io[10] analog_io[11] analog_io[12]
++ analog_io[13] analog_io[14] analog_io[15] analog_io[16] analog_io[17] analog_io[18]
++ analog_io[19] analog_io[1] analog_io[20] analog_io[21] analog_io[22] analog_io[23]
++ analog_io[24] analog_io[25] analog_io[26] analog_io[27] analog_io[28] analog_io[29]
++ analog_io[2] analog_io[30] analog_io[3] analog_io[4] analog_io[5] analog_io[6] analog_io[7]
++ analog_io[8] analog_io[9] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14]
++ io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22]
++ io_in[23] io_in[24] io_in[25] io_in[26] io_in[27] io_in[28] io_in[29] io_in[2] io_in[30]
++ io_in[31] io_in[32] io_in[33] io_in[34] io_in[35] io_in[36] io_in[37] io_in[3] io_in[4]
++ io_in[5] io_in[6] io_in[7] io_in[8] io_in[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12]
++ io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1]
++ io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[27]
++ io_oeb[28] io_oeb[29] io_oeb[2] io_oeb[30] io_oeb[31] io_oeb[32] io_oeb[33] io_oeb[34]
++ io_oeb[35] io_oeb[36] io_oeb[37] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7]
++ io_oeb[8] io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14]
++ io_out[15] io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21]
++ io_out[22] io_out[23] io_out[24] io_out[25] io_out[26] io_out[27] io_out[28] io_out[29]
++ io_out[2] io_out[30] io_out[31] io_out[32] io_out[33] io_out[34] io_out[35] io_out[36]
++ io_out[37] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8] io_out[9]
++ la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103] la_data_in[104]
++ la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108] la_data_in[109]
++ la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113] la_data_in[114]
++ la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118] la_data_in[119]
++ la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123] la_data_in[124]
++ la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14]
++ la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1]
++ la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25]
++ la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30]
++ la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36]
++ la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41]
++ la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47]
++ la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52]
++ la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58]
++ la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63]
++ la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69]
++ la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74]
++ la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7]
++ la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85]
++ la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90]
++ la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96]
++ la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100]
++ la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105]
++ la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109] la_data_out[10]
++ la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113] la_data_out[114]
++ la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118] la_data_out[119]
++ la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122] la_data_out[123]
++ la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127] la_data_out[12]
++ la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16] la_data_out[17]
++ la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22]
++ la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26] la_data_out[27]
++ la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32]
++ la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36] la_data_out[37]
++ la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42]
++ la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46] la_data_out[47]
++ la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52]
++ la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56] la_data_out[57]
++ la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62]
++ la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66] la_data_out[67]
++ la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72]
++ la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76] la_data_out[77]
++ la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82]
++ la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86] la_data_out[87]
++ la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92]
++ la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96] la_data_out[97]
++ la_data_out[98] la_data_out[99] la_data_out[9] la_oen[0] la_oen[100] la_oen[101]
++ la_oen[102] la_oen[103] la_oen[104] la_oen[105] la_oen[106] la_oen[107] la_oen[108]
++ la_oen[109] la_oen[10] la_oen[110] la_oen[111] la_oen[112] la_oen[113] la_oen[114]
++ la_oen[115] la_oen[116] la_oen[117] la_oen[118] la_oen[119] la_oen[11] la_oen[120]
++ la_oen[121] la_oen[122] la_oen[123] la_oen[124] la_oen[125] la_oen[126] la_oen[127]
++ la_oen[12] la_oen[13] la_oen[14] la_oen[15] la_oen[16] la_oen[17] la_oen[18] la_oen[19]
++ la_oen[1] la_oen[20] la_oen[21] la_oen[22] la_oen[23] la_oen[24] la_oen[25] la_oen[26]
++ la_oen[27] la_oen[28] la_oen[29] la_oen[2] la_oen[30] la_oen[31] la_oen[32] la_oen[33]
++ la_oen[34] la_oen[35] la_oen[36] la_oen[37] la_oen[38] la_oen[39] la_oen[3] la_oen[40]
++ la_oen[41] la_oen[42] la_oen[43] la_oen[44] la_oen[45] la_oen[46] la_oen[47] la_oen[48]
++ la_oen[49] la_oen[4] la_oen[50] la_oen[51] la_oen[52] la_oen[53] la_oen[54] la_oen[55]
++ la_oen[56] la_oen[57] la_oen[58] la_oen[59] la_oen[5] la_oen[60] la_oen[61] la_oen[62]
++ la_oen[63] la_oen[64] la_oen[65] la_oen[66] la_oen[67] la_oen[68] la_oen[69] la_oen[6]
++ la_oen[70] la_oen[71] la_oen[72] la_oen[73] la_oen[74] la_oen[75] la_oen[76] la_oen[77]
++ la_oen[78] la_oen[79] la_oen[7] la_oen[80] la_oen[81] la_oen[82] la_oen[83] la_oen[84]
++ la_oen[85] la_oen[86] la_oen[87] la_oen[88] la_oen[89] la_oen[8] la_oen[90] la_oen[91]
++ la_oen[92] la_oen[93] la_oen[94] la_oen[95] la_oen[96] la_oen[97] la_oen[98] la_oen[99]
++ la_oen[9] user_clock2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11]
++ wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17]
++ wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22]
++ wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28]
++ wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4]
++ wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0]
++ wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15]
++ wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20]
++ wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26]
++ wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31]
++ wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9]
++ wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14]
++ wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1]
++ wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25]
++ wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30]
++ wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8]
++ wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
++ vccd1 vssd1 vccd2 vssd2 vdda1 vssa1 vdda2 vssa2
+Xmprj mprj/io_in[0] mprj/io_in[10] mprj/io_in[11] mprj/io_in[12] mprj/io_in[13] mprj/io_in[14]
++ mprj/io_in[15] mprj/io_in[16] mprj/io_in[17] mprj/io_in[18] mprj/io_in[19] mprj/io_in[1]
++ mprj/io_in[20] mprj/io_in[21] mprj/io_in[22] mprj/io_in[23] mprj/io_in[24] mprj/io_in[25]
++ mprj/io_in[26] mprj/io_in[27] mprj/io_in[28] mprj/io_in[29] mprj/io_in[2] mprj/io_in[30]
++ mprj/io_in[31] mprj/io_in[32] mprj/io_in[33] mprj/io_in[34] mprj/io_in[35] mprj/io_in[36]
++ mprj/io_in[37] mprj/io_in[3] mprj/io_in[4] mprj/io_in[5] mprj/io_in[6] mprj/io_in[7]
++ mprj/io_in[8] mprj/io_in[9] mprj/io_oeb[0] mprj/io_oeb[10] mprj/io_oeb[11] mprj/io_oeb[12]
++ mprj/io_oeb[13] mprj/io_oeb[14] mprj/io_oeb[15] mprj/io_oeb[16] mprj/io_oeb[17]
++ mprj/io_oeb[18] mprj/io_oeb[19] mprj/io_oeb[1] mprj/io_oeb[20] mprj/io_oeb[21] mprj/io_oeb[22]
++ mprj/io_oeb[23] mprj/io_oeb[24] mprj/io_oeb[25] mprj/io_oeb[26] mprj/io_oeb[27]
++ mprj/io_oeb[28] mprj/io_oeb[29] mprj/io_oeb[2] mprj/io_oeb[30] mprj/io_oeb[31] mprj/io_oeb[32]
++ mprj/io_oeb[33] mprj/io_oeb[34] mprj/io_oeb[35] mprj/io_oeb[36] mprj/io_oeb[37]
++ mprj/io_oeb[3] mprj/io_oeb[4] mprj/io_oeb[5] mprj/io_oeb[6] mprj/io_oeb[7] mprj/io_oeb[8]
++ mprj/io_oeb[9] mprj/io_out[0] mprj/io_out[10] mprj/io_out[11] mprj/io_out[12] mprj/io_out[13]
++ mprj/io_out[14] mprj/io_out[15] mprj/io_out[16] mprj/io_out[17] mprj/io_out[18]
++ mprj/io_out[19] mprj/io_out[1] mprj/io_out[20] mprj/io_out[21] mprj/io_out[22] mprj/io_out[23]
++ mprj/io_out[24] mprj/io_out[25] mprj/io_out[26] mprj/io_out[27] mprj/io_out[28]
++ mprj/io_out[29] mprj/io_out[2] mprj/io_out[30] mprj/io_out[31] mprj/io_out[32] mprj/io_out[33]
++ mprj/io_out[34] mprj/io_out[35] mprj/io_out[36] mprj/io_out[37] mprj/io_out[3] mprj/io_out[4]
++ mprj/io_out[5] mprj/io_out[6] mprj/io_out[7] mprj/io_out[8] mprj/io_out[9] mprj/la_data_in[0]
++ mprj/la_data_in[100] mprj/la_data_in[101] mprj/la_data_in[102] wbs_adr_i[25] mprj/la_data_in[104]
++ mprj/la_data_in[105] mprj/la_data_in[106] mprj/la_data_in[107] mprj/la_data_in[108]
++ mprj/la_data_in[109] mprj/la_data_in[10] mprj/la_data_in[110] mprj/la_data_in[111]
++ mprj/la_data_in[112] mprj/la_data_in[113] mprj/la_data_in[114] mprj/la_data_in[115]
++ wbs_dat_o[27] mprj/la_data_in[117] mprj/la_data_in[118] mprj/la_data_in[119] mprj/la_data_in[11]
++ mprj/la_data_in[120] mprj/la_data_in[121] mprj/la_data_in[122] mprj/la_data_in[123]
++ mprj/la_data_in[124] mprj/la_data_in[125] mprj/la_data_in[126] mprj/la_data_in[127]
++ mprj/la_data_in[12] mprj/la_data_in[13] wbs_dat_o[6] mprj/la_data_in[15] mprj/la_data_in[16]
++ mprj/la_data_in[17] mprj/la_data_in[18] mprj/la_data_in[19] wbs_adr_i[4] mprj/la_data_in[20]
++ mprj/la_data_in[21] mprj/la_data_in[22] mprj/la_data_in[23] mprj/la_data_in[24]
++ mprj/la_data_in[25] mprj/la_data_in[26] wbs_dat_i[9] mprj/la_data_in[28] mprj/la_data_in[29]
++ mprj/la_data_in[2] mprj/la_data_in[30] mprj/la_data_in[31] mprj/la_data_in[32] mprj/la_data_in[33]
++ mprj/la_data_in[34] wbs_adr_i[11] mprj/la_data_in[36] mprj/la_data_in[37] mprj/la_data_in[38]
++ mprj/la_data_in[39] mprj/la_data_in[3] mprj/la_data_in[40] mprj/la_data_in[41] mprj/la_data_in[42]
++ mprj/la_data_in[43] mprj/la_data_in[44] mprj/la_data_in[45] mprj/la_data_in[46]
++ mprj/la_data_in[47] wbs_dat_o[13] mprj/la_data_in[49] mprj/la_data_in[4] mprj/la_data_in[50]
++ mprj/la_data_in[51] mprj/la_data_in[52] mprj/la_data_in[53] mprj/la_data_in[54]
++ mprj/la_data_in[55] mprj/la_data_in[56] mprj/la_data_in[57] mprj/la_data_in[58]
++ mprj/la_data_in[59] mprj/la_data_in[5] mprj/la_data_in[60] mprj/la_data_in[61] mprj/la_data_in[62]
++ mprj/la_data_in[63] mprj/la_data_in[64] mprj/la_data_in[65] mprj/la_data_in[66]
++ mprj/la_data_in[67] mprj/la_data_in[68] mprj/la_data_in[69] wbs_adr_i[5] mprj/la_data_in[70]
++ mprj/la_data_in[71] mprj/la_data_in[72] mprj/la_data_in[73] wbs_adr_i[19] mprj/la_data_in[75]
++ mprj/la_data_in[76] mprj/la_data_in[77] mprj/la_data_in[78] mprj/la_data_in[79]
++ mprj/la_data_in[7] mprj/la_data_in[80] mprj/la_data_in[81] wbs_dat_o[20] mprj/la_data_in[83]
++ mprj/la_data_in[84] mprj/la_data_in[85] mprj/la_data_in[86] mprj/la_data_in[87]
++ mprj/la_data_in[88] mprj/la_data_in[89] mprj/la_data_in[8] mprj/la_data_in[90] mprj/la_data_in[91]
++ mprj/la_data_in[92] mprj/la_data_in[93] mprj/la_data_in[94] wbs_dat_i[23] mprj/la_data_in[96]
++ mprj/la_data_in[97] mprj/la_data_in[98] mprj/la_data_in[99] mprj/la_data_in[9] mprj/la_data_out[0]
++ mprj/la_data_out[100] mprj/la_data_out[101] mprj/la_data_out[102] mprj/la_data_out[103]
++ mprj/la_data_out[104] mprj/la_data_out[105] mprj/la_data_out[106] mprj/la_data_out[107]
++ mprj/la_data_out[108] mprj/la_data_out[109] mprj/la_data_out[10] mprj/la_data_out[110]
++ mprj/la_data_out[111] mprj/la_data_out[112] mprj/la_data_out[113] mprj/la_data_out[114]
++ mprj/la_data_out[115] mprj/la_data_out[116] mprj/la_data_out[117] mprj/la_data_out[118]
++ wbs_dat_i[28] mprj/la_data_out[11] mprj/la_data_out[120] mprj/la_data_out[121] mprj/la_data_out[122]
++ mprj/la_data_out[123] mprj/la_data_out[124] mprj/la_data_out[125] mprj/la_data_out[126]
++ wbs_adr_i[30] wbs_dat_i[6] mprj/la_data_out[13] mprj/la_data_out[14] mprj/la_data_out[15]
++ mprj/la_data_out[16] mprj/la_data_out[17] mprj/la_data_out[18] mprj/la_data_out[19]
++ mprj/la_data_out[1] mprj/la_data_out[20] mprj/la_data_out[21] mprj/la_data_out[22]
++ mprj/la_data_out[23] mprj/la_data_out[24] wbs_adr_i[9] mprj/la_data_out[26] mprj/la_data_out[27]
++ mprj/la_data_out[28] mprj/la_data_out[29] mprj/la_data_out[2] mprj/la_data_out[30]
++ mprj/la_data_out[31] mprj/la_data_out[32] mprj/la_data_out[33] mprj/la_data_out[34]
++ mprj/la_data_out[35] mprj/la_data_out[36] mprj/la_data_out[37] mprj/la_data_out[38]
++ mprj/la_data_out[39] mprj/la_data_out[3] mprj/la_data_out[40] mprj/la_data_out[41]
++ mprj/la_data_out[42] mprj/la_data_out[43] mprj/la_data_out[44] mprj/la_data_out[45]
++ wbs_dat_i[13] mprj/la_data_out[47] mprj/la_data_out[48] mprj/la_data_out[49] wbs_dat_o[4]
++ mprj/la_data_out[50] wbs_dat_i[14] mprj/la_data_out[52] mprj/la_data_out[53] mprj/la_data_out[54]
++ mprj/la_data_out[55] mprj/la_data_out[56] mprj/la_data_out[57] mprj/la_data_out[58]
++ wbs_adr_i[16] mprj/la_data_out[5] mprj/la_data_out[60] mprj/la_data_out[61] mprj/la_data_out[62]
++ mprj/la_data_out[63] mprj/la_data_out[64] mprj/la_data_out[65] mprj/la_data_out[66]
++ mprj/la_data_out[67] mprj/la_data_out[68] mprj/la_data_out[69] mprj/la_data_out[6]
++ mprj/la_data_out[70] mprj/la_data_out[71] wbs_dat_o[18] mprj/la_data_out[73] mprj/la_data_out[74]
++ mprj/la_data_out[75] mprj/la_data_out[76] mprj/la_data_out[77] mprj/la_data_out[78]
++ mprj/la_data_out[79] mprj/la_data_out[7] wbs_dat_i[20] mprj/la_data_out[81] mprj/la_data_out[82]
++ mprj/la_data_out[83] mprj/la_data_out[84] mprj/la_data_out[85] mprj/la_data_out[86]
++ mprj/la_data_out[87] mprj/la_data_out[88] mprj/la_data_out[89] mprj/la_data_out[8]
++ mprj/la_data_out[90] mprj/la_data_out[91] mprj/la_data_out[92] wbs_adr_i[23] mprj/la_data_out[94]
++ mprj/la_data_out[95] mprj/la_data_out[96] mprj/la_data_out[97] mprj/la_data_out[98]
++ mprj/la_data_out[99] mprj/la_data_out[9] mprj/la_oen[0] mprj/la_oen[100] mprj/la_oen[101]
++ mprj/la_oen[102] mprj/la_oen[103] wbs_dat_i[25] mprj/la_oen[105] mprj/la_oen[106]
++ mprj/la_oen[107] mprj/la_oen[108] mprj/la_oen[109] mprj/la_oen[10] mprj/la_oen[110]
++ mprj/la_oen[111] mprj/la_oen[112] mprj/la_oen[113] mprj/la_oen[114] mprj/la_oen[115]
++ mprj/la_oen[116] wbs_adr_i[28] mprj/la_oen[118] mprj/la_oen[119] mprj/la_oen[11]
++ mprj/la_oen[120] mprj/la_oen[121] mprj/la_oen[122] mprj/la_oen[123] mprj/la_oen[124]
++ wbs_dat_o[29] mprj/la_oen[126] mprj/la_oen[127] mprj/la_oen[12] mprj/la_oen[13]
++ mprj/la_oen[14] mprj/la_oen[15] mprj/la_oen[16] mprj/la_oen[17] mprj/la_oen[18]
++ mprj/la_oen[19] mprj/la_oen[1] mprj/la_oen[20] mprj/la_oen[21] mprj/la_oen[22] wbs_dat_o[8]
++ mprj/la_oen[24] mprj/la_oen[25] mprj/la_oen[26] mprj/la_oen[27] wbs_dat_o[9] mprj/la_oen[29]
++ wbs_dat_i[4] mprj/la_oen[30] mprj/la_oen[31] mprj/la_oen[32] mprj/la_oen[33] mprj/la_oen[34]
++ mprj/la_oen[35] wbs_dat_i[11] mprj/la_oen[37] mprj/la_oen[38] mprj/la_oen[39] mprj/la_oen[3]
++ mprj/la_oen[40] mprj/la_oen[41] mprj/la_oen[42] mprj/la_oen[43] mprj/la_oen[44]
++ mprj/la_oen[45] mprj/la_oen[46] mprj/la_oen[47] mprj/la_oen[48] wbs_adr_i[14] mprj/la_oen[4]
++ mprj/la_oen[50] mprj/la_oen[51] mprj/la_oen[52] mprj/la_oen[53] mprj/la_oen[54]
++ mprj/la_oen[55] mprj/la_oen[56] wbs_dat_o[15] mprj/la_oen[58] mprj/la_oen[59] mprj/la_oen[5]
++ mprj/la_oen[60] mprj/la_oen[61] mprj/la_oen[62] mprj/la_oen[63] mprj/la_oen[64]
++ mprj/la_oen[65] mprj/la_oen[66] mprj/la_oen[67] mprj/la_oen[68] mprj/la_oen[69]
++ mprj/la_oen[6] wbs_dat_i[18] mprj/la_oen[71] mprj/la_oen[72] mprj/la_oen[73] mprj/la_oen[74]
++ mprj/la_oen[75] mprj/la_oen[76] mprj/la_oen[77] mprj/la_oen[78] mprj/la_oen[79]
++ mprj/la_oen[7] mprj/la_oen[80] mprj/la_oen[81] mprj/la_oen[82] mprj/la_oen[83] mprj/la_oen[84]
++ mprj/la_oen[85] mprj/la_oen[86] mprj/la_oen[87] mprj/la_oen[88] mprj/la_oen[89]
++ mprj/la_oen[8] mprj/la_oen[90] mprj/la_oen[91] mprj/la_oen[92] mprj/la_oen[93] mprj/la_oen[94]
++ mprj/la_oen[95] wbs_dat_o[23] mprj/la_oen[97] mprj/la_oen[98] mprj/la_oen[99] mprj/la_oen[9]
++ mprj/wb_clk_i mprj/wb_rst_i mprj/wbs_ack_o mprj/wbs_adr_i[0] mprj/wbs_adr_i[10]
++ mprj/wbs_adr_i[11] wbs_sel_i[0] mprj/wbs_adr_i[13] mprj/wbs_adr_i[14] mprj/wbs_adr_i[15]
++ mprj/wbs_adr_i[16] mprj/wbs_adr_i[17] mprj/wbs_adr_i[18] mprj/wbs_adr_i[19] mprj/wbs_adr_i[1]
++ mprj/wbs_adr_i[20] mprj/wbs_adr_i[21] mprj/wbs_adr_i[22] mprj/wbs_adr_i[23] mprj/wbs_adr_i[24]
++ mprj/wbs_adr_i[25] mprj/wbs_adr_i[26] mprj/wbs_adr_i[27] mprj/wbs_adr_i[28] mprj/wbs_adr_i[29]
++ mprj/wbs_adr_i[2] mprj/wbs_adr_i[30] mprj/wbs_adr_i[31] mprj/wbs_adr_i[3] mprj/wbs_adr_i[4]
++ mprj/wbs_adr_i[5] mprj/wbs_adr_i[6] mprj/wbs_adr_i[7] mprj/wbs_adr_i[8] mprj/wbs_adr_i[9]
++ mprj/wbs_cyc_i wb_rst_i wbs_dat_o[0] mprj/wbs_dat_i[11] mprj/wbs_dat_i[12] mprj/wbs_dat_i[13]
++ mprj/wbs_dat_i[14] mprj/wbs_dat_i[15] mprj/wbs_dat_i[16] mprj/wbs_dat_i[17] mprj/wbs_dat_i[18]
++ mprj/wbs_dat_i[19] mprj/wbs_dat_i[1] mprj/wbs_dat_i[20] mprj/wbs_dat_i[21] mprj/wbs_dat_i[22]
++ wbs_dat_o[2] mprj/wbs_dat_i[24] mprj/wbs_dat_i[25] mprj/wbs_dat_i[26] mprj/wbs_dat_i[27]
++ mprj/wbs_dat_i[28] mprj/wbs_dat_i[29] mprj/wbs_dat_i[2] mprj/wbs_dat_i[30] mprj/wbs_dat_i[31]
++ mprj/wbs_dat_i[3] mprj/wbs_dat_i[4] mprj/wbs_dat_i[5] mprj/wbs_dat_i[6] mprj/wbs_dat_i[7]
++ mprj/wbs_dat_i[8] mprj/wbs_dat_i[9] mprj/wbs_dat_o[0] mprj/wbs_dat_o[10] mprj/wbs_dat_o[11]
++ mprj/wbs_dat_o[12] wbs_adr_i[1] mprj/wbs_dat_o[14] mprj/wbs_dat_o[15] mprj/wbs_dat_o[16]
++ mprj/wbs_dat_o[17] mprj/wbs_dat_o[18] mprj/wbs_dat_o[19] wbs_ack_o mprj/wbs_dat_o[20]
++ wbs_dat_i[2] mprj/wbs_dat_o[22] mprj/wbs_dat_o[23] mprj/wbs_dat_o[24] mprj/wbs_dat_o[25]
++ mprj/wbs_dat_o[26] mprj/wbs_dat_o[27] mprj/wbs_dat_o[28] mprj/wbs_dat_o[29] mprj/wbs_dat_o[2]
++ mprj/wbs_dat_o[30] mprj/wbs_dat_o[31] mprj/wbs_dat_o[3] mprj/wbs_dat_o[4] mprj/wbs_dat_o[5]
++ mprj/wbs_dat_o[6] mprj/wbs_dat_o[7] mprj/wbs_dat_o[8] mprj/wbs_dat_o[9] mprj/wbs_sel_i[0]
++ mprj/wbs_sel_i[1] mprj/wbs_sel_i[2] mprj/wbs_sel_i[3] mprj/wbs_stb_i mprj/wbs_we_i
++ mprj/VPWR mprj/VGND user_proj_example
+.ends
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
new file mode 100644
index 0000000..2ea1e97
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
@@ -0,0 +1,56 @@
+/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
+
+module user_project_wrapper(wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_in, la_data_out, la_oen, io_in, io_out, io_oeb, analog_io, user_clock2);
+  inout [30:0] analog_io;
+  input [37:0] io_in;
+  output [37:0] io_oeb;
+  output [37:0] io_out;
+  input [127:0] la_data_in;
+  output [127:0] la_data_out;
+  input [127:0] la_oen;
+  input user_clock2;
+  wire vccd1;
+  wire vccd2;
+  wire vdda1;
+  wire vdda2;
+  wire vssa1;
+  wire vssa2;
+  wire vssd1;
+  wire vssd2;
+  input wb_clk_i;
+  input wb_rst_i;
+  output wbs_ack_o;
+  input [31:0] wbs_adr_i;
+  input wbs_cyc_i;
+  input [31:0] wbs_dat_i;
+  output [31:0] wbs_dat_o;
+  input [3:0] wbs_sel_i;
+  input wbs_stb_i;
+  input wbs_we_i;
+  user_proj_example mprj (
+    .io_in(io_in),
+    .io_oeb(io_oeb),
+    .io_out(io_out),
+    .la_data_in(la_data_in),
+    .la_data_out(la_data_out),
+    .la_oen(la_oen),
+    .vccd1(vccd1),
+    .vccd2(vccd2),
+    .vdda1(vdda1),
+    .vdda2(vdda2),
+    .vssa1(vssa1),
+    .vssa2(vssa2),
+    .vssd1(vssd1),
+    .vssd2(vssd2),
+    .wb_clk_i(wb_clk_i),
+    .wb_rst_i(wb_rst_i),
+    .wbs_ack_o(wbs_ack_o),
+    .wbs_adr_i(wbs_adr_i),
+    .wbs_cyc_i(wbs_cyc_i),
+    .wbs_dat_i(wbs_dat_i),
+    .wbs_dat_o(wbs_dat_o),
+    .wbs_sel_i(wbs_sel_i),
+    .wbs_stb_i(wbs_stb_i),
+    .wbs_we_i(wbs_we_i)
+  );
+endmodule
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
new file mode 100644
index 0000000..0c066f5
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
@@ -0,0 +1,2585 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
+COMPONENTS 2 ;
+- obs_core_obs obs_core_obs + FIXED ( 2400 2400 ) N ;
+    - mprj user_proj_example ;
+END COMPONENTS
+PINS 636 ;
+    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 29580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2375580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2610180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2844780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 3079380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 3313980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 264180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 3483300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 3195660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2908700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2621060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2334100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2046460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 498780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 1759500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 733380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 967980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1202580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1437180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1671780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1906380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2140980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 88060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2434060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2669340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2903940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 3138540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 3373140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 322660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 3411220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 3124260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2836620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2549660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2262020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1975060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 557260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1687420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1471860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1256300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1040740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 825180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 610300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 394740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 179180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 791860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1026460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1261060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1495660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1730260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1964860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2199460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 205020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2551700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2786300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3020900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3255500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3490100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 439620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3267740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2980100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2693140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2405500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2118540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1830900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 674220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1543940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1328380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1112820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 897260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 681700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 466140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 250580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 35700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 909500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1144100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1378700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1613300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1847900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2082500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2317100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 146540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2493220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2727820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2962420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3197020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3431620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 381140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3339820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3052180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2765220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2477580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2189940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1902980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 615740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1615340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1400460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1184900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 969340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 753780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 538220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 322660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 107100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 850340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1084940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1319540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1554140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1789420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2024020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2258620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+END PINS
+NETS 636 ;
+    - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
+    - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
+    - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
+    - analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
+    - analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
+    - analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
+    - analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
+    - analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
+    - analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
+    - analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
+    - analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
+    - analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
+    - analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
+    - analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
+    - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
+    - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
+    - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
+    - analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
+    - analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
+    - analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
+    - analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
+    - analog_io[29] ( PIN analog_io[29] ) + USE SIGNAL ;
+    - analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
+    - analog_io[30] ( PIN analog_io[30] ) + USE SIGNAL ;
+    - analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
+    - analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
+    - analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
+    - analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
+    - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
+    - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
+    - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
+    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
+    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
+    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
+    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
+    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
+    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
+    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
+    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
+    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
+    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
+    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
+    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
+    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
+    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
+    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
+    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
+    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
+    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
+    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
+    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
+    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
+    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
+    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
+    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
+    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
+    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
+    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
+    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
+    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
+    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
+    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
+    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
+    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
+    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
+    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
+    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
+    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
+    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
+    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
+    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
+    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
+    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
+    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
+    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
+    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
+    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
+    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
+    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
+    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
+    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
+    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
+    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
+    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
+    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
+    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
+    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
+    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
+    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
+    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
+    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
+    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
+    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
+    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
+    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
+    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
+    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
+    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
+    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
+    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
+    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
+    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
+    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
+    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
+    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
+    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
+    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
+    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
+    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
+    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
+    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
+    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
+    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
+    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
+    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
+    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
+    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
+    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
+    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
+    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
+    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
+    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
+    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
+    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
+    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
+    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
+    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
+    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
+    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
+    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
+    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
+    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
+    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
+    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
+    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
+    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
+    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
+    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
+    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
+    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
+    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
+    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
+    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
+    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
+    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
+    - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL ;
+    - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL ;
+    - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL ;
+    - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL ;
+    - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL ;
+    - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL ;
+    - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL ;
+    - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL ;
+    - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL ;
+    - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL ;
+    - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL ;
+    - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL ;
+    - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL ;
+    - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL ;
+    - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL ;
+    - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL ;
+    - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL ;
+    - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL ;
+    - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL ;
+    - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL ;
+    - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL ;
+    - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL ;
+    - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL ;
+    - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL ;
+    - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL ;
+    - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL ;
+    - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL ;
+    - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL ;
+    - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL ;
+    - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL ;
+    - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL ;
+    - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL ;
+    - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL ;
+    - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL ;
+    - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL ;
+    - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL ;
+    - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL ;
+    - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL ;
+    - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL ;
+    - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL ;
+    - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL ;
+    - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL ;
+    - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL ;
+    - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL ;
+    - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL ;
+    - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL ;
+    - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL ;
+    - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL ;
+    - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL ;
+    - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL ;
+    - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL ;
+    - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL ;
+    - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL ;
+    - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL ;
+    - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL ;
+    - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL ;
+    - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL ;
+    - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL ;
+    - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL ;
+    - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL ;
+    - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL ;
+    - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL ;
+    - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL ;
+    - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL ;
+    - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL ;
+    - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL ;
+    - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL ;
+    - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL ;
+    - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL ;
+    - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL ;
+    - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL ;
+    - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL ;
+    - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL ;
+    - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL ;
+    - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL ;
+    - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL ;
+    - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL ;
+    - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL ;
+    - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL ;
+    - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL ;
+    - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL ;
+    - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL ;
+    - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL ;
+    - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL ;
+    - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL ;
+    - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL ;
+    - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL ;
+    - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL ;
+    - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL ;
+    - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL ;
+    - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL ;
+    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL ;
+    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL ;
+    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL ;
+    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL ;
+    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL ;
+    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL ;
+    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL ;
+    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL ;
+    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL ;
+    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL ;
+    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL ;
+    - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL ;
+    - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL ;
+    - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL ;
+    - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL ;
+    - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL ;
+    - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL ;
+    - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL ;
+    - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL ;
+    - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL ;
+    - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL ;
+    - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL ;
+    - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL ;
+    - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL ;
+    - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL ;
+    - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL ;
+    - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL ;
+    - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL ;
+    - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL ;
+    - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL ;
+    - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL ;
+    - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL ;
+    - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL ;
+    - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL ;
+    - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL ;
+    - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL ;
+    - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL ;
+    - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL ;
+    - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL ;
+    - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL ;
+    - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL ;
+    - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL ;
+    - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL ;
+    - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL ;
+    - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL ;
+    - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL ;
+    - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL ;
+    - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL ;
+    - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL ;
+    - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL ;
+    - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL ;
+    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL ;
+    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL ;
+    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL ;
+    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL ;
+    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL ;
+    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL ;
+    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL ;
+    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL ;
+    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL ;
+    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL ;
+    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL ;
+    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL ;
+    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL ;
+    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL ;
+    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL ;
+    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL ;
+    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL ;
+    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL ;
+    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL ;
+    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL ;
+    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL ;
+    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL ;
+    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL ;
+    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL ;
+    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL ;
+    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL ;
+    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL ;
+    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL ;
+    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL ;
+    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL ;
+    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL ;
+    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL ;
+    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL ;
+    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL ;
+    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL ;
+    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL ;
+    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL ;
+    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL ;
+    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL ;
+    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL ;
+    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL ;
+    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL ;
+    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL ;
+    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL ;
+    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL ;
+    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL ;
+    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL ;
+    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL ;
+    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL ;
+    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL ;
+    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL ;
+    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL ;
+    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL ;
+    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL ;
+    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL ;
+    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL ;
+    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL ;
+    - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL ;
+    - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL ;
+    - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL ;
+    - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL ;
+    - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL ;
+    - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL ;
+    - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL ;
+    - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL ;
+    - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL ;
+    - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL ;
+    - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL ;
+    - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL ;
+    - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL ;
+    - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL ;
+    - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL ;
+    - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL ;
+    - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL ;
+    - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL ;
+    - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL ;
+    - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL ;
+    - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL ;
+    - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL ;
+    - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL ;
+    - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL ;
+    - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL ;
+    - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL ;
+    - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL ;
+    - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL ;
+    - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL ;
+    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL ;
+    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL ;
+    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL ;
+    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL ;
+    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL ;
+    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL ;
+    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL ;
+    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL ;
+    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL ;
+    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL ;
+    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL ;
+    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL ;
+    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL ;
+    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL ;
+    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL ;
+    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL ;
+    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL ;
+    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL ;
+    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL ;
+    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL ;
+    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL ;
+    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL ;
+    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL ;
+    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL ;
+    - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL ;
+    - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL ;
+    - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL ;
+    - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL ;
+    - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) + USE SIGNAL ;
+    - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) + USE SIGNAL ;
+    - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) + USE SIGNAL ;
+    - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) + USE SIGNAL ;
+    - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) + USE SIGNAL ;
+    - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) + USE SIGNAL ;
+    - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) + USE SIGNAL ;
+    - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) + USE SIGNAL ;
+    - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) + USE SIGNAL ;
+    - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) + USE SIGNAL ;
+    - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) + USE SIGNAL ;
+    - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) + USE SIGNAL ;
+    - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) + USE SIGNAL ;
+    - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) + USE SIGNAL ;
+    - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) + USE SIGNAL ;
+    - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) + USE SIGNAL ;
+    - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) + USE SIGNAL ;
+    - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) + USE SIGNAL ;
+    - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) + USE SIGNAL ;
+    - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) + USE SIGNAL ;
+    - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) + USE SIGNAL ;
+    - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) + USE SIGNAL ;
+    - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) + USE SIGNAL ;
+    - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) + USE SIGNAL ;
+    - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) + USE SIGNAL ;
+    - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) + USE SIGNAL ;
+    - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) + USE SIGNAL ;
+    - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) + USE SIGNAL ;
+    - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) + USE SIGNAL ;
+    - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) + USE SIGNAL ;
+    - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) + USE SIGNAL ;
+    - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) + USE SIGNAL ;
+    - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) + USE SIGNAL ;
+    - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) + USE SIGNAL ;
+    - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) + USE SIGNAL ;
+    - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) + USE SIGNAL ;
+    - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) + USE SIGNAL ;
+    - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) + USE SIGNAL ;
+    - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) + USE SIGNAL ;
+    - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) + USE SIGNAL ;
+    - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) + USE SIGNAL ;
+    - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) + USE SIGNAL ;
+    - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) + USE SIGNAL ;
+    - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) + USE SIGNAL ;
+    - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) + USE SIGNAL ;
+    - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) + USE SIGNAL ;
+    - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) + USE SIGNAL ;
+    - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) + USE SIGNAL ;
+    - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) + USE SIGNAL ;
+    - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) + USE SIGNAL ;
+    - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) + USE SIGNAL ;
+    - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) + USE SIGNAL ;
+    - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) + USE SIGNAL ;
+    - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) + USE SIGNAL ;
+    - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) + USE SIGNAL ;
+    - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) + USE SIGNAL ;
+    - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) + USE SIGNAL ;
+    - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) + USE SIGNAL ;
+    - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) + USE SIGNAL ;
+    - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) + USE SIGNAL ;
+    - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) + USE SIGNAL ;
+    - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) + USE SIGNAL ;
+    - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) + USE SIGNAL ;
+    - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) + USE SIGNAL ;
+    - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) + USE SIGNAL ;
+    - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) + USE SIGNAL ;
+    - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) + USE SIGNAL ;
+    - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) + USE SIGNAL ;
+    - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) + USE SIGNAL ;
+    - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) + USE SIGNAL ;
+    - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) + USE SIGNAL ;
+    - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) + USE SIGNAL ;
+    - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) + USE SIGNAL ;
+    - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) + USE SIGNAL ;
+    - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) + USE SIGNAL ;
+    - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) + USE SIGNAL ;
+    - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) + USE SIGNAL ;
+    - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) + USE SIGNAL ;
+    - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) + USE SIGNAL ;
+    - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) + USE SIGNAL ;
+    - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) + USE SIGNAL ;
+    - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) + USE SIGNAL ;
+    - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) + USE SIGNAL ;
+    - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) + USE SIGNAL ;
+    - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) + USE SIGNAL ;
+    - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) + USE SIGNAL ;
+    - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) + USE SIGNAL ;
+    - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) + USE SIGNAL ;
+    - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) + USE SIGNAL ;
+    - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) + USE SIGNAL ;
+    - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) + USE SIGNAL ;
+    - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) + USE SIGNAL ;
+    - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) + USE SIGNAL ;
+    - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) + USE SIGNAL ;
+    - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) + USE SIGNAL ;
+    - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) + USE SIGNAL ;
+    - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) + USE SIGNAL ;
+    - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) + USE SIGNAL ;
+    - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) + USE SIGNAL ;
+    - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) + USE SIGNAL ;
+    - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) + USE SIGNAL ;
+    - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) + USE SIGNAL ;
+    - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) + USE SIGNAL ;
+    - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) + USE SIGNAL ;
+    - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) + USE SIGNAL ;
+    - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) + USE SIGNAL ;
+    - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) + USE SIGNAL ;
+    - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) + USE SIGNAL ;
+    - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) + USE SIGNAL ;
+    - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) + USE SIGNAL ;
+    - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) + USE SIGNAL ;
+    - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) + USE SIGNAL ;
+    - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) + USE SIGNAL ;
+    - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) + USE SIGNAL ;
+    - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) + USE SIGNAL ;
+    - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) + USE SIGNAL ;
+    - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) + USE SIGNAL ;
+    - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) + USE SIGNAL ;
+    - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) + USE SIGNAL ;
+    - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) + USE SIGNAL ;
+    - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) + USE SIGNAL ;
+    - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) + USE SIGNAL ;
+    - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) + USE SIGNAL ;
+    - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) + USE SIGNAL ;
+    - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) + USE SIGNAL ;
+    - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) + USE SIGNAL ;
+    - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) + USE SIGNAL ;
+    - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) + USE SIGNAL ;
+    - user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
+    - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL ;
+    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL ;
+    - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL ;
+    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL ;
+    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL ;
+    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL ;
+    - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL ;
+    - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL ;
+    - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL ;
+    - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL ;
+    - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL ;
+    - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL ;
+    - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL ;
+    - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL ;
+    - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL ;
+    - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL ;
+    - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL ;
+    - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL ;
+    - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL ;
+    - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL ;
+    - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL ;
+    - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL ;
+    - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL ;
+    - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL ;
+    - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL ;
+    - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL ;
+    - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL ;
+    - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL ;
+    - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL ;
+    - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL ;
+    - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL ;
+    - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL ;
+    - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL ;
+    - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL ;
+    - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL ;
+    - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL ;
+    - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL ;
+    - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL ;
+    - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL ;
+    - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL ;
+    - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL ;
+    - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL ;
+    - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL ;
+    - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL ;
+    - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL ;
+    - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL ;
+    - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL ;
+    - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL ;
+    - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL ;
+    - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL ;
+    - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL ;
+    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL ;
+    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL ;
+    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL ;
+    - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL ;
+    - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL ;
+    - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL ;
+    - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL ;
+    - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL ;
+    - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL ;
+    - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL ;
+    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL ;
+    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL ;
+    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL ;
+    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL ;
+    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL ;
+    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL ;
+    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL ;
+    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL ;
+    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL ;
+    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL ;
+    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL ;
+    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL ;
+    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL ;
+    - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL ;
+    - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL ;
+    - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL ;
+    - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL ;
+    - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL ;
+    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL ;
+    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL ;
+    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL ;
+    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL ;
+    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL ;
+    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL ;
+    - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL ;
+    - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL ;
+    - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL ;
+    - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL ;
+    - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL ;
+    - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL ;
+    - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL ;
+    - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL ;
+    - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL ;
+    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL ;
+    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL ;
+    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL ;
+    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL ;
+    - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL ;
+    - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL ;
+    - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL ;
+    - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL ;
+    - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL ;
+    - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
+    - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
+    - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
new file mode 100644
index 0000000..7d14fd9
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
@@ -0,0 +1,4722 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
+VIAS 1 ;
+    - via4_2000x2000 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 600 600 600 600  ;
+END VIAS
+COMPONENTS 2 ;
+    - obs_core_obs obs_core_obs + FIXED ( 2400 2400 ) N ;
+    - mprj user_proj_example ;
+END COMPONENTS
+PINS 644 ;
+    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 29580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2375580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2610180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2844780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 3079380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 3313980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 264180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 3483300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 3195660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2908700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2621060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2334100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2046460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 498780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 1759500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 733380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 967980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1202580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1437180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1671780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 1906380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2918800 2140980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 88060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2434060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2669340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2903940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 3138540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 3373140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 322660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 3411220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 3124260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2836620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2549660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2262020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1975060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 557260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1687420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1471860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1256300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1040740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 825180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 610300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 394740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 179180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 791860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1026460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1261060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1495660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1730260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 1964860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2918800 2199460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 205020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2551700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2786300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3020900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3255500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3490100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 439620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3267740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2980100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2693140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2405500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2118540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1830900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 674220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1543940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1328380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1112820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 897260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 681700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 466140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 250580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 35700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 909500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1144100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1378700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1613300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1847900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2082500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2317100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 146540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2493220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2727820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2962420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3197020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 3431620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 381140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3518800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3339820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 3052180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2765220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2477580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2189940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1902980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 615740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1615340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1400460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1184900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 969340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 753780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 538220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 322660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 107100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 850340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1084940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1319540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1554140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 1789420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2024020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2918800 2258620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469290 -1000 ) ( 1469290 1000 ) ;
+    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7120 ) N + LAYER met5 ( -1473290 -1000 ) ( 1473290 1000 ) ;
+    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -11120 ) N + LAYER met5 ( -1477290 -1000 ) ( 1477290 1000 ) ;
+    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -15120 ) N + LAYER met5 ( -1481290 -1000 ) ( 1481290 1000 ) ;
+    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -19120 ) N + LAYER met5 ( -1485290 -1000 ) ( 1485290 1000 ) ;
+    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -23120 ) N + LAYER met5 ( -1489290 -1000 ) ( 1489290 1000 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -27120 ) N + LAYER met5 ( -1493290 -1000 ) ( 1493290 1000 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -31120 ) N + LAYER met5 ( -1497290 -1000 ) ( 1497290 1000 ) ;
+END PINS
+SPECIALNETS 8 ;
+    - vccd1 ( PIN vccd1 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2905520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2805520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2605520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2505520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2405520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2305520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2205520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2105520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2005520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1905520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1705520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1605520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1505520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1405520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1305520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1205520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1105520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1005520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 805520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 705520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 605520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 505520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 405520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 305520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 205520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 105520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 3010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 3010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 2010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 2010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 1010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 1010880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 810880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 710880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 610880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 510880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 410880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 310880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 210880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 110880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2905520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2805520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2605520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2505520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2405520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2305520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2205520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2105520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2005520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1905520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1705520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1605520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1505520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1405520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1305520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1205520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1105520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1005520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 805520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 705520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 605520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 505520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 405520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 305520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 205520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 105520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -9480 3522800 ) ( 2929100 3522800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3410880 ) ( 2933100 3410880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3410880 ) ( 2400 3410880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3310880 ) ( 2933100 3310880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3310880 ) ( 2400 3310880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3210880 ) ( 2933100 3210880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3210880 ) ( 2400 3210880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3110880 ) ( 2933100 3110880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3110880 ) ( 2400 3110880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3010880 ) ( 2933100 3010880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3010880 ) ( 2400 3010880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2910880 ) ( 2933100 2910880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2910880 ) ( 2400 2910880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2810880 ) ( 2933100 2810880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2810880 ) ( 2400 2810880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2933100 2710880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2710880 ) ( 2400 2710880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2610880 ) ( 2933100 2610880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2610880 ) ( 2400 2610880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2510880 ) ( 2933100 2510880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2510880 ) ( 2400 2510880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2410880 ) ( 2933100 2410880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2410880 ) ( 2400 2410880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2310880 ) ( 2933100 2310880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2310880 ) ( 2400 2310880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2210880 ) ( 2933100 2210880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2210880 ) ( 2400 2210880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2110880 ) ( 2933100 2110880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2110880 ) ( 2400 2110880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2010880 ) ( 2933100 2010880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2010880 ) ( 2400 2010880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1910880 ) ( 2933100 1910880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1910880 ) ( 2400 1910880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2933100 1810880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1810880 ) ( 2400 1810880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1710880 ) ( 2933100 1710880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1710880 ) ( 2400 1710880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1610880 ) ( 2933100 1610880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1610880 ) ( 2400 1610880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1510880 ) ( 2933100 1510880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1510880 ) ( 2400 1510880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1410880 ) ( 2933100 1410880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1410880 ) ( 2400 1410880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1310880 ) ( 2933100 1310880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1310880 ) ( 2400 1310880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1210880 ) ( 2933100 1210880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1210880 ) ( 2400 1210880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1110880 ) ( 2933100 1110880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1110880 ) ( 2400 1110880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1010880 ) ( 2933100 1010880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1010880 ) ( 2400 1010880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 910880 ) ( 2933100 910880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 910880 ) ( 2400 910880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 810880 ) ( 2933100 810880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 810880 ) ( 2400 810880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 710880 ) ( 2933100 710880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 710880 ) ( 2400 710880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 610880 ) ( 2933100 610880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 610880 ) ( 2400 610880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 510880 ) ( 2933100 510880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 510880 ) ( 2400 510880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 410880 ) ( 2933100 410880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 410880 ) ( 2400 410880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 310880 ) ( 2933100 310880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 310880 ) ( 2400 310880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 210880 ) ( 2933100 210880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 210880 ) ( 2400 210880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 110880 ) ( 2933100 110880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 110880 ) ( 2400 110880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 10880 ) ( 2933100 10880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 10880 ) ( 2400 10880 )
+      NEW met5 2000 + SHAPE STRIPE ( -9480 -3120 ) ( 2929100 -3120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2905520 3517600 ) ( 2905520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2805520 3517600 ) ( 2805520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2605520 3517600 ) ( 2605520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2505520 3517600 ) ( 2505520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2405520 3517600 ) ( 2405520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2305520 3517600 ) ( 2305520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2205520 3517600 ) ( 2205520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2105520 3517600 ) ( 2105520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2005520 3517600 ) ( 2005520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1905520 3517600 ) ( 1905520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1705520 3517600 ) ( 1705520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1605520 3517600 ) ( 1605520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1505520 3517600 ) ( 1505520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1405520 3517600 ) ( 1405520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1305520 3517600 ) ( 1305520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1205520 3517600 ) ( 1205520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1105520 3517600 ) ( 1105520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1005520 3517600 ) ( 1005520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 805520 3517600 ) ( 805520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 705520 3517600 ) ( 705520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 605520 3517600 ) ( 605520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 505520 3517600 ) ( 505520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 405520 3517600 ) ( 405520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 305520 3517600 ) ( 305520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 205520 3517600 ) ( 205520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 105520 3517600 ) ( 105520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2928100 -4120 ) ( 2928100 3523800 )
+      NEW met4 2000 + SHAPE STRIPE ( -8480 -4120 ) ( -8480 3523800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2905520 -8120 ) ( 2905520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2805520 -8120 ) ( 2805520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2705520 -8120 ) ( 2705520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2605520 -8120 ) ( 2605520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2505520 -8120 ) ( 2505520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2405520 -8120 ) ( 2405520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2305520 -8120 ) ( 2305520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2205520 -8120 ) ( 2205520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2105520 -8120 ) ( 2105520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2005520 -8120 ) ( 2005520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1905520 -8120 ) ( 1905520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1805520 -8120 ) ( 1805520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1705520 -8120 ) ( 1705520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1605520 -8120 ) ( 1605520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1505520 -8120 ) ( 1505520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1405520 -8120 ) ( 1405520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1305520 -8120 ) ( 1305520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1205520 -8120 ) ( 1205520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1105520 -8120 ) ( 1105520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1005520 -8120 ) ( 1005520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 905520 -8120 ) ( 905520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 805520 -8120 ) ( 805520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 705520 -8120 ) ( 705520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 605520 -8120 ) ( 605520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 505520 -8120 ) ( 505520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 405520 -8120 ) ( 405520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 305520 -8120 ) ( 305520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 205520 -8120 ) ( 205520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 105520 -8120 ) ( 105520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 5520 -8120 ) ( 5520 2400 ) ;
+    - vssd1 ( PIN vssd1 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2932100 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2855520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2755520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2655520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2555520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2455520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2355520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2155520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2055520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1955520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1855520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1755520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1655520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1555520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1455520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1255520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1155520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1055520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 955520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 855520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 755520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 655520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 555520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 455520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 355520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 255520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 155520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 55520 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3526800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 3460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 3360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 3260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 3160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 3060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 3060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 2060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 2060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 1060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 1060880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 960880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 860880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 760880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 660880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 560880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 460880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 360880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 260880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 160880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 60880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 60880 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2932100 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2855520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2755520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2655520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2555520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2455520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2355520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2155520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2055520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1955520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1855520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1755520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1655520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1555520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1455520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1255520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1155520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1055520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 955520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 855520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 755520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 655520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 555520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 455520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 355520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 255520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 155520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 55520 -7120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -12480 -7120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3526800 ) ( 2933100 3526800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3460880 ) ( 2933100 3460880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3460880 ) ( 2400 3460880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3360880 ) ( 2933100 3360880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3360880 ) ( 2400 3360880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3260880 ) ( 2933100 3260880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3260880 ) ( 2400 3260880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2933100 3160880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3160880 ) ( 2400 3160880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3060880 ) ( 2933100 3060880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 3060880 ) ( 2400 3060880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2960880 ) ( 2933100 2960880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2960880 ) ( 2400 2960880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2860880 ) ( 2933100 2860880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2860880 ) ( 2400 2860880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2760880 ) ( 2933100 2760880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2760880 ) ( 2400 2760880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2660880 ) ( 2933100 2660880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2660880 ) ( 2400 2660880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2560880 ) ( 2933100 2560880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2560880 ) ( 2400 2560880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2460880 ) ( 2933100 2460880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2460880 ) ( 2400 2460880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2360880 ) ( 2933100 2360880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2360880 ) ( 2400 2360880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2933100 2260880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2260880 ) ( 2400 2260880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2160880 ) ( 2933100 2160880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2160880 ) ( 2400 2160880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2060880 ) ( 2933100 2060880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 2060880 ) ( 2400 2060880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1960880 ) ( 2933100 1960880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1960880 ) ( 2400 1960880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1860880 ) ( 2933100 1860880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1860880 ) ( 2400 1860880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1760880 ) ( 2933100 1760880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1760880 ) ( 2400 1760880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1660880 ) ( 2933100 1660880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1660880 ) ( 2400 1660880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1560880 ) ( 2933100 1560880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1560880 ) ( 2400 1560880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1460880 ) ( 2933100 1460880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1460880 ) ( 2400 1460880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2933100 1360880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1360880 ) ( 2400 1360880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1260880 ) ( 2933100 1260880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1260880 ) ( 2400 1260880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1160880 ) ( 2933100 1160880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1160880 ) ( 2400 1160880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1060880 ) ( 2933100 1060880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 1060880 ) ( 2400 1060880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 960880 ) ( 2933100 960880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 960880 ) ( 2400 960880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 860880 ) ( 2933100 860880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 860880 ) ( 2400 860880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 760880 ) ( 2933100 760880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 760880 ) ( 2400 760880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 660880 ) ( 2933100 660880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 660880 ) ( 2400 660880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 560880 ) ( 2933100 560880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 560880 ) ( 2400 560880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 460880 ) ( 2933100 460880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 460880 ) ( 2400 460880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 360880 ) ( 2933100 360880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 360880 ) ( 2400 360880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 260880 ) ( 2933100 260880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 260880 ) ( 2400 260880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 160880 ) ( 2933100 160880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 160880 ) ( 2400 160880 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 60880 ) ( 2933100 60880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 60880 ) ( 2400 60880 )
+      NEW met5 2000 + SHAPE STRIPE ( -13480 -7120 ) ( 2933100 -7120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2932100 -8120 ) ( 2932100 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2855520 3517600 ) ( 2855520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2755520 3517600 ) ( 2755520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2655520 3517600 ) ( 2655520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2555520 3517600 ) ( 2555520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2455520 3517600 ) ( 2455520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2355520 3517600 ) ( 2355520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2155520 3517600 ) ( 2155520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2055520 3517600 ) ( 2055520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1955520 3517600 ) ( 1955520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1855520 3517600 ) ( 1855520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1755520 3517600 ) ( 1755520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1655520 3517600 ) ( 1655520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1555520 3517600 ) ( 1555520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1455520 3517600 ) ( 1455520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1255520 3517600 ) ( 1255520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1155520 3517600 ) ( 1155520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1055520 3517600 ) ( 1055520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 955520 3517600 ) ( 955520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 855520 3517600 ) ( 855520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 755520 3517600 ) ( 755520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 655520 3517600 ) ( 655520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 555520 3517600 ) ( 555520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 355520 3517600 ) ( 355520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 255520 3517600 ) ( 255520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 155520 3517600 ) ( 155520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 55520 3517600 ) ( 55520 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( -12480 -8120 ) ( -12480 3527800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2855520 -8120 ) ( 2855520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2755520 -8120 ) ( 2755520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2655520 -8120 ) ( 2655520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2555520 -8120 ) ( 2555520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2455520 -8120 ) ( 2455520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2355520 -8120 ) ( 2355520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2255520 -8120 ) ( 2255520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2155520 -8120 ) ( 2155520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2055520 -8120 ) ( 2055520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1955520 -8120 ) ( 1955520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1855520 -8120 ) ( 1855520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1755520 -8120 ) ( 1755520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1655520 -8120 ) ( 1655520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1555520 -8120 ) ( 1555520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1455520 -8120 ) ( 1455520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1355520 -8120 ) ( 1355520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1255520 -8120 ) ( 1255520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1155520 -8120 ) ( 1155520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1055520 -8120 ) ( 1055520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 955520 -8120 ) ( 955520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 855520 -8120 ) ( 855520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 755520 -8120 ) ( 755520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 655520 -8120 ) ( 655520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 555520 -8120 ) ( 555520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 455520 -8120 ) ( 455520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 355520 -8120 ) ( 355520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 255520 -8120 ) ( 255520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 155520 -8120 ) ( 155520 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 55520 -8120 ) ( 55520 2400 ) ;
+    - vccd2 ( PIN vccd2 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2936100 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2815120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2715120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2615120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2515120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2415120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2315120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2215120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2115120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2015120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1915120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1815120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1715120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1615120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1515120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1415120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1315120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1215120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1115120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1015120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 915120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 815120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 715120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 615120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 515120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 415120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 315120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 215120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 115120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 15120 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3530800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 3420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 3320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 3220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 3120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 3020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 3020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 2020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 2020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 1020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 1020480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 920480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 820480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 720480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 620480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 520480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 420480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 320480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 220480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 120480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 20480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 20480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2936100 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2815120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2715120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2615120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2515120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2415120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2315120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2215120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2115120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2015120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1915120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1815120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1715120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1615120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1515120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1415120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1315120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1215120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1115120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1015120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 915120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 815120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 715120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 615120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 515120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 415120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 315120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 215120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 115120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 15120 -11120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -16480 -11120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -17480 3530800 ) ( 2937100 3530800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3420480 ) ( 2941100 3420480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3420480 ) ( 2400 3420480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3320480 ) ( 2941100 3320480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3320480 ) ( 2400 3320480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3220480 ) ( 2941100 3220480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3220480 ) ( 2400 3220480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3120480 ) ( 2941100 3120480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3120480 ) ( 2400 3120480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3020480 ) ( 2941100 3020480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3020480 ) ( 2400 3020480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2920480 ) ( 2941100 2920480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2920480 ) ( 2400 2920480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2820480 ) ( 2941100 2820480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2820480 ) ( 2400 2820480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2720480 ) ( 2941100 2720480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2720480 ) ( 2400 2720480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2620480 ) ( 2941100 2620480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2620480 ) ( 2400 2620480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2520480 ) ( 2941100 2520480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2520480 ) ( 2400 2520480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2420480 ) ( 2941100 2420480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2420480 ) ( 2400 2420480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2320480 ) ( 2941100 2320480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2320480 ) ( 2400 2320480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2220480 ) ( 2941100 2220480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2220480 ) ( 2400 2220480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2120480 ) ( 2941100 2120480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2120480 ) ( 2400 2120480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2020480 ) ( 2941100 2020480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2020480 ) ( 2400 2020480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1920480 ) ( 2941100 1920480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1920480 ) ( 2400 1920480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1820480 ) ( 2941100 1820480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1820480 ) ( 2400 1820480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1720480 ) ( 2941100 1720480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1720480 ) ( 2400 1720480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1620480 ) ( 2941100 1620480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1620480 ) ( 2400 1620480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1520480 ) ( 2941100 1520480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1520480 ) ( 2400 1520480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1420480 ) ( 2941100 1420480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1420480 ) ( 2400 1420480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1320480 ) ( 2941100 1320480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1320480 ) ( 2400 1320480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1220480 ) ( 2941100 1220480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1220480 ) ( 2400 1220480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1120480 ) ( 2941100 1120480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1120480 ) ( 2400 1120480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1020480 ) ( 2941100 1020480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1020480 ) ( 2400 1020480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 920480 ) ( 2941100 920480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 920480 ) ( 2400 920480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 820480 ) ( 2941100 820480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 820480 ) ( 2400 820480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 720480 ) ( 2941100 720480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 720480 ) ( 2400 720480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 620480 ) ( 2941100 620480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 620480 ) ( 2400 620480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 520480 ) ( 2941100 520480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 520480 ) ( 2400 520480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 420480 ) ( 2941100 420480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 420480 ) ( 2400 420480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 320480 ) ( 2941100 320480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 320480 ) ( 2400 320480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 220480 ) ( 2941100 220480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 220480 ) ( 2400 220480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 120480 ) ( 2941100 120480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 120480 ) ( 2400 120480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 20480 ) ( 2941100 20480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 20480 ) ( 2400 20480 )
+      NEW met5 2000 + SHAPE STRIPE ( -17480 -11120 ) ( 2937100 -11120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2815120 3517600 ) ( 2815120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2715120 3517600 ) ( 2715120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2615120 3517600 ) ( 2615120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2515120 3517600 ) ( 2515120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2415120 3517600 ) ( 2415120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2315120 3517600 ) ( 2315120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2215120 3517600 ) ( 2215120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2115120 3517600 ) ( 2115120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2015120 3517600 ) ( 2015120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1915120 3517600 ) ( 1915120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1815120 3517600 ) ( 1815120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1715120 3517600 ) ( 1715120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1615120 3517600 ) ( 1615120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1515120 3517600 ) ( 1515120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1415120 3517600 ) ( 1415120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1315120 3517600 ) ( 1315120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1215120 3517600 ) ( 1215120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1115120 3517600 ) ( 1115120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1015120 3517600 ) ( 1015120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 915120 3517600 ) ( 915120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 815120 3517600 ) ( 815120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 715120 3517600 ) ( 715120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 615120 3517600 ) ( 615120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 515120 3517600 ) ( 515120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 415120 3517600 ) ( 415120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 315120 3517600 ) ( 315120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 215120 3517600 ) ( 215120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 115120 3517600 ) ( 115120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 15120 3517600 ) ( 15120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2936100 -12120 ) ( 2936100 3531800 )
+      NEW met4 2000 + SHAPE STRIPE ( -16480 -12120 ) ( -16480 3531800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2815120 -16120 ) ( 2815120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2715120 -16120 ) ( 2715120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2615120 -16120 ) ( 2615120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2515120 -16120 ) ( 2515120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2415120 -16120 ) ( 2415120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2315120 -16120 ) ( 2315120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2215120 -16120 ) ( 2215120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2115120 -16120 ) ( 2115120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2015120 -16120 ) ( 2015120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1915120 -16120 ) ( 1915120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1815120 -16120 ) ( 1815120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1715120 -16120 ) ( 1715120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1615120 -16120 ) ( 1615120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1515120 -16120 ) ( 1515120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1415120 -16120 ) ( 1415120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1315120 -16120 ) ( 1315120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1215120 -16120 ) ( 1215120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1115120 -16120 ) ( 1115120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1015120 -16120 ) ( 1015120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 915120 -16120 ) ( 915120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 815120 -16120 ) ( 815120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 715120 -16120 ) ( 715120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 615120 -16120 ) ( 615120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 515120 -16120 ) ( 515120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 415120 -16120 ) ( 415120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 315120 -16120 ) ( 315120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 215120 -16120 ) ( 215120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 115120 -16120 ) ( 115120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 15120 -16120 ) ( 15120 2400 ) ;
+    - vssd2 ( PIN vssd2 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2940100 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2865120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2765120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2665120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2565120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2465120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2365120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2265120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2165120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2065120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1965120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1865120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1765120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1665120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1565120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1465120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1365120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1265120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1165120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1065120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 965120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 865120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 765120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 665120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 565120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 465120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 365120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 265120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 165120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 65120 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3534800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 3470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 3370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 3270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 3170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 3070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 3070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 2070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 2070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 1070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 1070480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 970480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 870480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 770480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 670480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 570480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 470480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 370480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 270480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 170480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 70480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 70480 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2940100 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2865120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2765120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2665120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2565120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2465120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2365120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2265120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2165120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2065120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1965120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1865120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1765120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1665120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1565120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1465120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1365120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1265120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1165120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1065120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 965120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 865120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 765120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 665120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 565120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 465120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 365120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 265120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 165120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 65120 -15120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -20480 -15120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3534800 ) ( 2941100 3534800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3470480 ) ( 2941100 3470480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3470480 ) ( 2400 3470480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3370480 ) ( 2941100 3370480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3370480 ) ( 2400 3370480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3270480 ) ( 2941100 3270480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3270480 ) ( 2400 3270480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3170480 ) ( 2941100 3170480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3170480 ) ( 2400 3170480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3070480 ) ( 2941100 3070480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 3070480 ) ( 2400 3070480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2970480 ) ( 2941100 2970480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2970480 ) ( 2400 2970480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2870480 ) ( 2941100 2870480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2870480 ) ( 2400 2870480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2770480 ) ( 2941100 2770480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2770480 ) ( 2400 2770480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2670480 ) ( 2941100 2670480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2670480 ) ( 2400 2670480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2570480 ) ( 2941100 2570480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2570480 ) ( 2400 2570480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2470480 ) ( 2941100 2470480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2470480 ) ( 2400 2470480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2370480 ) ( 2941100 2370480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2370480 ) ( 2400 2370480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2270480 ) ( 2941100 2270480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2270480 ) ( 2400 2270480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2170480 ) ( 2941100 2170480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2170480 ) ( 2400 2170480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2070480 ) ( 2941100 2070480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 2070480 ) ( 2400 2070480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1970480 ) ( 2941100 1970480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1970480 ) ( 2400 1970480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1870480 ) ( 2941100 1870480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1870480 ) ( 2400 1870480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1770480 ) ( 2941100 1770480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1770480 ) ( 2400 1770480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1670480 ) ( 2941100 1670480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1670480 ) ( 2400 1670480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1570480 ) ( 2941100 1570480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1570480 ) ( 2400 1570480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1470480 ) ( 2941100 1470480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1470480 ) ( 2400 1470480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1370480 ) ( 2941100 1370480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1370480 ) ( 2400 1370480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1270480 ) ( 2941100 1270480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1270480 ) ( 2400 1270480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1170480 ) ( 2941100 1170480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1170480 ) ( 2400 1170480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1070480 ) ( 2941100 1070480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 1070480 ) ( 2400 1070480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 970480 ) ( 2941100 970480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 970480 ) ( 2400 970480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 870480 ) ( 2941100 870480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 870480 ) ( 2400 870480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 770480 ) ( 2941100 770480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 770480 ) ( 2400 770480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 670480 ) ( 2941100 670480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 670480 ) ( 2400 670480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 570480 ) ( 2941100 570480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 570480 ) ( 2400 570480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 470480 ) ( 2941100 470480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 470480 ) ( 2400 470480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 370480 ) ( 2941100 370480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 370480 ) ( 2400 370480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 270480 ) ( 2941100 270480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 270480 ) ( 2400 270480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 170480 ) ( 2941100 170480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 170480 ) ( 2400 170480 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 70480 ) ( 2941100 70480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 70480 ) ( 2400 70480 )
+      NEW met5 2000 + SHAPE STRIPE ( -21480 -15120 ) ( 2941100 -15120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2940100 -16120 ) ( 2940100 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2865120 3517600 ) ( 2865120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2765120 3517600 ) ( 2765120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2665120 3517600 ) ( 2665120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2565120 3517600 ) ( 2565120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2465120 3517600 ) ( 2465120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2365120 3517600 ) ( 2365120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2265120 3517600 ) ( 2265120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2165120 3517600 ) ( 2165120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2065120 3517600 ) ( 2065120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1965120 3517600 ) ( 1965120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1865120 3517600 ) ( 1865120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1765120 3517600 ) ( 1765120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1665120 3517600 ) ( 1665120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1565120 3517600 ) ( 1565120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1465120 3517600 ) ( 1465120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1365120 3517600 ) ( 1365120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1265120 3517600 ) ( 1265120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1165120 3517600 ) ( 1165120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1065120 3517600 ) ( 1065120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 965120 3517600 ) ( 965120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 865120 3517600 ) ( 865120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 765120 3517600 ) ( 765120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 665120 3517600 ) ( 665120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 565120 3517600 ) ( 565120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 465120 3517600 ) ( 465120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 365120 3517600 ) ( 365120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 265120 3517600 ) ( 265120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 165120 3517600 ) ( 165120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 65120 3517600 ) ( 65120 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( -20480 -16120 ) ( -20480 3535800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2865120 -16120 ) ( 2865120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2765120 -16120 ) ( 2765120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2665120 -16120 ) ( 2665120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2565120 -16120 ) ( 2565120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2465120 -16120 ) ( 2465120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2365120 -16120 ) ( 2365120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2265120 -16120 ) ( 2265120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2165120 -16120 ) ( 2165120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2065120 -16120 ) ( 2065120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1965120 -16120 ) ( 1965120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1865120 -16120 ) ( 1865120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1765120 -16120 ) ( 1765120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1665120 -16120 ) ( 1665120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1565120 -16120 ) ( 1565120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1465120 -16120 ) ( 1465120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1365120 -16120 ) ( 1365120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1265120 -16120 ) ( 1265120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1165120 -16120 ) ( 1165120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1065120 -16120 ) ( 1065120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 965120 -16120 ) ( 965120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 865120 -16120 ) ( 865120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 765120 -16120 ) ( 765120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 665120 -16120 ) ( 665120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 565120 -16120 ) ( 565120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 465120 -16120 ) ( 465120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 365120 -16120 ) ( 365120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 265120 -16120 ) ( 265120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 165120 -16120 ) ( 165120 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 65120 -16120 ) ( 65120 2400 ) ;
+    - vdda1 ( PIN vdda1 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2944100 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2824720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2724720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2624720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2524720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2424720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2324720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2224720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2124720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2024720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1924720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1824720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1724720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1624720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1524720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1424720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1324720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1224720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1124720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1024720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 924720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 824720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 724720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 624720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 524720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 424720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 324720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 224720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 124720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 24720 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3538800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 3430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 3330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 3230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 3130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 3030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 3030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 2030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 2030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 1030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 1030080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 930080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 830080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 730080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 630080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 530080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 430080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 330080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 230080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 130080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 30080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 30080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2944100 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2824720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2724720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2624720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2524720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2424720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2324720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2224720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2124720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2024720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1924720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1824720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1724720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1624720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1524720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1424720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1324720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1224720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1124720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1024720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 924720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 824720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 724720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 624720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 524720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 424720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 324720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 224720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 124720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 24720 -19120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -24480 -19120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -25480 3538800 ) ( 2945100 3538800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3430080 ) ( 2949100 3430080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3430080 ) ( 2400 3430080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3330080 ) ( 2949100 3330080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3330080 ) ( 2400 3330080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3230080 ) ( 2949100 3230080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3230080 ) ( 2400 3230080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3130080 ) ( 2949100 3130080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3130080 ) ( 2400 3130080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3030080 ) ( 2949100 3030080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3030080 ) ( 2400 3030080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2930080 ) ( 2949100 2930080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2930080 ) ( 2400 2930080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2830080 ) ( 2949100 2830080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2830080 ) ( 2400 2830080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2730080 ) ( 2949100 2730080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2730080 ) ( 2400 2730080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2630080 ) ( 2949100 2630080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2630080 ) ( 2400 2630080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2530080 ) ( 2949100 2530080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2530080 ) ( 2400 2530080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2430080 ) ( 2949100 2430080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2430080 ) ( 2400 2430080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2330080 ) ( 2949100 2330080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2330080 ) ( 2400 2330080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2230080 ) ( 2949100 2230080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2230080 ) ( 2400 2230080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2130080 ) ( 2949100 2130080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2130080 ) ( 2400 2130080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2030080 ) ( 2949100 2030080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2030080 ) ( 2400 2030080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1930080 ) ( 2949100 1930080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1930080 ) ( 2400 1930080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1830080 ) ( 2949100 1830080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1830080 ) ( 2400 1830080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1730080 ) ( 2949100 1730080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1730080 ) ( 2400 1730080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1630080 ) ( 2949100 1630080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1630080 ) ( 2400 1630080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1530080 ) ( 2949100 1530080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1530080 ) ( 2400 1530080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1430080 ) ( 2949100 1430080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1430080 ) ( 2400 1430080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1330080 ) ( 2949100 1330080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1330080 ) ( 2400 1330080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1230080 ) ( 2949100 1230080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1230080 ) ( 2400 1230080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1130080 ) ( 2949100 1130080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1130080 ) ( 2400 1130080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1030080 ) ( 2949100 1030080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1030080 ) ( 2400 1030080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 930080 ) ( 2949100 930080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 930080 ) ( 2400 930080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 830080 ) ( 2949100 830080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 830080 ) ( 2400 830080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 730080 ) ( 2949100 730080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 730080 ) ( 2400 730080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 630080 ) ( 2949100 630080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 630080 ) ( 2400 630080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 530080 ) ( 2949100 530080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 530080 ) ( 2400 530080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 430080 ) ( 2949100 430080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 430080 ) ( 2400 430080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 330080 ) ( 2949100 330080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 330080 ) ( 2400 330080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 230080 ) ( 2949100 230080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 230080 ) ( 2400 230080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 130080 ) ( 2949100 130080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 130080 ) ( 2400 130080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 30080 ) ( 2949100 30080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 30080 ) ( 2400 30080 )
+      NEW met5 2000 + SHAPE STRIPE ( -25480 -19120 ) ( 2945100 -19120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2824720 3517600 ) ( 2824720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2724720 3517600 ) ( 2724720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2624720 3517600 ) ( 2624720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2524720 3517600 ) ( 2524720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2424720 3517600 ) ( 2424720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2324720 3517600 ) ( 2324720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2224720 3517600 ) ( 2224720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2124720 3517600 ) ( 2124720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2024720 3517600 ) ( 2024720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1924720 3517600 ) ( 1924720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1824720 3517600 ) ( 1824720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1724720 3517600 ) ( 1724720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1624720 3517600 ) ( 1624720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1524720 3517600 ) ( 1524720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1424720 3517600 ) ( 1424720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1324720 3517600 ) ( 1324720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1224720 3517600 ) ( 1224720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1124720 3517600 ) ( 1124720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1024720 3517600 ) ( 1024720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 924720 3517600 ) ( 924720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 824720 3517600 ) ( 824720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 724720 3517600 ) ( 724720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 624720 3517600 ) ( 624720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 524720 3517600 ) ( 524720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 424720 3517600 ) ( 424720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 324720 3517600 ) ( 324720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 224720 3517600 ) ( 224720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 124720 3517600 ) ( 124720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 24720 3517600 ) ( 24720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2944100 -20120 ) ( 2944100 3539800 )
+      NEW met4 2000 + SHAPE STRIPE ( -24480 -20120 ) ( -24480 3539800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2824720 -24120 ) ( 2824720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2724720 -24120 ) ( 2724720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2624720 -24120 ) ( 2624720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2524720 -24120 ) ( 2524720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2424720 -24120 ) ( 2424720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2324720 -24120 ) ( 2324720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2224720 -24120 ) ( 2224720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2124720 -24120 ) ( 2124720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2024720 -24120 ) ( 2024720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1924720 -24120 ) ( 1924720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1824720 -24120 ) ( 1824720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1724720 -24120 ) ( 1724720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1624720 -24120 ) ( 1624720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1524720 -24120 ) ( 1524720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1424720 -24120 ) ( 1424720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1324720 -24120 ) ( 1324720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1224720 -24120 ) ( 1224720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1124720 -24120 ) ( 1124720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1024720 -24120 ) ( 1024720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 924720 -24120 ) ( 924720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 824720 -24120 ) ( 824720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 724720 -24120 ) ( 724720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 624720 -24120 ) ( 624720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 524720 -24120 ) ( 524720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 424720 -24120 ) ( 424720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 324720 -24120 ) ( 324720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 224720 -24120 ) ( 224720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 124720 -24120 ) ( 124720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 24720 -24120 ) ( 24720 2400 ) ;
+    - vssa1 ( PIN vssa1 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2948100 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2874720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2774720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2674720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2574720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2474720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2374720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2274720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2174720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2074720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1974720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1874720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1774720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1674720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1574720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1474720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1374720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1274720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1174720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1074720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 974720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 874720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 774720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 674720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 574720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 474720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 374720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 274720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 174720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 74720 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3542800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 3480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 3380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 3280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 3180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 3080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 3080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 2080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 2080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 1080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 1080080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 980080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 880080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 780080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 680080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 580080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 480080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 380080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 280080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 180080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 80080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 80080 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2948100 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2874720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2774720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2674720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2574720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2474720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2374720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2274720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2174720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2074720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1974720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1874720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1774720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1674720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1574720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1474720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1374720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1274720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1174720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1074720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 974720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 874720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 774720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 674720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 574720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 474720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 374720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 274720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 174720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 74720 -23120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -28480 -23120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3542800 ) ( 2949100 3542800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3480080 ) ( 2949100 3480080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3480080 ) ( 2400 3480080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3380080 ) ( 2949100 3380080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3380080 ) ( 2400 3380080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3280080 ) ( 2949100 3280080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3280080 ) ( 2400 3280080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3180080 ) ( 2949100 3180080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3180080 ) ( 2400 3180080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3080080 ) ( 2949100 3080080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 3080080 ) ( 2400 3080080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2980080 ) ( 2949100 2980080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2980080 ) ( 2400 2980080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2880080 ) ( 2949100 2880080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2880080 ) ( 2400 2880080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2780080 ) ( 2949100 2780080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2780080 ) ( 2400 2780080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2680080 ) ( 2949100 2680080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2680080 ) ( 2400 2680080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2580080 ) ( 2949100 2580080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2580080 ) ( 2400 2580080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2480080 ) ( 2949100 2480080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2480080 ) ( 2400 2480080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2380080 ) ( 2949100 2380080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2380080 ) ( 2400 2380080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2280080 ) ( 2949100 2280080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2280080 ) ( 2400 2280080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2180080 ) ( 2949100 2180080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2180080 ) ( 2400 2180080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2080080 ) ( 2949100 2080080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 2080080 ) ( 2400 2080080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1980080 ) ( 2949100 1980080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1980080 ) ( 2400 1980080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1880080 ) ( 2949100 1880080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1880080 ) ( 2400 1880080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1780080 ) ( 2949100 1780080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1780080 ) ( 2400 1780080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1680080 ) ( 2949100 1680080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1680080 ) ( 2400 1680080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1580080 ) ( 2949100 1580080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1580080 ) ( 2400 1580080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1480080 ) ( 2949100 1480080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1480080 ) ( 2400 1480080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1380080 ) ( 2949100 1380080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1380080 ) ( 2400 1380080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1280080 ) ( 2949100 1280080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1280080 ) ( 2400 1280080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1180080 ) ( 2949100 1180080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1180080 ) ( 2400 1180080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1080080 ) ( 2949100 1080080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 1080080 ) ( 2400 1080080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 980080 ) ( 2949100 980080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 980080 ) ( 2400 980080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 880080 ) ( 2949100 880080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 880080 ) ( 2400 880080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 780080 ) ( 2949100 780080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 780080 ) ( 2400 780080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 680080 ) ( 2949100 680080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 680080 ) ( 2400 680080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 580080 ) ( 2949100 580080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 580080 ) ( 2400 580080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 480080 ) ( 2949100 480080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 480080 ) ( 2400 480080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 380080 ) ( 2949100 380080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 380080 ) ( 2400 380080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 280080 ) ( 2949100 280080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 280080 ) ( 2400 280080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 180080 ) ( 2949100 180080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 180080 ) ( 2400 180080 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 80080 ) ( 2949100 80080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 80080 ) ( 2400 80080 )
+      NEW met5 2000 + SHAPE STRIPE ( -29480 -23120 ) ( 2949100 -23120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2948100 -24120 ) ( 2948100 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2874720 3517600 ) ( 2874720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2774720 3517600 ) ( 2774720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2674720 3517600 ) ( 2674720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2574720 3517600 ) ( 2574720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2474720 3517600 ) ( 2474720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2374720 3517600 ) ( 2374720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2274720 3517600 ) ( 2274720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2174720 3517600 ) ( 2174720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2074720 3517600 ) ( 2074720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1974720 3517600 ) ( 1974720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1874720 3517600 ) ( 1874720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1774720 3517600 ) ( 1774720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1674720 3517600 ) ( 1674720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1574720 3517600 ) ( 1574720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1474720 3517600 ) ( 1474720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1374720 3517600 ) ( 1374720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1274720 3517600 ) ( 1274720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1174720 3517600 ) ( 1174720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1074720 3517600 ) ( 1074720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 974720 3517600 ) ( 974720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 874720 3517600 ) ( 874720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 774720 3517600 ) ( 774720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 674720 3517600 ) ( 674720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 574720 3517600 ) ( 574720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 474720 3517600 ) ( 474720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 374720 3517600 ) ( 374720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 274720 3517600 ) ( 274720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 174720 3517600 ) ( 174720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 74720 3517600 ) ( 74720 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( -28480 -24120 ) ( -28480 3543800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2874720 -24120 ) ( 2874720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2774720 -24120 ) ( 2774720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2674720 -24120 ) ( 2674720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2574720 -24120 ) ( 2574720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2474720 -24120 ) ( 2474720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2374720 -24120 ) ( 2374720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2274720 -24120 ) ( 2274720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2174720 -24120 ) ( 2174720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2074720 -24120 ) ( 2074720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1974720 -24120 ) ( 1974720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1874720 -24120 ) ( 1874720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1774720 -24120 ) ( 1774720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1674720 -24120 ) ( 1674720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1574720 -24120 ) ( 1574720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1474720 -24120 ) ( 1474720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1374720 -24120 ) ( 1374720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1274720 -24120 ) ( 1274720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1174720 -24120 ) ( 1174720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1074720 -24120 ) ( 1074720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 974720 -24120 ) ( 974720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 874720 -24120 ) ( 874720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 774720 -24120 ) ( 774720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 674720 -24120 ) ( 674720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 574720 -24120 ) ( 574720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 474720 -24120 ) ( 474720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 374720 -24120 ) ( 374720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 274720 -24120 ) ( 274720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 174720 -24120 ) ( 174720 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 74720 -24120 ) ( 74720 2400 ) ;
+    - vdda2 ( PIN vdda2 ) + USE POWER
+      + ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2834320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2734320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2634320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2534320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2434320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2334320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2234320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2134320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2034320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1934320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1834320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1734320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1634320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1534320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1434320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1334320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1234320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1134320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1034320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 934320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 834320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 734320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 634320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 534320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 434320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 334320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 234320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 134320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 34320 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 3039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 3039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 2039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 2039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 1039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 1039680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 939680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 839680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 739680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 639680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 539680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 439680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 339680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 239680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 139680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 39680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 39680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2834320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2734320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2634320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2534320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2434320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2334320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2234320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2134320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2034320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1934320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1834320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1734320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1634320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1534320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1434320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1334320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1234320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1134320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1034320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 934320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 834320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 734320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 634320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 534320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 434320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 334320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 234320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 134320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 34320 -27120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -33480 3546800 ) ( 2953100 3546800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3439680 ) ( 2957100 3439680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3439680 ) ( 2400 3439680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3339680 ) ( 2957100 3339680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3339680 ) ( 2400 3339680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3239680 ) ( 2957100 3239680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3239680 ) ( 2400 3239680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3139680 ) ( 2957100 3139680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3139680 ) ( 2400 3139680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3039680 ) ( 2957100 3039680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3039680 ) ( 2400 3039680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2939680 ) ( 2957100 2939680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2939680 ) ( 2400 2939680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2839680 ) ( 2957100 2839680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2839680 ) ( 2400 2839680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2739680 ) ( 2957100 2739680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2739680 ) ( 2400 2739680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2639680 ) ( 2957100 2639680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2639680 ) ( 2400 2639680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2539680 ) ( 2957100 2539680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2539680 ) ( 2400 2539680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2439680 ) ( 2957100 2439680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2439680 ) ( 2400 2439680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2339680 ) ( 2957100 2339680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2339680 ) ( 2400 2339680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2239680 ) ( 2957100 2239680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2239680 ) ( 2400 2239680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2139680 ) ( 2957100 2139680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2139680 ) ( 2400 2139680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2039680 ) ( 2957100 2039680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2039680 ) ( 2400 2039680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1939680 ) ( 2957100 1939680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1939680 ) ( 2400 1939680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1839680 ) ( 2957100 1839680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1839680 ) ( 2400 1839680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1739680 ) ( 2957100 1739680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1739680 ) ( 2400 1739680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1639680 ) ( 2957100 1639680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1639680 ) ( 2400 1639680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1539680 ) ( 2957100 1539680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1539680 ) ( 2400 1539680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1439680 ) ( 2957100 1439680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1439680 ) ( 2400 1439680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1339680 ) ( 2957100 1339680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1339680 ) ( 2400 1339680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1239680 ) ( 2957100 1239680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1239680 ) ( 2400 1239680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1139680 ) ( 2957100 1139680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1139680 ) ( 2400 1139680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1039680 ) ( 2957100 1039680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1039680 ) ( 2400 1039680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 939680 ) ( 2957100 939680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 939680 ) ( 2400 939680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 839680 ) ( 2957100 839680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 839680 ) ( 2400 839680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 739680 ) ( 2957100 739680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 739680 ) ( 2400 739680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 639680 ) ( 2957100 639680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 639680 ) ( 2400 639680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 539680 ) ( 2957100 539680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 539680 ) ( 2400 539680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 439680 ) ( 2957100 439680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 439680 ) ( 2400 439680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 339680 ) ( 2957100 339680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 339680 ) ( 2400 339680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 239680 ) ( 2957100 239680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 239680 ) ( 2400 239680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 139680 ) ( 2957100 139680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 139680 ) ( 2400 139680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 39680 ) ( 2957100 39680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 39680 ) ( 2400 39680 )
+      NEW met5 2000 + SHAPE STRIPE ( -33480 -27120 ) ( 2953100 -27120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2834320 3517600 ) ( 2834320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2734320 3517600 ) ( 2734320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2634320 3517600 ) ( 2634320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2534320 3517600 ) ( 2534320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2434320 3517600 ) ( 2434320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2334320 3517600 ) ( 2334320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2234320 3517600 ) ( 2234320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2134320 3517600 ) ( 2134320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2034320 3517600 ) ( 2034320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1934320 3517600 ) ( 1934320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1834320 3517600 ) ( 1834320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1734320 3517600 ) ( 1734320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1634320 3517600 ) ( 1634320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1534320 3517600 ) ( 1534320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1434320 3517600 ) ( 1434320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1334320 3517600 ) ( 1334320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1234320 3517600 ) ( 1234320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1134320 3517600 ) ( 1134320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1034320 3517600 ) ( 1034320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 934320 3517600 ) ( 934320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 834320 3517600 ) ( 834320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 734320 3517600 ) ( 734320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 634320 3517600 ) ( 634320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 534320 3517600 ) ( 534320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 434320 3517600 ) ( 434320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 334320 3517600 ) ( 334320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 234320 3517600 ) ( 234320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 134320 3517600 ) ( 134320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 34320 3517600 ) ( 34320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2952100 -28120 ) ( 2952100 3547800 )
+      NEW met4 2000 + SHAPE STRIPE ( -32480 -28120 ) ( -32480 3547800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2834320 -32120 ) ( 2834320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2734320 -32120 ) ( 2734320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2634320 -32120 ) ( 2634320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2534320 -32120 ) ( 2534320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2434320 -32120 ) ( 2434320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2334320 -32120 ) ( 2334320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2234320 -32120 ) ( 2234320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2134320 -32120 ) ( 2134320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2034320 -32120 ) ( 2034320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1934320 -32120 ) ( 1934320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1834320 -32120 ) ( 1834320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1734320 -32120 ) ( 1734320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1634320 -32120 ) ( 1634320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1534320 -32120 ) ( 1534320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1434320 -32120 ) ( 1434320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1334320 -32120 ) ( 1334320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1234320 -32120 ) ( 1234320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1134320 -32120 ) ( 1134320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1034320 -32120 ) ( 1034320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 934320 -32120 ) ( 934320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 834320 -32120 ) ( 834320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 734320 -32120 ) ( 734320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 634320 -32120 ) ( 634320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 534320 -32120 ) ( 534320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 434320 -32120 ) ( 434320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 334320 -32120 ) ( 334320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 234320 -32120 ) ( 234320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 134320 -32120 ) ( 134320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 34320 -32120 ) ( 34320 2400 ) ;
+    - vssa2 ( PIN vssa2 ) + USE GROUND
+      + ROUTED met4 0 + SHAPE STRIPE ( 2956100 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2884320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2784320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2684320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2584320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2484320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2384320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2284320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2184320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2084320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1984320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1884320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1784320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1684320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1584320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1484320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1384320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1284320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1184320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1084320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 984320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 884320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 784320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 684320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 584320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 484320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 384320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 284320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 184320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 84320 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3550800 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 3489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 3389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 3289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 3189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 3089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 3089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 2089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 2089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 1089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 1089680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 989680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 889680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 789680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 689680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 589680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 489680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 389680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 289680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 189680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 89680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 89680 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2956100 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2884320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2784320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2684320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2584320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2484320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2384320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2284320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2184320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 2084320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1984320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1884320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1784320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1684320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1584320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1484320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1384320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1284320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1184320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 1084320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 984320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 884320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 784320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 684320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 584320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 484320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 384320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 284320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 184320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( 84320 -31120 ) via4_2000x2000
+      NEW met4 0 + SHAPE STRIPE ( -36480 -31120 ) via4_2000x2000
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3550800 ) ( 2957100 3550800 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3489680 ) ( 2957100 3489680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3489680 ) ( 2400 3489680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3389680 ) ( 2957100 3389680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3389680 ) ( 2400 3389680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3289680 ) ( 2957100 3289680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3289680 ) ( 2400 3289680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3189680 ) ( 2957100 3189680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3189680 ) ( 2400 3189680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 3089680 ) ( 2957100 3089680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 3089680 ) ( 2400 3089680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2989680 ) ( 2957100 2989680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2989680 ) ( 2400 2989680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2889680 ) ( 2957100 2889680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2889680 ) ( 2400 2889680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2789680 ) ( 2957100 2789680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2789680 ) ( 2400 2789680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2689680 ) ( 2957100 2689680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2689680 ) ( 2400 2689680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2589680 ) ( 2957100 2589680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2589680 ) ( 2400 2589680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2489680 ) ( 2957100 2489680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2489680 ) ( 2400 2489680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2389680 ) ( 2957100 2389680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2389680 ) ( 2400 2389680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2289680 ) ( 2957100 2289680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2289680 ) ( 2400 2289680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2189680 ) ( 2957100 2189680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2189680 ) ( 2400 2189680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 2089680 ) ( 2957100 2089680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 2089680 ) ( 2400 2089680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1989680 ) ( 2957100 1989680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1989680 ) ( 2400 1989680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1889680 ) ( 2957100 1889680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1889680 ) ( 2400 1889680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1789680 ) ( 2957100 1789680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1789680 ) ( 2400 1789680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1689680 ) ( 2957100 1689680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1689680 ) ( 2400 1689680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1589680 ) ( 2957100 1589680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1589680 ) ( 2400 1589680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1489680 ) ( 2957100 1489680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1489680 ) ( 2400 1489680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1389680 ) ( 2957100 1389680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1389680 ) ( 2400 1389680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1289680 ) ( 2957100 1289680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1289680 ) ( 2400 1289680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1189680 ) ( 2957100 1189680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1189680 ) ( 2400 1189680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 1089680 ) ( 2957100 1089680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 1089680 ) ( 2400 1089680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 989680 ) ( 2957100 989680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 989680 ) ( 2400 989680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 889680 ) ( 2957100 889680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 889680 ) ( 2400 889680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 789680 ) ( 2957100 789680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 789680 ) ( 2400 789680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 689680 ) ( 2957100 689680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 689680 ) ( 2400 689680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 589680 ) ( 2957100 589680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 589680 ) ( 2400 589680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 489680 ) ( 2957100 489680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 489680 ) ( 2400 489680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 389680 ) ( 2957100 389680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 389680 ) ( 2400 389680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 289680 ) ( 2957100 289680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 289680 ) ( 2400 289680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 189680 ) ( 2957100 189680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 189680 ) ( 2400 189680 )
+      NEW met5 2000 + SHAPE STRIPE ( 2917600 89680 ) ( 2957100 89680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 89680 ) ( 2400 89680 )
+      NEW met5 2000 + SHAPE STRIPE ( -37480 -31120 ) ( 2957100 -31120 )
+      NEW met4 2000 + SHAPE STRIPE ( 2956100 -32120 ) ( 2956100 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2884320 3517600 ) ( 2884320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2784320 3517600 ) ( 2784320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2684320 3517600 ) ( 2684320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2584320 3517600 ) ( 2584320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2484320 3517600 ) ( 2484320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2384320 3517600 ) ( 2384320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2284320 3517600 ) ( 2284320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2184320 3517600 ) ( 2184320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2084320 3517600 ) ( 2084320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1984320 3517600 ) ( 1984320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1884320 3517600 ) ( 1884320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1784320 3517600 ) ( 1784320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1684320 3517600 ) ( 1684320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1584320 3517600 ) ( 1584320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1484320 3517600 ) ( 1484320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1384320 3517600 ) ( 1384320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1284320 3517600 ) ( 1284320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1184320 3517600 ) ( 1184320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 1084320 3517600 ) ( 1084320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 984320 3517600 ) ( 984320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 884320 3517600 ) ( 884320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 784320 3517600 ) ( 784320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 684320 3517600 ) ( 684320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 584320 3517600 ) ( 584320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 484320 3517600 ) ( 484320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 384320 3517600 ) ( 384320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 284320 3517600 ) ( 284320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 184320 3517600 ) ( 184320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 84320 3517600 ) ( 84320 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( -36480 -32120 ) ( -36480 3551800 )
+      NEW met4 2000 + SHAPE STRIPE ( 2884320 -32120 ) ( 2884320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2784320 -32120 ) ( 2784320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2684320 -32120 ) ( 2684320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2584320 -32120 ) ( 2584320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2484320 -32120 ) ( 2484320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2384320 -32120 ) ( 2384320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2284320 -32120 ) ( 2284320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2184320 -32120 ) ( 2184320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 2084320 -32120 ) ( 2084320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1984320 -32120 ) ( 1984320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1884320 -32120 ) ( 1884320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1784320 -32120 ) ( 1784320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1684320 -32120 ) ( 1684320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1584320 -32120 ) ( 1584320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1484320 -32120 ) ( 1484320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1384320 -32120 ) ( 1384320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1284320 -32120 ) ( 1284320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1184320 -32120 ) ( 1184320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 1084320 -32120 ) ( 1084320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 984320 -32120 ) ( 984320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 884320 -32120 ) ( 884320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 784320 -32120 ) ( 784320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 684320 -32120 ) ( 684320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 584320 -32120 ) ( 584320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 484320 -32120 ) ( 484320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 384320 -32120 ) ( 384320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 284320 -32120 ) ( 284320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 184320 -32120 ) ( 184320 2400 )
+      NEW met4 2000 + SHAPE STRIPE ( 84320 -32120 ) ( 84320 2400 ) ;
+END SPECIALNETS
+NETS 636 ;
+    - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
+    - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
+    - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
+    - analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
+    - analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
+    - analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
+    - analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
+    - analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
+    - analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
+    - analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
+    - analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
+    - analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
+    - analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
+    - analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
+    - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
+    - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
+    - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
+    - analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
+    - analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
+    - analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
+    - analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
+    - analog_io[29] ( PIN analog_io[29] ) + USE SIGNAL ;
+    - analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
+    - analog_io[30] ( PIN analog_io[30] ) + USE SIGNAL ;
+    - analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
+    - analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
+    - analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
+    - analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
+    - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
+    - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
+    - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
+    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
+    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
+    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
+    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
+    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
+    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
+    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
+    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
+    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
+    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
+    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
+    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
+    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
+    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
+    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
+    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
+    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
+    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
+    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
+    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
+    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
+    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
+    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
+    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
+    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
+    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
+    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
+    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
+    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
+    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
+    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
+    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
+    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
+    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
+    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
+    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
+    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
+    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
+    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
+    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
+    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
+    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
+    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
+    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
+    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
+    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
+    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
+    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
+    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
+    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
+    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
+    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
+    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
+    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
+    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
+    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
+    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
+    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
+    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
+    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
+    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
+    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
+    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
+    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
+    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
+    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
+    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
+    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
+    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
+    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
+    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
+    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
+    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
+    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
+    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
+    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
+    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
+    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
+    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
+    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
+    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
+    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
+    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
+    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
+    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
+    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
+    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
+    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
+    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
+    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
+    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
+    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
+    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
+    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
+    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
+    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
+    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
+    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
+    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
+    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
+    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
+    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
+    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
+    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
+    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
+    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
+    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
+    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
+    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
+    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
+    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
+    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
+    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
+    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
+    - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL ;
+    - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL ;
+    - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL ;
+    - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL ;
+    - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL ;
+    - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL ;
+    - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL ;
+    - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL ;
+    - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL ;
+    - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL ;
+    - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL ;
+    - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL ;
+    - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL ;
+    - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL ;
+    - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL ;
+    - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL ;
+    - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL ;
+    - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL ;
+    - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL ;
+    - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL ;
+    - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL ;
+    - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL ;
+    - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL ;
+    - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL ;
+    - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL ;
+    - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL ;
+    - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL ;
+    - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL ;
+    - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL ;
+    - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL ;
+    - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL ;
+    - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL ;
+    - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL ;
+    - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL ;
+    - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL ;
+    - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL ;
+    - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL ;
+    - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL ;
+    - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL ;
+    - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL ;
+    - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL ;
+    - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL ;
+    - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL ;
+    - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL ;
+    - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL ;
+    - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL ;
+    - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL ;
+    - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL ;
+    - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL ;
+    - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL ;
+    - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL ;
+    - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL ;
+    - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL ;
+    - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL ;
+    - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL ;
+    - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL ;
+    - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL ;
+    - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL ;
+    - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL ;
+    - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL ;
+    - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL ;
+    - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL ;
+    - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL ;
+    - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL ;
+    - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL ;
+    - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL ;
+    - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL ;
+    - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL ;
+    - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL ;
+    - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL ;
+    - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL ;
+    - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL ;
+    - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL ;
+    - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL ;
+    - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL ;
+    - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL ;
+    - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL ;
+    - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL ;
+    - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL ;
+    - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL ;
+    - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL ;
+    - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL ;
+    - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL ;
+    - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL ;
+    - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL ;
+    - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL ;
+    - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL ;
+    - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL ;
+    - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL ;
+    - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL ;
+    - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL ;
+    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL ;
+    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL ;
+    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL ;
+    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL ;
+    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL ;
+    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL ;
+    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL ;
+    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL ;
+    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL ;
+    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL ;
+    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL ;
+    - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL ;
+    - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL ;
+    - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL ;
+    - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL ;
+    - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL ;
+    - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL ;
+    - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL ;
+    - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL ;
+    - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL ;
+    - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL ;
+    - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL ;
+    - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL ;
+    - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL ;
+    - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL ;
+    - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL ;
+    - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL ;
+    - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL ;
+    - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL ;
+    - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL ;
+    - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL ;
+    - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL ;
+    - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL ;
+    - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL ;
+    - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL ;
+    - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL ;
+    - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL ;
+    - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL ;
+    - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL ;
+    - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL ;
+    - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL ;
+    - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL ;
+    - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL ;
+    - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL ;
+    - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL ;
+    - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL ;
+    - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL ;
+    - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL ;
+    - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL ;
+    - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL ;
+    - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL ;
+    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL ;
+    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL ;
+    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL ;
+    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL ;
+    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL ;
+    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL ;
+    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL ;
+    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL ;
+    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL ;
+    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL ;
+    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL ;
+    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL ;
+    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL ;
+    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL ;
+    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL ;
+    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL ;
+    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL ;
+    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL ;
+    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL ;
+    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL ;
+    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL ;
+    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL ;
+    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL ;
+    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL ;
+    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL ;
+    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL ;
+    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL ;
+    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL ;
+    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL ;
+    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL ;
+    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL ;
+    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL ;
+    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL ;
+    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL ;
+    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL ;
+    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL ;
+    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL ;
+    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL ;
+    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL ;
+    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL ;
+    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL ;
+    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL ;
+    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL ;
+    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL ;
+    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL ;
+    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL ;
+    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL ;
+    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL ;
+    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL ;
+    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL ;
+    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL ;
+    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL ;
+    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL ;
+    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL ;
+    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL ;
+    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL ;
+    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL ;
+    - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL ;
+    - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL ;
+    - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL ;
+    - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL ;
+    - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL ;
+    - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL ;
+    - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL ;
+    - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL ;
+    - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL ;
+    - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL ;
+    - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL ;
+    - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL ;
+    - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL ;
+    - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL ;
+    - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL ;
+    - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL ;
+    - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL ;
+    - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL ;
+    - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL ;
+    - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL ;
+    - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL ;
+    - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL ;
+    - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL ;
+    - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL ;
+    - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL ;
+    - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL ;
+    - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL ;
+    - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL ;
+    - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL ;
+    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL ;
+    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL ;
+    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL ;
+    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL ;
+    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL ;
+    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL ;
+    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL ;
+    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL ;
+    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL ;
+    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL ;
+    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL ;
+    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL ;
+    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL ;
+    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL ;
+    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL ;
+    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL ;
+    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL ;
+    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL ;
+    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL ;
+    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL ;
+    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL ;
+    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL ;
+    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL ;
+    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL ;
+    - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL ;
+    - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL ;
+    - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL ;
+    - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL ;
+    - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) + USE SIGNAL ;
+    - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) + USE SIGNAL ;
+    - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) + USE SIGNAL ;
+    - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) + USE SIGNAL ;
+    - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) + USE SIGNAL ;
+    - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) + USE SIGNAL ;
+    - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) + USE SIGNAL ;
+    - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) + USE SIGNAL ;
+    - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) + USE SIGNAL ;
+    - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) + USE SIGNAL ;
+    - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) + USE SIGNAL ;
+    - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) + USE SIGNAL ;
+    - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) + USE SIGNAL ;
+    - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) + USE SIGNAL ;
+    - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) + USE SIGNAL ;
+    - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) + USE SIGNAL ;
+    - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) + USE SIGNAL ;
+    - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) + USE SIGNAL ;
+    - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) + USE SIGNAL ;
+    - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) + USE SIGNAL ;
+    - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) + USE SIGNAL ;
+    - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) + USE SIGNAL ;
+    - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) + USE SIGNAL ;
+    - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) + USE SIGNAL ;
+    - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) + USE SIGNAL ;
+    - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) + USE SIGNAL ;
+    - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) + USE SIGNAL ;
+    - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) + USE SIGNAL ;
+    - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) + USE SIGNAL ;
+    - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) + USE SIGNAL ;
+    - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) + USE SIGNAL ;
+    - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) + USE SIGNAL ;
+    - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) + USE SIGNAL ;
+    - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) + USE SIGNAL ;
+    - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) + USE SIGNAL ;
+    - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) + USE SIGNAL ;
+    - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) + USE SIGNAL ;
+    - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) + USE SIGNAL ;
+    - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) + USE SIGNAL ;
+    - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) + USE SIGNAL ;
+    - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) + USE SIGNAL ;
+    - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) + USE SIGNAL ;
+    - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) + USE SIGNAL ;
+    - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) + USE SIGNAL ;
+    - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) + USE SIGNAL ;
+    - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) + USE SIGNAL ;
+    - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) + USE SIGNAL ;
+    - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) + USE SIGNAL ;
+    - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) + USE SIGNAL ;
+    - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) + USE SIGNAL ;
+    - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) + USE SIGNAL ;
+    - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) + USE SIGNAL ;
+    - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) + USE SIGNAL ;
+    - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) + USE SIGNAL ;
+    - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) + USE SIGNAL ;
+    - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) + USE SIGNAL ;
+    - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) + USE SIGNAL ;
+    - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) + USE SIGNAL ;
+    - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) + USE SIGNAL ;
+    - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) + USE SIGNAL ;
+    - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) + USE SIGNAL ;
+    - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) + USE SIGNAL ;
+    - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) + USE SIGNAL ;
+    - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) + USE SIGNAL ;
+    - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) + USE SIGNAL ;
+    - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) + USE SIGNAL ;
+    - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) + USE SIGNAL ;
+    - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) + USE SIGNAL ;
+    - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) + USE SIGNAL ;
+    - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) + USE SIGNAL ;
+    - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) + USE SIGNAL ;
+    - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) + USE SIGNAL ;
+    - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) + USE SIGNAL ;
+    - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) + USE SIGNAL ;
+    - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) + USE SIGNAL ;
+    - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) + USE SIGNAL ;
+    - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) + USE SIGNAL ;
+    - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) + USE SIGNAL ;
+    - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) + USE SIGNAL ;
+    - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) + USE SIGNAL ;
+    - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) + USE SIGNAL ;
+    - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) + USE SIGNAL ;
+    - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) + USE SIGNAL ;
+    - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) + USE SIGNAL ;
+    - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) + USE SIGNAL ;
+    - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) + USE SIGNAL ;
+    - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) + USE SIGNAL ;
+    - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) + USE SIGNAL ;
+    - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) + USE SIGNAL ;
+    - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) + USE SIGNAL ;
+    - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) + USE SIGNAL ;
+    - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) + USE SIGNAL ;
+    - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) + USE SIGNAL ;
+    - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) + USE SIGNAL ;
+    - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) + USE SIGNAL ;
+    - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) + USE SIGNAL ;
+    - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) + USE SIGNAL ;
+    - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) + USE SIGNAL ;
+    - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) + USE SIGNAL ;
+    - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) + USE SIGNAL ;
+    - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) + USE SIGNAL ;
+    - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) + USE SIGNAL ;
+    - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) + USE SIGNAL ;
+    - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) + USE SIGNAL ;
+    - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) + USE SIGNAL ;
+    - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) + USE SIGNAL ;
+    - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) + USE SIGNAL ;
+    - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) + USE SIGNAL ;
+    - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) + USE SIGNAL ;
+    - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) + USE SIGNAL ;
+    - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) + USE SIGNAL ;
+    - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) + USE SIGNAL ;
+    - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) + USE SIGNAL ;
+    - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) + USE SIGNAL ;
+    - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) + USE SIGNAL ;
+    - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) + USE SIGNAL ;
+    - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) + USE SIGNAL ;
+    - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) + USE SIGNAL ;
+    - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) + USE SIGNAL ;
+    - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) + USE SIGNAL ;
+    - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) + USE SIGNAL ;
+    - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) + USE SIGNAL ;
+    - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) + USE SIGNAL ;
+    - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) + USE SIGNAL ;
+    - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) + USE SIGNAL ;
+    - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) + USE SIGNAL ;
+    - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) + USE SIGNAL ;
+    - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) + USE SIGNAL ;
+    - user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
+    - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL ;
+    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL ;
+    - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL ;
+    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL ;
+    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL ;
+    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL ;
+    - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL ;
+    - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL ;
+    - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL ;
+    - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL ;
+    - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL ;
+    - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL ;
+    - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL ;
+    - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL ;
+    - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL ;
+    - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL ;
+    - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL ;
+    - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL ;
+    - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL ;
+    - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL ;
+    - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL ;
+    - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL ;
+    - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL ;
+    - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL ;
+    - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL ;
+    - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL ;
+    - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL ;
+    - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL ;
+    - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL ;
+    - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL ;
+    - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL ;
+    - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL ;
+    - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL ;
+    - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL ;
+    - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL ;
+    - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL ;
+    - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL ;
+    - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL ;
+    - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL ;
+    - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL ;
+    - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL ;
+    - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL ;
+    - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL ;
+    - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL ;
+    - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL ;
+    - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL ;
+    - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL ;
+    - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL ;
+    - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL ;
+    - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL ;
+    - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL ;
+    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL ;
+    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL ;
+    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL ;
+    - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL ;
+    - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL ;
+    - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL ;
+    - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL ;
+    - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL ;
+    - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL ;
+    - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL ;
+    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL ;
+    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL ;
+    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL ;
+    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL ;
+    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL ;
+    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL ;
+    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL ;
+    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL ;
+    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL ;
+    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL ;
+    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL ;
+    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL ;
+    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL ;
+    - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL ;
+    - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL ;
+    - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL ;
+    - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL ;
+    - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL ;
+    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL ;
+    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL ;
+    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL ;
+    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL ;
+    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL ;
+    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL ;
+    - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL ;
+    - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL ;
+    - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL ;
+    - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL ;
+    - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL ;
+    - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL ;
+    - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL ;
+    - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL ;
+    - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL ;
+    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL ;
+    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL ;
+    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL ;
+    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL ;
+    - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL ;
+    - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL ;
+    - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL ;
+    - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL ;
+    - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL ;
+    - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
+    - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
+    - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
new file mode 100644
index 0000000..2b64434
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
@@ -0,0 +1,2584 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
+ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
+COMPONENTS 1 ;
+    - mprj user_proj_example ;
+END COMPONENTS
+PINS 636 ;
+    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL ;
+    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL ;
+    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL ;
+    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL ;
+    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL ;
+    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL ;
+END PINS
+NETS 636 ;
+    - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
+    - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
+    - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
+    - analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
+    - analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
+    - analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
+    - analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
+    - analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
+    - analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
+    - analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
+    - analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
+    - analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
+    - analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
+    - analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
+    - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
+    - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
+    - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
+    - analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
+    - analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
+    - analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
+    - analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
+    - analog_io[29] ( PIN analog_io[29] ) + USE SIGNAL ;
+    - analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
+    - analog_io[30] ( PIN analog_io[30] ) + USE SIGNAL ;
+    - analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
+    - analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
+    - analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
+    - analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
+    - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
+    - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
+    - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
+    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
+    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
+    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
+    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
+    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
+    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
+    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
+    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
+    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
+    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
+    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
+    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
+    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
+    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
+    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
+    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
+    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
+    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
+    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
+    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
+    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
+    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
+    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
+    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
+    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
+    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
+    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
+    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
+    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
+    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
+    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
+    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
+    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
+    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
+    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
+    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
+    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
+    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
+    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
+    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
+    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
+    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
+    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
+    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
+    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
+    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
+    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
+    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
+    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
+    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
+    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
+    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
+    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
+    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
+    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
+    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
+    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
+    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
+    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
+    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
+    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
+    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
+    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
+    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
+    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
+    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
+    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
+    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
+    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
+    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
+    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
+    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
+    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
+    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
+    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
+    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
+    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
+    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
+    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
+    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
+    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
+    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
+    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
+    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
+    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
+    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
+    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
+    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
+    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
+    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
+    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
+    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
+    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
+    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
+    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
+    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
+    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
+    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
+    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
+    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
+    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
+    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
+    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
+    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
+    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
+    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
+    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
+    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
+    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
+    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
+    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
+    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
+    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
+    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
+    - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL ;
+    - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL ;
+    - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL ;
+    - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL ;
+    - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL ;
+    - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL ;
+    - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL ;
+    - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL ;
+    - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL ;
+    - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL ;
+    - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL ;
+    - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL ;
+    - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL ;
+    - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL ;
+    - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL ;
+    - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL ;
+    - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL ;
+    - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL ;
+    - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL ;
+    - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL ;
+    - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL ;
+    - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL ;
+    - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL ;
+    - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL ;
+    - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL ;
+    - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL ;
+    - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL ;
+    - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL ;
+    - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL ;
+    - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL ;
+    - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL ;
+    - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL ;
+    - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL ;
+    - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL ;
+    - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL ;
+    - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL ;
+    - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL ;
+    - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL ;
+    - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL ;
+    - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL ;
+    - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL ;
+    - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL ;
+    - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL ;
+    - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL ;
+    - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL ;
+    - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL ;
+    - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL ;
+    - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL ;
+    - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL ;
+    - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL ;
+    - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL ;
+    - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL ;
+    - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL ;
+    - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL ;
+    - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL ;
+    - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL ;
+    - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL ;
+    - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL ;
+    - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL ;
+    - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL ;
+    - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL ;
+    - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL ;
+    - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL ;
+    - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL ;
+    - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL ;
+    - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL ;
+    - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL ;
+    - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL ;
+    - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL ;
+    - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL ;
+    - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL ;
+    - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL ;
+    - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL ;
+    - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL ;
+    - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL ;
+    - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL ;
+    - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL ;
+    - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL ;
+    - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL ;
+    - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL ;
+    - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL ;
+    - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL ;
+    - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL ;
+    - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL ;
+    - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL ;
+    - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL ;
+    - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL ;
+    - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL ;
+    - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL ;
+    - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL ;
+    - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL ;
+    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL ;
+    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL ;
+    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL ;
+    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL ;
+    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL ;
+    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL ;
+    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL ;
+    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL ;
+    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL ;
+    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL ;
+    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL ;
+    - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL ;
+    - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL ;
+    - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL ;
+    - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL ;
+    - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL ;
+    - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL ;
+    - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL ;
+    - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL ;
+    - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL ;
+    - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL ;
+    - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL ;
+    - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL ;
+    - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL ;
+    - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL ;
+    - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL ;
+    - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL ;
+    - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL ;
+    - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL ;
+    - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL ;
+    - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL ;
+    - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL ;
+    - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL ;
+    - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL ;
+    - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL ;
+    - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL ;
+    - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL ;
+    - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL ;
+    - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL ;
+    - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL ;
+    - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL ;
+    - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL ;
+    - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL ;
+    - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL ;
+    - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL ;
+    - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL ;
+    - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL ;
+    - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL ;
+    - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL ;
+    - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL ;
+    - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL ;
+    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL ;
+    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL ;
+    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL ;
+    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL ;
+    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL ;
+    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL ;
+    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL ;
+    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL ;
+    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL ;
+    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL ;
+    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL ;
+    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL ;
+    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL ;
+    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL ;
+    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL ;
+    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL ;
+    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL ;
+    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL ;
+    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL ;
+    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL ;
+    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL ;
+    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL ;
+    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL ;
+    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL ;
+    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL ;
+    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL ;
+    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL ;
+    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL ;
+    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL ;
+    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL ;
+    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL ;
+    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL ;
+    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL ;
+    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL ;
+    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL ;
+    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL ;
+    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL ;
+    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL ;
+    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL ;
+    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL ;
+    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL ;
+    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL ;
+    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL ;
+    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL ;
+    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL ;
+    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL ;
+    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL ;
+    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL ;
+    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL ;
+    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL ;
+    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL ;
+    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL ;
+    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL ;
+    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL ;
+    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL ;
+    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL ;
+    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL ;
+    - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL ;
+    - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL ;
+    - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL ;
+    - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL ;
+    - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL ;
+    - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL ;
+    - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL ;
+    - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL ;
+    - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL ;
+    - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL ;
+    - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL ;
+    - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL ;
+    - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL ;
+    - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL ;
+    - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL ;
+    - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL ;
+    - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL ;
+    - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL ;
+    - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL ;
+    - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL ;
+    - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL ;
+    - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL ;
+    - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL ;
+    - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL ;
+    - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL ;
+    - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL ;
+    - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL ;
+    - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL ;
+    - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL ;
+    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL ;
+    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL ;
+    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL ;
+    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL ;
+    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL ;
+    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL ;
+    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL ;
+    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL ;
+    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL ;
+    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL ;
+    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL ;
+    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL ;
+    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL ;
+    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL ;
+    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL ;
+    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL ;
+    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL ;
+    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL ;
+    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL ;
+    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL ;
+    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL ;
+    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL ;
+    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL ;
+    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL ;
+    - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL ;
+    - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL ;
+    - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL ;
+    - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL ;
+    - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) + USE SIGNAL ;
+    - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) + USE SIGNAL ;
+    - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) + USE SIGNAL ;
+    - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) + USE SIGNAL ;
+    - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) + USE SIGNAL ;
+    - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) + USE SIGNAL ;
+    - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) + USE SIGNAL ;
+    - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) + USE SIGNAL ;
+    - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) + USE SIGNAL ;
+    - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) + USE SIGNAL ;
+    - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) + USE SIGNAL ;
+    - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) + USE SIGNAL ;
+    - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) + USE SIGNAL ;
+    - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) + USE SIGNAL ;
+    - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) + USE SIGNAL ;
+    - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) + USE SIGNAL ;
+    - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) + USE SIGNAL ;
+    - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) + USE SIGNAL ;
+    - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) + USE SIGNAL ;
+    - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) + USE SIGNAL ;
+    - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) + USE SIGNAL ;
+    - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) + USE SIGNAL ;
+    - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) + USE SIGNAL ;
+    - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) + USE SIGNAL ;
+    - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) + USE SIGNAL ;
+    - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) + USE SIGNAL ;
+    - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) + USE SIGNAL ;
+    - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) + USE SIGNAL ;
+    - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) + USE SIGNAL ;
+    - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) + USE SIGNAL ;
+    - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) + USE SIGNAL ;
+    - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) + USE SIGNAL ;
+    - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) + USE SIGNAL ;
+    - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) + USE SIGNAL ;
+    - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) + USE SIGNAL ;
+    - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) + USE SIGNAL ;
+    - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) + USE SIGNAL ;
+    - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) + USE SIGNAL ;
+    - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) + USE SIGNAL ;
+    - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) + USE SIGNAL ;
+    - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) + USE SIGNAL ;
+    - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) + USE SIGNAL ;
+    - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) + USE SIGNAL ;
+    - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) + USE SIGNAL ;
+    - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) + USE SIGNAL ;
+    - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) + USE SIGNAL ;
+    - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) + USE SIGNAL ;
+    - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) + USE SIGNAL ;
+    - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) + USE SIGNAL ;
+    - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) + USE SIGNAL ;
+    - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) + USE SIGNAL ;
+    - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) + USE SIGNAL ;
+    - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) + USE SIGNAL ;
+    - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) + USE SIGNAL ;
+    - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) + USE SIGNAL ;
+    - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) + USE SIGNAL ;
+    - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) + USE SIGNAL ;
+    - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) + USE SIGNAL ;
+    - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) + USE SIGNAL ;
+    - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) + USE SIGNAL ;
+    - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) + USE SIGNAL ;
+    - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) + USE SIGNAL ;
+    - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) + USE SIGNAL ;
+    - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) + USE SIGNAL ;
+    - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) + USE SIGNAL ;
+    - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) + USE SIGNAL ;
+    - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) + USE SIGNAL ;
+    - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) + USE SIGNAL ;
+    - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) + USE SIGNAL ;
+    - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) + USE SIGNAL ;
+    - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) + USE SIGNAL ;
+    - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) + USE SIGNAL ;
+    - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) + USE SIGNAL ;
+    - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) + USE SIGNAL ;
+    - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) + USE SIGNAL ;
+    - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) + USE SIGNAL ;
+    - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) + USE SIGNAL ;
+    - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) + USE SIGNAL ;
+    - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) + USE SIGNAL ;
+    - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) + USE SIGNAL ;
+    - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) + USE SIGNAL ;
+    - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) + USE SIGNAL ;
+    - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) + USE SIGNAL ;
+    - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) + USE SIGNAL ;
+    - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) + USE SIGNAL ;
+    - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) + USE SIGNAL ;
+    - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) + USE SIGNAL ;
+    - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) + USE SIGNAL ;
+    - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) + USE SIGNAL ;
+    - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) + USE SIGNAL ;
+    - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) + USE SIGNAL ;
+    - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) + USE SIGNAL ;
+    - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) + USE SIGNAL ;
+    - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) + USE SIGNAL ;
+    - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) + USE SIGNAL ;
+    - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) + USE SIGNAL ;
+    - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) + USE SIGNAL ;
+    - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) + USE SIGNAL ;
+    - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) + USE SIGNAL ;
+    - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) + USE SIGNAL ;
+    - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) + USE SIGNAL ;
+    - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) + USE SIGNAL ;
+    - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) + USE SIGNAL ;
+    - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) + USE SIGNAL ;
+    - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) + USE SIGNAL ;
+    - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) + USE SIGNAL ;
+    - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) + USE SIGNAL ;
+    - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) + USE SIGNAL ;
+    - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) + USE SIGNAL ;
+    - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) + USE SIGNAL ;
+    - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) + USE SIGNAL ;
+    - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) + USE SIGNAL ;
+    - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) + USE SIGNAL ;
+    - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) + USE SIGNAL ;
+    - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) + USE SIGNAL ;
+    - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) + USE SIGNAL ;
+    - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) + USE SIGNAL ;
+    - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) + USE SIGNAL ;
+    - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) + USE SIGNAL ;
+    - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) + USE SIGNAL ;
+    - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) + USE SIGNAL ;
+    - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) + USE SIGNAL ;
+    - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) + USE SIGNAL ;
+    - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) + USE SIGNAL ;
+    - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) + USE SIGNAL ;
+    - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) + USE SIGNAL ;
+    - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) + USE SIGNAL ;
+    - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) + USE SIGNAL ;
+    - user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
+    - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL ;
+    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL ;
+    - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL ;
+    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL ;
+    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL ;
+    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL ;
+    - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL ;
+    - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL ;
+    - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL ;
+    - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL ;
+    - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL ;
+    - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL ;
+    - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL ;
+    - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL ;
+    - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL ;
+    - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL ;
+    - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL ;
+    - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL ;
+    - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL ;
+    - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL ;
+    - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL ;
+    - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL ;
+    - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL ;
+    - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL ;
+    - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL ;
+    - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL ;
+    - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL ;
+    - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL ;
+    - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL ;
+    - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL ;
+    - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL ;
+    - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL ;
+    - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL ;
+    - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL ;
+    - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL ;
+    - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL ;
+    - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL ;
+    - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL ;
+    - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL ;
+    - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL ;
+    - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL ;
+    - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL ;
+    - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL ;
+    - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL ;
+    - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL ;
+    - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL ;
+    - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL ;
+    - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL ;
+    - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL ;
+    - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL ;
+    - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL ;
+    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL ;
+    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL ;
+    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL ;
+    - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL ;
+    - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL ;
+    - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL ;
+    - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL ;
+    - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL ;
+    - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL ;
+    - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL ;
+    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL ;
+    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL ;
+    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL ;
+    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL ;
+    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL ;
+    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL ;
+    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL ;
+    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL ;
+    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL ;
+    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL ;
+    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL ;
+    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL ;
+    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL ;
+    - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL ;
+    - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL ;
+    - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL ;
+    - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL ;
+    - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL ;
+    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL ;
+    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL ;
+    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL ;
+    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL ;
+    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL ;
+    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL ;
+    - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL ;
+    - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL ;
+    - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL ;
+    - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL ;
+    - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL ;
+    - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL ;
+    - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL ;
+    - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL ;
+    - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL ;
+    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL ;
+    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL ;
+    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL ;
+    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL ;
+    - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL ;
+    - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL ;
+    - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL ;
+    - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL ;
+    - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL ;
+    - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
+    - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
+    - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic_spice.tcl b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic_spice.tcl
new file mode 100644
index 0000000..ecb8c2e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic_spice.tcl
@@ -0,0 +1,24 @@
+
+lef read /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef
+if {  [info exist ::env(EXTRA_LEFS)] } {
+	set lefs_in $::env(EXTRA_LEFS)
+	foreach lef_file $lefs_in {
+		lef read $lef_file
+	}
+}
+def read /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
+load user_project_wrapper -dereference
+cd /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/
+extract do local
+extract no capacitance
+extract no coupling
+extract no resistance
+extract no adjust
+# extract warn all
+extract
+
+ext2spice lvs
+ext2spice user_project_wrapper.ext
+feedback save /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic_ext2spice.feedback.txt
+# exec cp user_project_wrapper.spice /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.spice
+
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
new file mode 100644
index 0000000..d9042a4
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
@@ -0,0 +1,72968 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  TIME NANOSECONDS 1 ;
+  CAPACITANCE PICOFARADS 1 ;
+  RESISTANCE OHMS 1 ;
+  DATABASE MICRONS 1000 ;
+END UNITS
+
+MANUFACTURINGGRID 0.005 ;
+
+PROPERTYDEFINITIONS
+  LAYER LEF58_TYPE STRING ;
+END PROPERTYDEFINITIONS
+
+# High density, single height
+SITE unithd
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 2.72 ;
+END unithd
+
+# High density, double height
+SITE unithddbl
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 5.44 ;
+END unithddbl
+
+LAYER nwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
+END nwell
+
+LAYER pwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
+END pwell
+
+LAYER li1
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 0.34 ;
+  OFFSET 0.23 0.17 ;
+
+  WIDTH 0.17 ;          # LI 1
+  # SPACING  0.17 ;     # LI 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.17 ;
+  AREA 0.0561 ;         # LI 6
+  THICKNESS 0.1 ;
+  EDGECAPACITANCE 40.697E-6 ;
+  CAPACITANCE CPERSQDIST 36.9866E-6 ;
+  RESISTANCE RPERSQ 12.2 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
+END li1
+
+LAYER mcon
+  TYPE CUT ;
+
+  WIDTH 0.17 ;                # Mcon 1
+  SPACING 0.19 ;              # Mcon 2
+  ENCLOSURE BELOW 0 0 ;       # Mcon 4
+  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
+
+END mcon
+
+LAYER met1
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.34 ;
+  OFFSET 0.17 ;
+
+  WIDTH 0.14 ;                     # Met1 1
+  # SPACING 0.14 ;                 # Met1 2
+  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.083 ;                     # Met1 6
+  THICKNESS 0.35 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  EDGECAPACITANCE 40.567E-6 ;
+  CAPACITANCE CPERSQDIST 25.7784E-6 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+
+  RESISTANCE RPERSQ 0.125 ;
+END met1
+
+LAYER via
+  TYPE CUT ;
+  WIDTH 0.15 ;                  # Via 1a
+  SPACING 0.17 ;                # Via 2
+  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
+  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
+END via
+
+LAYER met2
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 ;
+  OFFSET 0.23 ;
+
+  WIDTH 0.14 ;                        # Met2 1
+  # SPACING  0.14 ;                   # Met2 2
+  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.0676 ;                       # Met2 6
+  THICKNESS 0.35 ;
+
+  EDGECAPACITANCE 37.759E-6 ;
+  CAPACITANCE CPERSQDIST 16.9423E-6 ;
+  RESISTANCE RPERSQ 0.125 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met2
+
+# ******** Layer via2, type routing, number 44 **************
+LAYER via2
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via2 1
+  SPACING 0.2 ;                 # Via2 2
+  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via2
+
+LAYER met3
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.68 ;
+  OFFSET 0.34 ;
+
+  WIDTH 0.3 ;              # Met3 1
+  # SPACING 0.3 ;          # Met3 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;              # Met3 6
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 40.989E-6 ;
+  CAPACITANCE CPERSQDIST 12.3729E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met3
+
+LAYER via3
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via3 1
+  SPACING 0.2 ;                 # Via3 2
+  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via3
+
+LAYER met4
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.92 ;
+  OFFSET 0.46 ;
+
+  WIDTH 0.3 ;             # Met4 1
+  # SPACING  0.3 ;             # Met4 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;            # Met4 4a
+
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 36.676E-6 ;
+  CAPACITANCE CPERSQDIST 8.41537E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met4
+
+LAYER via4
+  TYPE CUT ;
+
+  WIDTH 0.8 ;                 # Via4 1
+  SPACING 0.8 ;               # Via4 2
+  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
+  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
+END via4
+
+LAYER met5
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 3.4 ;
+  OFFSET 1.7 ;
+
+  WIDTH 1.6 ;            # Met5 1
+  #SPACING  1.6 ;        # Met5 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 1.6 ;
+  AREA 4 ;               # Met5 4
+
+  THICKNESS 1.2 ;
+
+  EDGECAPACITANCE 38.851E-6 ;
+  CAPACITANCE CPERSQDIST 6.32063E-6 ;
+  RESISTANCE RPERSQ 0.0285 ;
+  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+END met5
+
+
+### Routing via cells section   ###
+# Plus via rule, metals are along the prefered direction
+VIA L1M1_PR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR
+
+VIARULE L1M1_PR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA L1M1_PR_R DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_R
+
+VIARULE L1M1_PR_R GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA L1M1_PR_M DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_M
+
+VIARULE L1M1_PR_M GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA L1M1_PR_MR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR_MR
+
+VIARULE L1M1_PR_MR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA L1M1_PR_C DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.145 0.145 0.145 ;
+END L1M1_PR_C
+
+VIARULE L1M1_PR_C GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M1M2_PR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR
+
+VIARULE M1M2_PR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M1M2_PR_R DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_R
+
+VIARULE M1M2_PR_R GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M1M2_PR_M DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_M
+
+VIARULE M1M2_PR_M GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M1M2_PR_MR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR_MR
+
+VIARULE M1M2_PR_MR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M1M2_PR_C DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+END M1M2_PR_C
+
+VIARULE M1M2_PR_C GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M2M3_PR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR
+
+VIARULE M2M3_PR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M2M3_PR_R DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_R
+
+VIARULE M2M3_PR_R GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M2M3_PR_M DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_M
+
+VIARULE M2M3_PR_M GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M2M3_PR_MR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_MR
+
+VIARULE M2M3_PR_MR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M2M3_PR_C DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.185 0.185 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_C
+
+VIARULE M2M3_PR_C GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M3M4_PR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR
+
+VIARULE M3M4_PR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M3M4_PR_R DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_R
+
+VIARULE M3M4_PR_R GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M3M4_PR_M DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_M
+
+VIARULE M3M4_PR_M GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M3M4_PR_MR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_MR
+
+VIARULE M3M4_PR_MR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M3M4_PR_C DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.19 0.19 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_C
+
+VIARULE M3M4_PR_C GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M4M5_PR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR
+
+VIARULE M4M5_PR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M4M5_PR_R DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_R
+
+VIARULE M4M5_PR_R GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M4M5_PR_M DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_M
+
+VIARULE M4M5_PR_M GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M4M5_PR_MR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_MR
+
+VIARULE M4M5_PR_MR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M4M5_PR_C DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_C
+
+VIARULE M4M5_PR_C GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_C
+###  end of single via cells   ###
+
+
+MACRO sky130_fd_sc_hd__bufbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.230000 0.260000 3.560000 0.735000 ;
+        RECT 3.230000 0.735000 6.815000 0.905000 ;
+        RECT 3.230000 1.445000 6.815000 1.615000 ;
+        RECT 3.230000 1.615000 3.560000 2.465000 ;
+        RECT 4.070000 0.260000 4.400000 0.735000 ;
+        RECT 4.070000 1.615000 4.400000 2.465000 ;
+        RECT 4.910000 0.260000 5.240000 0.735000 ;
+        RECT 4.910000 1.615000 5.240000 2.465000 ;
+        RECT 5.750000 0.260000 6.080000 0.735000 ;
+        RECT 5.750000 1.615000 6.080000 2.465000 ;
+        RECT 6.435000 0.905000 6.815000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.095000  0.260000 0.425000 0.735000 ;
+      RECT 0.095000  0.735000 0.780000 0.905000 ;
+      RECT 0.095000  1.445000 0.780000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.160000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.785000 0.765000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 0.995000 ;
+      RECT 0.610000  0.995000 1.040000 1.325000 ;
+      RECT 0.610000  1.325000 0.780000 1.445000 ;
+      RECT 1.000000  0.260000 1.380000 0.825000 ;
+      RECT 1.000000  1.545000 1.380000 2.465000 ;
+      RECT 1.210000  0.825000 1.380000 1.075000 ;
+      RECT 1.210000  1.075000 2.720000 1.275000 ;
+      RECT 1.210000  1.275000 1.380000 1.545000 ;
+      RECT 1.550000  0.260000 1.880000 0.735000 ;
+      RECT 1.550000  0.735000 3.060000 0.905000 ;
+      RECT 1.550000  1.445000 3.060000 1.615000 ;
+      RECT 1.550000  1.615000 1.880000 2.465000 ;
+      RECT 2.050000  0.085000 2.220000 0.565000 ;
+      RECT 2.050000  1.785000 2.220000 2.635000 ;
+      RECT 2.390000  0.260000 2.720000 0.735000 ;
+      RECT 2.390000  1.615000 2.720000 2.465000 ;
+      RECT 2.890000  0.085000 3.060000 0.565000 ;
+      RECT 2.890000  0.905000 3.060000 1.075000 ;
+      RECT 2.890000  1.075000 5.360000 1.275000 ;
+      RECT 2.890000  1.275000 3.060000 1.445000 ;
+      RECT 2.890000  1.785000 3.060000 2.635000 ;
+      RECT 3.730000  0.085000 3.900000 0.565000 ;
+      RECT 3.730000  1.835000 3.900000 2.635000 ;
+      RECT 4.570000  0.085000 4.740000 0.565000 ;
+      RECT 4.570000  1.835000 4.740000 2.635000 ;
+      RECT 5.410000  0.085000 5.580000 0.565000 ;
+      RECT 5.410000  1.835000 5.580000 2.635000 ;
+      RECT 6.250000  0.085000 6.420000 0.565000 ;
+      RECT 6.250000  1.835000 6.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_8
+MACRO sky130_fd_sc_hd__bufbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  5.235000 0.255000  5.485000 0.260000 ;
+        RECT  5.235000 0.260000  5.565000 0.735000 ;
+        RECT  5.235000 0.735000 11.875000 0.905000 ;
+        RECT  5.235000 1.445000 11.875000 1.615000 ;
+        RECT  5.235000 1.615000  5.565000 2.465000 ;
+        RECT  6.075000 0.260000  6.405000 0.735000 ;
+        RECT  6.075000 1.615000  6.405000 2.465000 ;
+        RECT  6.155000 0.255000  6.325000 0.260000 ;
+        RECT  6.915000 0.260000  7.245000 0.735000 ;
+        RECT  6.915000 1.615000  7.245000 2.465000 ;
+        RECT  6.995000 0.255000  7.165000 0.260000 ;
+        RECT  7.755000 0.260000  8.085000 0.735000 ;
+        RECT  7.755000 1.615000  8.085000 2.465000 ;
+        RECT  8.595000 0.260000  8.925000 0.735000 ;
+        RECT  8.595000 1.615000  8.925000 2.465000 ;
+        RECT  9.435000 0.260000  9.765000 0.735000 ;
+        RECT  9.435000 1.615000  9.765000 2.465000 ;
+        RECT 10.275000 0.260000 10.605000 0.735000 ;
+        RECT 10.275000 1.615000 10.605000 2.465000 ;
+        RECT 11.115000 0.260000 11.445000 0.735000 ;
+        RECT 11.115000 1.615000 11.445000 2.465000 ;
+        RECT 11.620000 0.905000 11.875000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.085000  0.345000 0.905000 ;
+      RECT  0.175000  1.445000  0.345000 2.635000 ;
+      RECT  0.515000  0.260000  0.845000 0.905000 ;
+      RECT  0.515000  1.445000  0.845000 2.465000 ;
+      RECT  0.610000  0.905000  0.845000 1.075000 ;
+      RECT  0.610000  1.075000  2.205000 1.275000 ;
+      RECT  0.610000  1.275000  0.845000 1.445000 ;
+      RECT  1.035000  0.260000  1.365000 0.735000 ;
+      RECT  1.035000  0.735000  2.545000 0.905000 ;
+      RECT  1.035000  1.445000  2.545000 1.615000 ;
+      RECT  1.035000  1.615000  1.365000 2.465000 ;
+      RECT  1.535000  0.085000  1.705000 0.565000 ;
+      RECT  1.535000  1.785000  1.705000 2.635000 ;
+      RECT  1.875000  0.260000  2.205000 0.735000 ;
+      RECT  1.875000  1.615000  2.205000 2.465000 ;
+      RECT  2.375000  0.085000  2.545000 0.565000 ;
+      RECT  2.375000  0.905000  2.545000 1.075000 ;
+      RECT  2.375000  1.075000  4.685000 1.275000 ;
+      RECT  2.375000  1.275000  2.545000 1.445000 ;
+      RECT  2.375000  1.785000  2.545000 2.635000 ;
+      RECT  2.715000  0.260000  3.045000 0.735000 ;
+      RECT  2.715000  0.735000  5.065000 0.905000 ;
+      RECT  2.715000  1.445000  5.065000 1.615000 ;
+      RECT  2.715000  1.615000  3.045000 2.465000 ;
+      RECT  3.215000  0.085000  3.385000 0.565000 ;
+      RECT  3.215000  1.835000  3.385000 2.635000 ;
+      RECT  3.555000  0.260000  3.885000 0.735000 ;
+      RECT  3.555000  1.615000  3.885000 2.465000 ;
+      RECT  4.055000  0.085000  4.225000 0.565000 ;
+      RECT  4.055000  1.835000  4.225000 2.635000 ;
+      RECT  4.395000  0.260000  4.725000 0.735000 ;
+      RECT  4.395000  1.615000  4.725000 2.465000 ;
+      RECT  4.890000  0.905000  5.065000 1.075000 ;
+      RECT  4.890000  1.075000 11.450000 1.275000 ;
+      RECT  4.890000  1.275000  5.065000 1.445000 ;
+      RECT  4.895000  0.085000  5.065000 0.565000 ;
+      RECT  4.895000  1.835000  5.065000 2.635000 ;
+      RECT  5.735000  0.085000  5.905000 0.565000 ;
+      RECT  5.735000  1.835000  5.905000 2.635000 ;
+      RECT  6.575000  0.085000  6.745000 0.565000 ;
+      RECT  6.575000  1.835000  6.745000 2.635000 ;
+      RECT  7.415000  0.085000  7.585000 0.565000 ;
+      RECT  7.415000  1.835000  7.585000 2.635000 ;
+      RECT  8.255000  0.085000  8.425000 0.565000 ;
+      RECT  8.255000  1.835000  8.425000 2.635000 ;
+      RECT  9.095000  0.085000  9.265000 0.565000 ;
+      RECT  9.095000  1.835000  9.265000 2.635000 ;
+      RECT  9.935000  0.085000 10.105000 0.565000 ;
+      RECT  9.935000  1.835000 10.105000 2.635000 ;
+      RECT 10.775000  0.085000 10.945000 0.565000 ;
+      RECT 10.775000  1.835000 10.945000 2.635000 ;
+      RECT 11.615000  0.085000 11.785000 0.565000 ;
+      RECT 11.615000  1.835000 11.785000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_16
+MACRO sky130_fd_sc_hd__o311ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.105000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.055000 2.155000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.055000 3.075000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.055000 4.385000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.085000 1.055000 5.895000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.485000 5.895000 1.725000 ;
+        RECT 2.415000 1.725000 2.665000 2.125000 ;
+        RECT 3.335000 1.725000 3.505000 2.465000 ;
+        RECT 4.515000 1.725000 4.825000 2.465000 ;
+        RECT 4.555000 0.655000 5.895000 0.885000 ;
+        RECT 4.555000 0.885000 4.915000 1.485000 ;
+        RECT 5.495000 1.725000 5.895000 2.465000 ;
+        RECT 5.515000 0.255000 5.895000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.655000 ;
+      RECT 0.085000  0.655000 4.385000 0.885000 ;
+      RECT 0.085000  1.485000 2.225000 1.725000 ;
+      RECT 0.085000  1.725000 0.465000 2.465000 ;
+      RECT 0.635000  1.895000 0.965000 2.635000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 1.135000  1.725000 1.305000 2.465000 ;
+      RECT 1.155000  0.255000 1.325000 0.655000 ;
+      RECT 1.475000  1.895000 1.805000 2.295000 ;
+      RECT 1.475000  2.295000 3.165000 2.465000 ;
+      RECT 1.495000  0.085000 1.825000 0.485000 ;
+      RECT 1.975000  1.725000 2.225000 2.125000 ;
+      RECT 1.995000  0.255000 2.165000 0.655000 ;
+      RECT 2.335000  0.085000 3.105000 0.485000 ;
+      RECT 2.835000  1.895000 3.165000 2.295000 ;
+      RECT 3.275000  0.255000 3.445000 0.655000 ;
+      RECT 3.615000  0.255000 5.345000 0.485000 ;
+      RECT 3.675000  1.895000 4.345000 2.635000 ;
+      RECT 4.995000  1.895000 5.325000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_2
+MACRO sky130_fd_sc_hd__o311ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.775000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.055000 3.615000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 1.055000 5.885000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 1.055000 7.695000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.865000 1.055000 9.090000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.241000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.055000 1.485000 9.575000 1.725000 ;
+        RECT 4.055000 1.725000 4.305000 2.115000 ;
+        RECT 4.975000 1.725000 5.145000 2.115000 ;
+        RECT 5.815000 1.725000 6.005000 2.465000 ;
+        RECT 6.675000 1.725000 6.845000 2.465000 ;
+        RECT 7.515000 1.725000 7.685000 2.465000 ;
+        RECT 7.895000 0.655000 9.575000 0.885000 ;
+        RECT 8.355000 1.725000 8.525000 2.465000 ;
+        RECT 9.195000 1.725000 9.575000 2.465000 ;
+        RECT 9.260000 0.885000 9.575000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.505000 0.885000 ;
+      RECT 0.085000  1.485000 3.865000 1.725000 ;
+      RECT 0.085000  1.725000 0.405000 2.465000 ;
+      RECT 0.595000  1.895000 0.925000 2.635000 ;
+      RECT 0.675000  0.255000 0.845000 0.655000 ;
+      RECT 0.675000  0.655000 7.385000 0.885000 ;
+      RECT 1.015000  0.085000 1.345000 0.485000 ;
+      RECT 1.095000  1.725000 1.265000 2.465000 ;
+      RECT 1.435000  1.895000 1.765000 2.635000 ;
+      RECT 1.515000  0.255000 1.685000 0.655000 ;
+      RECT 1.855000  0.085000 2.185000 0.485000 ;
+      RECT 1.935000  1.725000 2.105000 2.465000 ;
+      RECT 2.275000  1.895000 2.605000 2.295000 ;
+      RECT 2.275000  2.295000 5.645000 2.465000 ;
+      RECT 2.355000  0.255000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.025000 0.485000 ;
+      RECT 2.775000  1.725000 2.945000 2.115000 ;
+      RECT 3.115000  1.895000 3.445000 2.295000 ;
+      RECT 3.195000  0.255000 3.365000 0.655000 ;
+      RECT 3.535000  0.085000 3.885000 0.485000 ;
+      RECT 3.615000  1.725000 3.865000 2.115000 ;
+      RECT 4.055000  0.255000 4.225000 0.655000 ;
+      RECT 4.395000  0.085000 4.725000 0.485000 ;
+      RECT 4.475000  1.895000 4.805000 2.295000 ;
+      RECT 4.895000  0.255000 5.065000 0.655000 ;
+      RECT 5.235000  0.085000 5.585000 0.485000 ;
+      RECT 5.315000  1.895000 5.645000 2.295000 ;
+      RECT 5.755000  0.255000 9.575000 0.485000 ;
+      RECT 6.175000  1.895000 6.505000 2.635000 ;
+      RECT 7.015000  1.895000 7.345000 2.635000 ;
+      RECT 7.555000  0.485000 7.725000 0.885000 ;
+      RECT 7.855000  1.895000 8.185000 2.635000 ;
+      RECT 8.695000  1.895000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_4
+MACRO sky130_fd_sc_hd__o311ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.780000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.320000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.995000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.942000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.825000 ;
+        RECT 2.445000 0.825000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.825000 ;
+      RECT 0.085000  1.495000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_1
+MACRO sky130_fd_sc_hd__o311ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.570000 0.995000 ;
+        RECT 0.085000 0.995000 0.780000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.260000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.765000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.604000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.595000 ;
+        RECT 2.445000 0.595000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.595000 ;
+      RECT 0.085000  1.795000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_0
+MACRO sky130_fd_sc_hd__dfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.150000 1.495000 10.915000 1.665000 ;
+        RECT 10.150000 1.665000 10.480000 2.465000 ;
+        RECT 10.230000 0.255000 10.480000 0.720000 ;
+        RECT 10.230000 0.720000 10.915000 0.825000 ;
+        RECT 10.345000 0.825000 10.915000 0.845000 ;
+        RECT 10.360000 0.845000 10.915000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.900000  0.725000  6.150000 0.895000 ;
+      RECT  4.900000  0.895000  5.070000 1.655000 ;
+      RECT  4.900000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.760000 2.415000 ;
+      RECT  5.240000  1.065000  5.420000 1.475000 ;
+      RECT  5.590000  1.235000  7.470000 1.405000 ;
+      RECT  5.590000  1.405000  5.760000 1.915000 ;
+      RECT  5.590000  1.915000  6.780000 2.085000 ;
+      RECT  5.590000  2.085000  5.760000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.930000  1.575000  7.830000 1.745000 ;
+      RECT  5.930000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.830000 0.680000 ;
+      RECT  7.510000  1.745000  7.830000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.830000 1.575000 ;
+      RECT  8.020000  0.085000  8.200000 0.905000 ;
+      RECT  8.020000  1.480000  8.200000 2.635000 ;
+      RECT  8.870000  0.085000  9.120000 0.905000 ;
+      RECT  8.870000  1.480000  9.120000 2.635000 ;
+      RECT  9.310000  0.255000  9.560000 0.995000 ;
+      RECT  9.310000  0.995000 10.190000 1.325000 ;
+      RECT  9.310000  1.325000  9.640000 2.465000 ;
+      RECT  9.730000  0.085000 10.060000 0.825000 ;
+      RECT  9.810000  1.495000  9.980000 2.635000 ;
+      RECT 10.650000  0.085000 10.915000 0.550000 ;
+      RECT 10.650000  1.835000 10.915000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_2
+MACRO sky130_fd_sc_hd__dfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.865000 0.255000 10.125000 0.825000 ;
+        RECT 9.865000 1.445000 10.125000 2.465000 ;
+        RECT 9.910000 0.825000 10.125000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.840000 0.805000 ;
+      RECT 0.175000  1.795000  0.840000 1.965000 ;
+      RECT 0.175000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.240000 2.465000 ;
+      RECT 1.430000  0.635000  2.125000 0.825000 ;
+      RECT 1.430000  0.825000  1.600000 1.795000 ;
+      RECT 1.430000  1.795000  2.125000 1.965000 ;
+      RECT 1.455000  0.085000  1.785000 0.465000 ;
+      RECT 1.455000  2.135000  1.785000 2.635000 ;
+      RECT 1.955000  0.305000  2.125000 0.635000 ;
+      RECT 1.955000  1.965000  2.125000 2.465000 ;
+      RECT 2.350000  0.705000  2.570000 1.575000 ;
+      RECT 2.350000  1.575000  2.850000 1.955000 ;
+      RECT 2.360000  2.250000  3.190000 2.420000 ;
+      RECT 2.425000  0.265000  3.440000 0.465000 ;
+      RECT 2.750000  0.645000  3.100000 1.015000 ;
+      RECT 3.020000  1.195000  3.440000 1.235000 ;
+      RECT 3.020000  1.235000  4.370000 1.405000 ;
+      RECT 3.020000  1.405000  3.190000 2.250000 ;
+      RECT 3.270000  0.465000  3.440000 1.195000 ;
+      RECT 3.360000  1.575000  3.610000 1.835000 ;
+      RECT 3.360000  1.835000  4.710000 2.085000 ;
+      RECT 3.430000  2.255000  3.810000 2.635000 ;
+      RECT 3.610000  0.085000  4.020000 0.525000 ;
+      RECT 3.990000  2.085000  4.160000 2.375000 ;
+      RECT 4.120000  1.405000  4.370000 1.565000 ;
+      RECT 4.310000  0.295000  4.560000 0.725000 ;
+      RECT 4.310000  0.725000  4.710000 1.065000 ;
+      RECT 4.330000  2.255000  4.660000 2.635000 ;
+      RECT 4.540000  1.065000  4.710000 1.835000 ;
+      RECT 4.740000  0.085000  5.080000 0.545000 ;
+      RECT 4.900000  0.725000  6.150000 0.895000 ;
+      RECT 4.900000  0.895000  5.070000 1.655000 ;
+      RECT 4.900000  1.655000  5.400000 1.965000 ;
+      RECT 5.110000  2.165000  5.760000 2.415000 ;
+      RECT 5.240000  1.065000  5.420000 1.475000 ;
+      RECT 5.590000  1.235000  7.470000 1.405000 ;
+      RECT 5.590000  1.405000  5.760000 1.915000 ;
+      RECT 5.590000  1.915000  6.780000 2.085000 ;
+      RECT 5.590000  2.085000  5.760000 2.165000 ;
+      RECT 5.640000  0.305000  6.490000 0.475000 ;
+      RECT 5.820000  0.895000  6.150000 1.015000 ;
+      RECT 5.930000  1.575000  7.830000 1.745000 ;
+      RECT 5.930000  2.255000  6.340000 2.635000 ;
+      RECT 6.320000  0.475000  6.490000 1.235000 ;
+      RECT 6.540000  2.085000  6.780000 2.375000 ;
+      RECT 6.670000  0.085000  7.330000 0.565000 ;
+      RECT 7.010000  1.945000  7.340000 2.635000 ;
+      RECT 7.140000  1.175000  7.470000 1.235000 ;
+      RECT 7.510000  0.350000  7.830000 0.680000 ;
+      RECT 7.510000  1.745000  7.830000 1.765000 ;
+      RECT 7.510000  1.765000  7.680000 2.375000 ;
+      RECT 7.640000  0.680000  7.830000 1.575000 ;
+      RECT 8.020000  0.085000  8.200000 0.905000 ;
+      RECT 8.020000  1.480000  8.200000 2.635000 ;
+      RECT 8.890000  0.255000  9.220000 0.995000 ;
+      RECT 8.890000  0.995000  9.740000 1.325000 ;
+      RECT 8.890000  1.325000  9.220000 2.465000 ;
+      RECT 9.445000  0.085000  9.615000 0.585000 ;
+      RECT 9.445000  1.825000  9.615000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_1
+MACRO sky130_fd_sc_hd__dfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_1
+MACRO sky130_fd_sc_hd__dfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.675000 0.255000  9.005000 0.735000 ;
+        RECT  8.675000 0.735000 10.440000 0.905000 ;
+        RECT  8.715000 1.455000 10.440000 1.625000 ;
+        RECT  8.715000 1.625000  9.005000 2.465000 ;
+        RECT  9.515000 0.255000  9.845000 0.735000 ;
+        RECT  9.555000 1.625000  9.805000 2.465000 ;
+        RECT 10.030000 0.905000 10.440000 1.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 10.580000 0.085000 ;
+      RECT  0.000000  2.635000 10.580000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  8.135000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.040000 2.635000 ;
+      RECT  7.815000  0.465000  8.135000 0.820000 ;
+      RECT  7.815000  0.820000  8.140000 1.075000 ;
+      RECT  7.815000  1.075000  9.845000 1.285000 ;
+      RECT  7.815000  1.285000  8.160000 1.295000 ;
+      RECT  7.990000  1.295000  8.160000 1.915000 ;
+      RECT  8.335000  0.085000  8.505000 0.895000 ;
+      RECT  8.335000  1.575000  8.505000 2.635000 ;
+      RECT  9.175000  0.085000  9.345000 0.555000 ;
+      RECT  9.175000  1.795000  9.345000 2.635000 ;
+      RECT 10.015000  0.085000 10.185000 0.555000 ;
+      RECT 10.015000  1.795000 10.185000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_4
+MACRO sky130_fd_sc_hd__dfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.105000 0.795000 ;
+        RECT 8.855000 1.445000 9.105000 2.325000 ;
+        RECT 8.900000 0.795000 9.105000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+      RECT 9.275000  0.085000 9.525000 0.840000 ;
+      RECT 9.275000  1.495000 9.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_2
+MACRO sky130_fd_sc_hd__sedfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.990000 2.910000 ;
+        RECT  7.200000 1.305000 13.990000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.515000 0.900000 ;
+      RECT 13.255000  1.465000 13.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_2
+MACRO sky130_fd_sc_hd__sedfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.72000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 1.070000 ;
+        RECT 12.755000 1.070000 13.925000 1.295000 ;
+        RECT 12.755000 1.295000 13.085000 2.420000 ;
+        RECT 13.595000 0.305000 13.925000 1.070000 ;
+        RECT 13.595000 1.295000 13.925000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.720000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.910000 2.910000 ;
+        RECT  7.200000 1.305000 14.910000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.720000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.720000 0.085000 ;
+      RECT  0.000000  2.635000 14.720000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.425000 0.900000 ;
+      RECT 13.255000  1.465000 13.425000 2.635000 ;
+      RECT 14.095000  0.085000 14.355000 1.280000 ;
+      RECT 14.095000  1.465000 14.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_4
+MACRO sky130_fd_sc_hd__sedfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.765000 0.305000 13.095000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.530000 2.910000 ;
+        RECT  7.200000 1.305000 13.530000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.595000 0.900000 ;
+      RECT 12.350000  1.465000 12.595000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_1
+MACRO sky130_fd_sc_hd__fahcon_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.937500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.710000 1.780000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 0.645000 4.515000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.310000 0.765000 4.480000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.540000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.250000 0.735000 4.540000 0.780000 ;
+        RECT 4.250000 0.920000 4.540000 0.965000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.530000 1.075000 10.975000 1.275000 ;
+    END
+  END CI
+  PIN COUT_N
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.610000 0.755000 6.935000 0.925000 ;
+        RECT 6.610000 0.925000 6.880000 1.675000 ;
+        RECT 6.710000 1.675000 6.880000 1.785000 ;
+        RECT 6.765000 0.595000 6.935000 0.755000 ;
+    END
+  END COUT_N
+  PIN SUM
+    ANTENNADIFFAREA  0.463750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.010000 1.785000 12.335000 2.465000 ;
+        RECT 12.135000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  2.545000 2.295000 ;
+      RECT  0.940000  2.295000  3.540000 2.385000 ;
+      RECT  0.995000  0.255000  3.410000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.875000 ;
+      RECT  1.280000  1.875000  2.920000 2.045000 ;
+      RECT  1.965000  0.635000  2.470000 1.705000 ;
+      RECT  2.375000  2.385000  3.540000 2.465000 ;
+      RECT  2.640000  0.655000  3.025000 0.735000 ;
+      RECT  2.640000  0.735000  3.160000 0.755000 ;
+      RECT  2.640000  0.755000  3.750000 0.905000 ;
+      RECT  2.640000  1.075000  2.975000 1.160000 ;
+      RECT  2.640000  1.160000  3.100000 1.615000 ;
+      RECT  3.055000  0.905000  3.750000 0.925000 ;
+      RECT  3.240000  0.465000  3.410000 0.585000 ;
+      RECT  3.270000  0.925000  3.440000 2.295000 ;
+      RECT  3.580000  0.255000  4.595000 0.425000 ;
+      RECT  3.580000  0.425000  3.750000 0.755000 ;
+      RECT  3.725000  1.150000  4.095000 1.320000 ;
+      RECT  3.725000  1.320000  3.895000 2.295000 ;
+      RECT  3.725000  2.295000  5.100000 2.465000 ;
+      RECT  3.925000  0.595000  4.095000 1.150000 ;
+      RECT  4.210000  1.755000  4.380000 2.095000 ;
+      RECT  4.265000  0.425000  4.595000 0.475000 ;
+      RECT  4.700000  1.385000  5.180000 1.725000 ;
+      RECT  4.840000  0.510000  5.030000 0.995000 ;
+      RECT  4.840000  0.995000  5.180000 1.385000 ;
+      RECT  4.875000  1.895000  6.005000 2.065000 ;
+      RECT  4.875000  2.065000  5.100000 2.295000 ;
+      RECT  5.200000  0.085000  5.530000 0.805000 ;
+      RECT  5.270000  2.235000  5.600000 2.635000 ;
+      RECT  5.645000  1.555000  6.380000 1.725000 ;
+      RECT  5.700000  0.380000  5.980000 0.815000 ;
+      RECT  5.810000  0.815000  5.980000 1.555000 ;
+      RECT  5.835000  2.065000  6.005000 2.295000 ;
+      RECT  5.835000  2.295000  7.960000 2.465000 ;
+      RECT  6.150000  0.740000  6.435000 1.325000 ;
+      RECT  6.210000  1.725000  6.380000 1.895000 ;
+      RECT  6.210000  1.895000  6.540000 1.955000 ;
+      RECT  6.210000  1.955000  7.220000 2.125000 ;
+      RECT  6.265000  0.255000  7.700000 0.425000 ;
+      RECT  6.265000  0.425000  6.595000 0.570000 ;
+      RECT  7.050000  1.060000  7.280000 1.230000 ;
+      RECT  7.050000  1.230000  7.220000 1.955000 ;
+      RECT  7.110000  0.595000  7.360000 0.925000 ;
+      RECT  7.110000  0.925000  7.280000 1.060000 ;
+      RECT  7.390000  1.360000  7.620000 1.530000 ;
+      RECT  7.390000  1.530000  7.560000 2.125000 ;
+      RECT  7.450000  1.105000  7.700000 1.290000 ;
+      RECT  7.450000  1.290000  7.620000 1.360000 ;
+      RECT  7.530000  0.425000  7.700000 1.105000 ;
+      RECT  7.790000  1.550000  8.045000 1.720000 ;
+      RECT  7.790000  1.720000  7.960000 2.295000 ;
+      RECT  7.875000  0.995000  8.045000 1.550000 ;
+      RECT  7.935000  0.255000  9.450000 0.425000 ;
+      RECT  7.935000  0.425000  8.270000 0.825000 ;
+      RECT  8.230000  1.785000  8.400000 2.295000 ;
+      RECT  8.230000  2.295000  9.950000 2.465000 ;
+      RECT  8.440000  0.595000  8.900000 0.765000 ;
+      RECT  8.440000  0.765000  8.610000 1.445000 ;
+      RECT  8.440000  1.445000  8.740000 1.530000 ;
+      RECT  8.440000  1.530000  8.900000 1.615000 ;
+      RECT  8.570000  1.615000  8.900000 2.125000 ;
+      RECT  8.780000  0.995000  9.110000 1.275000 ;
+      RECT  9.070000  1.530000  9.450000 2.045000 ;
+      RECT  9.070000  2.045000  9.420000 2.125000 ;
+      RECT  9.280000  0.425000  9.450000 1.530000 ;
+      RECT  9.620000  2.215000  9.950000 2.295000 ;
+      RECT  9.650000  0.255000 10.020000 0.825000 ;
+      RECT  9.650000  0.825000  9.820000 1.535000 ;
+      RECT  9.650000  1.535000  9.950000 2.215000 ;
+      RECT  9.990000  0.995000 10.360000 1.325000 ;
+      RECT 10.120000  2.275000 10.455000 2.635000 ;
+      RECT 10.190000  0.735000 10.920000 0.905000 ;
+      RECT 10.190000  0.905000 10.360000 0.995000 ;
+      RECT 10.190000  1.325000 10.360000 1.455000 ;
+      RECT 10.190000  1.455000 10.835000 2.045000 ;
+      RECT 10.200000  0.085000 10.370000 0.565000 ;
+      RECT 10.540000  0.285000 10.920000 0.735000 ;
+      RECT 10.625000  2.045000 10.835000 2.465000 ;
+      RECT 11.085000  1.455000 11.415000 2.465000 ;
+      RECT 11.165000  0.270000 11.335000 0.680000 ;
+      RECT 11.165000  0.680000 11.415000 1.455000 ;
+      RECT 11.535000  0.085000 11.825000 0.555000 ;
+      RECT 11.585000  1.785000 11.840000 2.635000 ;
+      RECT 11.655000  0.995000 11.965000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.280000  1.785000  1.450000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.930000  1.445000  3.100000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.210000  1.785000  4.380000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.770000  1.445000  4.940000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  1.105000  6.320000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.530000  0.765000  7.700000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.450000  1.445000  8.620000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.910000  1.105000  9.080000 1.275000 ;
+      RECT  9.280000  1.785000  9.450000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.190000  1.785000 10.360000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.230000  0.765000 11.400000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.690000  1.445000 11.860000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.195000 1.755000  1.510000 1.800000 ;
+      RECT  1.195000 1.800000  4.440000 1.940000 ;
+      RECT  1.195000 1.940000  1.510000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.140000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.845000 1.415000  3.160000 1.460000 ;
+      RECT  2.845000 1.460000  5.000000 1.600000 ;
+      RECT  2.845000 1.600000  3.160000 1.645000 ;
+      RECT  4.150000 1.755000  4.440000 1.800000 ;
+      RECT  4.150000 1.940000  4.440000 1.985000 ;
+      RECT  4.710000 1.415000  5.000000 1.460000 ;
+      RECT  4.710000 1.600000  5.000000 1.645000 ;
+      RECT  6.090000 1.075000  6.380000 1.120000 ;
+      RECT  6.090000 1.260000  6.380000 1.305000 ;
+      RECT  7.470000 0.735000  7.760000 0.780000 ;
+      RECT  7.470000 0.780000 11.460000 0.920000 ;
+      RECT  7.470000 0.920000  7.760000 0.965000 ;
+      RECT  8.390000 1.415000  8.680000 1.460000 ;
+      RECT  8.390000 1.460000 11.920000 1.600000 ;
+      RECT  8.390000 1.600000  8.680000 1.645000 ;
+      RECT  8.850000 1.075000  9.140000 1.120000 ;
+      RECT  8.850000 1.260000  9.140000 1.305000 ;
+      RECT  9.195000 1.755000  9.510000 1.800000 ;
+      RECT  9.195000 1.800000 10.420000 1.940000 ;
+      RECT  9.195000 1.940000  9.510000 1.985000 ;
+      RECT 10.130000 1.755000 10.420000 1.800000 ;
+      RECT 10.130000 1.940000 10.420000 1.985000 ;
+      RECT 11.170000 0.735000 11.460000 0.780000 ;
+      RECT 11.170000 0.920000 11.460000 0.965000 ;
+      RECT 11.630000 1.415000 11.920000 1.460000 ;
+      RECT 11.630000 1.600000 11.920000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcon_1
+MACRO sky130_fd_sc_hd__diode_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__diode_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN DIODE
+    ANTENNADIFFAREA  0.434700 ;
+    ANTENNAGATEAREA  0.434700 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.835000 2.465000 ;
+    END
+  END DIODE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__diode_2
+MACRO sky130_fd_sc_hd__nand4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.710000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 7.305000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.110000 0.655000 7.305000 0.905000 ;
+        RECT 6.110000 0.905000 6.290000 1.445000 ;
+        RECT 6.135000 1.665000 6.465000 2.465000 ;
+        RECT 6.975000 1.665000 7.305000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 2.025000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.855000  0.255000 3.785000 0.485000 ;
+      RECT 1.855000  0.485000 2.025000 0.655000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.655000 5.565000 0.905000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 3.975000  0.255000 7.730000 0.485000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.770000  0.485000 5.940000 0.905000 ;
+      RECT 5.770000  1.835000 5.940000 2.635000 ;
+      RECT 6.635000  1.835000 6.805000 2.635000 ;
+      RECT 7.475000  0.485000 7.730000 0.905000 ;
+      RECT 7.475000  1.445000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_4
+MACRO sky130_fd_sc_hd__nand4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.495000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.235000 1.075000 3.080000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.845000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 3.925000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.355000 1.665000 2.685000 2.465000 ;
+        RECT 3.370000 1.055000 3.925000 1.445000 ;
+        RECT 3.595000 0.635000 3.925000 1.055000 ;
+        RECT 3.595000 1.665000 3.925000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 1.185000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.125000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.085000 0.905000 ;
+      RECT 1.855000  1.835000 2.185000 2.635000 ;
+      RECT 2.315000  0.255000 4.425000 0.465000 ;
+      RECT 2.995000  1.835000 3.325000 2.635000 ;
+      RECT 3.255000  0.465000 3.425000 0.885000 ;
+      RECT 4.095000  0.465000 4.425000 0.905000 ;
+      RECT 4.095000  1.445000 4.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_2
+MACRO sky130_fd_sc_hd__nand4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.995000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.300000 1.350000 0.825000 ;
+        RECT 1.145000 0.825000 1.350000 0.995000 ;
+        RECT 1.145000 0.995000 1.455000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.300000 0.810000 0.995000 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.995000 0.395000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.795000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 1.795000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.385000 1.665000 1.715000 2.465000 ;
+        RECT 1.520000 0.255000 2.215000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.090000  0.085000 0.425000 0.825000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_1
+MACRO sky130_fd_sc_hd__clkinvlp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.330000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.425000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.714000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 1.215000 0.680000 ;
+        RECT 0.595000 0.680000 0.955000 1.015000 ;
+        RECT 0.595000 1.015000 2.015000 1.295000 ;
+        RECT 0.595000 1.295000 0.955000 2.465000 ;
+        RECT 1.685000 1.295000 2.015000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.575000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 1.155000  1.465000 1.485000 2.635000 ;
+      RECT 1.675000  0.085000 2.005000 0.775000 ;
+      RECT 2.215000  1.465000 2.545000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_4
+MACRO sky130_fd_sc_hd__clkinvlp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.665000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.600000 1.665000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.436750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.810000 0.315000 1.445000 0.750000 ;
+        RECT 0.810000 0.750000 1.235000 2.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.225000  1.835000 0.555000 2.625000 ;
+      RECT 0.225000  2.625000 1.740000 2.635000 ;
+      RECT 0.295000  0.085000 0.625000 0.745000 ;
+      RECT 1.440000  1.455000 1.740000 2.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_2
+MACRO sky130_fd_sc_hd__or3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 2.350000 1.325000 ;
+        RECT 1.525000 1.325000 1.770000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.585000 2.125000 2.200000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 0.415000 3.135000 0.760000 ;
+        RECT 2.860000 1.495000 3.135000 2.465000 ;
+        RECT 2.965000 0.760000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.310000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 1.025000  0.255000 1.285000 0.655000 ;
+      RECT 1.025000  0.655000 2.690000 0.825000 ;
+      RECT 1.025000  1.495000 1.355000 1.785000 ;
+      RECT 1.025000  1.785000 2.200000 1.955000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.955000  0.305000 2.125000 0.655000 ;
+      RECT 2.030000  1.495000 2.690000 1.665000 ;
+      RECT 2.030000  1.665000 2.200000 1.785000 ;
+      RECT 2.295000  0.085000 2.670000 0.485000 ;
+      RECT 2.370000  1.835000 2.650000 2.635000 ;
+      RECT 2.520000  0.825000 2.690000 0.995000 ;
+      RECT 2.520000  0.995000 2.795000 1.325000 ;
+      RECT 2.520000  1.325000 2.690000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_1
+MACRO sky130_fd_sc_hd__or3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 1.075000 2.230000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 2.125000 3.135000 2.365000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.265000 1.285000 0.595000 ;
+        RECT 0.935000 0.595000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.330000 1.700000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 2.660000 1.955000 ;
+      RECT 0.085000  1.955000 1.720000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 1.275000  0.765000 3.135000 0.825000 ;
+      RECT 1.275000  0.825000 2.160000 0.905000 ;
+      RECT 1.275000  0.905000 1.595000 0.935000 ;
+      RECT 1.275000  0.935000 1.445000 1.325000 ;
+      RECT 1.425000  0.735000 3.135000 0.765000 ;
+      RECT 1.425000  2.210000 1.755000 2.635000 ;
+      RECT 1.520000  0.085000 1.690000 0.565000 ;
+      RECT 1.550000  1.785000 2.660000 1.870000 ;
+      RECT 1.990000  0.305000 2.160000 0.655000 ;
+      RECT 1.990000  0.655000 3.135000 0.735000 ;
+      RECT 2.330000  0.085000 2.660000 0.485000 ;
+      RECT 2.490000  0.995000 2.790000 1.325000 ;
+      RECT 2.490000  1.325000 2.660000 1.785000 ;
+      RECT 2.830000  0.305000 3.085000 0.605000 ;
+      RECT 2.830000  0.605000 3.135000 0.655000 ;
+      RECT 2.830000  1.495000 3.135000 1.925000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_2
+MACRO sky130_fd_sc_hd__or3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 1.415000 2.720000 1.700000 ;
+        RECT 2.535000 0.995000 2.720000 1.415000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.890000 0.995000 3.200000 1.700000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.735000 2.025000 0.905000 ;
+        RECT 0.935000 0.905000 1.105000 1.415000 ;
+        RECT 0.935000 1.415000 2.220000 1.700000 ;
+        RECT 1.000000 0.285000 1.330000 0.735000 ;
+        RECT 1.855000 0.255000 2.090000 0.585000 ;
+        RECT 1.855000 0.585000 2.025000 0.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 3.620000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 0.620000  0.085000 0.790000 0.565000 ;
+      RECT 1.275000  1.075000 2.365000 1.245000 ;
+      RECT 1.420000  2.210000 1.750000 2.635000 ;
+      RECT 1.500000  0.085000 1.670000 0.565000 ;
+      RECT 2.195000  0.720000 4.055000 0.825000 ;
+      RECT 2.195000  0.825000 2.400000 0.890000 ;
+      RECT 2.195000  0.890000 2.365000 1.075000 ;
+      RECT 2.250000  0.655000 4.055000 0.720000 ;
+      RECT 2.255000  2.210000 2.595000 2.635000 ;
+      RECT 2.260000  0.085000 2.590000 0.485000 ;
+      RECT 2.760000  0.305000 2.930000 0.655000 ;
+      RECT 3.100000  0.085000 3.490000 0.485000 ;
+      RECT 3.390000  0.995000 3.680000 1.325000 ;
+      RECT 3.390000  1.325000 3.620000 1.870000 ;
+      RECT 3.520000  2.210000 4.055000 2.425000 ;
+      RECT 3.660000  0.305000 3.915000 0.605000 ;
+      RECT 3.660000  0.605000 4.055000 0.655000 ;
+      RECT 3.850000  0.825000 4.055000 2.210000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_4
+MACRO sky130_fd_sc_hd__o2bb2ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.625000 1.445000 ;
+        RECT 0.090000 1.445000 1.945000 1.615000 ;
+        RECT 1.615000 1.075000 1.945000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.795000 1.075000 1.400000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 3.740000 1.445000 ;
+        RECT 3.410000 1.445000 5.435000 1.615000 ;
+        RECT 4.730000 1.075000 5.435000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.960000 1.075000 4.500000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.745000 0.645000 3.075000 1.075000 ;
+        RECT 2.745000 1.075000 3.215000 1.785000 ;
+        RECT 2.745000 1.785000 4.330000 1.955000 ;
+        RECT 2.745000 1.955000 3.035000 2.465000 ;
+        RECT 4.080000 1.955000 4.330000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.150000  1.795000 0.400000 2.635000 ;
+      RECT 0.195000  0.085000 0.365000 0.895000 ;
+      RECT 0.535000  0.305000 1.705000 0.475000 ;
+      RECT 0.535000  0.475000 0.785000 0.895000 ;
+      RECT 0.575000  1.785000 2.285000 1.965000 ;
+      RECT 0.575000  1.965000 0.825000 2.465000 ;
+      RECT 0.955000  0.645000 1.285000 0.725000 ;
+      RECT 0.955000  0.725000 2.285000 0.905000 ;
+      RECT 0.995000  2.135000 1.245000 2.635000 ;
+      RECT 1.415000  1.965000 1.665000 2.125000 ;
+      RECT 1.835000  2.135000 2.575000 2.635000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.115000  0.905000 2.285000 0.995000 ;
+      RECT 2.115000  0.995000 2.575000 1.325000 ;
+      RECT 2.115000  1.325000 2.285000 1.785000 ;
+      RECT 2.325000  0.255000 3.530000 0.475000 ;
+      RECT 2.325000  0.475000 2.575000 0.555000 ;
+      RECT 3.205000  2.125000 3.490000 2.635000 ;
+      RECT 3.245000  0.475000 3.530000 0.735000 ;
+      RECT 3.245000  0.735000 5.210000 0.905000 ;
+      RECT 3.660000  2.125000 3.910000 2.295000 ;
+      RECT 3.660000  2.295000 4.750000 2.465000 ;
+      RECT 3.700000  0.085000 3.870000 0.555000 ;
+      RECT 4.040000  0.255000 4.370000 0.725000 ;
+      RECT 4.040000  0.725000 5.210000 0.735000 ;
+      RECT 4.500000  1.785000 4.750000 2.295000 ;
+      RECT 4.540000  0.085000 4.710000 0.555000 ;
+      RECT 4.880000  0.255000 5.210000 0.725000 ;
+      RECT 4.965000  1.795000 5.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_2
+MACRO sky130_fd_sc_hd__o2bb2ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.435000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.280000 0.825000 0.995000 ;
+        RECT 0.605000 0.995000 1.000000 1.325000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.030000 1.075000 2.615000 1.325000 ;
+        RECT 2.445000 1.325000 2.615000 2.425000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.430000 1.810000 0.790000 ;
+        RECT 1.640000 0.790000 1.810000 1.495000 ;
+        RECT 1.640000 1.495000 2.270000 1.665000 ;
+        RECT 1.940000 1.665000 2.270000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.815000 ;
+      RECT 0.150000  1.455000 0.400000 2.635000 ;
+      RECT 0.570000  1.495000 1.340000 1.665000 ;
+      RECT 0.570000  1.665000 0.820000 2.465000 ;
+      RECT 0.990000  1.835000 1.770000 2.635000 ;
+      RECT 1.000000  0.280000 1.340000 0.825000 ;
+      RECT 1.170000  0.825000 1.340000 0.995000 ;
+      RECT 1.170000  0.995000 1.470000 1.325000 ;
+      RECT 1.170000  1.325000 1.340000 1.495000 ;
+      RECT 1.980000  0.425000 2.270000 0.725000 ;
+      RECT 1.980000  0.725000 3.110000 0.905000 ;
+      RECT 2.440000  0.085000 2.610000 0.555000 ;
+      RECT 2.780000  0.275000 3.110000 0.725000 ;
+      RECT 2.820000  1.455000 3.070000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_1
+MACRO sky130_fd_sc_hd__o2bb2ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.505000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 1.825000 1.285000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.045000 1.075000 10.005000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.875000 1.285000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.415000 0.645000 6.155000 0.905000 ;
+        RECT 4.425000 1.455000 7.715000 1.625000 ;
+        RECT 4.425000 1.625000 4.675000 2.465000 ;
+        RECT 5.265000 1.625000 5.515000 2.465000 ;
+        RECT 5.875000 0.905000 6.155000 1.455000 ;
+        RECT 6.625000 1.625000 6.875000 2.125000 ;
+        RECT 7.465000 1.625000 7.715000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.705000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.455000 ;
+      RECT 0.085000  1.455000  3.915000 1.625000 ;
+      RECT 0.100000  0.255000  2.125000 0.475000 ;
+      RECT 0.155000  1.795000  0.405000 2.635000 ;
+      RECT 0.575000  1.625000  0.825000 2.465000 ;
+      RECT 0.995000  1.795000  1.245000 2.635000 ;
+      RECT 1.415000  1.625000  1.665000 2.465000 ;
+      RECT 1.835000  1.795000  2.085000 2.635000 ;
+      RECT 1.875000  0.475000  2.125000 0.725000 ;
+      RECT 1.875000  0.725000  3.805000 0.905000 ;
+      RECT 2.255000  1.625000  2.505000 2.465000 ;
+      RECT 2.295000  0.085000  2.465000 0.555000 ;
+      RECT 2.635000  0.255000  2.965000 0.725000 ;
+      RECT 2.675000  1.795000  2.925000 2.635000 ;
+      RECT 3.095000  1.625000  3.345000 2.465000 ;
+      RECT 3.135000  0.085000  3.305000 0.555000 ;
+      RECT 3.475000  0.255000  3.805000 0.725000 ;
+      RECT 3.515000  1.795000  4.255000 2.635000 ;
+      RECT 3.745000  1.075000  5.705000 1.285000 ;
+      RECT 3.745000  1.285000  3.915000 1.455000 ;
+      RECT 4.060000  0.255000  6.495000 0.475000 ;
+      RECT 4.060000  0.475000  4.245000 0.835000 ;
+      RECT 4.845000  1.795000  5.095000 2.635000 ;
+      RECT 5.685000  1.795000  5.935000 2.635000 ;
+      RECT 6.175000  1.795000  6.455000 2.295000 ;
+      RECT 6.175000  2.295000  8.135000 2.465000 ;
+      RECT 6.325000  0.475000  6.495000 0.735000 ;
+      RECT 6.325000  0.735000  9.855000 0.905000 ;
+      RECT 6.665000  0.085000  6.835000 0.555000 ;
+      RECT 7.005000  0.255000  7.335000 0.725000 ;
+      RECT 7.005000  0.725000  9.855000 0.735000 ;
+      RECT 7.045000  1.795000  7.295000 2.295000 ;
+      RECT 7.505000  0.085000  7.675000 0.555000 ;
+      RECT 7.845000  0.255000  8.175000 0.725000 ;
+      RECT 7.885000  1.455000  9.875000 1.625000 ;
+      RECT 7.885000  1.625000  8.135000 2.295000 ;
+      RECT 8.305000  1.795000  8.555000 2.635000 ;
+      RECT 8.345000  0.085000  8.515000 0.555000 ;
+      RECT 8.685000  0.255000  9.015000 0.725000 ;
+      RECT 8.725000  1.625000  8.975000 2.465000 ;
+      RECT 9.145000  1.795000  9.395000 2.635000 ;
+      RECT 9.185000  0.085000  9.355000 0.555000 ;
+      RECT 9.525000  0.255000  9.855000 0.725000 ;
+      RECT 9.565000  1.625000  9.875000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_4
+MACRO sky130_fd_sc_hd__o41ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.540000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 3.580000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 2.325000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 0.885000 ;
+        RECT 0.515000 1.505000 2.205000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 0.610000 0.885000 0.845000 1.445000 ;
+        RECT 0.610000 1.445000 2.205000 1.505000 ;
+        RECT 1.875000 1.665000 2.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 1.265000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.465000 1.265000 0.735000 ;
+      RECT 1.015000  0.735000 5.705000 0.905000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.455000  1.835000 1.705000 2.295000 ;
+      RECT 1.455000  2.295000 2.545000 2.465000 ;
+      RECT 1.875000  0.255000 2.205000 0.735000 ;
+      RECT 2.375000  0.085000 2.545000 0.545000 ;
+      RECT 2.375000  1.445000 3.465000 1.615000 ;
+      RECT 2.375000  1.615000 2.545000 2.295000 ;
+      RECT 2.715000  0.255000 3.045000 0.735000 ;
+      RECT 2.715000  1.835000 3.045000 2.295000 ;
+      RECT 2.715000  2.295000 4.445000 2.465000 ;
+      RECT 3.215000  0.085000 3.450000 0.545000 ;
+      RECT 3.215000  1.615000 3.465000 2.125000 ;
+      RECT 3.695000  0.255000 4.025000 0.735000 ;
+      RECT 3.695000  1.445000 5.705000 1.615000 ;
+      RECT 3.695000  1.615000 3.945000 2.125000 ;
+      RECT 4.115000  1.835000 4.445000 2.295000 ;
+      RECT 4.195000  0.085000 4.365000 0.545000 ;
+      RECT 4.535000  0.255000 4.865000 0.735000 ;
+      RECT 4.615000  1.615000 4.785000 2.465000 ;
+      RECT 4.955000  1.785000 5.285000 2.635000 ;
+      RECT 5.035000  0.085000 5.205000 0.545000 ;
+      RECT 5.375000  0.255000 5.705000 0.735000 ;
+      RECT 5.455000  1.615000 5.705000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_2
+MACRO sky130_fd_sc_hd__o41ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.500000 1.075000 3.080000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.415000 2.330000 2.355000 ;
+        RECT 2.000000 1.075000 2.330000 1.415000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 1.830000 1.245000 ;
+        RECT 1.500000 1.245000 1.820000 2.355000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.075000 1.320000 1.245000 ;
+        RECT 1.015000 1.245000 1.320000 2.355000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.735000 ;
+        RECT 0.085000 0.735000 0.780000 0.905000 ;
+        RECT 0.515000 1.485000 0.845000 2.465000 ;
+        RECT 0.610000 0.905000 0.780000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.445000 0.345000 2.635000 ;
+      RECT 0.790000  0.255000 1.120000 0.565000 ;
+      RECT 0.950000  0.565000 1.120000 0.735000 ;
+      RECT 0.950000  0.735000 2.960000 0.905000 ;
+      RECT 1.290000  0.085000 1.540000 0.565000 ;
+      RECT 1.710000  0.255000 2.040000 0.735000 ;
+      RECT 2.210000  0.085000 2.460000 0.565000 ;
+      RECT 2.630000  0.255000 2.960000 0.735000 ;
+      RECT 2.630000  1.495000 2.960000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_1
+MACRO sky130_fd_sc_hd__o41ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.155000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.170000 1.075000 7.940000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 1.075000 5.980000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.075000 4.020000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 2.160000 0.905000 ;
+        RECT 0.515000 1.445000 3.885000 1.615000 ;
+        RECT 0.515000 1.615000 0.845000 2.465000 ;
+        RECT 1.355000 1.615000 1.685000 2.465000 ;
+        RECT 1.870000 0.905000 2.160000 1.445000 ;
+        RECT 2.715000 1.615000 3.045000 2.125000 ;
+        RECT 3.555000 1.615000 3.885000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  2.625000 0.465000 ;
+      RECT 0.085000  0.465000  0.345000 0.905000 ;
+      RECT 0.085000  1.445000  0.345000 2.635000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.855000  1.835000  2.105000 2.635000 ;
+      RECT 2.295000  1.785000  2.545000 2.295000 ;
+      RECT 2.295000  2.295000  4.225000 2.465000 ;
+      RECT 2.350000  0.465000  2.625000 0.735000 ;
+      RECT 2.350000  0.735000  9.865000 0.905000 ;
+      RECT 2.795000  0.085000  2.965000 0.545000 ;
+      RECT 3.135000  0.255000  3.465000 0.735000 ;
+      RECT 3.215000  1.785000  3.385000 2.295000 ;
+      RECT 3.635000  0.085000  3.805000 0.545000 ;
+      RECT 3.975000  0.255000  4.305000 0.735000 ;
+      RECT 4.055000  1.445000  5.985000 1.615000 ;
+      RECT 4.055000  1.615000  4.225000 2.295000 ;
+      RECT 4.395000  1.785000  4.645000 2.295000 ;
+      RECT 4.395000  2.295000  7.685000 2.465000 ;
+      RECT 4.475000  0.085000  4.645000 0.545000 ;
+      RECT 4.815000  0.255000  5.145000 0.735000 ;
+      RECT 4.815000  1.615000  5.145000 2.125000 ;
+      RECT 5.315000  0.085000  5.485000 0.545000 ;
+      RECT 5.315000  1.785000  5.485000 2.295000 ;
+      RECT 5.655000  0.255000  5.985000 0.735000 ;
+      RECT 5.655000  1.615000  5.985000 2.125000 ;
+      RECT 6.175000  0.260000  6.505000 0.735000 ;
+      RECT 6.175000  1.445000  9.865000 1.615000 ;
+      RECT 6.175000  1.615000  6.505000 2.125000 ;
+      RECT 6.675000  0.085000  6.845000 0.545000 ;
+      RECT 6.675000  1.785000  6.845000 2.295000 ;
+      RECT 7.015000  0.260000  7.345000 0.735000 ;
+      RECT 7.015000  1.615000  7.345000 2.125000 ;
+      RECT 7.515000  0.085000  7.685000 0.545000 ;
+      RECT 7.515000  1.785000  7.685000 2.295000 ;
+      RECT 7.855000  0.260000  8.185000 0.735000 ;
+      RECT 7.855000  1.615000  8.185000 2.465000 ;
+      RECT 8.355000  0.085000  8.525000 0.545000 ;
+      RECT 8.355000  1.835000  8.525000 2.635000 ;
+      RECT 8.695000  0.260000  9.025000 0.735000 ;
+      RECT 8.695000  1.615000  9.025000 2.465000 ;
+      RECT 9.195000  0.085000  9.365000 0.545000 ;
+      RECT 9.195000  1.835000  9.365000 2.635000 ;
+      RECT 9.535000  0.260000  9.865000 0.735000 ;
+      RECT 9.535000  1.615000  9.865000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_4
+MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1p_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.485000 1.320000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.702900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.015000 0.255000 3.595000 0.640000 ;
+        RECT 3.035000 1.565000 3.595000 2.465000 ;
+        RECT 3.230000 0.640000 3.595000 1.565000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.410000 0.735000 ;
+      RECT 0.085000  0.735000 1.005000 0.905000 ;
+      RECT 0.085000  1.490000 1.005000 1.660000 ;
+      RECT 0.085000  1.660000 0.430000 2.465000 ;
+      RECT 0.580000  0.085000 0.910000 0.565000 ;
+      RECT 0.600000  1.830000 0.925000 2.635000 ;
+      RECT 0.655000  0.905000 1.005000 1.025000 ;
+      RECT 0.655000  1.025000 1.105000 1.295000 ;
+      RECT 0.655000  1.295000 1.005000 1.490000 ;
+      RECT 1.175000  0.255000 1.645000 0.855000 ;
+      RECT 1.195000  1.790000 1.645000 2.465000 ;
+      RECT 1.470000  0.855000 1.645000 1.075000 ;
+      RECT 1.470000  1.075000 2.420000 1.250000 ;
+      RECT 1.470000  1.250000 1.645000 1.790000 ;
+      RECT 1.815000  0.255000 2.065000 0.735000 ;
+      RECT 1.815000  0.735000 2.765000 0.905000 ;
+      RECT 1.815000  1.495000 2.765000 1.665000 ;
+      RECT 1.815000  1.665000 2.065000 2.465000 ;
+      RECT 2.235000  1.835000 2.845000 2.635000 ;
+      RECT 2.240000  0.085000 2.845000 0.565000 ;
+      RECT 2.595000  0.905000 2.765000 0.990000 ;
+      RECT 2.595000  0.990000 3.050000 1.325000 ;
+      RECT 2.595000  1.325000 2.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.495000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.497000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.770000 0.285000 3.095000 0.615000 ;
+        RECT 2.770000 1.625000 3.095000 2.460000 ;
+        RECT 2.865000 0.615000 3.095000 0.765000 ;
+        RECT 2.865000 0.765000 3.595000 1.275000 ;
+        RECT 2.865000 1.275000 3.095000 1.625000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.305000 0.345000 0.640000 ;
+      RECT 0.095000  0.640000 0.840000 0.810000 ;
+      RECT 0.095000  1.785000 0.835000 1.955000 ;
+      RECT 0.095000  1.955000 0.345000 2.465000 ;
+      RECT 0.575000  0.085000 0.905000 0.470000 ;
+      RECT 0.575000  2.125000 0.905000 2.635000 ;
+      RECT 0.665000  0.810000 0.840000 0.995000 ;
+      RECT 0.665000  0.995000 1.035000 1.325000 ;
+      RECT 0.665000  1.325000 1.005000 1.750000 ;
+      RECT 0.665000  1.750000 0.835000 1.785000 ;
+      RECT 1.095000  0.255000 1.425000 0.780000 ;
+      RECT 1.175000  1.425000 1.440000 2.465000 ;
+      RECT 1.205000  0.780000 1.425000 0.995000 ;
+      RECT 1.205000  0.995000 2.165000 1.325000 ;
+      RECT 1.205000  1.325000 1.440000 1.425000 ;
+      RECT 1.615000  0.255000 1.945000 0.635000 ;
+      RECT 1.615000  0.635000 2.595000 0.805000 ;
+      RECT 1.695000  1.500000 2.595000 1.745000 ;
+      RECT 1.695000  1.745000 1.945000 2.465000 ;
+      RECT 2.135000  0.085000 2.465000 0.465000 ;
+      RECT 2.135000  1.915000 2.465000 2.635000 ;
+      RECT 2.335000  0.805000 2.595000 1.500000 ;
+      RECT 3.265000  0.085000 3.595000 0.550000 ;
+      RECT 3.265000  1.635000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_2
+MACRO sky130_fd_sc_hd__a311oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.935000 0.995000 9.530000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.898500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 9.485000 0.805000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+        RECT 7.415000 0.805000 7.735000 1.545000 ;
+        RECT 7.415000 1.545000 9.145000 1.715000 ;
+        RECT 7.415000 1.715000 7.735000 1.975000 ;
+        RECT 7.975000 1.530000 8.305000 1.545000 ;
+        RECT 7.975000 1.715000 8.305000 2.085000 ;
+        RECT 8.475000 0.255000 8.645000 0.635000 ;
+        RECT 8.815000 1.715000 9.145000 2.085000 ;
+        RECT 9.315000 0.255000 9.485000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.495000 4.965000 1.665000 ;
+      RECT 0.595000  1.665000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.435000  1.665000 1.605000 2.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.275000  1.665000 2.445000 2.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.115000  1.665000 3.285000 2.465000 ;
+      RECT 3.455000  1.915000 3.785000 2.635000 ;
+      RECT 3.955000  1.665000 4.125000 2.465000 ;
+      RECT 4.295000  1.915000 4.625000 2.635000 ;
+      RECT 4.795000  1.665000 4.965000 1.915000 ;
+      RECT 4.795000  1.915000 7.245000 2.085000 ;
+      RECT 4.795000  2.085000 4.965000 2.465000 ;
+      RECT 5.135000  2.255000 5.465000 2.635000 ;
+      RECT 5.655000  2.255000 9.565000 2.425000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.975000  0.085000 8.305000 0.465000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 9.315000  1.835000 9.565000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_4
+MACRO sky130_fd_sc_hd__a311oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 3.115000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.995000 1.805000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.995000 0.800000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 0.995000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.730000 1.075000 5.410000 1.295000 ;
+        RECT 5.175000 1.295000 5.410000 1.625000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 5.345000 0.825000 ;
+        RECT 3.235000 0.255000 3.405000 0.655000 ;
+        RECT 4.085000 0.255000 4.255000 0.655000 ;
+        RECT 4.260000 0.825000 4.475000 1.510000 ;
+        RECT 4.260000 1.510000 4.990000 1.575000 ;
+        RECT 4.260000 1.575000 5.005000 1.680000 ;
+        RECT 4.660000 1.680000 5.005000 1.745000 ;
+        RECT 4.660000 1.745000 4.990000 1.915000 ;
+        RECT 4.660000 1.915000 5.005000 2.085000 ;
+        RECT 5.175000 0.255000 5.345000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.495000 0.345000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.655000 ;
+      RECT 0.175000  0.655000 2.105000 0.825000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.575000 3.915000 1.745000 ;
+      RECT 0.595000  1.745000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.355000  0.305000 3.045000 0.475000 ;
+      RECT 1.435000  1.745000 1.605000 2.465000 ;
+      RECT 1.785000  1.915000 2.135000 2.635000 ;
+      RECT 2.305000  1.745000 2.475000 2.465000 ;
+      RECT 2.645000  1.915000 2.975000 2.635000 ;
+      RECT 3.145000  2.255000 5.345000 2.425000 ;
+      RECT 3.585000  0.085000 3.915000 0.465000 ;
+      RECT 3.585000  1.745000 3.915000 2.085000 ;
+      RECT 4.110000  1.915000 4.440000 2.255000 ;
+      RECT 4.110000  2.425000 4.440000 2.465000 ;
+      RECT 4.675000  0.085000 5.005000 0.465000 ;
+      RECT 5.175000  1.795000 5.345000 2.255000 ;
+      RECT 5.175000  2.425000 5.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_2
+MACRO sky130_fd_sc_hd__a311oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.265000 1.365000 0.660000 ;
+        RECT 1.195000 0.660000 1.365000 0.995000 ;
+        RECT 1.195000 0.995000 1.455000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.265000 0.795000 0.995000 ;
+        RECT 0.600000 0.995000 1.025000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.420000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.710000 0.995000 1.935000 1.835000 ;
+        RECT 1.710000 1.835000 2.230000 2.005000 ;
+        RECT 1.950000 2.005000 2.230000 2.355000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.685000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.659750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.255000 1.705000 0.655000 ;
+        RECT 1.535000 0.655000 2.650000 0.825000 ;
+        RECT 2.105000 0.825000 2.275000 1.495000 ;
+        RECT 2.105000 1.495000 2.650000 1.665000 ;
+        RECT 2.405000 0.295000 2.650000 0.655000 ;
+        RECT 2.410000 1.665000 2.650000 2.335000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.600000  1.575000 1.540000 1.745000 ;
+      RECT 0.600000  1.745000 0.770000 2.305000 ;
+      RECT 0.940000  1.915000 1.200000 2.635000 ;
+      RECT 1.370000  1.745000 1.540000 2.175000 ;
+      RECT 1.370000  2.175000 1.700000 2.345000 ;
+      RECT 1.905000  0.085000 2.235000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_1
+MACRO sky130_fd_sc_hd__sdfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.405000 4.105000 1.575000 ;
+        RECT 3.775000 1.575000 4.060000 1.675000 ;
+        RECT 3.825000 1.675000 4.060000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.785000 ;
+        RECT 13.915000 1.470000 14.175000 2.465000 ;
+        RECT 13.965000 0.785000 14.175000 1.470000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.155000 0.815000 ;
+        RECT 1.935000 0.815000 2.315000 1.150000 ;
+        RECT 1.935000 1.150000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.870000 0.735000 6.295000 0.965000 ;
+        RECT 5.870000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.095000  1.795000  0.835000 1.965000 ;
+      RECT  0.095000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.325000  0.265000  2.655000 0.595000 ;
+      RECT  2.405000  1.295000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.670000 1.430000 ;
+      RECT  2.405000  1.430000  2.630000 1.465000 ;
+      RECT  2.405000  1.465000  2.605000 1.505000 ;
+      RECT  2.405000  1.505000  2.575000 1.875000 ;
+      RECT  2.460000  1.255000  3.075000 1.295000 ;
+      RECT  2.485000  0.595000  2.655000 1.075000 ;
+      RECT  2.485000  1.075000  3.075000 1.255000 ;
+      RECT  2.760000  1.575000  3.605000 1.745000 ;
+      RECT  2.760000  1.745000  3.140000 1.905000 ;
+      RECT  2.870000  0.305000  3.040000 0.625000 ;
+      RECT  2.870000  0.625000  3.645000 0.765000 ;
+      RECT  2.870000  0.765000  3.770000 0.795000 ;
+      RECT  2.970000  1.905000  3.140000 2.465000 ;
+      RECT  3.225000  0.085000  3.555000 0.445000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.430000  0.795000  3.770000 1.095000 ;
+      RECT  3.430000  1.095000  3.605000 1.575000 ;
+      RECT  3.950000  0.425000  4.330000 0.595000 ;
+      RECT  3.950000  0.595000  4.120000 1.065000 ;
+      RECT  3.950000  1.065000  4.400000 1.105000 ;
+      RECT  3.950000  1.105000  4.410000 1.175000 ;
+      RECT  3.950000  1.175000  4.445000 1.235000 ;
+      RECT  4.160000  0.265000  4.330000 0.425000 ;
+      RECT  4.225000  1.235000  4.445000 1.275000 ;
+      RECT  4.230000  2.135000  4.445000 2.465000 ;
+      RECT  4.245000  1.275000  4.445000 1.305000 ;
+      RECT  4.275000  1.305000  4.445000 2.135000 ;
+      RECT  4.555000  0.265000  5.655000 0.465000 ;
+      RECT  4.570000  0.705000  4.790000 1.035000 ;
+      RECT  4.615000  1.035000  4.790000 1.575000 ;
+      RECT  4.615000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  5.000000  0.735000  5.330000 1.015000 ;
+      RECT  5.295000  1.195000  5.670000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.485000  0.465000  5.655000 0.585000 ;
+      RECT  5.485000  0.585000  5.670000 0.655000 ;
+      RECT  5.500000  0.655000  5.670000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.835000  0.085000  6.005000 0.525000 ;
+      RECT  6.260000  0.255000  7.350000 0.425000 ;
+      RECT  6.260000  0.425000  6.590000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.680000  0.610000  7.010000 0.780000 ;
+      RECT  6.810000  0.780000  7.010000 0.895000 ;
+      RECT  6.810000  0.895000  8.125000 1.060000 ;
+      RECT  6.815000  1.060000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.180000  0.425000  7.350000 0.715000 ;
+      RECT  7.620000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.595000 10.905000 0.780000 ;
+      RECT 10.730000  0.780000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.775000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.775000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+      RECT 13.455000  1.765000 13.740000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.230000  1.105000  4.400000 1.275000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.155000  0.765000  5.325000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.385000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.460000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.170000 1.075000  4.460000 1.120000 ;
+      RECT  4.170000 1.260000  4.460000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.095000 0.735000  5.385000 0.780000 ;
+      RECT  5.095000 0.920000  5.385000 0.965000 ;
+      RECT  5.170000 0.965000  5.385000 1.120000 ;
+      RECT  5.170000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_1
+MACRO sky130_fd_sc_hd__sdfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 14.415000 0.255000 14.665000 0.825000 ;
+        RECT 14.415000 1.445000 14.665000 2.465000 ;
+        RECT 14.460000 0.825000 14.665000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.580000 0.255000 12.830000 0.715000 ;
+        RECT 12.580000 1.630000 12.830000 2.465000 ;
+        RECT 12.660000 0.715000 12.830000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.590000 1.095000 12.070000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.145000 0.765000 ;
+        RECT 1.935000 0.765000 2.335000 1.095000 ;
+        RECT 1.935000 1.095000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 15.370000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.315000  0.265000  2.730000 0.595000 ;
+      RECT  2.405000  1.250000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.575000 1.875000 ;
+      RECT  2.435000  1.235000  3.075000 1.250000 ;
+      RECT  2.560000  0.595000  2.730000 1.075000 ;
+      RECT  2.560000  1.075000  3.075000 1.235000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.955000  0.305000  3.125000 0.625000 ;
+      RECT  2.955000  0.625000  3.645000 0.765000 ;
+      RECT  2.955000  0.765000  3.770000 0.795000 ;
+      RECT  3.295000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.375000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.505000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.705000  0.595000  7.035000 0.765000 ;
+      RECT  6.815000  0.765000  7.035000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.205000  0.425000  7.375000 0.715000 ;
+      RECT  7.645000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.410000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.580000  0.595000 10.910000 0.780000 ;
+      RECT 10.730000  0.780000 10.910000 1.915000 ;
+      RECT 10.940000  2.255000 12.410000 2.635000 ;
+      RECT 11.080000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.845000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.925000 1.745000 ;
+      RECT 11.620000  0.265000 11.845000 0.755000 ;
+      RECT 12.080000  0.085000 12.410000 0.805000 ;
+      RECT 12.240000  0.995000 12.480000 1.325000 ;
+      RECT 12.240000  1.325000 12.410000 1.915000 ;
+      RECT 13.000000  0.085000 13.235000 0.885000 ;
+      RECT 13.000000  1.495000 13.235000 2.635000 ;
+      RECT 13.455000  0.255000 13.770000 0.995000 ;
+      RECT 13.455000  0.995000 14.290000 1.325000 ;
+      RECT 13.455000  1.325000 13.770000 2.415000 ;
+      RECT 13.950000  0.085000 14.245000 0.545000 ;
+      RECT 13.950000  1.765000 14.245000 2.635000 ;
+      RECT 14.835000  0.085000 15.075000 0.885000 ;
+      RECT 14.835000  1.495000 15.075000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.435000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.555000 1.625000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 0.255000 3.550000 0.640000 ;
+        RECT 3.070000 1.485000 3.550000 2.465000 ;
+        RECT 3.355000 0.640000 3.550000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.720000 ;
+      RECT 0.085000  0.720000 1.060000 0.890000 ;
+      RECT 0.085000  1.795000 1.060000 1.965000 ;
+      RECT 0.085000  1.965000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.550000 ;
+      RECT 0.600000  2.135000 0.930000 2.635000 ;
+      RECT 0.890000  0.890000 1.060000 1.075000 ;
+      RECT 0.890000  1.075000 1.320000 1.245000 ;
+      RECT 0.890000  1.245000 1.060000 1.795000 ;
+      RECT 1.230000  1.785000 1.660000 2.465000 ;
+      RECT 1.280000  0.255000 1.660000 0.905000 ;
+      RECT 1.490000  0.905000 1.660000 1.075000 ;
+      RECT 1.490000  1.075000 2.415000 1.485000 ;
+      RECT 1.490000  1.485000 1.660000 1.785000 ;
+      RECT 1.830000  0.255000 2.100000 0.735000 ;
+      RECT 1.830000  0.735000 2.900000 0.905000 ;
+      RECT 1.830000  1.790000 2.900000 1.965000 ;
+      RECT 1.830000  1.965000 2.100000 2.465000 ;
+      RECT 2.550000  0.085000 2.880000 0.565000 ;
+      RECT 2.550000  2.135000 2.880000 2.635000 ;
+      RECT 2.730000  0.905000 2.900000 1.075000 ;
+      RECT 2.730000  1.075000 3.185000 1.245000 ;
+      RECT 2.730000  1.245000 2.900000 1.790000 ;
+      RECT 3.720000  0.085000 4.055000 0.645000 ;
+      RECT 3.720000  1.485000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.560000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.285000 3.595000 0.545000 ;
+        RECT 3.210000 1.760000 3.595000 2.465000 ;
+        RECT 3.365000 0.545000 3.595000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.215000 0.885000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.730000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.410000 2.465000 ;
+      RECT 2.640000  1.760000 3.040000 2.635000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_1
+MACRO sky130_fd_sc_hd__clkbuf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.045000 1.835000 2.165000 2.005000 ;
+        RECT 1.045000 2.005000 1.305000 2.465000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.835000 ;
+        RECT 1.905000 2.005000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.395000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.565000  1.835000 0.875000 2.635000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 1.475000  2.175000 1.730000 2.635000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+      RECT 2.335000  1.765000 2.620000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_4
+MACRO sky130_fd_sc_hd__clkbuf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.855000 1.725000 2.030000 ;
+        RECT 1.060000 2.030000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.855000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.435000 ;
+      RECT 0.525000  1.855000 0.855000 2.635000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.495000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+      RECT 1.415000  2.210000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_2
+MACRO sky130_fd_sc_hd__clkbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.095000  1.525000 0.390000 2.635000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.525000 1.250000 2.635000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 1.850000  1.905000 2.110000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.635000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.635000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+      RECT 4.430000  1.905000 4.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_8
+MACRO sky130_fd_sc_hd__clkbuf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_1
+MACRO sky130_fd_sc_hd__clkbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.280000 1.495000 9.025000 1.720000 ;
+        RECT 2.280000 1.720000 7.685000 1.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.095000  1.825000 0.390000 2.635000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 7.690000 1.325000 ;
+      RECT 0.570000  1.325000 0.815000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.825000 1.250000 2.635000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.430000  1.325000 1.680000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 1.850000  1.835000 2.110000 2.630000 ;
+      RECT 1.850000  2.630000 8.125000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.630000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.630000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 4.430000  1.905000 4.690000 2.630000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 5.290000  1.905000 5.535000 2.630000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 6.150000  1.905000 6.395000 2.630000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.010000  1.905000 7.255000 2.630000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 7.870000  1.905000 8.125000 2.630000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+      RECT 8.755000  1.890000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_16
+MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1n_1
+MACRO sky130_fd_sc_hd__a41oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.385000 0.995000 4.205000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.405000 1.075000 6.315000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.560000 1.075000 7.955000 1.300000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.285000 1.075000 9.975000 1.280000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.745000 1.305000 ;
+        RECT 0.105000 1.305000 0.325000 1.965000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 2.155000 1.685000 ;
+        RECT 0.515000 1.685000 1.685000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 4.015000 0.805000 ;
+        RECT 1.350000 1.495000 2.155000 1.575000 ;
+        RECT 1.350000 1.745000 1.685000 2.085000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.935000 0.805000 2.155000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.085000  0.425000 0.465000 ;
+      RECT 0.090000  2.255000  2.335000 2.425000 ;
+      RECT 0.935000  0.085000  1.265000 0.465000 ;
+      RECT 1.775000  0.085000  2.105000 0.465000 ;
+      RECT 2.165000  1.905000  3.515000 2.075000 ;
+      RECT 2.165000  2.075000  2.335000 2.255000 ;
+      RECT 2.165000  2.425000  2.335000 2.465000 ;
+      RECT 2.425000  0.295000  6.115000 0.465000 ;
+      RECT 2.505000  2.255000  3.175000 2.635000 ;
+      RECT 3.345000  1.575000  9.945000 1.745000 ;
+      RECT 3.345000  1.745000  3.515000 1.905000 ;
+      RECT 3.345000  2.075000  3.515000 2.465000 ;
+      RECT 3.685000  1.915000  4.015000 2.635000 ;
+      RECT 4.185000  1.745000  4.355000 2.425000 ;
+      RECT 4.525000  0.635000  7.895000 0.805000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  1.745000  5.290000 2.465000 ;
+      RECT 5.495000  1.915000  6.165000 2.635000 ;
+      RECT 6.305000  0.295000  8.235000 0.465000 ;
+      RECT 6.385000  1.745000  6.555000 2.465000 ;
+      RECT 6.725000  1.915000  7.055000 2.635000 ;
+      RECT 7.225000  1.745000  7.395000 2.465000 ;
+      RECT 7.565000  1.915000  7.895000 2.635000 ;
+      RECT 8.065000  0.255000  8.235000 0.295000 ;
+      RECT 8.065000  0.465000  8.235000 0.635000 ;
+      RECT 8.065000  0.635000  9.915000 0.805000 ;
+      RECT 8.065000  1.745000  8.235000 2.465000 ;
+      RECT 8.405000  0.085000  8.735000 0.465000 ;
+      RECT 8.405000  1.915000  8.735000 2.635000 ;
+      RECT 8.905000  0.255000  9.075000 0.635000 ;
+      RECT 8.905000  1.745000  9.075000 2.465000 ;
+      RECT 9.245000  0.085000  9.575000 0.465000 ;
+      RECT 9.245000  1.915000  9.575000 2.635000 ;
+      RECT 9.745000  0.255000  9.915000 0.635000 ;
+      RECT 9.775000  1.745000  9.945000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_4
+MACRO sky130_fd_sc_hd__a41oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.785000 1.075000 2.455000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.665000 1.075000 3.365000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.075000 4.575000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.755000 1.075000 5.895000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.555000 1.280000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.645000 2.295000 0.815000 ;
+        RECT 0.145000 0.815000 0.315000 1.455000 ;
+        RECT 0.145000 1.455000 1.455000 1.625000 ;
+        RECT 0.685000 0.255000 0.855000 0.645000 ;
+        RECT 1.125000 1.625000 1.455000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.185000  0.085000 0.515000 0.465000 ;
+      RECT 0.785000  1.795000 0.955000 2.295000 ;
+      RECT 0.785000  2.295000 1.795000 2.465000 ;
+      RECT 1.025000  0.085000 1.375000 0.465000 ;
+      RECT 1.545000  0.295000 2.635000 0.465000 ;
+      RECT 1.625000  1.535000 5.760000 1.705000 ;
+      RECT 1.625000  1.705000 1.795000 2.295000 ;
+      RECT 1.965000  1.915000 2.295000 2.635000 ;
+      RECT 2.465000  0.465000 2.635000 0.645000 ;
+      RECT 2.465000  0.645000 3.555000 0.815000 ;
+      RECT 2.465000  1.705000 2.635000 2.465000 ;
+      RECT 2.805000  0.295000 4.495000 0.465000 ;
+      RECT 2.805000  1.915000 3.135000 2.635000 ;
+      RECT 3.325000  1.705000 3.495000 2.465000 ;
+      RECT 3.745000  0.645000 5.675000 0.815000 ;
+      RECT 3.755000  1.915000 4.425000 2.635000 ;
+      RECT 4.665000  1.705000 4.835000 2.465000 ;
+      RECT 5.005000  0.085000 5.335000 0.465000 ;
+      RECT 5.005000  1.915000 5.335000 2.635000 ;
+      RECT 5.505000  0.255000 5.675000 0.645000 ;
+      RECT 5.505000  1.705000 5.675000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_2
+MACRO sky130_fd_sc_hd__a41oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.085000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 0.755000 2.210000 1.665000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 0.755000 1.710000 1.665000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.965000 1.250000 1.665000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.965000 0.780000 1.665000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.669500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.285000 0.345000 0.615000 ;
+        RECT 0.090000 0.615000 1.290000 0.785000 ;
+        RECT 0.090000 0.785000 0.360000 1.845000 ;
+        RECT 0.090000 1.845000 0.425000 2.425000 ;
+        RECT 1.120000 0.295000 3.015000 0.465000 ;
+        RECT 1.120000 0.465000 1.290000 0.615000 ;
+        RECT 2.685000 0.465000 3.015000 0.805000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.595000  1.845000 3.015000 2.015000 ;
+      RECT 0.595000  2.015000 0.845000 2.465000 ;
+      RECT 0.620000  0.085000 0.950000 0.445000 ;
+      RECT 1.120000  2.195000 1.450000 2.635000 ;
+      RECT 1.760000  2.015000 1.930000 2.465000 ;
+      RECT 2.215000  2.195000 2.545000 2.635000 ;
+      RECT 2.765000  2.015000 3.015000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_1
+MACRO sky130_fd_sc_hd__nor4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.655000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 1.075000 1.695000 1.245000 ;
+        RECT 1.455000 1.245000 1.695000 2.450000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.845000 0.995000 1.075000 1.415000 ;
+        RECT 0.845000 1.415000 1.285000 1.615000 ;
+        RECT 1.030000 1.615000 1.285000 2.450000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.335000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.672750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.495000 0.675000 1.665000 ;
+        RECT 0.090000 1.665000 0.425000 2.450000 ;
+        RECT 0.505000 0.645000 0.860000 0.655000 ;
+        RECT 0.505000 0.655000 1.705000 0.825000 ;
+        RECT 0.505000 0.825000 0.675000 1.495000 ;
+        RECT 0.595000 0.385000 0.860000 0.645000 ;
+        RECT 1.535000 0.385000 1.705000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.575000 ;
+      RECT 1.035000  0.085000 1.365000 0.485000 ;
+      RECT 1.875000  0.085000 2.205000 0.485000 ;
+      RECT 1.955000  1.835000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_1
+MACRO sky130_fd_sc_hd__nor4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 1.940000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.340000 1.075000 3.925000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.515000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 3.655000 1.455000 4.515000 1.625000 ;
+        RECT 3.655000 1.625000 3.905000 2.125000 ;
+        RECT 4.180000 0.905000 4.515000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.065000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.395000  1.455000 3.485000 1.625000 ;
+      RECT 2.395000  1.625000 2.645000 2.125000 ;
+      RECT 2.815000  1.795000 3.065000 2.295000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.235000  2.295000 4.325000 2.465000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_2
+MACRO sky130_fd_sc_hd__nor4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.180000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 4.070000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 1.075000 5.705000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.875000 1.075000 7.295000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.735000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 4.415000 0.255000 4.745000 0.725000 ;
+        RECT 5.255000 0.255000 5.585000 0.725000 ;
+        RECT 6.095000 0.255000 6.425000 0.725000 ;
+        RECT 6.135000 1.455000 7.735000 1.625000 ;
+        RECT 6.135000 1.625000 6.385000 2.125000 ;
+        RECT 6.935000 0.255000 7.265000 0.725000 ;
+        RECT 6.975000 1.625000 7.225000 2.125000 ;
+        RECT 7.465000 0.905000 7.735000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.295000 ;
+      RECT 1.835000  2.295000 3.820000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 5.545000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 2.125000 ;
+      RECT 2.675000  1.795000 2.925000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.125000 ;
+      RECT 3.515000  1.795000 3.820000 2.295000 ;
+      RECT 3.555000  0.085000 4.245000 0.555000 ;
+      RECT 4.005000  1.795000 4.285000 2.295000 ;
+      RECT 4.005000  2.295000 7.645000 2.465000 ;
+      RECT 4.455000  1.625000 4.705000 2.125000 ;
+      RECT 4.875000  1.795000 5.125000 2.295000 ;
+      RECT 4.915000  0.085000 5.085000 0.555000 ;
+      RECT 5.295000  1.625000 5.545000 2.125000 ;
+      RECT 5.715000  1.795000 5.965000 2.295000 ;
+      RECT 5.755000  0.085000 5.925000 0.555000 ;
+      RECT 6.555000  1.795000 6.805000 2.295000 ;
+      RECT 6.595000  0.085000 6.765000 0.555000 ;
+      RECT 7.395000  1.795000 7.645000 2.295000 ;
+      RECT 7.435000  0.085000 7.605000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_4
+MACRO sky130_fd_sc_hd__tapvgnd2_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.755000 0.375000 1.985000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  1.785000 0.315000 1.955000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd2_1
+MACRO sky130_fd_sc_hd__and3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.715000 0.615000 3.995000 1.705000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 0.725000 1.235000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.715000 1.340000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.225000 1.535000 3.535000 1.705000 ;
+        RECT 2.285000 0.515000 2.475000 0.615000 ;
+        RECT 2.285000 0.615000 3.535000 0.845000 ;
+        RECT 3.145000 0.255000 3.335000 0.615000 ;
+        RECT 3.270000 0.845000 3.535000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.150000  0.255000 0.635000 0.355000 ;
+      RECT 0.150000  0.355000 1.600000 0.545000 ;
+      RECT 0.150000  0.545000 0.635000 0.805000 ;
+      RECT 0.150000  0.805000 0.370000 1.495000 ;
+      RECT 0.150000  1.495000 0.510000 2.165000 ;
+      RECT 0.540000  0.995000 0.850000 1.325000 ;
+      RECT 0.680000  1.325000 0.850000 1.875000 ;
+      RECT 0.680000  1.875000 4.445000 2.105000 ;
+      RECT 0.730000  2.275000 1.180000 2.635000 ;
+      RECT 1.280000  1.525000 2.055000 1.695000 ;
+      RECT 1.420000  0.545000 1.600000 0.615000 ;
+      RECT 1.420000  0.615000 2.115000 0.805000 ;
+      RECT 1.745000  2.275000 2.075000 2.635000 ;
+      RECT 1.780000  0.085000 2.110000 0.445000 ;
+      RECT 1.885000  0.805000 2.115000 1.020000 ;
+      RECT 1.885000  1.020000 3.100000 1.355000 ;
+      RECT 1.885000  1.355000 2.055000 1.525000 ;
+      RECT 2.645000  0.085000 2.975000 0.445000 ;
+      RECT 2.645000  2.275000 2.980000 2.635000 ;
+      RECT 3.505000  0.085000 3.835000 0.445000 ;
+      RECT 3.505000  2.275000 3.835000 2.635000 ;
+      RECT 4.165000  0.425000 4.445000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_4
+MACRO sky130_fd_sc_hd__and3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.955000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.790000 2.125000 2.265000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.305000 2.185000 0.725000 ;
+        RECT 1.985000 0.725000 2.395000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 1.765000 3.135000 2.465000 ;
+        RECT 2.875000 0.255000 3.135000 0.735000 ;
+        RECT 2.965000 0.735000 3.135000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  2.125000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.390000 1.245000 ;
+      RECT 0.595000  1.245000 0.765000 2.465000 ;
+      RECT 1.005000  1.425000 2.795000 1.595000 ;
+      RECT 1.005000  1.595000 1.255000 1.960000 ;
+      RECT 1.005000  2.130000 1.620000 2.635000 ;
+      RECT 1.025000  0.305000 1.815000 0.570000 ;
+      RECT 1.425000  1.765000 1.755000 1.955000 ;
+      RECT 1.425000  1.955000 1.620000 2.130000 ;
+      RECT 1.560000  0.570000 1.815000 1.425000 ;
+      RECT 1.975000  1.595000 2.690000 1.890000 ;
+      RECT 2.375000  0.085000 2.705000 0.545000 ;
+      RECT 2.435000  2.090000 2.650000 2.635000 ;
+      RECT 2.565000  0.995000 2.795000 1.425000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_1
+MACRO sky130_fd_sc_hd__and3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.745000 0.410000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 2.125000 2.290000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.305000 2.220000 0.765000 ;
+        RECT 2.010000 0.765000 2.420000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 1.795000 3.160000 2.465000 ;
+        RECT 2.915000 0.255000 3.160000 0.715000 ;
+        RECT 2.990000 0.715000 3.160000 0.925000 ;
+        RECT 2.990000 0.925000 3.595000 1.445000 ;
+        RECT 2.990000 1.445000 3.160000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.355000 0.575000 ;
+      RECT 0.085000  1.575000 0.400000 2.635000 ;
+      RECT 0.580000  0.305000 0.855000 1.015000 ;
+      RECT 0.580000  1.015000 1.415000 1.245000 ;
+      RECT 0.580000  1.245000 0.855000 1.905000 ;
+      RECT 1.030000  2.130000 1.645000 2.635000 ;
+      RECT 1.050000  1.425000 2.820000 1.595000 ;
+      RECT 1.050000  1.595000 1.285000 1.960000 ;
+      RECT 1.055000  0.305000 1.840000 0.570000 ;
+      RECT 1.455000  1.765000 1.785000 1.955000 ;
+      RECT 1.455000  1.955000 1.645000 2.130000 ;
+      RECT 1.585000  0.570000 1.840000 1.425000 ;
+      RECT 2.010000  1.595000 2.200000 1.890000 ;
+      RECT 2.410000  0.085000 2.740000 0.580000 ;
+      RECT 2.460000  1.790000 2.675000 2.635000 ;
+      RECT 2.590000  0.995000 2.820000 1.425000 ;
+      RECT 3.330000  0.085000 3.595000 0.745000 ;
+      RECT 3.330000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_2
+MACRO sky130_fd_sc_hd__nor2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 3.530000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 1.075000 6.540000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.275000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 3.935000 1.445000 7.275000 1.615000 ;
+        RECT 3.935000 1.615000 4.185000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 4.775000 1.615000 5.025000 2.125000 ;
+        RECT 5.575000 0.255000 5.905000 0.725000 ;
+        RECT 5.615000 1.615000 5.865000 2.125000 ;
+        RECT 6.415000 0.255000 6.745000 0.725000 ;
+        RECT 6.455000 1.615000 6.705000 2.125000 ;
+        RECT 6.710000 0.905000 7.275000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 3.765000 1.665000 ;
+      RECT 0.090000  1.665000 0.405000 2.465000 ;
+      RECT 0.575000  1.835000 0.825000 2.635000 ;
+      RECT 0.995000  1.665000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.835000 1.665000 2.635000 ;
+      RECT 1.835000  1.665000 2.085000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.835000 2.505000 2.635000 ;
+      RECT 2.675000  1.665000 2.925000 2.465000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.835000 3.345000 2.635000 ;
+      RECT 3.515000  1.665000 3.765000 2.295000 ;
+      RECT 3.515000  2.295000 7.125000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 4.355000  1.785000 4.605000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 5.195000  1.785000 5.445000 2.295000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+      RECT 6.035000  1.785000 6.285000 2.295000 ;
+      RECT 6.075000  0.085000 6.245000 0.555000 ;
+      RECT 6.875000  1.785000 7.125000 2.295000 ;
+      RECT 6.915000  0.085000 7.205000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_8
+MACRO sky130_fd_sc_hd__nor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.120000 1.075000 3.485000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.055000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 1.445000 4.055000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+        RECT 3.655000 0.905000 4.055000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.890000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.475000  1.915000 3.890000 2.295000 ;
+      RECT 3.555000  0.085000 3.840000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_4
+MACRO sky130_fd_sc_hd__nor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.810000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.750000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.735000 ;
+        RECT 0.535000 0.735000 2.135000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.375000 1.445000 2.135000 1.665000 ;
+        RECT 1.375000 1.665000 1.705000 2.125000 ;
+        RECT 1.920000 0.905000 2.135000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 1.205000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.175000 2.465000 ;
+      RECT 1.875000  0.085000 2.165000 0.555000 ;
+      RECT 1.875000  1.835000 2.175000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_2
+MACRO sky130_fd_sc_hd__nor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.075000 1.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.775000 1.665000 ;
+        RECT 0.095000 1.665000 0.425000 2.450000 ;
+        RECT 0.515000 0.255000 0.845000 0.895000 ;
+        RECT 0.605000 0.895000 0.775000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.895000 ;
+      RECT 0.955000  1.495000 1.285000 2.635000 ;
+      RECT 1.015000  0.085000 1.285000 0.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_1
+MACRO sky130_fd_sc_hd__macro_sparecell
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN LO
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.215000 1.075000 4.965000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.775000 1.105000 4.945000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.135000 1.075000 5.895000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.705000 1.105000 5.875000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.755000 0.915000 7.275000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.765000 1.105000 6.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.445000 1.075000 8.205000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.625000 1.105000 7.795000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.375000 1.075000 9.125000 1.325000 ;
+      LAYER mcon ;
+        RECT 8.485000 1.105000 8.655000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.715000 1.075000 5.005000 1.120000 ;
+        RECT 4.715000 1.120000 8.715000 1.260000 ;
+        RECT 4.715000 1.260000 5.005000 1.305000 ;
+        RECT 5.645000 1.075000 5.935000 1.120000 ;
+        RECT 5.645000 1.260000 5.935000 1.305000 ;
+        RECT 6.705000 1.075000 6.995000 1.120000 ;
+        RECT 6.705000 1.260000 6.995000 1.305000 ;
+        RECT 7.565000 1.075000 7.855000 1.120000 ;
+        RECT 7.565000 1.260000 7.855000 1.305000 ;
+        RECT 8.425000 1.075000 8.715000 1.120000 ;
+        RECT 8.425000 1.260000 8.715000 1.305000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 -0.085000 13.340000 0.085000 ;
+        RECT  0.145000  0.085000  0.355000 0.905000 ;
+        RECT  1.025000  0.085000  1.255000 0.905000 ;
+        RECT  1.515000  0.085000  1.805000 0.555000 ;
+        RECT  2.475000  0.085000  2.645000 0.555000 ;
+        RECT  3.315000  0.085000  3.590000 0.905000 ;
+        RECT  5.215000  0.085000  5.385000 0.545000 ;
+        RECT  6.755000  0.085000  7.095000 0.745000 ;
+        RECT  7.955000  0.085000  8.125000 0.545000 ;
+        RECT  9.750000  0.085000 10.025000 0.905000 ;
+        RECT 10.695000  0.085000 10.865000 0.555000 ;
+        RECT 11.535000  0.085000 11.825000 0.555000 ;
+        RECT 12.085000  0.085000 12.315000 0.905000 ;
+        RECT 12.985000  0.085000 13.195000 0.905000 ;
+      LAYER mcon ;
+        RECT  0.145000 -0.085000  0.315000 0.085000 ;
+        RECT  0.605000 -0.085000  0.775000 0.085000 ;
+        RECT  1.065000 -0.085000  1.235000 0.085000 ;
+        RECT  1.525000 -0.085000  1.695000 0.085000 ;
+        RECT  1.985000 -0.085000  2.155000 0.085000 ;
+        RECT  2.445000 -0.085000  2.615000 0.085000 ;
+        RECT  2.905000 -0.085000  3.075000 0.085000 ;
+        RECT  3.365000 -0.085000  3.535000 0.085000 ;
+        RECT  3.825000 -0.085000  3.995000 0.085000 ;
+        RECT  4.285000 -0.085000  4.455000 0.085000 ;
+        RECT  4.745000 -0.085000  4.915000 0.085000 ;
+        RECT  5.205000 -0.085000  5.375000 0.085000 ;
+        RECT  5.665000 -0.085000  5.835000 0.085000 ;
+        RECT  6.125000 -0.085000  6.295000 0.085000 ;
+        RECT  6.585000 -0.085000  6.755000 0.085000 ;
+        RECT  7.045000 -0.085000  7.215000 0.085000 ;
+        RECT  7.505000 -0.085000  7.675000 0.085000 ;
+        RECT  7.965000 -0.085000  8.135000 0.085000 ;
+        RECT  8.425000 -0.085000  8.595000 0.085000 ;
+        RECT  8.885000 -0.085000  9.055000 0.085000 ;
+        RECT  9.345000 -0.085000  9.515000 0.085000 ;
+        RECT  9.805000 -0.085000  9.975000 0.085000 ;
+        RECT 10.265000 -0.085000 10.435000 0.085000 ;
+        RECT 10.725000 -0.085000 10.895000 0.085000 ;
+        RECT 11.185000 -0.085000 11.355000 0.085000 ;
+        RECT 11.645000 -0.085000 11.815000 0.085000 ;
+        RECT 12.105000 -0.085000 12.275000 0.085000 ;
+        RECT 12.565000 -0.085000 12.735000 0.085000 ;
+        RECT 13.025000 -0.085000 13.195000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 2.635000 13.340000 2.805000 ;
+        RECT  0.145000 1.495000  0.355000 2.635000 ;
+        RECT  1.025000 1.495000  1.255000 2.635000 ;
+        RECT  2.815000 1.835000  3.145000 2.635000 ;
+        RECT  3.870000 1.835000  4.125000 2.635000 ;
+        RECT  4.795000 1.835000  4.965000 2.635000 ;
+        RECT  5.635000 1.495000  5.895000 2.635000 ;
+        RECT  6.255000 1.910000  6.585000 2.635000 ;
+        RECT  7.445000 1.495000  7.705000 2.635000 ;
+        RECT  8.375000 1.835000  8.545000 2.635000 ;
+        RECT  9.215000 1.835000  9.470000 2.635000 ;
+        RECT 10.195000 1.835000 10.525000 2.635000 ;
+        RECT 12.085000 1.495000 12.315000 2.635000 ;
+        RECT 12.985000 1.495000 13.195000 2.635000 ;
+      LAYER mcon ;
+        RECT  0.145000 2.635000  0.315000 2.805000 ;
+        RECT  0.605000 2.635000  0.775000 2.805000 ;
+        RECT  1.065000 2.635000  1.235000 2.805000 ;
+        RECT  1.525000 2.635000  1.695000 2.805000 ;
+        RECT  1.985000 2.635000  2.155000 2.805000 ;
+        RECT  2.445000 2.635000  2.615000 2.805000 ;
+        RECT  2.905000 2.635000  3.075000 2.805000 ;
+        RECT  3.365000 2.635000  3.535000 2.805000 ;
+        RECT  3.825000 2.635000  3.995000 2.805000 ;
+        RECT  4.285000 2.635000  4.455000 2.805000 ;
+        RECT  4.745000 2.635000  4.915000 2.805000 ;
+        RECT  5.205000 2.635000  5.375000 2.805000 ;
+        RECT  5.665000 2.635000  5.835000 2.805000 ;
+        RECT  6.125000 2.635000  6.295000 2.805000 ;
+        RECT  6.585000 2.635000  6.755000 2.805000 ;
+        RECT  7.045000 2.635000  7.215000 2.805000 ;
+        RECT  7.505000 2.635000  7.675000 2.805000 ;
+        RECT  7.965000 2.635000  8.135000 2.805000 ;
+        RECT  8.425000 2.635000  8.595000 2.805000 ;
+        RECT  8.885000 2.635000  9.055000 2.805000 ;
+        RECT  9.345000 2.635000  9.515000 2.805000 ;
+        RECT  9.805000 2.635000  9.975000 2.805000 ;
+        RECT 10.265000 2.635000 10.435000 2.805000 ;
+        RECT 10.725000 2.635000 10.895000 2.805000 ;
+        RECT 11.185000 2.635000 11.355000 2.805000 ;
+        RECT 11.645000 2.635000 11.815000 2.805000 ;
+        RECT 12.105000 2.635000 12.275000 2.805000 ;
+        RECT 12.565000 2.635000 12.735000 2.805000 ;
+        RECT 13.025000 2.635000 13.195000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.525000 0.255000  0.855000 0.885000 ;
+      RECT  0.525000 0.885000  0.775000 1.485000 ;
+      RECT  0.525000 1.485000  0.855000 2.465000 ;
+      RECT  0.945000 1.075000  1.275000 1.325000 ;
+      RECT  1.505000 1.835000  1.805000 2.295000 ;
+      RECT  1.505000 2.295000  2.645000 2.465000 ;
+      RECT  1.545000 0.735000  3.145000 0.905000 ;
+      RECT  1.545000 0.905000  1.760000 1.445000 ;
+      RECT  1.545000 1.445000  2.305000 1.665000 ;
+      RECT  1.930000 1.075000  2.700000 1.275000 ;
+      RECT  1.975000 0.255000  2.305000 0.725000 ;
+      RECT  1.975000 0.725000  3.145000 0.735000 ;
+      RECT  1.975000 1.665000  2.305000 2.125000 ;
+      RECT  2.475000 1.455000  3.590000 1.665000 ;
+      RECT  2.475000 1.665000  2.645000 2.295000 ;
+      RECT  2.815000 0.255000  3.145000 0.725000 ;
+      RECT  2.870000 1.075000  3.590000 1.275000 ;
+      RECT  3.315000 1.665000  3.590000 2.465000 ;
+      RECT  3.765000 0.655000  4.625000 0.905000 ;
+      RECT  3.765000 0.905000  4.045000 1.495000 ;
+      RECT  3.765000 1.495000  5.465000 1.665000 ;
+      RECT  3.875000 0.255000  5.045000 0.465000 ;
+      RECT  3.875000 0.465000  4.205000 0.485000 ;
+      RECT  4.295000 1.665000  4.625000 2.465000 ;
+      RECT  4.795000 0.465000  5.045000 0.715000 ;
+      RECT  4.795000 0.715000  5.895000 0.885000 ;
+      RECT  5.135000 1.665000  5.465000 2.465000 ;
+      RECT  5.555000 0.255000  5.895000 0.715000 ;
+      RECT  6.065000 0.255000  6.585000 1.740000 ;
+      RECT  7.445000 0.255000  7.785000 0.715000 ;
+      RECT  7.445000 0.715000  8.545000 0.885000 ;
+      RECT  7.875000 1.495000  9.575000 1.665000 ;
+      RECT  7.875000 1.665000  8.205000 2.465000 ;
+      RECT  8.295000 0.255000  9.465000 0.465000 ;
+      RECT  8.295000 0.465000  8.545000 0.715000 ;
+      RECT  8.715000 0.655000  9.575000 0.905000 ;
+      RECT  8.715000 1.665000  9.045000 2.465000 ;
+      RECT  9.135000 0.465000  9.465000 0.485000 ;
+      RECT  9.295000 0.905000  9.575000 1.495000 ;
+      RECT  9.750000 1.075000 10.470000 1.275000 ;
+      RECT  9.750000 1.455000 10.865000 1.665000 ;
+      RECT  9.750000 1.665000 10.025000 2.465000 ;
+      RECT 10.195000 0.255000 10.525000 0.725000 ;
+      RECT 10.195000 0.725000 11.365000 0.735000 ;
+      RECT 10.195000 0.735000 11.795000 0.905000 ;
+      RECT 10.640000 1.075000 11.410000 1.275000 ;
+      RECT 10.695000 1.665000 10.865000 2.295000 ;
+      RECT 10.695000 2.295000 11.835000 2.465000 ;
+      RECT 11.035000 0.255000 11.365000 0.725000 ;
+      RECT 11.035000 1.445000 11.795000 1.665000 ;
+      RECT 11.035000 1.665000 11.365000 2.125000 ;
+      RECT 11.535000 1.835000 11.835000 2.295000 ;
+      RECT 11.580000 0.905000 11.795000 1.445000 ;
+      RECT 12.065000 1.075000 12.395000 1.325000 ;
+      RECT 12.485000 0.255000 12.815000 0.885000 ;
+      RECT 12.485000 1.485000 12.815000 2.465000 ;
+      RECT 12.565000 0.885000 12.815000 1.485000 ;
+    LAYER mcon ;
+      RECT  0.565000 1.105000  0.735000 1.275000 ;
+      RECT  1.085000 1.105000  1.255000 1.275000 ;
+      RECT  1.570000 1.105000  1.740000 1.275000 ;
+      RECT  2.100000 1.105000  2.270000 1.275000 ;
+      RECT  2.960000 1.105000  3.130000 1.275000 ;
+      RECT  3.820000 1.105000  3.990000 1.275000 ;
+      RECT  9.345000 1.105000  9.515000 1.275000 ;
+      RECT 10.205000 1.105000 10.375000 1.275000 ;
+      RECT 11.065000 1.105000 11.235000 1.275000 ;
+      RECT 11.605000 1.105000 11.775000 1.275000 ;
+      RECT 12.090000 1.105000 12.260000 1.275000 ;
+      RECT 12.605000 1.105000 12.775000 1.275000 ;
+    LAYER met1 ;
+      RECT  0.505000 1.075000  0.875000 1.305000 ;
+      RECT  1.025000 1.075000  1.315000 1.120000 ;
+      RECT  1.025000 1.120000  1.800000 1.260000 ;
+      RECT  1.025000 1.260000  1.315000 1.305000 ;
+      RECT  1.510000 1.075000  1.800000 1.120000 ;
+      RECT  1.510000 1.260000  1.800000 1.305000 ;
+      RECT  2.040000 1.075000  2.330000 1.120000 ;
+      RECT  2.040000 1.120000  4.050000 1.260000 ;
+      RECT  2.040000 1.260000  2.330000 1.305000 ;
+      RECT  2.900000 1.075000  3.190000 1.120000 ;
+      RECT  2.900000 1.260000  3.190000 1.305000 ;
+      RECT  3.760000 1.075000  4.050000 1.120000 ;
+      RECT  3.760000 1.260000  4.050000 1.305000 ;
+      RECT  9.285000 1.075000  9.575000 1.120000 ;
+      RECT  9.285000 1.120000 11.295000 1.260000 ;
+      RECT  9.285000 1.260000  9.575000 1.305000 ;
+      RECT 10.145000 1.075000 10.435000 1.120000 ;
+      RECT 10.145000 1.260000 10.435000 1.305000 ;
+      RECT 11.005000 1.075000 11.295000 1.120000 ;
+      RECT 11.005000 1.260000 11.295000 1.305000 ;
+      RECT 11.545000 1.075000 11.835000 1.120000 ;
+      RECT 11.545000 1.120000 12.320000 1.260000 ;
+      RECT 11.545000 1.260000 11.835000 1.305000 ;
+      RECT 12.030000 1.075000 12.320000 1.120000 ;
+      RECT 12.030000 1.260000 12.320000 1.305000 ;
+      RECT 12.470000 1.075000 12.835000 1.305000 ;
+    LAYER pwell ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  3.360000 -0.085000  3.530000 0.085000 ;
+      RECT  5.660000 -0.085000  5.830000 0.085000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  7.510000 -0.085000  7.680000 0.085000 ;
+      RECT  9.810000 -0.085000  9.980000 0.085000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+  END
+END sky130_fd_sc_hd__macro_sparecell
+MACRO sky130_fd_sc_hd__conb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__conb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN HI
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 1.740000 ;
+    END
+  END HI
+  PIN LO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.775000 0.915000 1.295000 2.465000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.275000  1.910000 0.605000 2.635000 ;
+      RECT 0.775000  0.085000 1.115000 0.745000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__conb_1
+MACRO sky130_fd_sc_hd__tapvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 2.095000 0.375000 2.325000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.125000 0.315000 2.295000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd_1
+MACRO sky130_fd_sc_hd__dlymetal6s6s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.575000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.080000 0.255000 4.515000 0.825000 ;
+        RECT 4.080000 1.495000 4.515000 2.465000 ;
+        RECT 4.155000 0.825000 4.515000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 1.080000 0.825000 ;
+      RECT 0.085000  1.870000 1.080000 2.040000 ;
+      RECT 0.085000  2.040000 0.525000 2.465000 ;
+      RECT 0.695000  0.085000 1.080000 0.485000 ;
+      RECT 0.695000  2.210000 1.080000 2.635000 ;
+      RECT 0.745000  0.825000 1.080000 0.995000 ;
+      RECT 0.745000  0.995000 1.155000 1.325000 ;
+      RECT 0.745000  1.325000 1.080000 1.870000 ;
+      RECT 1.250000  0.255000 1.520000 0.825000 ;
+      RECT 1.250000  1.495000 1.975000 1.675000 ;
+      RECT 1.250000  1.675000 1.520000 2.465000 ;
+      RECT 1.325000  0.825000 1.520000 0.995000 ;
+      RECT 1.325000  0.995000 1.975000 1.495000 ;
+      RECT 1.690000  0.255000 1.940000 0.655000 ;
+      RECT 1.690000  0.655000 2.495000 0.825000 ;
+      RECT 1.690000  1.845000 2.495000 2.040000 ;
+      RECT 1.690000  2.040000 1.940000 2.465000 ;
+      RECT 2.110000  0.085000 2.495000 0.485000 ;
+      RECT 2.110000  2.210000 2.495000 2.635000 ;
+      RECT 2.145000  0.825000 2.495000 0.995000 ;
+      RECT 2.145000  0.995000 2.570000 1.325000 ;
+      RECT 2.145000  1.325000 2.495000 1.845000 ;
+      RECT 2.665000  0.255000 2.915000 0.825000 ;
+      RECT 2.665000  1.495000 3.390000 1.675000 ;
+      RECT 2.665000  1.675000 2.915000 2.465000 ;
+      RECT 2.740000  0.825000 2.915000 0.995000 ;
+      RECT 2.740000  0.995000 3.390000 1.495000 ;
+      RECT 3.085000  0.255000 3.355000 0.655000 ;
+      RECT 3.085000  0.655000 3.910000 0.825000 ;
+      RECT 3.085000  1.845000 3.910000 2.040000 ;
+      RECT 3.085000  2.040000 3.355000 2.465000 ;
+      RECT 3.525000  0.085000 3.910000 0.485000 ;
+      RECT 3.525000  2.210000 3.910000 2.635000 ;
+      RECT 3.560000  0.825000 3.910000 0.995000 ;
+      RECT 3.560000  0.995000 3.985000 1.325000 ;
+      RECT 3.560000  1.325000 3.910000 1.845000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s6s_1
+MACRO sky130_fd_sc_hd__dfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+      RECT 7.385000  1.720000 7.555000 2.635000 ;
+      RECT 7.395000  0.085000 7.565000 0.600000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_2
+MACRO sky130_fd_sc_hd__dfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.065000 1.720000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.985000 0.305000 7.320000 0.730000 ;
+        RECT 6.985000 0.730000 8.655000 0.900000 ;
+        RECT 6.985000 1.465000 8.655000 1.635000 ;
+        RECT 6.985000 1.635000 7.320000 2.395000 ;
+        RECT 7.840000 0.305000 8.175000 0.730000 ;
+        RECT 7.840000 1.635000 8.170000 2.395000 ;
+        RECT 8.410000 0.900000 8.655000 1.465000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.890000  0.365000 2.220000 0.535000 ;
+      RECT 1.890000  0.535000 2.060000 2.065000 ;
+      RECT 1.890000  2.065000 2.125000 2.440000 ;
+      RECT 2.230000  0.705000 2.810000 1.035000 ;
+      RECT 2.230000  1.035000 2.470000 1.905000 ;
+      RECT 2.370000  2.190000 3.440000 2.360000 ;
+      RECT 2.400000  0.365000 3.150000 0.535000 ;
+      RECT 2.660000  1.655000 3.100000 2.010000 ;
+      RECT 2.980000  0.535000 3.150000 1.315000 ;
+      RECT 2.980000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.320000  0.765000 4.120000 1.065000 ;
+      RECT 3.320000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.410000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  0.705000 4.840000 1.035000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.640000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.840000 1.575000 ;
+      RECT 4.650000  1.575000 4.970000 1.905000 ;
+      RECT 5.140000  0.535000 5.310000 1.075000 ;
+      RECT 5.140000  1.075000 6.230000 1.245000 ;
+      RECT 5.140000  1.245000 5.310000 2.165000 ;
+      RECT 5.480000  1.500000 6.590000 1.670000 ;
+      RECT 5.480000  1.670000 6.340000 1.830000 ;
+      RECT 5.490000  2.135000 5.705000 2.635000 ;
+      RECT 5.625000  0.085000 5.795000 0.615000 ;
+      RECT 6.090000  0.295000 6.450000 0.735000 ;
+      RECT 6.090000  0.735000 6.590000 0.905000 ;
+      RECT 6.170000  1.830000 6.340000 2.455000 ;
+      RECT 6.420000  0.905000 6.590000 1.075000 ;
+      RECT 6.420000  1.075000 8.240000 1.245000 ;
+      RECT 6.420000  1.245000 6.590000 1.500000 ;
+      RECT 6.625000  0.085000 6.795000 0.565000 ;
+      RECT 6.625000  1.855000 6.805000 2.635000 ;
+      RECT 7.495000  0.085000 7.665000 0.560000 ;
+      RECT 7.500000  1.805000 7.670000 2.635000 ;
+      RECT 8.340000  1.805000 8.510000 2.635000 ;
+      RECT 8.345000  0.085000 8.515000 0.560000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  0.765000 2.640000 0.935000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  0.765000 4.480000 0.935000 ;
+      RECT 4.310000  1.785000 4.480000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 4.540000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.540000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 0.735000 2.700000 0.780000 ;
+      RECT 2.410000 0.920000 2.700000 0.965000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+      RECT 4.250000 0.735000 4.540000 0.780000 ;
+      RECT 4.250000 0.920000 4.540000 0.965000 ;
+      RECT 4.250000 1.755000 4.540000 1.800000 ;
+      RECT 4.250000 1.940000 4.540000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_4
+MACRO sky130_fd_sc_hd__dfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_1
+MACRO sky130_fd_sc_hd__or4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.680000 0.760000 ;
+        RECT 2.405000 1.495000 2.680000 2.465000 ;
+        RECT 2.510000 0.760000 2.680000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.410000 1.785000 ;
+      RECT 0.085000  1.785000 1.680000 1.955000 ;
+      RECT 0.090000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.340000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+      RECT 2.850000  0.085000 3.020000 1.000000 ;
+      RECT 2.850000  1.455000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_2
+MACRO sky130_fd_sc_hd__or4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 0.995000 2.010000 1.445000 ;
+        RECT 1.840000 1.445000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.280000 0.995000 1.610000 1.450000 ;
+        RECT 1.400000 1.450000 1.610000 1.785000 ;
+        RECT 1.400000 1.785000 1.720000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.050000 1.620000 ;
+        RECT 0.880000 1.620000 1.230000 2.375000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.370000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.455000 4.055000 1.625000 ;
+        RECT 2.480000 1.625000 2.730000 2.465000 ;
+        RECT 2.520000 0.255000 2.770000 0.725000 ;
+        RECT 2.520000 0.725000 4.055000 0.905000 ;
+        RECT 3.280000 0.255000 3.610000 0.725000 ;
+        RECT 3.320000 1.625000 3.570000 2.465000 ;
+        RECT 3.810000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.115000  1.495000 0.710000 1.665000 ;
+      RECT 0.115000  1.665000 0.450000 2.450000 ;
+      RECT 0.120000  0.085000 0.370000 0.585000 ;
+      RECT 0.540000  0.655000 2.350000 0.825000 ;
+      RECT 0.540000  0.825000 0.710000 1.495000 ;
+      RECT 0.700000  0.305000 0.870000 0.655000 ;
+      RECT 1.070000  0.085000 1.400000 0.485000 ;
+      RECT 1.570000  0.305000 1.740000 0.655000 ;
+      RECT 1.960000  0.085000 2.340000 0.485000 ;
+      RECT 2.005000  1.795000 2.255000 2.635000 ;
+      RECT 2.180000  0.825000 2.350000 1.075000 ;
+      RECT 2.180000  1.075000 3.640000 1.245000 ;
+      RECT 2.900000  1.795000 3.150000 2.635000 ;
+      RECT 2.940000  0.085000 3.110000 0.555000 ;
+      RECT 3.740000  1.795000 3.990000 2.635000 ;
+      RECT 3.780000  0.085000 3.950000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_4
+MACRO sky130_fd_sc_hd__or4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.410000 1.785000 ;
+      RECT 0.090000  1.785000 1.680000 1.955000 ;
+      RECT 0.095000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.335000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.615000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.700000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  7.340000 0.280000  7.600000 0.735000 ;
+        RECT  7.340000 0.735000 14.085000 0.905000 ;
+        RECT  7.375000 1.495000 14.085000 1.720000 ;
+        RECT  7.375000 1.720000 12.745000 1.735000 ;
+        RECT  7.375000 1.735000  7.600000 2.460000 ;
+        RECT  8.200000 0.280000  8.460000 0.735000 ;
+        RECT  8.200000 1.735000  8.460000 2.460000 ;
+        RECT  9.060000 0.280000  9.320000 0.735000 ;
+        RECT  9.060000 1.735000  9.320000 2.460000 ;
+        RECT  9.905000 0.280000 10.180000 0.735000 ;
+        RECT  9.920000 1.735000 10.180000 2.460000 ;
+        RECT 10.765000 0.280000 11.025000 0.735000 ;
+        RECT 10.765000 1.735000 11.025000 2.460000 ;
+        RECT 11.625000 0.280000 11.885000 0.735000 ;
+        RECT 11.625000 1.735000 11.885000 2.460000 ;
+        RECT 12.485000 0.280000 12.745000 0.735000 ;
+        RECT 12.485000 1.735000 12.745000 2.460000 ;
+        RECT 12.920000 0.905000 14.085000 1.495000 ;
+        RECT 13.355000 0.280000 13.615000 0.735000 ;
+        RECT 13.355000 1.720000 13.645000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 10.350000 1.905000 10.595000 2.465000 ;
+      LAYER mcon ;
+        RECT 10.395000 2.125000 10.565000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 11.210000 1.905000 11.455000 2.465000 ;
+      LAYER mcon ;
+        RECT 11.255000 2.125000 11.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.070000 1.905000 12.315000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.110000 2.125000 12.280000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.930000 1.905000 13.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.960000 2.125000 13.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 13.815000 1.890000 14.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 13.840000 2.125000 14.010000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.495000 5.485000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.235000 2.125000 5.405000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.015000 1.495000 6.345000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.095000 2.125000 6.265000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.875000 1.495000 7.205000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.950000 2.125000 7.120000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.770000 1.905000 8.030000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.800000 2.125000 7.970000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.630000 1.905000 8.890000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.680000 2.125000 8.850000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.490000 1.905000 9.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.540000 2.125000 9.710000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.140000 14.190000 2.340000 ;
+        RECT  5.175000 2.080000  5.465000 2.140000 ;
+        RECT  6.035000 2.080000  6.325000 2.140000 ;
+        RECT  6.890000 2.080000  7.180000 2.140000 ;
+        RECT  7.740000 2.080000  8.030000 2.140000 ;
+        RECT  8.620000 2.080000  8.910000 2.140000 ;
+        RECT  9.480000 2.080000  9.770000 2.140000 ;
+        RECT 10.335000 2.080000 10.625000 2.140000 ;
+        RECT 11.195000 2.080000 11.485000 2.140000 ;
+        RECT 12.050000 2.080000 12.340000 2.140000 ;
+        RECT 12.900000 2.080000 13.190000 2.140000 ;
+        RECT 13.780000 2.080000 14.070000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.130000  1.495000  0.535000 2.635000 ;
+      RECT  0.245000  0.085000  0.535000 0.905000 ;
+      RECT  0.705000  0.255000  1.035000 0.815000 ;
+      RECT  0.705000  1.575000  1.035000 2.465000 ;
+      RECT  0.785000  0.815000  1.035000 1.075000 ;
+      RECT  0.785000  1.075000  2.265000 1.275000 ;
+      RECT  0.785000  1.275000  1.035000 1.575000 ;
+      RECT  1.205000  1.575000  1.585000 2.295000 ;
+      RECT  1.205000  2.295000  3.265000 2.465000 ;
+      RECT  1.215000  0.085000  1.505000 0.905000 ;
+      RECT  1.675000  0.255000  2.005000 0.725000 ;
+      RECT  1.675000  0.725000  4.525000 0.905000 ;
+      RECT  1.755000  1.445000  2.765000 1.745000 ;
+      RECT  1.755000  1.745000  1.925000 2.125000 ;
+      RECT  2.095000  1.935000  2.425000 2.295000 ;
+      RECT  2.175000  0.085000  2.345000 0.555000 ;
+      RECT  2.435000  0.905000  3.095000 0.965000 ;
+      RECT  2.435000  0.965000  2.765000 1.445000 ;
+      RECT  2.515000  0.255000  2.845000 0.725000 ;
+      RECT  2.595000  1.745000  2.765000 2.125000 ;
+      RECT  2.935000  1.455000  4.975000 1.665000 ;
+      RECT  2.935000  1.665000  3.265000 2.295000 ;
+      RECT  3.015000  0.085000  3.185000 0.555000 ;
+      RECT  3.355000  0.255000  3.685000 0.725000 ;
+      RECT  3.435000  1.835000  3.685000 2.635000 ;
+      RECT  3.855000  0.085000  4.025000 0.555000 ;
+      RECT  3.855000  1.665000  4.025000 2.465000 ;
+      RECT  4.195000  0.255000  4.525000 0.725000 ;
+      RECT  4.195000  1.835000  4.525000 2.635000 ;
+      RECT  4.695000  0.085000  5.450000 0.565000 ;
+      RECT  4.695000  0.565000  4.975000 0.905000 ;
+      RECT  4.695000  1.665000  4.975000 2.465000 ;
+      RECT  5.145000  0.735000  5.460000 1.325000 ;
+      RECT  5.655000  0.265000  5.880000 1.075000 ;
+      RECT  5.655000  1.075000 12.750000 1.325000 ;
+      RECT  5.655000  1.325000  5.845000 2.465000 ;
+      RECT  6.050000  0.085000  6.310000 0.610000 ;
+      RECT  6.490000  0.265000  6.740000 1.075000 ;
+      RECT  6.515000  1.325000  6.705000 2.460000 ;
+      RECT  6.910000  0.085000  7.170000 0.645000 ;
+      RECT  7.770000  0.085000  8.030000 0.565000 ;
+      RECT  8.630000  0.085000  8.890000 0.565000 ;
+      RECT  9.490000  0.085000  9.735000 0.565000 ;
+      RECT 10.350000  0.085000 10.595000 0.565000 ;
+      RECT 11.205000  0.085000 11.455000 0.565000 ;
+      RECT 12.065000  0.085000 12.315000 0.565000 ;
+      RECT 12.925000  0.085000 13.185000 0.565000 ;
+      RECT 13.785000  0.085000 14.085000 0.565000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.525000  0.765000  2.695000 0.935000 ;
+      RECT  2.885000  0.765000  3.055000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  0.765000  5.380000 0.935000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.465000 0.735000 3.115000 0.780000 ;
+      RECT 2.465000 0.780000 5.440000 0.920000 ;
+      RECT 2.465000 0.920000 3.115000 0.965000 ;
+      RECT 5.150000 0.735000 5.440000 0.780000 ;
+      RECT 5.150000 0.920000 5.440000 0.965000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+MACRO sky130_fd_sc_hd__decap_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+      RECT 0.085000  1.545000 5.430000 2.635000 ;
+      RECT 2.835000  1.025000 5.430000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_12
+MACRO sky130_fd_sc_hd__decap_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+      RECT 0.085000  1.545000 1.295000 2.635000 ;
+      RECT 0.775000  1.005000 1.295000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_3
+MACRO sky130_fd_sc_hd__decap_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+      RECT 0.085000  1.545000 2.675000 2.635000 ;
+      RECT 1.465000  1.025000 2.675000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_6
+MACRO sky130_fd_sc_hd__decap_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+      RECT 0.085000  1.545000 1.755000 2.635000 ;
+      RECT 1.005000  1.025000 1.755000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_4
+MACRO sky130_fd_sc_hd__decap_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+      RECT 0.085000  1.545000 3.595000 2.635000 ;
+      RECT 1.905000  1.025000 3.595000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_8
+MACRO sky130_fd_sc_hd__o22a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.075000 3.590000 1.275000 ;
+        RECT 3.270000 1.275000 3.590000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 2.925000 1.325000 ;
+        RECT 2.745000 1.325000 2.925000 1.445000 ;
+        RECT 2.745000 1.445000 3.100000 1.615000 ;
+        RECT 2.900000 1.615000 3.100000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.790000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.425000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.365000 0.805000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.085000 0.300000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.115000  1.445000 0.365000 2.635000 ;
+      RECT 0.185000  0.085000 0.355000 0.885000 ;
+      RECT 0.975000  0.715000 2.215000 0.895000 ;
+      RECT 0.975000  0.895000 1.255000 1.495000 ;
+      RECT 0.975000  1.495000 2.575000 1.705000 ;
+      RECT 0.995000  1.875000 1.795000 2.635000 ;
+      RECT 1.025000  0.085000 1.205000 0.545000 ;
+      RECT 1.465000  0.295000 2.730000 0.475000 ;
+      RECT 1.850000  0.645000 2.215000 0.715000 ;
+      RECT 2.190000  1.705000 2.575000 2.465000 ;
+      RECT 2.390000  0.475000 2.730000 0.695000 ;
+      RECT 2.390000  0.695000 3.590000 0.825000 ;
+      RECT 2.560000  0.825000 3.590000 0.865000 ;
+      RECT 2.915000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.280000 3.590000 0.695000 ;
+      RECT 3.270000  1.795000 3.590000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_2
+MACRO sky130_fd_sc_hd__o22a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.670000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.495000 1.325000 ;
+        RECT 2.315000 1.325000 2.495000 1.445000 ;
+        RECT 2.315000 1.445000 2.645000 1.615000 ;
+        RECT 2.445000 1.615000 2.645000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.335000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.075000 1.995000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.535000  0.715000 1.785000 0.895000 ;
+      RECT 0.535000  0.895000 0.810000 1.495000 ;
+      RECT 0.535000  1.495000 2.145000 1.705000 ;
+      RECT 0.555000  1.875000 1.340000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 1.035000  0.295000 2.285000 0.475000 ;
+      RECT 1.420000  0.645000 1.785000 0.715000 ;
+      RECT 1.735000  1.705000 2.145000 1.805000 ;
+      RECT 1.735000  1.805000 2.120000 2.465000 ;
+      RECT 1.955000  0.475000 2.285000 0.695000 ;
+      RECT 1.955000  0.695000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.815000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_1
+MACRO sky130_fd_sc_hd__o22a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 5.270000 1.955000 ;
+      RECT 1.900000  2.125000 2.670000 2.635000 ;
+      RECT 1.940000  0.085000 2.110000 0.555000 ;
+      RECT 1.940000  0.735000 3.970000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.380000  0.255000 4.470000 0.475000 ;
+      RECT 2.415000  0.645000 3.970000 0.735000 ;
+      RECT 2.840000  2.125000 3.090000 2.295000 ;
+      RECT 2.840000  2.295000 3.930000 2.465000 ;
+      RECT 3.260000  1.955000 3.510000 2.125000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 4.100000  2.125000 4.430000 2.635000 ;
+      RECT 4.140000  0.475000 4.470000 0.735000 ;
+      RECT 4.140000  0.735000 6.150000 0.905000 ;
+      RECT 4.600000  2.125000 4.850000 2.295000 ;
+      RECT 4.600000  2.295000 5.690000 2.465000 ;
+      RECT 4.640000  0.085000 4.810000 0.555000 ;
+      RECT 4.980000  0.255000 5.310000 0.725000 ;
+      RECT 4.980000  0.725000 6.150000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.125000 ;
+      RECT 5.440000  1.785000 5.690000 2.295000 ;
+      RECT 5.480000  0.085000 5.650000 0.555000 ;
+      RECT 5.820000  0.255000 6.150000 0.725000 ;
+      RECT 5.905000  1.455000 6.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_4
+MACRO sky130_fd_sc_hd__sdfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.410000 0.275000 13.740000 0.825000 ;
+        RECT 13.410000 1.495000 13.740000 2.450000 ;
+        RECT 13.515000 0.825000 13.740000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.460000 0.255000 11.855000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 1.590000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.435000 9.115000 1.525000 ;
+        RECT 8.880000 1.525000 9.935000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.100000 1.970000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.185000 2.075000 ;
+      RECT  0.085000  2.075000  0.345000 2.465000 ;
+      RECT  0.515000  2.275000  0.845000 2.635000 ;
+      RECT  0.870000  0.255000  1.670000 0.595000 ;
+      RECT  1.015000  2.075000  1.185000 2.255000 ;
+      RECT  1.015000  2.255000  2.105000 2.465000 ;
+      RECT  1.355000  1.845000  1.695000 2.085000 ;
+      RECT  1.495000  0.595000  1.670000 0.645000 ;
+      RECT  1.495000  0.645000  1.695000 0.705000 ;
+      RECT  1.500000  0.705000  1.695000 0.720000 ;
+      RECT  1.505000  0.720000  1.695000 1.845000 ;
+      RECT  1.840000  0.085000  2.090000 0.545000 ;
+      RECT  1.980000  0.715000  2.530000 0.905000 ;
+      RECT  1.980000  0.905000  2.235000 1.760000 ;
+      RECT  1.980000  1.760000  2.535000 2.085000 ;
+      RECT  2.260000  0.255000  2.530000 0.715000 ;
+      RECT  2.275000  2.085000  2.535000 2.465000 ;
+      RECT  2.700000  0.085000  3.100000 0.555000 ;
+      RECT  2.705000  2.140000  3.100000 2.635000 ;
+      RECT  3.270000  0.255000  3.470000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.270000  1.830000  3.995000 2.000000 ;
+      RECT  3.270000  2.000000  3.475000 2.325000 ;
+      RECT  3.640000  0.085000  3.940000 0.545000 ;
+      RECT  3.645000  2.275000  3.975000 2.635000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.145000  2.135000  4.440000 2.465000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.885000 0.920000 ;
+      RECT  4.665000  1.590000  4.970000 1.615000 ;
+      RECT  4.665000  1.615000  4.890000 2.465000 ;
+      RECT  4.715000  0.920000  4.885000 1.445000 ;
+      RECT  4.715000  1.445000  4.970000 1.590000 ;
+      RECT  5.055000  0.255000  5.450000 1.225000 ;
+      RECT  5.055000  1.225000  7.705000 1.275000 ;
+      RECT  5.060000  2.135000  5.805000 2.465000 ;
+      RECT  5.140000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.465000 1.955000 ;
+      RECT  5.620000  0.635000  6.550000 0.805000 ;
+      RECT  5.620000  0.805000  6.015000 1.015000 ;
+      RECT  5.635000  1.395000  5.805000 2.135000 ;
+      RECT  5.665000  0.085000  6.165000 0.465000 ;
+      RECT  5.975000  1.575000  6.145000 1.935000 ;
+      RECT  5.975000  1.935000  6.820000 2.105000 ;
+      RECT  6.000000  2.275000  6.330000 2.635000 ;
+      RECT  6.305000  0.975000  7.705000 1.225000 ;
+      RECT  6.335000  0.255000  6.550000 0.635000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.720000  0.085000  7.705000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.355000  1.275000  7.705000 1.325000 ;
+      RECT  7.385000  1.705000  8.055000 1.955000 ;
+      RECT  7.885000  0.695000  9.085000 0.895000 ;
+      RECT  7.885000  0.895000  8.055000 1.705000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.420000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.855000 0.515000 ;
+      RECT  8.820000  1.895000 10.430000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  8.830000  0.895000  9.085000 1.265000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.285000  0.855000  9.515000 1.185000 ;
+      RECT  9.285000  1.185000 10.910000 1.355000 ;
+      RECT  9.660000  2.065000  9.930000 2.450000 ;
+      RECT  9.685000  0.515000  9.855000 0.845000 ;
+      RECT  9.685000  0.845000 10.560000 1.015000 ;
+      RECT 10.035000  0.085000 10.285000 0.545000 ;
+      RECT 10.100000  2.235000 10.430000 2.635000 ;
+      RECT 10.105000  1.525000 10.430000 1.895000 ;
+      RECT 10.465000  0.255000 10.910000 0.585000 ;
+      RECT 10.600000  1.355000 10.845000 2.465000 ;
+      RECT 10.730000  0.585000 10.910000 1.185000 ;
+      RECT 11.080000  1.485000 11.290000 2.635000 ;
+      RECT 11.120000  0.085000 11.290000 0.885000 ;
+      RECT 12.025000  0.085000 12.315000 0.885000 ;
+      RECT 12.025000  1.485000 12.315000 2.635000 ;
+      RECT 12.530000  0.255000 12.715000 0.995000 ;
+      RECT 12.530000  0.995000 13.345000 1.325000 ;
+      RECT 12.530000  1.325000 12.715000 2.465000 ;
+      RECT 12.885000  0.085000 13.240000 0.825000 ;
+      RECT 12.885000  1.635000 13.240000 2.635000 ;
+      RECT 13.910000  0.085000 14.175000 0.885000 ;
+      RECT 13.910000  1.485000 14.175000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.260000  1.785000  5.430000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 5.030000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.200000 1.755000 5.490000 1.800000 ;
+      RECT 5.200000 1.940000 5.490000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_2
+MACRO sky130_fd_sc_hd__sdfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.915000 0.275000 13.255000 0.825000 ;
+        RECT 12.915000 1.495000 13.255000 2.450000 ;
+        RECT 13.070000 0.825000 13.255000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.500000 0.255000 11.830000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.345000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.765000 0.825000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.610000 1.105000 0.780000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.550000 1.075000 0.840000 1.120000 ;
+        RECT 0.550000 1.120000 2.675000 1.260000 ;
+        RECT 0.550000 1.260000 0.840000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.015000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.885000 1.415000  9.110000 1.525000 ;
+        RECT 8.885000 1.525000 10.075000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.885000 1.445000 9.055000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.115000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.825000 1.415000 9.115000 1.460000 ;
+        RECT 8.825000 1.600000 9.115000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.590000 ;
+        RECT 2.905000 1.590000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.085000  0.085000  0.480000 0.595000 ;
+      RECT  0.085000  1.845000  1.105000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.765000 2.635000 ;
+      RECT  0.875000  0.280000  1.655000 0.560000 ;
+      RECT  0.935000  2.025000  1.105000 2.255000 ;
+      RECT  0.935000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.870000  1.695000 2.075000 ;
+      RECT  1.380000  0.560000  1.655000 0.590000 ;
+      RECT  1.380000  0.590000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.670000 0.620000 ;
+      RECT  1.440000  0.620000  1.670000 0.630000 ;
+      RECT  1.445000  0.630000  1.670000 0.635000 ;
+      RECT  1.460000  0.635000  1.670000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.655000 ;
+      RECT  1.475000  0.655000  1.695000 0.665000 ;
+      RECT  1.495000  0.665000  1.695000 0.705000 ;
+      RECT  1.505000  0.705000  1.695000 1.870000 ;
+      RECT  1.825000  0.085000  2.005000 0.545000 ;
+      RECT  1.865000  0.715000  2.515000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.515000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.030000 0.555000 ;
+      RECT  2.690000  2.140000  3.030000 2.635000 ;
+      RECT  3.255000  1.775000  3.995000 1.955000 ;
+      RECT  3.255000  1.955000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.630000  0.085000  3.940000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.775000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.610000  1.590000  4.915000 1.615000 ;
+      RECT  4.610000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.660000 1.275000 ;
+      RECT  5.030000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.435000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.610000  0.635000  6.535000 0.805000 ;
+      RECT  5.610000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.765000 2.105000 ;
+      RECT  5.945000  2.275000  6.275000 2.635000 ;
+      RECT  6.250000  0.975000  7.660000 1.225000 ;
+      RECT  6.275000  0.255000  6.535000 0.635000 ;
+      RECT  6.550000  2.105000  6.765000 2.450000 ;
+      RECT  6.735000  0.085000  7.630000 0.805000 ;
+      RECT  7.005000  2.125000  7.960000 2.635000 ;
+      RECT  7.190000  1.495000  8.005000 1.955000 ;
+      RECT  7.300000  1.275000  7.660000 1.325000 ;
+      RECT  7.835000  0.695000  9.040000 0.895000 ;
+      RECT  7.835000  0.895000  8.005000 1.495000 ;
+      RECT  8.130000  2.125000  8.935000 2.460000 ;
+      RECT  8.365000  1.075000  8.595000 1.905000 ;
+      RECT  8.410000  0.275000  9.825000 0.445000 ;
+      RECT  8.765000  1.895000 10.465000 2.065000 ;
+      RECT  8.765000  2.065000  8.935000 2.125000 ;
+      RECT  8.810000  0.895000  9.040000 1.245000 ;
+      RECT  9.195000  2.235000  9.525000 2.635000 ;
+      RECT  9.290000  0.855000  9.465000 1.185000 ;
+      RECT  9.290000  1.185000 10.895000 1.355000 ;
+      RECT  9.655000  0.445000  9.825000 0.845000 ;
+      RECT  9.655000  0.845000 10.545000 1.015000 ;
+      RECT  9.695000  2.065000  9.910000 2.450000 ;
+      RECT 10.135000  2.235000 10.465000 2.635000 ;
+      RECT 10.220000  0.085000 10.390000 0.545000 ;
+      RECT 10.245000  1.525000 10.465000 1.895000 ;
+      RECT 10.560000  0.255000 10.895000 0.540000 ;
+      RECT 10.635000  1.355000 10.895000 2.465000 ;
+      RECT 10.715000  0.540000 10.895000 1.185000 ;
+      RECT 11.120000  0.085000 11.330000 0.885000 ;
+      RECT 11.120000  1.485000 11.330000 2.635000 ;
+      RECT 12.060000  0.255000 12.270000 0.995000 ;
+      RECT 12.060000  0.995000 12.900000 1.325000 ;
+      RECT 12.060000  1.325000 12.270000 2.465000 ;
+      RECT 12.540000  0.085000 12.745000 0.825000 ;
+      RECT 12.575000  1.575000 12.745000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  1.785000  7.675000 1.955000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.735000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.655000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.445000 1.755000 7.735000 1.800000 ;
+      RECT 7.445000 1.940000 7.735000 1.985000 ;
+      RECT 8.365000 1.075000 8.655000 1.120000 ;
+      RECT 8.365000 1.260000 8.655000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_1
+MACRO sky130_fd_sc_hd__dfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.145000 2.465000 ;
+        RECT 8.890000 0.265000 9.145000 0.885000 ;
+        RECT 8.930000 0.885000 9.145000 1.495000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.390000  1.720000 7.565000 2.635000 ;
+      RECT 7.400000  0.085000 7.570000 0.600000 ;
+      RECT 7.905000  0.345000 8.165000 0.615000 ;
+      RECT 7.905000  1.715000 8.235000 2.445000 ;
+      RECT 7.965000  0.615000 8.165000 0.995000 ;
+      RECT 7.965000  0.995000 8.760000 1.325000 ;
+      RECT 7.965000  1.325000 8.235000 1.715000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.425000  1.495000 8.640000 2.635000 ;
+      RECT 9.315000  0.085000 9.565000 0.905000 ;
+      RECT 9.315000  1.495000 9.565000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_2
+MACRO sky130_fd_sc_hd__dfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.315000 1.480000 8.650000 2.465000 ;
+        RECT 8.395000 0.255000 8.650000 0.910000 ;
+        RECT 8.415000 0.910000 8.650000 1.480000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.410000  1.715000 7.740000 2.445000 ;
+      RECT 7.420000  0.345000 7.670000 0.615000 ;
+      RECT 7.470000  0.615000 7.670000 0.995000 ;
+      RECT 7.470000  0.995000 8.245000 1.325000 ;
+      RECT 7.470000  1.325000 7.740000 1.715000 ;
+      RECT 7.905000  0.085000 8.225000 0.545000 ;
+      RECT 7.930000  1.495000 8.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_1
+MACRO sky130_fd_sc_hd__a2bb2oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.520000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.010000 1.240000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.070000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.995000 2.610000 1.615000 ;
+        RECT 2.440000 0.425000 2.610000 0.995000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.515500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.785000 1.945000 1.955000 ;
+        RECT 1.420000 1.955000 1.785000 2.465000 ;
+        RECT 1.775000 0.255000 2.205000 0.825000 ;
+        RECT 1.775000 0.825000 1.945000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.825000 ;
+      RECT 0.095000  1.805000 0.425000 2.635000 ;
+      RECT 0.595000  0.255000 0.765000 0.660000 ;
+      RECT 0.595000  0.660000 1.580000 0.830000 ;
+      RECT 0.875000  1.445000 1.580000 1.615000 ;
+      RECT 0.875000  1.615000 1.205000 2.465000 ;
+      RECT 0.935000  0.085000 1.605000 0.490000 ;
+      RECT 1.410000  0.830000 1.580000 1.445000 ;
+      RECT 1.955000  2.235000 2.285000 2.465000 ;
+      RECT 2.115000  1.785000 3.130000 1.955000 ;
+      RECT 2.115000  1.955000 2.285000 2.235000 ;
+      RECT 2.455000  2.135000 2.705000 2.635000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+      RECT 2.875000  1.955000 3.130000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_1
+MACRO sky130_fd_sc_hd__a2bb2oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.945000 1.075000 7.320000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 1.075000 9.045000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.555000 1.285000 ;
+        RECT 1.385000 1.285000 1.555000 1.445000 ;
+        RECT 1.385000 1.445000 3.575000 1.615000 ;
+        RECT 3.245000 1.075000 3.575000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.725000 1.075000 3.075000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.645000 2.995000 0.725000 ;
+        RECT 1.775000 0.725000 5.045000 0.905000 ;
+        RECT 3.745000 0.905000 3.915000 1.415000 ;
+        RECT 3.745000 1.415000 4.965000 1.615000 ;
+        RECT 3.875000 0.275000 4.205000 0.725000 ;
+        RECT 3.915000 1.615000 4.165000 2.125000 ;
+        RECT 4.715000 0.275000 5.045000 0.725000 ;
+        RECT 4.745000 1.615000 4.965000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  1.455000 1.215000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 0.845000 0.725000 ;
+      RECT 0.515000  0.725000 1.605000 0.905000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.215000 1.795000 ;
+      RECT 0.975000  1.795000 3.745000 1.965000 ;
+      RECT 0.975000  1.965000 1.215000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.355000  0.255000 3.365000 0.475000 ;
+      RECT 1.355000  0.475000 1.605000 0.725000 ;
+      RECT 1.395000  2.135000 1.645000 2.635000 ;
+      RECT 1.815000  1.965000 2.065000 2.465000 ;
+      RECT 2.235000  2.135000 2.485000 2.635000 ;
+      RECT 2.655000  1.965000 2.905000 2.465000 ;
+      RECT 3.075000  2.135000 3.325000 2.635000 ;
+      RECT 3.495000  1.965000 3.745000 2.295000 ;
+      RECT 3.495000  2.295000 5.465000 2.465000 ;
+      RECT 3.535000  0.085000 3.705000 0.555000 ;
+      RECT 4.085000  1.075000 5.725000 1.245000 ;
+      RECT 4.335000  1.795000 4.575000 2.295000 ;
+      RECT 4.375000  0.085000 4.545000 0.555000 ;
+      RECT 5.135000  1.455000 5.465000 2.295000 ;
+      RECT 5.215000  0.085000 5.905000 0.555000 ;
+      RECT 5.555000  0.735000 9.575000 0.905000 ;
+      RECT 5.555000  0.905000 5.725000 1.075000 ;
+      RECT 5.655000  1.455000 7.625000 1.625000 ;
+      RECT 5.655000  1.625000 5.985000 2.465000 ;
+      RECT 6.075000  0.255000 6.405000 0.725000 ;
+      RECT 6.075000  0.725000 8.925000 0.735000 ;
+      RECT 6.155000  1.795000 6.365000 2.635000 ;
+      RECT 6.540000  1.625000 6.780000 2.465000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.915000  0.255000 7.245000 0.725000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 7.375000  1.625000 7.625000 2.295000 ;
+      RECT 7.375000  2.295000 9.310000 2.465000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.755000  0.255000 8.085000 0.725000 ;
+      RECT 7.795000  1.455000 9.575000 1.625000 ;
+      RECT 7.795000  1.625000 8.045000 2.125000 ;
+      RECT 8.215000  1.795000 8.465000 2.295000 ;
+      RECT 8.255000  0.085000 8.425000 0.555000 ;
+      RECT 8.595000  0.255000 8.925000 0.725000 ;
+      RECT 8.635000  1.625000 8.885000 2.125000 ;
+      RECT 9.060000  1.795000 9.310000 2.295000 ;
+      RECT 9.095000  0.085000 9.265000 0.555000 ;
+      RECT 9.215000  0.905000 9.575000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_4
+MACRO sky130_fd_sc_hd__a2bb2oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.310000 1.075000 4.205000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.455000 1.075000 5.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.710000 1.445000 ;
+        RECT 0.085000 1.445000 2.030000 1.615000 ;
+        RECT 1.700000 1.075000 2.030000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.480000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.645000 1.400000 0.725000 ;
+        RECT 1.070000 0.725000 2.660000 0.905000 ;
+        RECT 2.330000 0.255000 2.660000 0.725000 ;
+        RECT 2.370000 0.905000 2.660000 1.660000 ;
+        RECT 2.370000 1.660000 2.620000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.270000  1.785000 2.200000 1.955000 ;
+      RECT 0.270000  1.955000 0.520000 2.465000 ;
+      RECT 0.310000  0.085000 0.480000 0.895000 ;
+      RECT 0.650000  0.255000 1.820000 0.475000 ;
+      RECT 0.650000  0.475000 0.900000 0.895000 ;
+      RECT 0.690000  2.135000 0.940000 2.635000 ;
+      RECT 1.110000  1.955000 1.360000 2.465000 ;
+      RECT 1.530000  2.135000 1.780000 2.635000 ;
+      RECT 1.950000  1.955000 2.200000 2.295000 ;
+      RECT 1.950000  2.295000 3.040000 2.465000 ;
+      RECT 1.990000  0.085000 2.160000 0.555000 ;
+      RECT 2.790000  1.795000 3.040000 2.295000 ;
+      RECT 2.830000  0.085000 3.520000 0.555000 ;
+      RECT 2.830000  0.995000 3.120000 1.325000 ;
+      RECT 2.950000  0.725000 4.860000 0.905000 ;
+      RECT 2.950000  0.905000 3.120000 0.995000 ;
+      RECT 2.950000  1.325000 3.120000 1.445000 ;
+      RECT 2.950000  1.445000 4.820000 1.615000 ;
+      RECT 3.310000  1.785000 4.400000 1.965000 ;
+      RECT 3.310000  1.965000 3.560000 2.465000 ;
+      RECT 3.690000  0.255000 4.020000 0.725000 ;
+      RECT 3.730000  2.135000 3.980000 2.635000 ;
+      RECT 4.150000  1.965000 4.400000 2.295000 ;
+      RECT 4.150000  2.295000 5.240000 2.465000 ;
+      RECT 4.190000  0.085000 4.360000 0.555000 ;
+      RECT 4.530000  0.255000 4.860000 0.725000 ;
+      RECT 4.570000  1.615000 4.820000 2.125000 ;
+      RECT 4.990000  1.455000 5.240000 2.295000 ;
+      RECT 5.030000  0.085000 5.200000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_2
+MACRO sky130_fd_sc_hd__nor4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.115000 0.995000 3.595000 1.275000 ;
+        RECT 3.295000 1.275000 3.595000 1.705000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 2.945000 1.445000 ;
+        RECT 2.615000 1.445000 3.085000 1.630000 ;
+        RECT 2.825000 1.630000 3.085000 2.410000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.606900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 1.955000 2.055000 2.125000 ;
+        RECT 1.855000 0.655000 3.085000 0.825000 ;
+        RECT 1.855000 0.825000 2.055000 1.955000 ;
+        RECT 2.015000 0.300000 2.215000 0.655000 ;
+        RECT 2.885000 0.310000 3.085000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.885000 ;
+      RECT 0.085000  1.885000 1.205000 2.070000 ;
+      RECT 0.085000  2.070000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.995000  1.525000 1.590000 1.715000 ;
+      RECT 1.035000  2.070000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.395000 2.465000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.590000 0.825000 ;
+      RECT 1.410000  0.825000 1.590000 0.995000 ;
+      RECT 1.410000  0.995000 1.685000 1.325000 ;
+      RECT 1.410000  1.325000 1.590000 1.525000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 2.225000  0.995000 2.395000 2.295000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 3.255000  0.085000 3.585000 0.825000 ;
+      RECT 3.255000  1.875000 3.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_1
+MACRO sky130_fd_sc_hd__nor4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.130000 1.075000 5.895000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.960000 1.275000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.235000 1.325000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.780000 1.695000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.060000 0.255000 2.390000 0.725000 ;
+        RECT 2.060000 0.725000 5.450000 0.905000 ;
+        RECT 2.900000 0.255000 3.230000 0.725000 ;
+        RECT 2.900000 1.445000 3.995000 1.705000 ;
+        RECT 3.575000 0.905000 3.995000 1.445000 ;
+        RECT 4.280000 0.255000 4.610000 0.725000 ;
+        RECT 5.120000 0.255000 5.450000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.450000 0.465000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.885000 ;
+      RECT 0.085000  1.885000 1.915000 2.055000 ;
+      RECT 0.085000  2.055000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.635000  0.085000 0.805000 0.825000 ;
+      RECT 0.995000  1.525000 1.575000 1.715000 ;
+      RECT 1.055000  0.450000 1.250000 0.655000 ;
+      RECT 1.055000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 2.390000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.560000  0.085000 1.890000 0.480000 ;
+      RECT 1.640000  2.225000 1.970000 2.295000 ;
+      RECT 1.640000  2.295000 3.650000 2.465000 ;
+      RECT 1.745000  1.415000 2.730000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.885000 ;
+      RECT 2.140000  1.795000 2.310000 1.875000 ;
+      RECT 2.140000  1.875000 4.610000 2.045000 ;
+      RECT 2.140000  2.045000 2.310000 2.125000 ;
+      RECT 2.480000  2.215000 3.650000 2.295000 ;
+      RECT 2.560000  0.085000 2.730000 0.555000 ;
+      RECT 2.560000  1.075000 3.405000 1.275000 ;
+      RECT 2.560000  1.275000 2.730000 1.415000 ;
+      RECT 3.400000  0.085000 4.110000 0.555000 ;
+      RECT 3.860000  2.215000 4.990000 2.465000 ;
+      RECT 4.320000  1.455000 4.610000 1.875000 ;
+      RECT 4.780000  0.085000 4.950000 0.555000 ;
+      RECT 4.780000  1.455000 5.870000 1.625000 ;
+      RECT 4.780000  1.625000 4.990000 2.215000 ;
+      RECT 5.160000  1.795000 5.370000 2.635000 ;
+      RECT 5.540000  1.625000 5.870000 2.465000 ;
+      RECT 5.620000  0.085000 5.895000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_2
+MACRO sky130_fd_sc_hd__nor4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.375000 1.075000 9.110000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 7.105000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.365000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.075000 1.295000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.415000 3.185000 1.705000 ;
+        RECT 1.935000 0.255000 2.265000 0.725000 ;
+        RECT 1.935000 0.725000 8.665000 0.905000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.015000 0.905000 3.185000 1.415000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 4.455000 0.255000 4.785000 0.725000 ;
+        RECT 5.815000 0.255000 6.145000 0.725000 ;
+        RECT 6.655000 0.255000 6.985000 0.725000 ;
+        RECT 7.495000 0.255000 7.825000 0.725000 ;
+        RECT 8.335000 0.255000 8.665000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.255000 0.445000 0.725000 ;
+      RECT 0.085000  0.725000 0.785000 0.895000 ;
+      RECT 0.085000  1.535000 0.785000 1.875000 ;
+      RECT 0.085000  1.875000 3.525000 2.045000 ;
+      RECT 0.085000  2.045000 0.365000 2.465000 ;
+      RECT 0.535000  2.215000 0.865000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.895000 0.785000 1.535000 ;
+      RECT 0.955000  0.255000 1.285000 0.735000 ;
+      RECT 0.955000  0.735000 1.635000 0.905000 ;
+      RECT 0.955000  1.535000 1.635000 1.705000 ;
+      RECT 1.465000  0.905000 1.635000 1.075000 ;
+      RECT 1.465000  1.075000 2.845000 1.245000 ;
+      RECT 1.465000  1.245000 1.635000 1.535000 ;
+      RECT 1.515000  2.215000 3.525000 2.295000 ;
+      RECT 1.515000  2.295000 5.195000 2.465000 ;
+      RECT 1.595000  0.085000 1.765000 0.555000 ;
+      RECT 2.435000  0.085000 2.605000 0.555000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 3.355000  1.075000 4.905000 1.285000 ;
+      RECT 3.355000  1.285000 3.525000 1.875000 ;
+      RECT 3.695000  1.455000 6.945000 1.625000 ;
+      RECT 3.695000  1.625000 3.905000 2.125000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.285000 0.555000 ;
+      RECT 4.495000  1.625000 4.745000 2.125000 ;
+      RECT 4.915000  1.795000 5.195000 2.295000 ;
+      RECT 4.955000  0.085000 5.645000 0.555000 ;
+      RECT 5.380000  1.795000 5.685000 2.295000 ;
+      RECT 5.380000  2.295000 7.365000 2.465000 ;
+      RECT 5.855000  1.625000 6.105000 2.125000 ;
+      RECT 6.275000  1.795000 6.525000 2.295000 ;
+      RECT 6.315000  0.085000 6.485000 0.555000 ;
+      RECT 6.695000  1.625000 6.945000 2.125000 ;
+      RECT 7.115000  1.455000 9.110000 1.625000 ;
+      RECT 7.115000  1.625000 7.365000 2.295000 ;
+      RECT 7.155000  0.085000 7.325000 0.555000 ;
+      RECT 7.535000  1.795000 7.785000 2.635000 ;
+      RECT 7.955000  1.625000 8.205000 2.465000 ;
+      RECT 7.995000  0.085000 8.165000 0.555000 ;
+      RECT 8.375000  1.795000 8.625000 2.635000 ;
+      RECT 8.795000  1.625000 9.110000 2.465000 ;
+      RECT 8.835000  0.085000 9.110000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_4
+MACRO sky130_fd_sc_hd__ha_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 1.055000 4.045000 1.225000 ;
+        RECT 3.820000 1.225000 4.045000 1.675000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.310000 1.005000 2.615000 1.395000 ;
+        RECT 2.310000 1.395000 3.595000 1.675000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 0.315000 4.965000 0.825000 ;
+        RECT 4.715000 1.545000 4.965000 2.415000 ;
+        RECT 4.790000 0.825000 4.965000 1.545000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.315000 0.885000 0.825000 ;
+        RECT 0.555000 0.825000 0.780000 1.565000 ;
+        RECT 0.555000 1.565000 0.885000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.135000  0.085000 0.375000 0.885000 ;
+      RECT 0.135000  1.495000 0.375000 2.635000 ;
+      RECT 0.950000  1.075000 1.590000 1.245000 ;
+      RECT 1.055000  0.085000 1.250000 0.885000 ;
+      RECT 1.055000  1.515000 1.250000 2.635000 ;
+      RECT 1.420000  0.345000 1.745000 0.675000 ;
+      RECT 1.420000  0.675000 1.590000 1.075000 ;
+      RECT 1.420000  1.245000 1.590000 2.205000 ;
+      RECT 1.420000  2.205000 2.220000 2.375000 ;
+      RECT 1.760000  0.995000 1.930000 1.855000 ;
+      RECT 1.760000  1.855000 4.465000 2.025000 ;
+      RECT 1.995000  0.345000 2.165000 0.635000 ;
+      RECT 1.995000  0.635000 3.005000 0.805000 ;
+      RECT 2.335000  0.085000 2.665000 0.465000 ;
+      RECT 2.835000  0.345000 3.005000 0.635000 ;
+      RECT 2.850000  2.205000 3.640000 2.635000 ;
+      RECT 3.460000  0.345000 3.630000 0.715000 ;
+      RECT 3.460000  0.715000 4.465000 0.885000 ;
+      RECT 3.810000  2.025000 3.980000 2.355000 ;
+      RECT 4.215000  0.085000 4.465000 0.545000 ;
+      RECT 4.215000  2.205000 4.545000 2.635000 ;
+      RECT 4.295000  0.885000 4.465000 0.995000 ;
+      RECT 4.295000  0.995000 4.620000 1.325000 ;
+      RECT 4.295000  1.325000 4.465000 1.855000 ;
+      RECT 5.145000  0.085000 5.385000 0.885000 ;
+      RECT 5.145000  1.495000 5.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_2
+MACRO sky130_fd_sc_hd__ha_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 1.075000 4.380000 1.245000 ;
+        RECT 4.210000 1.245000 4.380000 1.505000 ;
+        RECT 4.210000 1.505000 6.810000 1.675000 ;
+        RECT 5.625000 0.995000 5.795000 1.505000 ;
+        RECT 6.580000 0.995000 7.055000 1.325000 ;
+        RECT 6.580000 1.325000 6.810000 1.505000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.550000 0.995000 5.455000 1.165000 ;
+        RECT 4.550000 1.165000 4.720000 1.325000 ;
+        RECT 5.285000 0.730000 6.315000 0.825000 ;
+        RECT 5.285000 0.825000 5.535000 0.845000 ;
+        RECT 5.285000 0.845000 5.495000 0.875000 ;
+        RECT 5.285000 0.875000 5.455000 0.995000 ;
+        RECT 5.295000 0.720000 6.315000 0.730000 ;
+        RECT 5.310000 0.710000 6.315000 0.720000 ;
+        RECT 5.320000 0.695000 6.315000 0.710000 ;
+        RECT 5.335000 0.675000 6.315000 0.695000 ;
+        RECT 5.345000 0.655000 6.315000 0.675000 ;
+        RECT 6.085000 0.825000 6.315000 1.325000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 0.315000 7.845000 0.735000 ;
+        RECT 7.595000 0.735000 8.685000 0.905000 ;
+        RECT 7.595000 1.415000 8.685000 1.585000 ;
+        RECT 7.595000 1.585000 7.765000 2.415000 ;
+        RECT 8.405000 0.315000 8.685000 0.735000 ;
+        RECT 8.405000 0.905000 8.685000 1.415000 ;
+        RECT 8.405000 1.585000 8.685000 2.415000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.315000 0.845000 1.065000 ;
+        RECT 0.515000 1.065000 1.550000 1.335000 ;
+        RECT 0.515000 1.335000 0.845000 2.415000 ;
+        RECT 1.355000 0.315000 1.685000 0.825000 ;
+        RECT 1.355000 0.825000 1.550000 1.065000 ;
+        RECT 1.355000 1.335000 1.550000 1.565000 ;
+        RECT 1.355000 1.565000 1.685000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.885000 ;
+      RECT 1.015000  1.515000 1.185000 2.635000 ;
+      RECT 1.720000  1.075000 2.750000 1.245000 ;
+      RECT 1.855000  0.085000 2.095000 0.885000 ;
+      RECT 1.855000  1.495000 2.365000 2.635000 ;
+      RECT 2.270000  0.305000 3.385000 0.475000 ;
+      RECT 2.580000  0.645000 3.045000 0.815000 ;
+      RECT 2.580000  0.815000 2.750000 1.075000 ;
+      RECT 2.580000  1.245000 2.750000 1.765000 ;
+      RECT 2.580000  1.765000 3.700000 1.935000 ;
+      RECT 2.770000  1.935000 2.940000 2.355000 ;
+      RECT 2.920000  0.995000 3.090000 1.425000 ;
+      RECT 2.920000  1.425000 4.040000 1.595000 ;
+      RECT 3.190000  2.105000 3.360000 2.635000 ;
+      RECT 3.215000  0.475000 3.385000 0.645000 ;
+      RECT 3.215000  0.645000 5.115000 0.815000 ;
+      RECT 3.530000  1.935000 3.700000 2.205000 ;
+      RECT 3.530000  2.205000 4.330000 2.375000 ;
+      RECT 3.555000  0.085000 3.910000 0.465000 ;
+      RECT 3.870000  1.595000 4.040000 1.855000 ;
+      RECT 3.870000  1.855000 7.395000 2.025000 ;
+      RECT 4.080000  0.345000 4.250000 0.645000 ;
+      RECT 4.420000  0.085000 4.750000 0.465000 ;
+      RECT 4.920000  0.255000 5.190000 0.585000 ;
+      RECT 4.920000  0.585000 5.115000 0.645000 ;
+      RECT 5.240000  2.205000 5.570000 2.635000 ;
+      RECT 5.385000  0.085000 5.715000 0.465000 ;
+      RECT 5.835000  2.025000 6.005000 2.355000 ;
+      RECT 6.175000  0.295000 6.875000 0.465000 ;
+      RECT 6.175000  2.205000 6.505000 2.635000 ;
+      RECT 6.675000  2.025000 6.845000 2.355000 ;
+      RECT 6.705000  0.465000 6.875000 0.645000 ;
+      RECT 6.705000  0.645000 7.395000 0.815000 ;
+      RECT 7.055000  0.085000 7.385000 0.465000 ;
+      RECT 7.055000  2.205000 7.385000 2.635000 ;
+      RECT 7.225000  0.815000 7.395000 1.075000 ;
+      RECT 7.225000  1.075000 8.225000 1.245000 ;
+      RECT 7.225000  1.245000 7.395000 1.855000 ;
+      RECT 7.935000  1.755000 8.225000 2.635000 ;
+      RECT 8.015000  0.085000 8.225000 0.565000 ;
+      RECT 8.855000  0.085000 9.065000 0.885000 ;
+      RECT 8.855000  1.495000 9.065000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_4
+MACRO sky130_fd_sc_hd__ha_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.315000 3.585000 1.485000 ;
+        RECT 3.360000 1.055000 3.585000 1.315000 ;
+        RECT 3.360000 1.485000 3.585000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.345000 2.155000 1.655000 ;
+        RECT 1.850000 1.655000 3.165000 1.825000 ;
+        RECT 1.850000 1.825000 2.155000 2.375000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.315000 4.515000 0.825000 ;
+        RECT 4.175000 1.565000 4.515000 2.415000 ;
+        RECT 4.330000 0.825000 4.515000 1.565000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.315000 0.425000 0.825000 ;
+        RECT 0.090000 0.825000 0.320000 1.565000 ;
+        RECT 0.090000 1.565000 0.425000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.490000  1.075000 1.130000 1.245000 ;
+      RECT 0.595000  0.085000 0.790000 0.885000 ;
+      RECT 0.595000  1.515000 0.790000 2.275000 ;
+      RECT 0.595000  2.275000 1.260000 2.635000 ;
+      RECT 0.960000  0.345000 1.285000 0.675000 ;
+      RECT 0.960000  0.675000 1.130000 1.075000 ;
+      RECT 0.960000  1.245000 1.130000 1.935000 ;
+      RECT 0.960000  1.935000 1.680000 2.105000 ;
+      RECT 1.300000  0.975000 3.170000 1.145000 ;
+      RECT 1.300000  1.145000 1.470000 1.325000 ;
+      RECT 1.510000  2.105000 1.680000 2.355000 ;
+      RECT 1.535000  0.345000 1.705000 0.635000 ;
+      RECT 1.535000  0.635000 2.545000 0.805000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 2.375000  0.345000 2.545000 0.635000 ;
+      RECT 2.450000  2.275000 3.120000 2.635000 ;
+      RECT 3.000000  0.345000 3.170000 0.715000 ;
+      RECT 3.000000  0.715000 4.005000 0.885000 ;
+      RECT 3.000000  0.885000 3.170000 0.975000 ;
+      RECT 3.350000  1.785000 4.005000 1.955000 ;
+      RECT 3.350000  1.955000 3.520000 2.355000 ;
+      RECT 3.755000  0.085000 4.005000 0.545000 ;
+      RECT 3.755000  2.125000 4.005000 2.635000 ;
+      RECT 3.835000  0.885000 4.005000 0.995000 ;
+      RECT 3.835000  0.995000 4.160000 1.325000 ;
+      RECT 3.835000  1.325000 4.005000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_1
+MACRO sky130_fd_sc_hd__dlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.765000 1.950000 1.015000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  1.039500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.040000 0.255000 6.460000 0.545000 ;
+        RECT 6.040000 1.835000 7.300000 2.005000 ;
+        RECT 6.040000 2.005000 6.370000 2.455000 ;
+        RECT 6.290000 0.545000 6.460000 0.715000 ;
+        RECT 6.290000 0.715000 7.300000 0.885000 ;
+        RECT 6.585000 1.785000 7.300000 1.835000 ;
+        RECT 6.750000 0.885000 7.300000 1.785000 ;
+        RECT 6.970000 0.255000 7.300000 0.715000 ;
+        RECT 6.970000 2.005000 7.300000 2.465000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.230000 1.055000 5.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.230000 1.105000 5.400000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.460000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.170000 1.075000 5.460000 1.120000 ;
+        RECT 5.170000 1.260000 5.460000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.280000 1.355000 ;
+      RECT 1.015000  1.355000 2.335000 1.585000 ;
+      RECT 1.015000  1.585000 1.240000 2.465000 ;
+      RECT 1.450000  0.085000 1.785000 0.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.525000  1.785000 1.695000 1.855000 ;
+      RECT 1.525000  1.855000 2.845000 1.905000 ;
+      RECT 1.525000  1.905000 2.735000 2.025000 ;
+      RECT 2.045000  1.585000 2.335000 1.685000 ;
+      RECT 2.290000  0.705000 2.735000 1.035000 ;
+      RECT 2.415000  0.365000 3.075000 0.535000 ;
+      RECT 2.475000  2.195000 3.165000 2.425000 ;
+      RECT 2.505000  1.575000 2.845000 1.855000 ;
+      RECT 2.565000  1.035000 2.735000 1.575000 ;
+      RECT 2.905000  0.535000 3.075000 0.995000 ;
+      RECT 2.905000  0.995000 3.775000 1.165000 ;
+      RECT 2.915000  2.060000 3.185000 2.090000 ;
+      RECT 2.915000  2.090000 3.180000 2.105000 ;
+      RECT 2.915000  2.105000 3.165000 2.195000 ;
+      RECT 2.980000  2.015000 3.185000 2.060000 ;
+      RECT 3.015000  1.165000 3.775000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.015000 ;
+      RECT 3.315000  0.085000 3.650000 0.530000 ;
+      RECT 3.335000  2.175000 3.695000 2.635000 ;
+      RECT 3.355000  1.535000 4.115000 1.865000 ;
+      RECT 3.895000  0.415000 4.115000 0.745000 ;
+      RECT 3.895000  1.865000 4.115000 2.435000 ;
+      RECT 3.945000  0.745000 4.115000 0.995000 ;
+      RECT 3.945000  0.995000 4.720000 1.325000 ;
+      RECT 3.945000  1.325000 4.115000 1.535000 ;
+      RECT 4.295000  0.085000 4.580000 0.715000 ;
+      RECT 4.295000  2.010000 4.580000 2.635000 ;
+      RECT 4.750000  0.290000 5.060000 0.715000 ;
+      RECT 4.750000  0.715000 6.120000 0.825000 ;
+      RECT 4.750000  1.495000 6.140000 1.665000 ;
+      RECT 4.750000  1.665000 5.035000 2.465000 ;
+      RECT 4.890000  0.825000 6.120000 0.885000 ;
+      RECT 4.890000  0.885000 5.060000 1.495000 ;
+      RECT 5.575000  1.835000 5.840000 2.635000 ;
+      RECT 5.590000  0.085000 5.870000 0.545000 ;
+      RECT 5.910000  0.885000 6.120000 1.055000 ;
+      RECT 5.910000  1.055000 6.580000 1.290000 ;
+      RECT 5.910000  1.290000 6.140000 1.495000 ;
+      RECT 6.540000  2.175000 6.800000 2.635000 ;
+      RECT 6.630000  0.085000 6.800000 0.545000 ;
+      RECT 7.470000  0.085000 7.735000 0.885000 ;
+      RECT 7.470000  1.485000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 1.755000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_4
+MACRO sky130_fd_sc_hd__dlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.435000 2.185000 1.685000 ;
+        RECT 1.985000 0.385000 2.185000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.255000 6.355000 0.595000 ;
+        RECT 6.090000 1.495000 6.355000 2.455000 ;
+        RECT 6.170000 0.595000 6.355000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.105000 0.315000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.190000 1.105000 5.510000 1.435000 ;
+      LAYER mcon ;
+        RECT 5.210000 1.105000 5.380000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.075000 0.380000 1.120000 ;
+        RECT 0.085000 1.120000 5.440000 1.260000 ;
+        RECT 0.085000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 6.630000 2.910000 ;
+        RECT  2.620000 1.305000 6.630000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.590000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.480000  0.085000 1.810000 0.905000 ;
+      RECT 2.390000  0.815000 3.220000 0.985000 ;
+      RECT 2.390000  0.985000 2.590000 1.855000 ;
+      RECT 2.475000  2.255000 3.225000 2.425000 ;
+      RECT 2.790000  0.390000 3.725000 0.560000 ;
+      RECT 3.055000  1.155000 4.175000 1.325000 ;
+      RECT 3.055000  1.325000 3.225000 2.255000 ;
+      RECT 3.395000  2.135000 3.695000 2.635000 ;
+      RECT 3.430000  1.535000 4.710000 1.840000 ;
+      RECT 3.430000  1.840000 4.130000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.175000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.910000  1.865000 4.130000 2.435000 ;
+      RECT 4.310000  2.010000 4.595000 2.635000 ;
+      RECT 4.320000  0.255000 4.580000 0.615000 ;
+      RECT 4.345000  0.615000 4.580000 0.995000 ;
+      RECT 4.345000  0.995000 4.740000 1.325000 ;
+      RECT 4.345000  1.325000 4.710000 1.535000 ;
+      RECT 4.840000  0.290000 5.155000 0.620000 ;
+      RECT 4.935000  0.620000 5.155000 0.765000 ;
+      RECT 4.935000  0.765000 6.000000 0.935000 ;
+      RECT 5.005000  1.725000 5.920000 1.895000 ;
+      RECT 5.005000  1.895000 5.335000 2.465000 ;
+      RECT 5.570000  2.130000 5.920000 2.635000 ;
+      RECT 5.670000  0.085000 5.840000 0.545000 ;
+      RECT 5.750000  0.935000 6.000000 1.325000 ;
+      RECT 5.750000  1.325000 5.920000 1.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_1
+MACRO sky130_fd_sc_hd__dlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.435000 2.215000 1.685000 ;
+        RECT 1.985000 0.285000 2.215000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.360000 0.595000 ;
+        RECT 6.095000 1.495000 6.360000 2.455000 ;
+        RECT 6.165000 0.595000 6.360000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.210000 1.105000 5.485000 1.435000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.440000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 7.090000 2.910000 ;
+        RECT  2.625000 1.305000 7.090000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.645000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.455000  2.195000 1.820000 2.635000 ;
+      RECT 1.485000  0.085000 1.815000 0.905000 ;
+      RECT 2.395000  0.815000 3.225000 0.985000 ;
+      RECT 2.395000  0.985000 2.645000 1.855000 ;
+      RECT 2.480000  2.255000 3.230000 2.425000 ;
+      RECT 2.795000  0.390000 3.725000 0.560000 ;
+      RECT 3.060000  1.155000 4.180000 1.325000 ;
+      RECT 3.060000  1.325000 3.230000 2.255000 ;
+      RECT 3.400000  2.135000 3.700000 2.635000 ;
+      RECT 3.435000  1.535000 4.735000 1.840000 ;
+      RECT 3.435000  1.840000 4.135000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.180000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.915000  1.865000 4.135000 2.435000 ;
+      RECT 4.315000  0.255000 4.585000 0.615000 ;
+      RECT 4.315000  2.010000 4.600000 2.635000 ;
+      RECT 4.350000  0.615000 4.585000 0.995000 ;
+      RECT 4.350000  0.995000 4.735000 1.535000 ;
+      RECT 4.835000  0.290000 5.150000 0.620000 ;
+      RECT 4.930000  0.620000 5.150000 0.765000 ;
+      RECT 4.930000  0.765000 5.995000 0.935000 ;
+      RECT 5.010000  1.725000 5.925000 1.895000 ;
+      RECT 5.010000  1.895000 5.340000 2.465000 ;
+      RECT 5.575000  2.130000 5.925000 2.635000 ;
+      RECT 5.675000  0.085000 5.845000 0.545000 ;
+      RECT 5.755000  0.935000 5.995000 1.325000 ;
+      RECT 5.755000  1.325000 5.925000 1.725000 ;
+      RECT 6.530000  0.085000 6.810000 0.885000 ;
+      RECT 6.530000  1.485000 6.810000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_2
+MACRO sky130_fd_sc_hd__or2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_1
+MACRO sky130_fd_sc_hd__or2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.995000 1.240000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.265000 1.770000 0.735000 ;
+        RECT 1.440000 0.735000 3.135000 0.905000 ;
+        RECT 1.440000 1.835000 2.610000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 2.280000 0.265000 2.610000 0.735000 ;
+        RECT 2.280000 1.495000 3.135000 1.665000 ;
+        RECT 2.280000 1.665000 2.610000 1.835000 ;
+        RECT 2.280000 2.005000 2.610000 2.465000 ;
+        RECT 2.790000 0.905000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 2.465000 ;
+      RECT 0.515000  0.290000 0.845000 0.825000 ;
+      RECT 0.515000  0.825000 0.695000 1.495000 ;
+      RECT 1.060000  0.085000 1.230000 0.825000 ;
+      RECT 1.060000  1.835000 1.230000 2.635000 ;
+      RECT 1.410000  1.075000 2.620000 1.245000 ;
+      RECT 1.410000  1.245000 1.615000 1.495000 ;
+      RECT 1.940000  0.085000 2.110000 0.565000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+      RECT 2.780000  0.085000 2.950000 0.565000 ;
+      RECT 2.780000  1.835000 2.950000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_4
+MACRO sky130_fd_sc_hd__or2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.835000 2.215000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 1.520000 0.385000 1.690000 0.655000 ;
+        RECT 1.520000 0.655000 2.215000 0.825000 ;
+        RECT 1.785000 0.825000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 1.840000 ;
+      RECT 0.515000  0.255000 0.805000 0.595000 ;
+      RECT 0.515000  0.595000 0.695000 1.495000 ;
+      RECT 1.035000  0.085000 1.350000 0.595000 ;
+      RECT 1.100000  1.835000 1.270000 2.635000 ;
+      RECT 1.445000  0.995000 1.615000 1.495000 ;
+      RECT 1.860000  0.085000 2.190000 0.485000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_2
+MACRO sky130_fd_sc_hd__or2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.335000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.500000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.326800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.525000 2.180000 0.825000 ;
+        RECT 1.645000 2.135000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 2.135000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.825000 ;
+      RECT 0.270000  1.785000 1.695000 1.955000 ;
+      RECT 0.270000  1.955000 0.660000 2.130000 ;
+      RECT 0.670000  0.425000 0.950000 0.825000 ;
+      RECT 0.670000  0.825000 0.840000 1.785000 ;
+      RECT 1.145000  2.125000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.825000 ;
+      RECT 1.525000  0.995000 1.695000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_0
+MACRO sky130_fd_sc_hd__dlymetal6s4s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.660000 0.255000 3.105000 0.825000 ;
+        RECT 2.660000 1.495000 3.565000 1.675000 ;
+        RECT 2.660000 1.675000 3.105000 2.465000 ;
+        RECT 2.735000 0.825000 3.105000 0.995000 ;
+        RECT 2.735000 0.995000 3.565000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.245000  0.255000 1.515000 0.825000 ;
+      RECT 1.245000  1.495000 1.970000 1.675000 ;
+      RECT 1.245000  1.675000 1.515000 2.465000 ;
+      RECT 1.320000  0.825000 1.515000 0.995000 ;
+      RECT 1.320000  0.995000 1.970000 1.495000 ;
+      RECT 1.685000  0.255000 1.935000 0.655000 ;
+      RECT 1.685000  0.655000 2.490000 0.825000 ;
+      RECT 1.685000  1.845000 2.490000 2.040000 ;
+      RECT 1.685000  2.040000 1.935000 2.465000 ;
+      RECT 2.105000  0.085000 2.490000 0.485000 ;
+      RECT 2.105000  2.210000 2.490000 2.635000 ;
+      RECT 2.140000  0.825000 2.490000 0.995000 ;
+      RECT 2.140000  0.995000 2.565000 1.325000 ;
+      RECT 2.140000  1.325000 2.490000 1.845000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s4s_1
+MACRO sky130_fd_sc_hd__xnor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.425000 1.075000 8.835000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.605000 0.995000 7.775000 1.445000 ;
+        RECT 7.605000 1.445000 8.185000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.995000 1.075000 3.560000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.625000 0.375000 0.875000 0.995000 ;
+        RECT 0.625000 0.995000 1.710000 1.325000 ;
+        RECT 0.625000 1.325000 0.955000 2.425000 ;
+        RECT 1.465000 0.350000 1.725000 0.925000 ;
+        RECT 1.465000 0.925000 1.710000 0.995000 ;
+        RECT 1.465000 1.325000 1.710000 1.440000 ;
+        RECT 1.465000 1.440000 1.745000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.285000  0.085000 0.455000 0.735000 ;
+      RECT 0.285000  1.490000 0.455000 2.635000 ;
+      RECT 1.125000  0.085000 1.295000 0.735000 ;
+      RECT 1.125000  1.495000 1.295000 2.635000 ;
+      RECT 1.880000  0.995000 2.085000 1.325000 ;
+      RECT 1.895000  0.085000 2.145000 0.525000 ;
+      RECT 1.910000  0.695000 2.485000 0.865000 ;
+      RECT 1.910000  0.865000 2.085000 0.995000 ;
+      RECT 1.915000  1.325000 2.085000 1.875000 ;
+      RECT 1.915000  1.875000 2.600000 2.045000 ;
+      RECT 1.915000  2.215000 2.250000 2.635000 ;
+      RECT 2.315000  0.255000 3.885000 0.425000 ;
+      RECT 2.315000  0.425000 2.485000 0.695000 ;
+      RECT 2.315000  1.535000 3.900000 1.705000 ;
+      RECT 2.430000  2.045000 2.600000 2.235000 ;
+      RECT 2.430000  2.235000 3.900000 2.405000 ;
+      RECT 2.655000  0.595000 2.825000 1.535000 ;
+      RECT 2.940000  1.895000 5.440000 2.065000 ;
+      RECT 3.125000  0.625000 4.345000 0.795000 ;
+      RECT 3.125000  0.795000 3.505000 0.905000 ;
+      RECT 3.450000  0.425000 3.885000 0.455000 ;
+      RECT 3.730000  0.995000 4.005000 1.325000 ;
+      RECT 3.730000  1.325000 3.900000 1.535000 ;
+      RECT 4.055000  0.285000 4.685000 0.455000 ;
+      RECT 4.070000  1.525000 4.455000 1.695000 ;
+      RECT 4.175000  0.795000 4.345000 1.375000 ;
+      RECT 4.175000  1.375000 4.455000 1.525000 ;
+      RECT 4.515000  0.455000 4.685000 1.035000 ;
+      RECT 4.515000  1.035000 4.795000 1.205000 ;
+      RECT 4.605000  2.235000 4.935000 2.635000 ;
+      RECT 4.625000  1.205000 4.795000 1.895000 ;
+      RECT 4.855000  0.085000 5.025000 0.865000 ;
+      RECT 5.025000  1.445000 5.445000 1.715000 ;
+      RECT 5.205000  0.415000 5.445000 1.445000 ;
+      RECT 5.270000  2.065000 5.440000 2.275000 ;
+      RECT 5.270000  2.275000 8.365000 2.445000 ;
+      RECT 5.625000  0.265000 6.035000 0.485000 ;
+      RECT 5.625000  0.485000 5.835000 0.595000 ;
+      RECT 5.625000  0.595000 5.795000 2.105000 ;
+      RECT 5.965000  0.720000 6.375000 0.825000 ;
+      RECT 5.965000  0.825000 6.175000 0.890000 ;
+      RECT 5.965000  0.890000 6.135000 2.275000 ;
+      RECT 6.005000  0.655000 6.375000 0.720000 ;
+      RECT 6.205000  0.320000 6.375000 0.655000 ;
+      RECT 6.315000  1.445000 7.095000 1.615000 ;
+      RECT 6.315000  1.615000 6.730000 2.045000 ;
+      RECT 6.330000  0.995000 6.755000 1.270000 ;
+      RECT 6.545000  0.630000 6.755000 0.995000 ;
+      RECT 6.925000  0.255000 8.070000 0.425000 ;
+      RECT 6.925000  0.425000 7.095000 1.445000 ;
+      RECT 7.265000  0.595000 7.435000 1.935000 ;
+      RECT 7.265000  1.935000 9.575000 2.105000 ;
+      RECT 7.605000  0.425000 8.070000 0.465000 ;
+      RECT 7.945000  0.730000 8.150000 0.945000 ;
+      RECT 7.945000  0.945000 8.255000 1.275000 ;
+      RECT 8.355000  1.495000 9.175000 1.705000 ;
+      RECT 8.395000  0.295000 8.685000 0.735000 ;
+      RECT 8.395000  0.735000 9.175000 0.750000 ;
+      RECT 8.435000  0.750000 9.175000 0.905000 ;
+      RECT 8.775000  2.275000 9.110000 2.635000 ;
+      RECT 8.855000  0.085000 9.025000 0.565000 ;
+      RECT 9.005000  0.905000 9.175000 0.995000 ;
+      RECT 9.005000  0.995000 9.235000 1.325000 ;
+      RECT 9.005000  1.325000 9.175000 1.495000 ;
+      RECT 9.090000  1.875000 9.575000 1.935000 ;
+      RECT 9.275000  0.255000 9.575000 0.585000 ;
+      RECT 9.280000  2.105000 9.575000 2.465000 ;
+      RECT 9.405000  0.585000 9.575000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  1.445000 4.455000 1.615000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.425000 5.835000 0.595000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  1.445000 6.755000 1.615000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.765000 8.135000 0.935000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  0.425000 8.595000 0.595000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 1.415000 4.515000 1.460000 ;
+      RECT 4.225000 1.460000 6.815000 1.600000 ;
+      RECT 4.225000 1.600000 4.515000 1.645000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.780000 8.195000 0.920000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.605000 0.395000 5.895000 0.440000 ;
+      RECT 5.605000 0.440000 8.655000 0.580000 ;
+      RECT 5.605000 0.580000 5.895000 0.625000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.525000 1.415000 6.815000 1.460000 ;
+      RECT 6.525000 1.600000 6.815000 1.645000 ;
+      RECT 7.905000 0.735000 8.195000 0.780000 ;
+      RECT 7.905000 0.920000 8.195000 0.965000 ;
+      RECT 8.365000 0.395000 8.655000 0.440000 ;
+      RECT 8.365000 0.580000 8.655000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_4
+MACRO sky130_fd_sc_hd__xnor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.045000 1.075000 7.455000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.225000 0.995000 6.395000 1.445000 ;
+        RECT 6.225000 1.445000 6.805000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 1.075000 2.180000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.345000 0.925000 ;
+        RECT 0.085000 0.925000 0.330000 1.440000 ;
+        RECT 0.085000 1.440000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.500000  0.995000 0.705000 1.325000 ;
+      RECT 0.515000  0.085000 0.765000 0.525000 ;
+      RECT 0.530000  0.695000 1.105000 0.865000 ;
+      RECT 0.530000  0.865000 0.705000 0.995000 ;
+      RECT 0.535000  1.325000 0.705000 1.875000 ;
+      RECT 0.535000  1.875000 1.220000 2.045000 ;
+      RECT 0.535000  2.215000 0.870000 2.635000 ;
+      RECT 0.935000  0.255000 2.505000 0.425000 ;
+      RECT 0.935000  0.425000 1.105000 0.695000 ;
+      RECT 0.935000  1.535000 2.520000 1.705000 ;
+      RECT 1.050000  2.045000 1.220000 2.235000 ;
+      RECT 1.050000  2.235000 2.520000 2.405000 ;
+      RECT 1.275000  0.595000 1.445000 1.535000 ;
+      RECT 1.560000  1.895000 4.060000 2.065000 ;
+      RECT 1.745000  0.625000 2.965000 0.795000 ;
+      RECT 1.745000  0.795000 2.125000 0.905000 ;
+      RECT 2.070000  0.425000 2.505000 0.455000 ;
+      RECT 2.350000  0.995000 2.625000 1.325000 ;
+      RECT 2.350000  1.325000 2.520000 1.535000 ;
+      RECT 2.675000  0.285000 3.305000 0.455000 ;
+      RECT 2.690000  1.525000 3.075000 1.695000 ;
+      RECT 2.795000  0.795000 2.965000 1.375000 ;
+      RECT 2.795000  1.375000 3.075000 1.525000 ;
+      RECT 3.135000  0.455000 3.305000 1.035000 ;
+      RECT 3.135000  1.035000 3.415000 1.205000 ;
+      RECT 3.225000  2.235000 3.555000 2.635000 ;
+      RECT 3.245000  1.205000 3.415000 1.895000 ;
+      RECT 3.475000  0.085000 3.645000 0.865000 ;
+      RECT 3.645000  1.445000 4.065000 1.715000 ;
+      RECT 3.825000  0.415000 4.065000 1.445000 ;
+      RECT 3.890000  2.065000 4.060000 2.275000 ;
+      RECT 3.890000  2.275000 6.985000 2.445000 ;
+      RECT 4.245000  0.265000 4.655000 0.485000 ;
+      RECT 4.245000  0.485000 4.455000 0.595000 ;
+      RECT 4.245000  0.595000 4.415000 2.105000 ;
+      RECT 4.585000  0.720000 4.995000 0.825000 ;
+      RECT 4.585000  0.825000 4.795000 0.890000 ;
+      RECT 4.585000  0.890000 4.755000 2.275000 ;
+      RECT 4.625000  0.655000 4.995000 0.720000 ;
+      RECT 4.825000  0.320000 4.995000 0.655000 ;
+      RECT 4.935000  1.445000 5.715000 1.615000 ;
+      RECT 4.935000  1.615000 5.350000 2.045000 ;
+      RECT 4.950000  0.995000 5.375000 1.270000 ;
+      RECT 5.165000  0.630000 5.375000 0.995000 ;
+      RECT 5.545000  0.255000 6.690000 0.425000 ;
+      RECT 5.545000  0.425000 5.715000 1.445000 ;
+      RECT 5.885000  0.595000 6.055000 1.935000 ;
+      RECT 5.885000  1.935000 8.195000 2.105000 ;
+      RECT 6.225000  0.425000 6.690000 0.465000 ;
+      RECT 6.565000  0.730000 6.770000 0.945000 ;
+      RECT 6.565000  0.945000 6.875000 1.275000 ;
+      RECT 6.975000  1.495000 7.795000 1.705000 ;
+      RECT 7.015000  0.295000 7.305000 0.735000 ;
+      RECT 7.015000  0.735000 7.795000 0.750000 ;
+      RECT 7.055000  0.750000 7.795000 0.905000 ;
+      RECT 7.395000  2.275000 7.730000 2.635000 ;
+      RECT 7.475000  0.085000 7.645000 0.565000 ;
+      RECT 7.625000  0.905000 7.795000 0.995000 ;
+      RECT 7.625000  0.995000 7.855000 1.325000 ;
+      RECT 7.625000  1.325000 7.795000 1.495000 ;
+      RECT 7.710000  1.875000 8.195000 1.935000 ;
+      RECT 7.895000  0.255000 8.195000 0.585000 ;
+      RECT 7.900000  2.105000 8.195000 2.465000 ;
+      RECT 8.025000  0.585000 8.195000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.445000 3.075000 1.615000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  0.765000 3.995000 0.935000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.425000 4.455000 0.595000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  1.445000 5.375000 1.615000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.425000 7.215000 0.595000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.845000 1.415000 3.135000 1.460000 ;
+      RECT 2.845000 1.460000 5.435000 1.600000 ;
+      RECT 2.845000 1.600000 3.135000 1.645000 ;
+      RECT 3.765000 0.735000 4.055000 0.780000 ;
+      RECT 3.765000 0.780000 6.815000 0.920000 ;
+      RECT 3.765000 0.920000 4.055000 0.965000 ;
+      RECT 4.225000 0.395000 4.515000 0.440000 ;
+      RECT 4.225000 0.440000 7.275000 0.580000 ;
+      RECT 4.225000 0.580000 4.515000 0.625000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.145000 1.415000 5.435000 1.460000 ;
+      RECT 5.145000 1.600000 5.435000 1.645000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.985000 0.395000 7.275000 0.440000 ;
+      RECT 6.985000 0.580000 7.275000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_1
+MACRO sky130_fd_sc_hd__xnor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 2.640000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.350000 0.805000 0.925000 ;
+        RECT 0.545000 0.925000 0.790000 1.440000 ;
+        RECT 0.545000 1.440000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.735000 ;
+      RECT 0.085000  1.490000 0.375000 2.635000 ;
+      RECT 0.960000  0.995000 1.165000 1.325000 ;
+      RECT 0.975000  0.085000 1.225000 0.525000 ;
+      RECT 0.990000  0.695000 1.565000 0.865000 ;
+      RECT 0.990000  0.865000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.875000 ;
+      RECT 0.995000  1.875000 1.680000 2.045000 ;
+      RECT 0.995000  2.215000 1.330000 2.635000 ;
+      RECT 1.395000  0.255000 2.965000 0.425000 ;
+      RECT 1.395000  0.425000 1.565000 0.695000 ;
+      RECT 1.395000  1.535000 2.980000 1.705000 ;
+      RECT 1.510000  2.045000 1.680000 2.235000 ;
+      RECT 1.510000  2.235000 2.980000 2.405000 ;
+      RECT 1.735000  0.595000 1.905000 1.535000 ;
+      RECT 2.020000  1.895000 4.520000 2.065000 ;
+      RECT 2.205000  0.625000 3.425000 0.795000 ;
+      RECT 2.205000  0.795000 2.585000 0.905000 ;
+      RECT 2.530000  0.425000 2.965000 0.455000 ;
+      RECT 2.810000  0.995000 3.085000 1.325000 ;
+      RECT 2.810000  1.325000 2.980000 1.535000 ;
+      RECT 3.135000  0.285000 3.765000 0.455000 ;
+      RECT 3.150000  1.525000 3.535000 1.695000 ;
+      RECT 3.255000  0.795000 3.425000 1.375000 ;
+      RECT 3.255000  1.375000 3.535000 1.525000 ;
+      RECT 3.595000  0.455000 3.765000 1.035000 ;
+      RECT 3.595000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.935000  0.085000 4.105000 0.865000 ;
+      RECT 4.105000  1.445000 4.525000 1.715000 ;
+      RECT 4.285000  0.415000 4.525000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.705000  0.265000 5.115000 0.485000 ;
+      RECT 4.705000  0.485000 4.915000 0.595000 ;
+      RECT 4.705000  0.595000 4.875000 2.105000 ;
+      RECT 5.045000  0.720000 5.455000 0.825000 ;
+      RECT 5.045000  0.825000 5.255000 0.890000 ;
+      RECT 5.045000  0.890000 5.215000 2.275000 ;
+      RECT 5.085000  0.655000 5.455000 0.720000 ;
+      RECT 5.285000  0.320000 5.455000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.625000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_2
+MACRO sky130_fd_sc_hd__dfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.745000 1.005000 2.155000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.455000 11.875000 2.465000 ;
+        RECT 11.665000 0.825000 11.875000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.305000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.235000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.585000 0.735000 3.995000 0.965000 ;
+        RECT 3.585000 0.965000 3.915000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.280000 0.735000 7.825000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.575000 0.765000 7.745000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.805000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 7.515000 0.735000 7.805000 0.780000 ;
+        RECT 7.515000 0.920000 7.805000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.405000  0.635000  2.125000 0.825000 ;
+      RECT  1.405000  0.825000  1.575000 1.795000 ;
+      RECT  1.405000  1.795000  2.125000 1.965000 ;
+      RECT  1.430000  0.085000  1.785000 0.465000 ;
+      RECT  1.430000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.325000  0.705000  2.545000 1.575000 ;
+      RECT  2.325000  1.575000  2.825000 1.955000 ;
+      RECT  2.335000  2.250000  3.165000 2.420000 ;
+      RECT  2.400000  0.265000  3.415000 0.465000 ;
+      RECT  2.725000  0.645000  3.075000 1.015000 ;
+      RECT  2.995000  1.195000  3.415000 1.235000 ;
+      RECT  2.995000  1.235000  4.345000 1.405000 ;
+      RECT  2.995000  1.405000  3.165000 2.250000 ;
+      RECT  3.245000  0.465000  3.415000 1.195000 ;
+      RECT  3.335000  1.575000  3.585000 1.785000 ;
+      RECT  3.335000  1.785000  4.685000 2.035000 ;
+      RECT  3.405000  2.205000  3.785000 2.635000 ;
+      RECT  3.585000  0.085000  3.755000 0.525000 ;
+      RECT  3.925000  0.255000  5.075000 0.425000 ;
+      RECT  3.925000  0.425000  4.255000 0.505000 ;
+      RECT  4.085000  2.035000  4.255000 2.375000 ;
+      RECT  4.095000  1.405000  4.345000 1.485000 ;
+      RECT  4.125000  1.155000  4.345000 1.235000 ;
+      RECT  4.405000  0.595000  4.735000 0.765000 ;
+      RECT  4.515000  0.765000  4.735000 0.895000 ;
+      RECT  4.515000  0.895000  5.825000 1.065000 ;
+      RECT  4.515000  1.065000  4.685000 1.785000 ;
+      RECT  4.855000  1.235000  5.185000 1.415000 ;
+      RECT  4.855000  1.415000  5.860000 1.655000 ;
+      RECT  4.875000  1.915000  5.205000 2.635000 ;
+      RECT  4.905000  0.425000  5.075000 0.715000 ;
+      RECT  5.325000  0.085000  5.675000 0.465000 ;
+      RECT  5.495000  1.065000  5.825000 1.235000 ;
+      RECT  6.060000  1.575000  6.295000 1.985000 ;
+      RECT  6.065000  1.060000  6.405000 1.125000 ;
+      RECT  6.065000  1.125000  6.740000 1.305000 ;
+      RECT  6.185000  0.705000  6.405000 1.060000 ;
+      RECT  6.250000  2.250000  7.080000 2.420000 ;
+      RECT  6.300000  0.265000  7.080000 0.465000 ;
+      RECT  6.535000  1.305000  6.740000 1.905000 ;
+      RECT  6.910000  0.465000  7.080000 1.235000 ;
+      RECT  6.910000  1.235000  8.260000 1.405000 ;
+      RECT  6.910000  1.405000  7.080000 2.250000 ;
+      RECT  7.250000  0.085000  7.575000 0.525000 ;
+      RECT  7.250000  1.575000  7.500000 1.915000 ;
+      RECT  7.250000  1.915000 10.030000 2.085000 ;
+      RECT  7.320000  2.255000  7.700000 2.635000 ;
+      RECT  7.745000  0.255000  8.955000 0.425000 ;
+      RECT  7.745000  0.425000  8.075000 0.545000 ;
+      RECT  7.940000  2.085000  8.110000 2.375000 ;
+      RECT  8.040000  1.075000  8.260000 1.235000 ;
+      RECT  8.215000  0.665000  8.615000 0.835000 ;
+      RECT  8.430000  0.835000  8.615000 0.840000 ;
+      RECT  8.430000  0.840000  8.600000 1.915000 ;
+      RECT  8.640000  2.255000 10.030000 2.635000 ;
+      RECT  8.770000  1.110000  9.055000 1.575000 ;
+      RECT  8.770000  1.575000  9.555000 1.745000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.835000  0.755000  9.475000 0.925000 ;
+      RECT  8.835000  0.925000  9.055000 1.110000 ;
+      RECT  9.265000  0.265000  9.475000 0.755000 ;
+      RECT  9.725000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.125000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.660000  0.255000 10.975000 0.995000 ;
+      RECT 10.660000  0.995000 11.495000 1.325000 ;
+      RECT 10.660000  1.325000 10.975000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.155000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  1.445000  5.835000 1.615000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  1.105000  6.295000 1.275000 ;
+      RECT  6.125000  1.785000  6.295000 1.955000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.855000  1.445000  9.025000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.135000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 6.355000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 6.355000 1.260000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.460000 9.085000 1.600000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.065000 1.075000 6.355000 1.120000 ;
+      RECT 6.065000 1.260000 6.355000 1.305000 ;
+      RECT 6.065000 1.755000 6.355000 1.800000 ;
+      RECT 6.065000 1.940000 6.355000 1.985000 ;
+      RECT 8.795000 1.415000 9.085000 1.460000 ;
+      RECT 8.795000 1.600000 9.085000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_1
+MACRO sky130_fd_sc_hd__dfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 1.005000 2.170000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.115000 0.255000 12.345000 0.825000 ;
+        RECT 12.115000 1.445000 12.345000 2.465000 ;
+        RECT 12.160000 0.825000 12.345000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.240000 0.255000 10.500000 0.715000 ;
+        RECT 10.240000 1.630000 10.500000 2.465000 ;
+        RECT 10.320000 0.715000 10.500000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.250000 1.095000 9.730000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.600000 0.735000 4.010000 0.965000 ;
+        RECT 3.600000 0.965000 3.930000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.840000 0.765000 4.010000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.470000 0.735000 7.845000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.520000 0.765000 7.690000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.780000 0.735000 4.070000 0.780000 ;
+        RECT 3.780000 0.780000 7.750000 0.920000 ;
+        RECT 3.780000 0.920000 4.070000 0.965000 ;
+        RECT 7.460000 0.735000 7.750000 0.780000 ;
+        RECT 7.460000 0.920000 7.750000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.420000  0.635000  2.125000 0.825000 ;
+      RECT  1.420000  0.825000  1.590000 1.795000 ;
+      RECT  1.420000  1.795000  2.125000 1.965000 ;
+      RECT  1.445000  0.085000  1.785000 0.465000 ;
+      RECT  1.445000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.340000  0.705000  2.560000 1.575000 ;
+      RECT  2.340000  1.575000  2.840000 1.955000 ;
+      RECT  2.350000  2.250000  3.180000 2.420000 ;
+      RECT  2.415000  0.265000  3.410000 0.465000 ;
+      RECT  2.740000  0.645000  3.070000 1.015000 ;
+      RECT  3.010000  1.195000  3.410000 1.235000 ;
+      RECT  3.010000  1.235000  4.360000 1.405000 ;
+      RECT  3.010000  1.405000  3.180000 2.250000 ;
+      RECT  3.240000  0.465000  3.410000 1.195000 ;
+      RECT  3.350000  1.575000  3.600000 1.785000 ;
+      RECT  3.350000  1.785000  4.700000 2.035000 ;
+      RECT  3.420000  2.205000  3.800000 2.635000 ;
+      RECT  3.580000  0.085000  3.750000 0.525000 ;
+      RECT  3.920000  0.255000  5.170000 0.425000 ;
+      RECT  3.920000  0.425000  4.250000 0.545000 ;
+      RECT  4.100000  2.035000  4.270000 2.375000 ;
+      RECT  4.110000  1.405000  4.360000 1.485000 ;
+      RECT  4.140000  1.155000  4.360000 1.235000 ;
+      RECT  4.420000  0.595000  4.750000 0.765000 ;
+      RECT  4.530000  0.765000  4.750000 0.895000 ;
+      RECT  4.530000  0.895000  5.840000 1.065000 ;
+      RECT  4.530000  1.065000  4.700000 1.785000 ;
+      RECT  4.870000  1.235000  5.200000 1.415000 ;
+      RECT  4.870000  1.415000  5.875000 1.655000 ;
+      RECT  4.890000  1.915000  5.220000 2.635000 ;
+      RECT  4.920000  0.425000  5.170000 0.715000 ;
+      RECT  5.360000  0.085000  5.690000 0.465000 ;
+      RECT  5.510000  1.065000  5.840000 1.235000 ;
+      RECT  6.075000  1.575000  6.310000 1.985000 ;
+      RECT  6.135000  0.705000  6.420000 1.125000 ;
+      RECT  6.135000  1.125000  6.755000 1.305000 ;
+      RECT  6.265000  2.250000  7.095000 2.420000 ;
+      RECT  6.330000  0.265000  7.095000 0.465000 ;
+      RECT  6.550000  1.305000  6.755000 1.905000 ;
+      RECT  6.925000  0.465000  7.095000 1.235000 ;
+      RECT  6.925000  1.235000  8.275000 1.405000 ;
+      RECT  6.925000  1.405000  7.095000 2.250000 ;
+      RECT  7.265000  1.575000  7.515000 1.915000 ;
+      RECT  7.265000  1.915000 10.070000 2.085000 ;
+      RECT  7.275000  0.085000  7.535000 0.525000 ;
+      RECT  7.335000  2.255000  7.715000 2.635000 ;
+      RECT  7.795000  0.255000  8.965000 0.425000 ;
+      RECT  7.795000  0.425000  8.125000 0.545000 ;
+      RECT  7.955000  2.085000  8.125000 2.375000 ;
+      RECT  8.055000  1.075000  8.275000 1.235000 ;
+      RECT  8.295000  0.595000  8.625000 0.780000 ;
+      RECT  8.445000  0.780000  8.625000 1.915000 ;
+      RECT  8.655000  2.255000 10.070000 2.635000 ;
+      RECT  8.795000  0.425000  8.965000 0.585000 ;
+      RECT  8.795000  0.755000  9.500000 0.925000 ;
+      RECT  8.795000  0.925000  9.070000 1.575000 ;
+      RECT  8.795000  1.575000  9.570000 1.745000 ;
+      RECT  9.280000  0.265000  9.500000 0.755000 ;
+      RECT  9.740000  0.085000 10.070000 0.805000 ;
+      RECT  9.900000  0.995000 10.140000 1.325000 ;
+      RECT  9.900000  1.325000 10.070000 1.915000 ;
+      RECT 10.680000  0.085000 10.910000 0.885000 ;
+      RECT 10.680000  1.465000 10.910000 2.635000 ;
+      RECT 11.215000  0.255000 11.470000 0.995000 ;
+      RECT 11.215000  0.995000 11.990000 1.325000 ;
+      RECT 11.215000  1.325000 11.470000 2.415000 ;
+      RECT 11.650000  0.085000 11.945000 0.545000 ;
+      RECT 11.650000  1.765000 11.945000 2.635000 ;
+      RECT 12.515000  0.085000 12.795000 0.885000 ;
+      RECT 12.515000  1.465000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  1.785000  1.240000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.460000  1.785000  2.630000 1.955000 ;
+      RECT  2.900000  0.765000  3.070000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.680000  1.445000  5.850000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.140000  1.785000  6.310000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.445000  9.070000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.130000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 6.370000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.400000 1.755000 2.690000 1.800000 ;
+      RECT 2.400000 1.940000 2.690000 1.985000 ;
+      RECT 2.840000 0.735000 3.130000 0.780000 ;
+      RECT 2.840000 0.920000 3.130000 0.965000 ;
+      RECT 2.935000 0.965000 3.130000 1.120000 ;
+      RECT 2.935000 1.120000 6.370000 1.260000 ;
+      RECT 5.620000 1.415000 5.910000 1.460000 ;
+      RECT 5.620000 1.460000 9.130000 1.600000 ;
+      RECT 5.620000 1.600000 5.910000 1.645000 ;
+      RECT 6.080000 1.075000 6.370000 1.120000 ;
+      RECT 6.080000 1.260000 6.370000 1.305000 ;
+      RECT 6.080000 1.755000 6.370000 1.800000 ;
+      RECT 6.080000 1.940000 6.370000 1.985000 ;
+      RECT 8.840000 1.415000 9.130000 1.460000 ;
+      RECT 8.840000 1.600000 9.130000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_2
+MACRO sky130_fd_sc_hd__dlygate4sd1_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.555000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.410000 0.255000 2.700000 0.825000 ;
+        RECT 2.440000 1.495000 2.700000 2.465000 ;
+        RECT 2.530000 0.825000 2.700000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.785000 0.895000 2.005000 ;
+      RECT 0.085000  2.005000 0.380000 2.465000 ;
+      RECT 0.095000  0.255000 0.380000 0.715000 ;
+      RECT 0.095000  0.715000 0.895000 0.885000 ;
+      RECT 0.550000  0.085000 0.765000 0.545000 ;
+      RECT 0.550000  2.175000 0.765000 2.635000 ;
+      RECT 0.725000  0.885000 0.895000 0.995000 ;
+      RECT 0.725000  0.995000 0.980000 1.325000 ;
+      RECT 0.725000  1.325000 0.895000 1.785000 ;
+      RECT 0.935000  0.255000 1.320000 0.545000 ;
+      RECT 0.935000  2.175000 1.320000 2.465000 ;
+      RECT 1.150000  0.545000 1.320000 1.075000 ;
+      RECT 1.150000  1.075000 1.900000 1.275000 ;
+      RECT 1.150000  1.275000 1.320000 2.175000 ;
+      RECT 1.515000  0.255000 1.740000 0.735000 ;
+      RECT 1.515000  0.735000 2.240000 0.905000 ;
+      RECT 1.515000  1.575000 2.240000 1.745000 ;
+      RECT 1.515000  1.745000 1.740000 2.430000 ;
+      RECT 1.910000  0.085000 2.240000 0.565000 ;
+      RECT 1.910000  1.915000 2.270000 2.635000 ;
+      RECT 2.070000  0.905000 2.240000 0.995000 ;
+      RECT 2.070000  0.995000 2.360000 1.325000 ;
+      RECT 2.070000  1.325000 2.240000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd1_1
+MACRO sky130_fd_sc_hd__and2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_1
+MACRO sky130_fd_sc_hd__and2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.995000 0.435000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.515000 1.720000 0.615000 ;
+        RECT 1.530000 0.615000 3.135000 0.845000 ;
+        RECT 1.530000 1.535000 3.135000 1.760000 ;
+        RECT 1.530000 1.760000 1.720000 2.465000 ;
+        RECT 2.390000 0.255000 2.580000 0.615000 ;
+        RECT 2.390000 1.760000 3.135000 1.765000 ;
+        RECT 2.390000 1.765000 2.580000 2.465000 ;
+        RECT 2.855000 0.845000 3.135000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.360000 0.805000 ;
+      RECT 0.095000  1.880000 0.425000 2.635000 ;
+      RECT 0.605000  1.580000 1.360000 1.750000 ;
+      RECT 0.605000  1.750000 0.785000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  1.935000 1.320000 2.635000 ;
+      RECT 1.150000  0.805000 1.360000 1.020000 ;
+      RECT 1.150000  1.020000 2.685000 1.355000 ;
+      RECT 1.150000  1.355000 1.360000 1.580000 ;
+      RECT 1.890000  0.085000 2.220000 0.445000 ;
+      RECT 1.890000  1.935000 2.220000 2.635000 ;
+      RECT 2.750000  0.085000 3.080000 0.445000 ;
+      RECT 2.750000  1.935000 3.080000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_4
+MACRO sky130_fd_sc_hd__and2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.185000 0.430000 1.955000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.080000 1.270000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.280900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.255000 2.215000 0.525000 ;
+        RECT 1.790000 1.835000 2.215000 2.465000 ;
+        RECT 1.950000 0.525000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.160000  2.175000 0.430000 2.635000 ;
+      RECT 0.185000  0.280000 0.490000 0.695000 ;
+      RECT 0.185000  0.695000 1.780000 0.910000 ;
+      RECT 0.185000  0.910000 0.770000 0.950000 ;
+      RECT 0.600000  0.950000 0.770000 2.135000 ;
+      RECT 0.600000  2.135000 0.865000 2.465000 ;
+      RECT 0.950000  0.085000 1.390000 0.525000 ;
+      RECT 1.110000  1.835000 1.620000 2.635000 ;
+      RECT 1.450000  0.910000 1.780000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_0
+MACRO sky130_fd_sc_hd__and2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.775000 1.325000 ;
+        RECT 0.085000 1.325000 0.400000 1.765000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.643500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.665000 0.255000 2.215000 0.545000 ;
+        RECT 1.765000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.245000  0.085000 1.495000 0.545000 ;
+      RECT 1.245000  1.915000 1.575000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+      RECT 2.385000  0.085000 2.675000 0.885000 ;
+      RECT 2.385000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_2
+MACRO sky130_fd_sc_hd__sdfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.519750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.035000 0.255000 12.365000 0.825000 ;
+        RECT 12.035000 1.495000 12.365000 2.450000 ;
+        RECT 12.145000 0.825000 12.365000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.570000  0.085000 11.865000 0.825000 ;
+      RECT 11.570000  1.790000 11.820000 2.635000 ;
+      RECT 12.535000  0.085000 12.795000 0.885000 ;
+      RECT 12.535000  1.495000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_2
+MACRO sky130_fd_sc_hd__sdfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.275000 12.335000 0.825000 ;
+        RECT 11.995000 1.495000 12.335000 2.450000 ;
+        RECT 12.145000 0.825000 12.335000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.825000 0.825000 ;
+      RECT 11.515000  1.790000 11.825000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_1
+MACRO sky130_fd_sc_hd__sdfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.040000 0.275000 12.370000 0.825000 ;
+        RECT 12.040000 1.495000 12.370000 2.450000 ;
+        RECT 12.145000 0.825000 12.370000 1.055000 ;
+        RECT 12.145000 1.055000 13.210000 1.325000 ;
+        RECT 12.145000 1.325000 12.370000 1.495000 ;
+        RECT 12.880000 0.255000 13.210000 1.055000 ;
+        RECT 12.880000 1.325000 13.210000 2.465000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.990000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.870000 0.825000 ;
+      RECT 11.515000  1.495000 11.870000 2.635000 ;
+      RECT 12.540000  0.085000 12.710000 0.885000 ;
+      RECT 12.540000  1.495000 12.710000 2.635000 ;
+      RECT 13.380000  0.085000 13.715000 0.885000 ;
+      RECT 13.380000  1.495000 13.715000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_4
+MACRO sky130_fd_sc_hd__dfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.320000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.925000 0.265000  9.170000 0.715000 ;
+        RECT  8.925000 0.715000 10.955000 0.885000 ;
+        RECT  8.925000 1.470000 10.955000 1.640000 ;
+        RECT  8.925000 1.640000  9.170000 2.465000 ;
+        RECT  9.765000 0.265000  9.935000 0.715000 ;
+        RECT  9.765000 1.640000  9.935000 2.465000 ;
+        RECT 10.605000 0.265000 10.955000 0.715000 ;
+        RECT 10.605000 1.640000 10.955000 2.465000 ;
+        RECT 10.725000 0.885000 10.955000 1.470000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.880000  0.725000  6.150000 0.895000 ;
+      RECT  4.880000  0.895000  5.050000 1.655000 ;
+      RECT  4.880000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.740000 2.415000 ;
+      RECT  5.220000  1.065000  5.400000 1.475000 ;
+      RECT  5.570000  1.235000  7.470000 1.405000 ;
+      RECT  5.570000  1.405000  5.740000 1.915000 ;
+      RECT  5.570000  1.915000  6.780000 2.085000 ;
+      RECT  5.570000  2.085000  5.740000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.910000  1.575000  7.850000 1.745000 ;
+      RECT  5.920000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.850000 0.680000 ;
+      RECT  7.510000  1.745000  7.850000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.850000 1.575000 ;
+      RECT  7.950000  1.915000  8.280000 2.425000 ;
+      RECT  8.030000  0.345000  8.280000 1.055000 ;
+      RECT  8.030000  1.055000 10.555000 1.275000 ;
+      RECT  8.030000  1.275000  8.280000 1.915000 ;
+      RECT  8.460000  0.085000  8.745000 0.545000 ;
+      RECT  8.460000  1.835000  8.745000 2.635000 ;
+      RECT  9.340000  0.085000  9.595000 0.545000 ;
+      RECT  9.340000  1.810000  9.595000 2.635000 ;
+      RECT 10.105000  0.085000 10.435000 0.545000 ;
+      RECT 10.105000  1.810000 10.435000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.225000  1.105000  5.395000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 5.435000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_4
+MACRO sky130_fd_sc_hd__dfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.575000 1.615000 ;
+        RECT 8.810000 1.615000 9.140000 2.460000 ;
+        RECT 8.890000 0.265000 9.135000 0.765000 ;
+        RECT 8.890000 0.765000 9.575000 0.825000 ;
+        RECT 8.975000 0.825000 9.575000 0.855000 ;
+        RECT 8.975000 1.445000 9.575000 1.495000 ;
+        RECT 8.990000 0.855000 9.575000 0.895000 ;
+        RECT 9.020000 0.895000 9.575000 1.445000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.340000 1.005000 ;
+        RECT 6.660000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.835000 0.805000 ;
+      RECT 0.085000  1.795000 0.835000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.835000 1.795000 ;
+      RECT 1.005000  0.565000 1.235000 2.045000 ;
+      RECT 1.015000  0.345000 1.235000 0.565000 ;
+      RECT 1.015000  2.045000 1.235000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.710000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.710000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.710000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.880000  0.725000 6.150000 0.895000 ;
+      RECT 4.880000  0.895000 5.050000 1.655000 ;
+      RECT 4.880000  1.655000 5.400000 1.965000 ;
+      RECT 5.110000  2.165000 5.740000 2.415000 ;
+      RECT 5.220000  1.065000 5.400000 1.475000 ;
+      RECT 5.570000  1.235000 7.490000 1.405000 ;
+      RECT 5.570000  1.405000 5.740000 1.915000 ;
+      RECT 5.570000  1.915000 6.780000 2.085000 ;
+      RECT 5.570000  2.085000 5.740000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.800000  0.895000 6.150000 1.015000 ;
+      RECT 5.910000  1.575000 7.880000 1.745000 ;
+      RECT 5.920000  2.255000 6.340000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.540000  2.085000 6.780000 2.375000 ;
+      RECT 6.690000  0.085000 7.330000 0.565000 ;
+      RECT 7.010000  1.945000 7.340000 2.635000 ;
+      RECT 7.140000  1.175000 7.490000 1.235000 ;
+      RECT 7.510000  1.745000 7.880000 1.765000 ;
+      RECT 7.510000  1.765000 7.680000 2.375000 ;
+      RECT 7.530000  0.350000 7.880000 0.680000 ;
+      RECT 7.690000  0.680000 7.880000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.220000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.470000  1.495000 8.640000 2.635000 ;
+      RECT 9.305000  0.085000 9.575000 0.595000 ;
+      RECT 9.310000  1.785000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.785000 0.775000 1.955000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  0.765000 1.235000 0.935000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  0.765000 3.075000 0.935000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.105000 5.395000 1.275000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.755000 0.835000 1.800000 ;
+      RECT 0.545000 1.800000 5.435000 1.940000 ;
+      RECT 0.545000 1.940000 0.835000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_2
+MACRO sky130_fd_sc_hd__dfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.945000 0.265000 9.200000 0.795000 ;
+        RECT 8.945000 1.655000 9.200000 2.325000 ;
+        RECT 9.020000 0.795000 9.200000 1.655000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.850000 0.765000 4.020000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.680000 0.735000 7.340000 1.005000 ;
+        RECT 6.680000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.110000 0.765000 7.280000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.790000 0.735000 4.080000 0.780000 ;
+        RECT 3.790000 0.780000 7.340000 0.920000 ;
+        RECT 3.790000 0.920000 4.080000 0.965000 ;
+        RECT 7.050000 0.735000 7.340000 0.780000 ;
+        RECT 7.050000 0.920000 7.340000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.730000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.730000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.730000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.900000  0.725000 6.150000 0.895000 ;
+      RECT 4.900000  0.895000 5.070000 1.655000 ;
+      RECT 4.900000  1.655000 5.420000 1.965000 ;
+      RECT 5.130000  2.165000 5.760000 2.415000 ;
+      RECT 5.240000  1.065000 5.420000 1.475000 ;
+      RECT 5.590000  1.235000 7.490000 1.405000 ;
+      RECT 5.590000  1.405000 5.760000 1.915000 ;
+      RECT 5.590000  1.915000 6.800000 2.085000 ;
+      RECT 5.590000  2.085000 5.760000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.820000  0.895000 6.150000 1.015000 ;
+      RECT 5.930000  1.575000 7.850000 1.745000 ;
+      RECT 5.940000  2.255000 6.360000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.560000  2.085000 6.800000 2.375000 ;
+      RECT 6.690000  0.085000 7.350000 0.565000 ;
+      RECT 7.030000  1.945000 7.360000 2.635000 ;
+      RECT 7.160000  1.175000 7.490000 1.235000 ;
+      RECT 7.530000  0.350000 7.850000 0.680000 ;
+      RECT 7.530000  1.745000 7.850000 1.765000 ;
+      RECT 7.530000  1.765000 7.700000 2.375000 ;
+      RECT 7.660000  0.680000 7.850000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.300000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.480000  0.085000 8.765000 0.545000 ;
+      RECT 8.480000  1.835000 8.765000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  0.765000 3.100000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.250000  1.105000 5.420000 1.275000 ;
+      RECT 5.250000  1.785000 5.420000 1.955000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 5.480000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.160000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 0.735000 3.160000 0.780000 ;
+      RECT 2.870000 0.920000 3.160000 0.965000 ;
+      RECT 2.945000 0.965000 3.160000 1.120000 ;
+      RECT 2.945000 1.120000 5.480000 1.260000 ;
+      RECT 5.190000 1.075000 5.480000 1.120000 ;
+      RECT 5.190000 1.260000 5.480000 1.305000 ;
+      RECT 5.190000 1.755000 5.480000 1.800000 ;
+      RECT 5.190000 1.940000 5.480000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfstp_1
+MACRO sky130_fd_sc_hd__probec_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met4 ;
+        RECT -1.140000 0.770000 0.040000 1.950000 ;
+        RECT  1.460000 0.770000 2.640000 1.950000 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -1.260000  0.560000 2.760000 2.160000 ;
+        RECT  1.160000 -1.105000 2.760000 0.560000 ;
+        RECT  1.160000  2.160000 2.760000 3.825000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 -1.170000 6.675000 0.560000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 2.160000 6.675000 3.890000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.870000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.305000  1.105000 4.475000 1.275000 ;
+      RECT 4.665000  1.105000 4.835000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
+      RECT 0.000000 -0.130000 5.840000  0.130000 ;
+      RECT 0.000000  0.130000 5.520000  0.240000 ;
+      RECT 0.000000  2.480000 5.520000  2.590000 ;
+      RECT 0.000000  2.590000 5.840000  2.850000 ;
+      RECT 0.000000  2.850000 5.520000  2.960000 ;
+      RECT 2.020000  1.060000 2.660000  1.120000 ;
+      RECT 2.020000  1.120000 4.895000  1.260000 ;
+      RECT 2.020000  1.260000 2.660000  1.320000 ;
+      RECT 4.245000  1.075000 4.895000  1.120000 ;
+      RECT 4.245000  1.260000 4.895000  1.305000 ;
+    LAYER met2 ;
+      RECT 1.890000  1.050000 2.660000 1.330000 ;
+      RECT 5.135000 -0.140000 5.905000 0.140000 ;
+      RECT 5.135000  2.580000 5.905000 2.860000 ;
+    LAYER met3 ;
+      RECT -0.715000  1.030000 0.065000 1.350000 ;
+      RECT  1.885000  1.025000 2.665000 1.355000 ;
+      RECT  5.130000 -0.165000 5.910000 0.165000 ;
+      RECT  5.130000  2.555000 5.910000 2.885000 ;
+    LAYER met4 ;
+      RECT 4.930000 -0.895000 6.110000 0.285000 ;
+      RECT 4.930000  2.435000 6.110000 3.615000 ;
+    LAYER via ;
+      RECT 2.050000  1.060000 2.310000 1.320000 ;
+      RECT 2.370000  1.060000 2.630000 1.320000 ;
+      RECT 5.230000 -0.130000 5.490000 0.130000 ;
+      RECT 5.230000  2.590000 5.490000 2.850000 ;
+      RECT 5.550000 -0.130000 5.810000 0.130000 ;
+      RECT 5.550000  2.590000 5.810000 2.850000 ;
+    LAYER via2 ;
+      RECT 1.935000  1.050000 2.215000 1.330000 ;
+      RECT 2.335000  1.050000 2.615000 1.330000 ;
+      RECT 5.180000 -0.140000 5.460000 0.140000 ;
+      RECT 5.180000  2.580000 5.460000 2.860000 ;
+      RECT 5.580000 -0.140000 5.860000 0.140000 ;
+      RECT 5.580000  2.580000 5.860000 2.860000 ;
+    LAYER via3 ;
+      RECT -0.685000  1.030000 -0.365000 1.350000 ;
+      RECT -0.285000  1.030000  0.035000 1.350000 ;
+      RECT  1.915000  1.030000  2.235000 1.350000 ;
+      RECT  2.315000  1.030000  2.635000 1.350000 ;
+      RECT  5.160000 -0.160000  5.480000 0.160000 ;
+      RECT  5.160000  2.560000  5.480000 2.880000 ;
+      RECT  5.560000 -0.160000  5.880000 0.160000 ;
+      RECT  5.560000  2.560000  5.880000 2.880000 ;
+  END
+END sky130_fd_sc_hd__probec_p_8
+MACRO sky130_fd_sc_hd__sdfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.825000 ;
+        RECT 13.915000 1.605000 14.175000 2.465000 ;
+        RECT 13.965000 0.825000 14.175000 1.605000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.025000 1.720000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.345000 2.180000 0.845000 ;
+        RECT 1.960000 0.845000 2.415000 1.015000 ;
+        RECT 1.960000 1.015000 2.180000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.455000  0.085000  1.705000 0.635000 ;
+      RECT  1.455000  1.885000  1.785000 2.635000 ;
+      RECT  2.235000  1.875000  2.565000 2.385000 ;
+      RECT  2.350000  0.265000  2.755000 0.595000 ;
+      RECT  2.350000  1.185000  3.075000 1.365000 ;
+      RECT  2.350000  1.365000  2.565000 1.875000 ;
+      RECT  2.585000  0.595000  2.755000 1.075000 ;
+      RECT  2.585000  1.075000  3.075000 1.185000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.925000  0.305000  3.125000 0.625000 ;
+      RECT  2.925000  0.625000  3.645000 0.765000 ;
+      RECT  2.925000  0.765000  3.770000 0.795000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.395000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.700000  0.595000  7.030000 0.765000 ;
+      RECT  6.815000  0.765000  7.030000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.200000  0.425000  7.395000 0.715000 ;
+      RECT  7.640000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.430000 0.465000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.645000 10.905000 0.815000 ;
+      RECT 10.730000  0.815000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.765000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.765000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.450000  1.765000 13.745000 2.635000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  1.785000  0.775000 1.955000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 1.755000  0.835000 1.800000 ;
+      RECT  0.545000 1.800000  8.655000 1.940000 ;
+      RECT  0.545000 1.940000  0.835000 1.985000 ;
+      RECT  1.005000 0.735000  1.295000 0.780000 ;
+      RECT  1.005000 0.780000  5.435000 0.920000 ;
+      RECT  1.005000 0.920000  1.295000 0.965000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbp_1
+MACRO sky130_fd_sc_hd__a32o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.280000 1.075000 5.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.335000 1.075000 4.030000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.295000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.630000 1.075000 6.780000 1.625000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 1.075000 7.710000 1.295000 ;
+        RECT 7.030000 1.295000 7.225000 1.635000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.635000 1.605000 0.805000 ;
+        RECT 0.120000 0.805000 0.340000 1.495000 ;
+        RECT 0.120000 1.495000 1.605000 1.665000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.665000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.665000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.570000  0.995000 1.970000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.800000  1.325000 1.970000 1.495000 ;
+      RECT 1.800000  1.495000 5.450000 1.665000 ;
+      RECT 2.275000  0.255000 2.445000 0.655000 ;
+      RECT 2.275000  0.655000 3.885000 0.825000 ;
+      RECT 2.275000  1.915000 5.065000 2.085000 ;
+      RECT 2.275000  2.085000 2.445000 2.465000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  2.255000 2.945000 2.635000 ;
+      RECT 3.135000  0.295000 5.145000 0.465000 ;
+      RECT 3.215000  2.085000 3.385000 2.465000 ;
+      RECT 3.555000  2.255000 3.885000 2.635000 ;
+      RECT 4.055000  2.085000 4.225000 2.465000 ;
+      RECT 4.395000  0.635000 6.425000 0.805000 ;
+      RECT 4.395000  2.255000 4.725000 2.635000 ;
+      RECT 4.895000  2.085000 5.065000 2.255000 ;
+      RECT 4.895000  2.255000 7.725000 2.425000 ;
+      RECT 5.280000  0.805000 5.450000 1.495000 ;
+      RECT 5.280000  1.665000 5.450000 1.905000 ;
+      RECT 5.280000  1.905000 6.200000 1.915000 ;
+      RECT 5.280000  1.915000 7.305000 2.075000 ;
+      RECT 5.670000  0.295000 6.805000 0.465000 ;
+      RECT 6.135000  2.075000 7.305000 2.085000 ;
+      RECT 6.635000  0.255000 6.805000 0.295000 ;
+      RECT 6.635000  0.465000 6.805000 0.645000 ;
+      RECT 6.635000  0.645000 7.645000 0.815000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.475000  0.255000 7.645000 0.645000 ;
+      RECT 7.475000  1.755000 7.725000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_4
+MACRO sky130_fd_sc_hd__a32o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.665000 2.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.665000 1.800000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.995000 1.320000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.660000 2.870000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.180000 0.995000 3.530000 1.325000 ;
+        RECT 3.325000 1.325000 3.530000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.300000 0.425000 0.560000 ;
+        RECT 0.090000 0.560000 0.345000 1.915000 ;
+        RECT 0.090000 1.915000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.570000  0.995000 0.875000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.675000  1.835000 1.005000 2.635000 ;
+      RECT 0.705000  0.655000 1.265000 0.825000 ;
+      RECT 0.705000  0.825000 0.875000 0.995000 ;
+      RECT 0.705000  1.325000 0.875000 1.495000 ;
+      RECT 0.705000  1.495000 3.075000 1.665000 ;
+      RECT 1.095000  0.315000 2.710000 0.485000 ;
+      RECT 1.095000  0.485000 1.265000 0.655000 ;
+      RECT 1.250000  1.875000 2.675000 2.045000 ;
+      RECT 1.250000  2.045000 1.535000 2.465000 ;
+      RECT 1.790000  2.215000 2.120000 2.635000 ;
+      RECT 2.345000  2.045000 2.675000 2.295000 ;
+      RECT 2.345000  2.295000 3.505000 2.465000 ;
+      RECT 2.905000  1.665000 3.075000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.805000 ;
+      RECT 3.335000  1.795000 3.505000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_1
+MACRO sky130_fd_sc_hd__a32o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.955000 2.985000 1.325000 ;
+        RECT 2.755000 0.415000 3.105000 0.610000 ;
+        RECT 2.755000 0.610000 2.985000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.165000 0.995000 3.545000 1.325000 ;
+        RECT 3.305000 0.425000 3.545000 0.995000 ;
+        RECT 3.305000 1.325000 3.545000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.995000 4.055000 1.630000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 1.075000 2.515000 1.245000 ;
+        RECT 2.345000 1.245000 2.515000 1.445000 ;
+        RECT 2.345000 1.445000 2.550000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.745000 1.530000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.695500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.655000 0.845000 0.825000 ;
+        RECT 0.135000 0.825000 0.345000 1.785000 ;
+        RECT 0.135000 1.785000 1.185000 1.955000 ;
+        RECT 0.135000 1.955000 0.345000 2.465000 ;
+        RECT 1.015000 1.955000 1.185000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 0.535000  0.995000 0.705000 1.445000 ;
+      RECT 0.535000  1.445000 2.125000 1.615000 ;
+      RECT 0.935000  0.085000 1.640000 0.445000 ;
+      RECT 1.535000  1.785000 1.705000 2.295000 ;
+      RECT 1.535000  2.295000 2.545000 2.465000 ;
+      RECT 1.700000  0.615000 2.585000 0.785000 ;
+      RECT 1.700000  0.785000 1.890000 1.445000 ;
+      RECT 1.875000  1.615000 2.125000 1.945000 ;
+      RECT 1.875000  1.945000 2.205000 2.115000 ;
+      RECT 2.255000  0.275000 2.585000 0.615000 ;
+      RECT 2.375000  1.795000 3.545000 1.965000 ;
+      RECT 2.375000  1.965000 2.545000 2.295000 ;
+      RECT 2.715000  2.140000 3.045000 2.635000 ;
+      RECT 3.375000  1.965000 3.545000 2.465000 ;
+      RECT 3.715000  0.085000 4.050000 0.805000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_2
+MACRO sky130_fd_sc_hd__and2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.450000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.645000 2.200000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.580000 2.680000 2.365000 ;
+        RECT 2.445000 0.255000 2.680000 0.775000 ;
+        RECT 2.505000 0.775000 2.680000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.855000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.620000  0.655000 0.835000 0.805000 ;
+      RECT 0.620000  0.805000 1.175000 1.135000 ;
+      RECT 0.620000  1.135000 0.855000 1.785000 ;
+      RECT 1.045000  1.305000 2.335000 1.325000 ;
+      RECT 1.045000  1.325000 1.905000 1.475000 ;
+      RECT 1.045000  1.475000 1.330000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.515000 0.610000 ;
+      RECT 1.345000  0.610000 1.515000 0.945000 ;
+      RECT 1.345000  0.945000 2.335000 1.305000 ;
+      RECT 1.510000  2.165000 2.195000 2.635000 ;
+      RECT 1.875000  0.085000 2.275000 0.580000 ;
+      RECT 2.865000  0.085000 3.135000 0.720000 ;
+      RECT 2.865000  1.680000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_2
+MACRO sky130_fd_sc_hd__and2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_1
+MACRO sky130_fd_sc_hd__and2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.625000 3.155000 0.995000 ;
+        RECT 2.900000 0.995000 3.205000 1.325000 ;
+        RECT 2.900000 1.325000 3.155000 1.745000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 0.975000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 1.535000 2.730000 1.745000 ;
+        RECT 1.525000 0.495000 1.715000 0.615000 ;
+        RECT 1.525000 0.615000 2.730000 0.825000 ;
+        RECT 2.440000 0.825000 2.730000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.615000 ;
+      RECT 0.090000  0.615000 1.355000 0.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.165000  0.995000 0.425000 1.325000 ;
+      RECT 0.165000  1.325000 0.335000 1.915000 ;
+      RECT 0.165000  1.915000 3.505000 2.085000 ;
+      RECT 0.515000  1.500000 1.315000 1.745000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  2.275000 1.320000 2.635000 ;
+      RECT 1.110000  1.435000 1.320000 1.485000 ;
+      RECT 1.110000  1.485000 1.315000 1.500000 ;
+      RECT 1.145000  0.805000 1.355000 0.995000 ;
+      RECT 1.145000  0.995000 2.260000 1.355000 ;
+      RECT 1.145000  1.355000 1.320000 1.435000 ;
+      RECT 1.885000  0.085000 2.215000 0.445000 ;
+      RECT 1.905000  2.275000 2.235000 2.635000 ;
+      RECT 2.745000  0.085000 3.075000 0.445000 ;
+      RECT 2.745000  2.275000 3.075000 2.635000 ;
+      RECT 3.330000  0.495000 3.500000 0.675000 ;
+      RECT 3.330000  0.675000 3.545000 0.845000 ;
+      RECT 3.335000  1.530000 3.545000 1.700000 ;
+      RECT 3.335000  1.700000 3.505000 1.915000 ;
+      RECT 3.375000  0.845000 3.545000 1.530000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_4
+MACRO sky130_fd_sc_hd__bufinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.505000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.260000 3.045000 0.735000 ;
+        RECT 2.715000 0.735000 6.355000 0.905000 ;
+        RECT 2.715000 1.445000 6.355000 1.615000 ;
+        RECT 2.715000 1.615000 3.045000 2.465000 ;
+        RECT 3.555000 0.260000 3.885000 0.735000 ;
+        RECT 3.555000 1.615000 3.885000 2.465000 ;
+        RECT 4.395000 0.260000 4.725000 0.735000 ;
+        RECT 4.395000 1.615000 4.725000 2.465000 ;
+        RECT 5.235000 0.260000 5.565000 0.735000 ;
+        RECT 5.235000 1.615000 5.565000 2.465000 ;
+        RECT 5.970000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.260000 0.845000 0.905000 ;
+      RECT 0.515000  1.545000 0.845000 2.465000 ;
+      RECT 0.675000  0.905000 0.845000 1.075000 ;
+      RECT 0.675000  1.075000 2.205000 1.275000 ;
+      RECT 0.675000  1.275000 0.845000 1.545000 ;
+      RECT 1.035000  0.260000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.545000 0.905000 ;
+      RECT 1.035000  1.445000 2.545000 1.615000 ;
+      RECT 1.035000  1.615000 1.365000 2.465000 ;
+      RECT 1.535000  0.085000 1.705000 0.565000 ;
+      RECT 1.535000  1.785000 1.705000 2.635000 ;
+      RECT 1.875000  0.260000 2.205000 0.735000 ;
+      RECT 1.875000  1.615000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.545000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 5.760000 1.275000 ;
+      RECT 2.375000  1.275000 2.545000 1.445000 ;
+      RECT 2.375000  1.785000 2.545000 2.635000 ;
+      RECT 3.215000  0.085000 3.385000 0.565000 ;
+      RECT 3.215000  1.835000 3.385000 2.635000 ;
+      RECT 4.055000  0.085000 4.225000 0.565000 ;
+      RECT 4.055000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.565000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.565000 ;
+      RECT 5.735000  1.835000 5.905000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_8
+MACRO sky130_fd_sc_hd__bufinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.265000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  4.295000 0.255000  4.545000 0.260000 ;
+        RECT  4.295000 0.260000  4.625000 0.735000 ;
+        RECT  4.295000 0.735000 10.955000 0.905000 ;
+        RECT  4.295000 1.445000 10.955000 1.615000 ;
+        RECT  4.295000 1.615000  4.625000 2.465000 ;
+        RECT  5.135000 0.260000  5.465000 0.735000 ;
+        RECT  5.135000 1.615000  5.465000 2.465000 ;
+        RECT  5.215000 0.255000  5.385000 0.260000 ;
+        RECT  5.975000 0.260000  6.305000 0.735000 ;
+        RECT  5.975000 1.615000  6.305000 2.465000 ;
+        RECT  6.055000 0.255000  6.225000 0.260000 ;
+        RECT  6.815000 0.260000  7.145000 0.735000 ;
+        RECT  6.815000 1.615000  7.145000 2.465000 ;
+        RECT  7.655000 0.260000  7.985000 0.735000 ;
+        RECT  7.655000 1.615000  7.985000 2.465000 ;
+        RECT  8.495000 0.260000  8.825000 0.735000 ;
+        RECT  8.495000 1.615000  8.825000 2.465000 ;
+        RECT  9.335000 0.260000  9.665000 0.735000 ;
+        RECT  9.335000 1.615000  9.665000 2.465000 ;
+        RECT 10.175000 0.260000 10.505000 0.735000 ;
+        RECT 10.175000 1.615000 10.505000 2.465000 ;
+        RECT 10.680000 0.905000 10.955000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.095000  0.260000  0.425000 0.735000 ;
+      RECT  0.095000  0.735000  1.605000 0.905000 ;
+      RECT  0.095000  1.445000  1.605000 1.615000 ;
+      RECT  0.095000  1.615000  0.425000 2.465000 ;
+      RECT  0.595000  0.085000  0.765000 0.565000 ;
+      RECT  0.595000  1.785000  0.765000 2.635000 ;
+      RECT  0.935000  0.260000  1.265000 0.735000 ;
+      RECT  0.935000  1.615000  1.265000 2.465000 ;
+      RECT  1.435000  0.085000  1.605000 0.565000 ;
+      RECT  1.435000  0.905000  1.605000 1.075000 ;
+      RECT  1.435000  1.075000  3.745000 1.275000 ;
+      RECT  1.435000  1.275000  1.605000 1.445000 ;
+      RECT  1.435000  1.785000  1.605000 2.635000 ;
+      RECT  1.775000  0.260000  2.105000 0.735000 ;
+      RECT  1.775000  0.735000  4.125000 0.905000 ;
+      RECT  1.775000  1.445000  4.125000 1.615000 ;
+      RECT  1.775000  1.615000  2.105000 2.465000 ;
+      RECT  2.275000  0.085000  2.445000 0.565000 ;
+      RECT  2.275000  1.835000  2.445000 2.635000 ;
+      RECT  2.615000  0.260000  2.945000 0.735000 ;
+      RECT  2.615000  1.615000  2.945000 2.465000 ;
+      RECT  3.115000  0.085000  3.285000 0.565000 ;
+      RECT  3.115000  1.835000  3.285000 2.635000 ;
+      RECT  3.455000  0.260000  3.785000 0.735000 ;
+      RECT  3.455000  1.615000  3.785000 2.465000 ;
+      RECT  3.950000  0.905000  4.125000 1.075000 ;
+      RECT  3.950000  1.075000 10.510000 1.275000 ;
+      RECT  3.950000  1.275000  4.125000 1.445000 ;
+      RECT  3.955000  0.085000  4.125000 0.565000 ;
+      RECT  3.955000  1.835000  4.125000 2.635000 ;
+      RECT  4.795000  0.085000  4.965000 0.565000 ;
+      RECT  4.795000  1.835000  4.965000 2.635000 ;
+      RECT  5.635000  0.085000  5.805000 0.565000 ;
+      RECT  5.635000  1.835000  5.805000 2.635000 ;
+      RECT  6.475000  0.085000  6.645000 0.565000 ;
+      RECT  6.475000  1.835000  6.645000 2.635000 ;
+      RECT  7.315000  0.085000  7.485000 0.565000 ;
+      RECT  7.315000  1.835000  7.485000 2.635000 ;
+      RECT  8.155000  0.085000  8.325000 0.565000 ;
+      RECT  8.155000  1.835000  8.325000 2.635000 ;
+      RECT  8.995000  0.085000  9.165000 0.565000 ;
+      RECT  8.995000  1.835000  9.165000 2.635000 ;
+      RECT  9.835000  0.085000 10.005000 0.565000 ;
+      RECT  9.835000  1.835000 10.005000 2.635000 ;
+      RECT 10.675000  0.085000 10.845000 0.565000 ;
+      RECT 10.675000  1.835000 10.845000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_16
+MACRO sky130_fd_sc_hd__a21o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.365000 2.620000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.810000 0.750000 3.125000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.790000 1.410000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.635000 0.955000 0.825000 ;
+        RECT 0.555000 0.825000 0.785000 2.465000 ;
+        RECT 0.765000 0.255000 0.955000 0.635000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  1.665000 0.385000 2.635000 ;
+      RECT 0.265000  0.085000 0.595000 0.465000 ;
+      RECT 0.955000  0.995000 1.295000 1.690000 ;
+      RECT 0.955000  1.690000 1.790000 1.920000 ;
+      RECT 0.955000  2.220000 1.285000 2.635000 ;
+      RECT 1.125000  0.085000 1.455000 0.445000 ;
+      RECT 1.125000  0.655000 1.865000 0.825000 ;
+      RECT 1.125000  0.825000 1.295000 0.995000 ;
+      RECT 1.475000  1.920000 1.790000 2.465000 ;
+      RECT 1.675000  0.255000 1.865000 0.655000 ;
+      RECT 1.960000  1.670000 3.075000 1.935000 ;
+      RECT 1.960000  1.935000 2.185000 2.465000 ;
+      RECT 2.355000  2.125000 2.685000 2.635000 ;
+      RECT 2.805000  0.085000 3.135000 0.565000 ;
+      RECT 2.855000  1.935000 3.075000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_2
+MACRO sky130_fd_sc_hd__a21o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.660000 1.015000 2.185000 1.325000 ;
+        RECT 1.955000 0.375000 2.185000 1.015000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 0.995000 2.665000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.015000 1.480000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.265000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.525000  1.905000 0.865000 2.635000 ;
+      RECT 0.545000  0.635000 1.775000 0.835000 ;
+      RECT 0.545000  0.835000 0.835000 1.505000 ;
+      RECT 0.545000  1.505000 1.315000 1.725000 ;
+      RECT 0.615000  0.085000 1.285000 0.455000 ;
+      RECT 1.045000  1.725000 1.315000 2.455000 ;
+      RECT 1.465000  0.265000 1.775000 0.635000 ;
+      RECT 1.495000  1.505000 2.655000 1.745000 ;
+      RECT 1.495000  1.745000 1.725000 2.455000 ;
+      RECT 1.895000  1.925000 2.225000 2.635000 ;
+      RECT 2.365000  0.085000 2.655000 0.815000 ;
+      RECT 2.395000  1.745000 2.655000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_1
+MACRO sky130_fd_sc_hd__a21o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.990000 1.010000 4.515000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.425000 1.010000 3.820000 1.275000 ;
+        RECT 3.645000 1.275000 3.820000 1.510000 ;
+        RECT 3.645000 1.510000 4.935000 1.680000 ;
+        RECT 4.685000 1.055000 5.100000 1.290000 ;
+        RECT 4.685000 1.290000 4.935000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.395000 0.995000 2.705000 1.525000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 1.735000 0.785000 ;
+        RECT 0.145000 0.785000 0.630000 1.585000 ;
+        RECT 0.145000 1.585000 1.735000 1.755000 ;
+        RECT 0.625000 1.755000 0.795000 2.185000 ;
+        RECT 1.485000 1.755000 1.735000 2.185000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.105000  0.085000 0.445000 0.445000 ;
+      RECT 0.115000  1.935000 0.445000 2.635000 ;
+      RECT 0.800000  0.995000 2.205000 1.325000 ;
+      RECT 0.975000  0.085000 1.305000 0.445000 ;
+      RECT 0.975000  1.935000 1.305000 2.635000 ;
+      RECT 1.910000  0.085000 2.685000 0.445000 ;
+      RECT 1.915000  1.515000 2.165000 2.635000 ;
+      RECT 2.035000  0.615000 3.045000 0.670000 ;
+      RECT 2.035000  0.670000 4.365000 0.785000 ;
+      RECT 2.035000  0.785000 2.205000 0.995000 ;
+      RECT 2.455000  1.695000 2.625000 2.295000 ;
+      RECT 2.455000  2.295000 3.465000 2.465000 ;
+      RECT 2.875000  0.255000 3.045000 0.615000 ;
+      RECT 2.875000  0.785000 4.365000 0.840000 ;
+      RECT 2.875000  0.840000 3.045000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.285000  1.445000 3.465000 1.850000 ;
+      RECT 3.285000  1.850000 5.360000 2.020000 ;
+      RECT 3.285000  2.020000 3.465000 2.295000 ;
+      RECT 3.635000  2.275000 3.965000 2.635000 ;
+      RECT 4.085000  0.405000 4.365000 0.670000 ;
+      RECT 4.135000  2.020000 4.305000 2.465000 ;
+      RECT 4.475000  2.275000 4.805000 2.635000 ;
+      RECT 4.945000  0.085000 5.225000 0.885000 ;
+      RECT 5.030000  2.020000 5.360000 2.395000 ;
+      RECT 5.105000  1.460000 5.360000 1.850000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_4
+MACRO sky130_fd_sc_hd__nand4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.930000 1.075000 4.590000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.510000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.015000 1.075000 8.655000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 8.185000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.135000 1.665000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+        RECT 7.015000 1.665000 7.345000 2.465000 ;
+        RECT 7.855000 1.665000 8.185000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 0.805000 0.905000 ;
+      RECT 0.090000  1.495000 0.805000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.805000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.805000 1.495000 ;
+      RECT 0.995000  1.495000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.255000 6.925000 0.465000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.675000  0.465000 6.925000 0.735000 ;
+      RECT 6.675000  0.735000 8.610000 0.905000 ;
+      RECT 6.675000  1.835000 6.845000 2.635000 ;
+      RECT 7.095000  0.085000 7.265000 0.545000 ;
+      RECT 7.435000  0.255000 7.765000 0.735000 ;
+      RECT 7.515000  1.835000 7.685000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.545000 ;
+      RECT 8.275000  0.255000 8.610000 0.735000 ;
+      RECT 8.355000  1.445000 8.610000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_4
+MACRO sky130_fd_sc_hd__nand4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 3.100000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 1.075000 4.450000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.620000 1.075000 5.430000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 1.785000 0.825000 ;
+        RECT 1.455000 1.445000 4.865000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 1.550000 0.825000 1.785000 1.445000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 3.605000 1.665000 3.935000 2.465000 ;
+        RECT 4.535000 1.665000 4.865000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.670000 0.805000 ;
+      RECT 0.090000  1.915000 0.670000 2.085000 ;
+      RECT 0.090000  2.085000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.075000 ;
+      RECT 0.500000  1.075000 1.380000 1.245000 ;
+      RECT 0.500000  1.245000 0.670000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 1.285000 2.635000 ;
+      RECT 1.035000  0.255000 2.125000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.035000  1.445000 1.285000 2.255000 ;
+      RECT 1.955000  0.465000 2.125000 0.635000 ;
+      RECT 1.955000  0.635000 3.045000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 3.985000 0.465000 ;
+      RECT 2.795000  1.835000 3.435000 2.635000 ;
+      RECT 3.235000  0.635000 4.455000 0.715000 ;
+      RECT 3.235000  0.715000 5.340000 0.905000 ;
+      RECT 4.105000  1.835000 4.365000 2.635000 ;
+      RECT 4.155000  0.255000 4.415000 0.615000 ;
+      RECT 4.155000  0.615000 4.455000 0.635000 ;
+      RECT 4.665000  0.085000 4.835000 0.545000 ;
+      RECT 5.005000  0.255000 5.340000 0.715000 ;
+      RECT 5.035000  1.495000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_2
+MACRO sky130_fd_sc_hd__nand4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.765000 2.185000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 0.765000 1.755000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.887500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 3.135000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 1.665000 2.415000 2.465000 ;
+        RECT 2.695000 0.255000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.445000 0.475000 0.655000 ;
+      RECT 0.085000  0.655000 1.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.155000  0.425000 2.525000 0.595000 ;
+      RECT 1.155000  0.595000 1.335000 0.655000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.355000  0.595000 2.525000 0.995000 ;
+      RECT 2.355000  0.995000 2.755000 1.325000 ;
+      RECT 2.705000  1.835000 2.920000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_1
+MACRO sky130_fd_sc_hd__xor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 0.875000 1.275000 ;
+        RECT 0.705000 1.275000 0.875000 1.445000 ;
+        RECT 0.705000 1.445000 1.880000 1.615000 ;
+        RECT 1.710000 1.075000 3.230000 1.275000 ;
+        RECT 1.710000 1.275000 1.880000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.540000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.105000 1.235000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.420000 1.075000 4.090000 1.275000 ;
+      LAYER mcon ;
+        RECT 3.825000 1.105000 3.995000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.005000 1.075000 1.295000 1.120000 ;
+        RECT 1.005000 1.120000 4.055000 1.260000 ;
+        RECT 1.005000 1.260000 1.295000 1.305000 ;
+        RECT 3.765000 1.075000 4.055000 1.120000 ;
+        RECT 3.765000 1.260000 4.055000 1.305000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.656750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.625000 0.645000 3.955000 0.725000 ;
+        RECT 3.625000 0.725000 5.895000 0.905000 ;
+        RECT 4.985000 0.645000 5.315000 0.725000 ;
+        RECT 5.025000 1.415000 5.895000 1.625000 ;
+        RECT 5.025000 1.625000 5.275000 2.125000 ;
+        RECT 5.485000 0.905000 5.895000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.725000 1.700000 0.905000 ;
+      RECT 0.120000  0.905000 0.290000 1.785000 ;
+      RECT 0.120000  1.785000 2.220000 1.955000 ;
+      RECT 0.120000  2.135000 0.400000 2.465000 ;
+      RECT 0.145000  2.125000 0.315000 2.135000 ;
+      RECT 0.190000  0.085000 0.360000 0.555000 ;
+      RECT 0.530000  0.255000 0.860000 0.725000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  2.135000 1.240000 2.295000 ;
+      RECT 0.990000  2.295000 2.080000 2.465000 ;
+      RECT 1.030000  0.085000 1.200000 0.555000 ;
+      RECT 1.065000  2.125000 1.235000 2.135000 ;
+      RECT 1.370000  0.255000 1.700000 0.725000 ;
+      RECT 1.410000  1.955000 1.660000 2.125000 ;
+      RECT 1.830000  2.135000 2.080000 2.295000 ;
+      RECT 1.870000  0.085000 2.040000 0.555000 ;
+      RECT 2.050000  1.445000 4.785000 1.615000 ;
+      RECT 2.050000  1.615000 2.220000 1.785000 ;
+      RECT 2.285000  2.125000 2.600000 2.465000 ;
+      RECT 2.310000  0.255000 2.640000 0.725000 ;
+      RECT 2.310000  0.725000 3.400000 0.905000 ;
+      RECT 2.390000  1.785000 4.855000 1.955000 ;
+      RECT 2.390000  1.955000 2.600000 2.125000 ;
+      RECT 2.770000  2.135000 3.020000 2.635000 ;
+      RECT 2.810000  0.085000 2.980000 0.555000 ;
+      RECT 3.150000  0.255000 4.380000 0.475000 ;
+      RECT 3.150000  0.475000 3.400000 0.725000 ;
+      RECT 3.190000  1.955000 3.440000 2.465000 ;
+      RECT 3.610000  2.135000 3.915000 2.635000 ;
+      RECT 4.085000  1.955000 4.855000 2.295000 ;
+      RECT 4.085000  2.295000 5.695000 2.465000 ;
+      RECT 4.615000  1.075000 5.275000 1.245000 ;
+      RECT 4.615000  1.245000 4.785000 1.445000 ;
+      RECT 4.645000  0.085000 4.815000 0.555000 ;
+      RECT 5.445000  1.795000 5.695000 2.295000 ;
+      RECT 5.485000  0.085000 5.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 2.095000 0.375000 2.140000 ;
+      RECT 0.085000 2.140000 1.295000 2.280000 ;
+      RECT 0.085000 2.280000 0.375000 2.325000 ;
+      RECT 1.005000 2.095000 1.295000 2.140000 ;
+      RECT 1.005000 2.280000 1.295000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xor2_2
+MACRO sky130_fd_sc_hd__xor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 2.800000 1.275000 ;
+        RECT 2.630000 1.275000 2.800000 1.445000 ;
+        RECT 2.630000 1.445000 6.165000 1.615000 ;
+        RECT 5.995000 1.075000 7.370000 1.275000 ;
+        RECT 5.995000 1.275000 6.165000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 5.000000 1.105000 ;
+        RECT 2.970000 1.105000 5.740000 1.275000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  1.524450 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 0.645000 5.580000 0.905000 ;
+        RECT 5.150000 0.905000 5.580000 0.935000 ;
+      LAYER mcon ;
+        RECT 5.205000 0.765000 5.375000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.850000 0.725000  8.630000 0.735000 ;
+        RECT 7.850000 0.735000 10.035000 0.905000 ;
+        RECT 7.850000 0.905000  8.305000 0.935000 ;
+        RECT 7.880000 1.445000 10.035000 1.625000 ;
+        RECT 7.880000 1.625000  9.010000 1.665000 ;
+        RECT 7.880000 1.665000  8.170000 2.125000 ;
+        RECT 8.300000 0.255000  8.630000 0.725000 ;
+        RECT 8.760000 1.665000  9.010000 2.125000 ;
+        RECT 9.140000 0.255000  9.470000 0.735000 ;
+        RECT 9.600000 1.625000 10.035000 2.465000 ;
+        RECT 9.735000 0.905000 10.035000 1.445000 ;
+      LAYER mcon ;
+        RECT 7.965000 0.765000 8.135000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.145000 0.735000 5.435000 0.780000 ;
+        RECT 5.145000 0.780000 8.195000 0.920000 ;
+        RECT 5.145000 0.920000 5.435000 0.965000 ;
+        RECT 7.905000 0.735000 8.195000 0.780000 ;
+        RECT 7.905000 0.920000 8.195000 0.965000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.085000  0.360000 0.565000 ;
+      RECT 0.085000  0.735000  3.380000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.445000 ;
+      RECT 0.085000  1.445000  2.420000 1.615000 ;
+      RECT 0.085000  1.785000  2.080000 2.005000 ;
+      RECT 0.085000  2.005000  0.400000 2.465000 ;
+      RECT 0.530000  0.255000  0.860000 0.725000 ;
+      RECT 0.530000  0.725000  3.380000 0.735000 ;
+      RECT 0.570000  2.175000  0.820000 2.635000 ;
+      RECT 0.990000  2.005000  1.240000 2.465000 ;
+      RECT 1.030000  0.085000  1.200000 0.555000 ;
+      RECT 1.370000  0.255000  1.700000 0.725000 ;
+      RECT 1.410000  2.175000  1.660000 2.635000 ;
+      RECT 1.830000  2.005000  2.080000 2.295000 ;
+      RECT 1.830000  2.295000  3.760000 2.465000 ;
+      RECT 1.870000  0.085000  2.040000 0.555000 ;
+      RECT 2.210000  0.255000  2.540000 0.725000 ;
+      RECT 2.250000  1.615000  2.420000 1.785000 ;
+      RECT 2.250000  1.785000  3.340000 1.955000 ;
+      RECT 2.250000  1.955000  2.500000 2.125000 ;
+      RECT 2.670000  2.125000  2.920000 2.295000 ;
+      RECT 2.710000  0.085000  2.880000 0.555000 ;
+      RECT 3.050000  0.255000  3.380000 0.725000 ;
+      RECT 3.090000  1.955000  3.340000 2.125000 ;
+      RECT 3.510000  1.795000  3.760000 2.295000 ;
+      RECT 3.550000  0.085000  3.820000 0.895000 ;
+      RECT 3.990000  0.255000  6.000000 0.475000 ;
+      RECT 4.030000  1.785000  7.640000 2.005000 ;
+      RECT 4.030000  2.005000  4.280000 2.465000 ;
+      RECT 4.450000  2.175000  4.700000 2.635000 ;
+      RECT 4.870000  2.005000  5.120000 2.465000 ;
+      RECT 5.290000  2.175000  5.540000 2.635000 ;
+      RECT 5.710000  2.005000  5.960000 2.465000 ;
+      RECT 5.750000  0.475000  6.000000 0.725000 ;
+      RECT 5.750000  0.725000  7.680000 0.905000 ;
+      RECT 6.130000  2.175000  6.380000 2.635000 ;
+      RECT 6.170000  0.085000  6.340000 0.555000 ;
+      RECT 6.510000  0.255000  6.840000 0.725000 ;
+      RECT 6.550000  1.455000  6.800000 1.785000 ;
+      RECT 6.550000  2.005000  6.800000 2.465000 ;
+      RECT 6.970000  2.175000  7.220000 2.635000 ;
+      RECT 7.010000  0.085000  7.180000 0.555000 ;
+      RECT 7.260000  1.445000  7.710000 1.615000 ;
+      RECT 7.350000  0.255000  7.680000 0.725000 ;
+      RECT 7.390000  2.005000  7.640000 2.295000 ;
+      RECT 7.390000  2.295000  9.430000 2.465000 ;
+      RECT 7.540000  1.105000  9.565000 1.275000 ;
+      RECT 7.540000  1.275000  7.710000 1.445000 ;
+      RECT 7.960000  0.085000  8.130000 0.555000 ;
+      RECT 8.340000  1.835000  8.590000 2.295000 ;
+      RECT 8.540000  1.075000  9.565000 1.105000 ;
+      RECT 8.800000  0.085000  8.970000 0.555000 ;
+      RECT 9.180000  1.795000  9.430000 2.295000 ;
+      RECT 9.640000  0.085000  9.810000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  1.445000 2.155000 1.615000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  1.445000 7.675000 1.615000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 1.415000 2.215000 1.460000 ;
+      RECT 1.925000 1.460000 7.735000 1.600000 ;
+      RECT 1.925000 1.600000 2.215000 1.645000 ;
+      RECT 7.445000 1.415000 7.735000 1.460000 ;
+      RECT 7.445000 1.600000 7.735000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xor2_4
+MACRO sky130_fd_sc_hd__xor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 1.075000 1.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.730000 1.615000 ;
+        RECT 1.560000 1.075000 1.935000 1.245000 ;
+        RECT 1.560000 1.245000 1.730000 1.445000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.800500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.720000 0.315000 2.675000 0.485000 ;
+        RECT 2.505000 0.485000 2.675000 1.365000 ;
+        RECT 2.505000 1.365000 3.135000 1.535000 ;
+        RECT 2.815000 1.535000 3.135000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.655000 2.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 0.465000 2.465000 ;
+      RECT 0.135000  0.085000 0.465000 0.475000 ;
+      RECT 0.635000  0.335000 0.805000 0.655000 ;
+      RECT 0.975000  0.085000 1.305000 0.475000 ;
+      RECT 1.055000  1.785000 1.225000 2.635000 ;
+      RECT 1.395000  1.785000 2.635000 1.955000 ;
+      RECT 1.395000  1.955000 1.725000 2.465000 ;
+      RECT 1.895000  2.125000 2.065000 2.635000 ;
+      RECT 2.105000  0.825000 2.335000 1.325000 ;
+      RECT 2.235000  1.955000 2.635000 2.465000 ;
+      RECT 2.845000  0.085000 3.135000 0.920000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xor2_1
+MACRO sky130_fd_sc_hd__o221ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.430000 1.075000 3.760000 1.445000 ;
+        RECT 3.430000 1.445000 4.815000 1.615000 ;
+        RECT 4.645000 1.075000 5.435000 1.275000 ;
+        RECT 4.645000 1.275000 4.815000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.980000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 1.075000 2.035000 1.445000 ;
+        RECT 1.020000 1.445000 3.260000 1.615000 ;
+        RECT 2.930000 1.075000 3.260000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 2.760000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.520000 0.645000 0.850000 0.865000 ;
+        RECT 0.560000 1.445000 0.850000 1.785000 ;
+        RECT 0.560000 1.785000 4.350000 1.955000 ;
+        RECT 0.560000 1.955000 0.810000 2.465000 ;
+        RECT 0.605000 0.865000 0.850000 1.445000 ;
+        RECT 2.340000 1.955000 2.590000 2.125000 ;
+        RECT 4.100000 1.955000 4.350000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  0.255000 1.270000 0.475000 ;
+      RECT 0.100000  0.475000 0.350000 0.895000 ;
+      RECT 0.140000  1.455000 0.390000 2.635000 ;
+      RECT 0.980000  2.125000 1.750000 2.635000 ;
+      RECT 1.020000  0.475000 1.270000 0.645000 ;
+      RECT 1.020000  0.645000 3.050000 0.905000 ;
+      RECT 1.460000  0.255000 3.550000 0.475000 ;
+      RECT 1.920000  2.125000 2.170000 2.295000 ;
+      RECT 1.920000  2.295000 3.010000 2.465000 ;
+      RECT 2.760000  2.125000 3.010000 2.295000 ;
+      RECT 3.180000  2.125000 3.510000 2.635000 ;
+      RECT 3.220000  0.475000 3.550000 0.735000 ;
+      RECT 3.220000  0.735000 5.230000 0.905000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 3.680000  2.295000 4.770000 2.465000 ;
+      RECT 3.720000  0.085000 3.890000 0.555000 ;
+      RECT 4.060000  0.255000 4.390000 0.725000 ;
+      RECT 4.060000  0.725000 5.230000 0.735000 ;
+      RECT 4.520000  1.785000 4.770000 2.295000 ;
+      RECT 4.560000  0.085000 4.730000 0.555000 ;
+      RECT 4.900000  0.255000 5.230000 0.725000 ;
+      RECT 4.985000  1.455000 5.190000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_2
+MACRO sky130_fd_sc_hd__o221ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.505000 1.245000 ;
+        RECT 2.295000 1.245000 2.505000 1.445000 ;
+        RECT 2.295000 1.445000 2.675000 1.615000 ;
+        RECT 2.465000 1.615000 2.675000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.355000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.985000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.465000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.899000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.345000 0.645000 ;
+        RECT 0.085000 0.645000 0.840000 0.825000 ;
+        RECT 0.085000 1.495000 2.125000 1.705000 ;
+        RECT 0.085000 1.705000 0.365000 2.465000 ;
+        RECT 0.635000 0.825000 0.840000 1.495000 ;
+        RECT 1.735000 1.705000 2.125000 1.785000 ;
+        RECT 1.735000 1.785000 2.245000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.305000 1.775000 0.475000 ;
+      RECT 0.550000  1.875000 1.340000 2.635000 ;
+      RECT 1.010000  0.645000 2.220000 0.695000 ;
+      RECT 1.010000  0.695000 3.135000 0.825000 ;
+      RECT 1.945000  0.280000 2.220000 0.645000 ;
+      RECT 2.105000  0.825000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.875000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_1
+MACRO sky130_fd_sc_hd__o221ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.420000 1.615000 ;
+        RECT 8.155000 1.075000 9.575000 1.275000 ;
+        RECT 8.155000 1.275000 8.420000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.360000 1.075000 4.505000 1.275000 ;
+        RECT 4.335000 1.275000 4.505000 1.495000 ;
+        RECT 4.335000 1.495000 5.795000 1.665000 ;
+        RECT 5.465000 1.075000 5.795000 1.495000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 0.995000 5.285000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.750000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 2.125000 0.865000 ;
+        RECT 0.575000 1.445000 4.165000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.465000 ;
+        RECT 1.415000 1.615000 2.125000 1.955000 ;
+        RECT 1.415000 1.955000 1.665000 2.465000 ;
+        RECT 1.920000 0.865000 2.125000 1.445000 ;
+        RECT 3.995000 1.615000 4.165000 1.835000 ;
+        RECT 3.995000 1.835000 7.725000 1.955000 ;
+        RECT 3.995000 1.955000 6.885000 2.005000 ;
+        RECT 3.995000 2.005000 4.285000 2.125000 ;
+        RECT 4.875000 2.005000 5.085000 2.125000 ;
+        RECT 5.965000 1.785000 7.725000 1.835000 ;
+        RECT 6.675000 2.005000 6.885000 2.125000 ;
+        RECT 7.475000 1.955000 7.725000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.115000  0.255000 5.585000 0.475000 ;
+      RECT 0.115000  0.475000 0.365000 0.895000 ;
+      RECT 0.155000  1.485000 0.405000 2.635000 ;
+      RECT 0.995000  1.825000 1.245000 2.635000 ;
+      RECT 1.835000  2.125000 2.605000 2.635000 ;
+      RECT 2.315000  0.645000 6.085000 0.735000 ;
+      RECT 2.315000  0.735000 9.445000 0.820000 ;
+      RECT 2.775000  1.785000 3.825000 1.955000 ;
+      RECT 2.775000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  2.125000 3.445000 2.635000 ;
+      RECT 3.615000  1.955000 3.825000 2.295000 ;
+      RECT 3.615000  2.295000 5.585000 2.465000 ;
+      RECT 4.455000  2.175000 4.705000 2.295000 ;
+      RECT 5.255000  2.175000 5.585000 2.295000 ;
+      RECT 5.465000  0.820000 9.445000 0.905000 ;
+      RECT 5.755000  0.255000 6.085000 0.645000 ;
+      RECT 5.755000  2.175000 6.005000 2.635000 ;
+      RECT 6.175000  2.175000 6.505000 2.295000 ;
+      RECT 6.175000  2.295000 8.145000 2.465000 ;
+      RECT 6.255000  0.085000 6.425000 0.555000 ;
+      RECT 6.595000  0.255000 6.925000 0.725000 ;
+      RECT 6.595000  0.725000 7.765000 0.735000 ;
+      RECT 7.055000  2.125000 7.305000 2.295000 ;
+      RECT 7.095000  0.085000 7.265000 0.555000 ;
+      RECT 7.435000  0.255000 7.765000 0.725000 ;
+      RECT 7.895000  1.785000 8.985000 1.955000 ;
+      RECT 7.895000  1.955000 8.145000 2.295000 ;
+      RECT 7.935000  0.085000 8.105000 0.555000 ;
+      RECT 8.275000  0.255000 8.605000 0.725000 ;
+      RECT 8.275000  0.725000 9.445000 0.735000 ;
+      RECT 8.315000  2.125000 8.565000 2.635000 ;
+      RECT 8.735000  1.445000 8.985000 1.785000 ;
+      RECT 8.735000  1.955000 8.985000 2.465000 ;
+      RECT 8.775000  0.085000 8.945000 0.555000 ;
+      RECT 9.115000  0.255000 9.445000 0.725000 ;
+      RECT 9.155000  1.445000 9.405000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_4
+MACRO sky130_fd_sc_hd__o41a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.485000 1.075000 3.995000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 1.075000 3.275000 2.390000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 2.390000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 1.075000 2.195000 2.390000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.075000 1.695000 1.285000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.672000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.355000 1.455000 ;
+        RECT 0.085000 1.455000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  1.075000 1.105000 1.285000 ;
+      RECT 0.715000  0.085000 0.885000 0.545000 ;
+      RECT 0.735000  0.715000 1.485000 0.905000 ;
+      RECT 0.735000  0.905000 1.105000 1.075000 ;
+      RECT 0.845000  1.285000 1.105000 1.455000 ;
+      RECT 0.845000  1.455000 1.595000 1.745000 ;
+      RECT 0.845000  1.915000 1.175000 2.635000 ;
+      RECT 1.155000  0.270000 1.485000 0.715000 ;
+      RECT 1.345000  1.745000 1.595000 2.465000 ;
+      RECT 1.655000  0.415000 1.825000 0.735000 ;
+      RECT 1.655000  0.735000 3.955000 0.905000 ;
+      RECT 2.050000  0.085000 2.380000 0.545000 ;
+      RECT 2.580000  0.255000 2.910000 0.735000 ;
+      RECT 3.125000  0.085000 3.455000 0.545000 ;
+      RECT 3.605000  1.515000 3.935000 2.635000 ;
+      RECT 3.625000  0.255000 3.955000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_1
+MACRO sky130_fd_sc_hd__o41a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.515000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.325000 1.075000 3.655000 2.335000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.825000 1.075000 3.155000 2.340000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.075000 2.655000 2.340000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.155000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.880000 ;
+        RECT 0.515000 0.880000 0.790000 1.495000 ;
+        RECT 0.515000 1.495000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.960000  1.075000 1.600000 1.325000 ;
+      RECT 1.015000  0.085000 1.260000 0.885000 ;
+      RECT 1.015000  1.495000 1.185000 1.835000 ;
+      RECT 1.015000  1.835000 1.525000 2.635000 ;
+      RECT 1.355000  1.325000 1.600000 1.495000 ;
+      RECT 1.355000  1.495000 2.145000 1.665000 ;
+      RECT 1.430000  0.255000 1.785000 0.850000 ;
+      RECT 1.430000  0.850000 1.600000 1.075000 ;
+      RECT 1.695000  1.665000 2.145000 2.465000 ;
+      RECT 1.985000  0.255000 2.315000 0.715000 ;
+      RECT 1.985000  0.715000 4.395000 0.905000 ;
+      RECT 2.485000  0.085000 2.750000 0.545000 ;
+      RECT 2.955000  0.255000 3.285000 0.715000 ;
+      RECT 3.505000  0.085000 3.775000 0.545000 ;
+      RECT 4.065000  0.255000 4.395000 0.715000 ;
+      RECT 4.065000  1.495000 4.395000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_2
+MACRO sky130_fd_sc_hd__o41a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.650000 1.075000 7.735000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 6.360000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.330000 1.075000 4.960000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 4.040000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.835000 1.075000 3.165000 1.275000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.685000 0.905000 ;
+        RECT 0.085000 0.905000 0.345000 1.465000 ;
+        RECT 0.085000 1.465000 1.685000 1.665000 ;
+        RECT 0.515000 0.255000 0.845000 0.715000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.715000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.545000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.075000 2.665000 1.245000 ;
+      RECT 0.515000  1.245000 2.545000 1.295000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.855000  0.085000 2.105000 0.885000 ;
+      RECT 1.855000  1.465000 2.025000 2.635000 ;
+      RECT 2.195000  1.295000 2.545000 1.445000 ;
+      RECT 2.195000  1.445000 3.825000 1.615000 ;
+      RECT 2.195000  1.615000 2.545000 2.465000 ;
+      RECT 2.295000  0.255000 3.485000 0.465000 ;
+      RECT 2.295000  0.635000 3.045000 0.905000 ;
+      RECT 2.295000  0.905000 2.665000 1.075000 ;
+      RECT 2.715000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  1.835000 3.405000 2.295000 ;
+      RECT 3.135000  2.295000 4.325000 2.465000 ;
+      RECT 3.235000  0.465000 3.485000 0.735000 ;
+      RECT 3.235000  0.735000 7.595000 0.905000 ;
+      RECT 3.575000  1.615000 3.825000 2.125000 ;
+      RECT 3.655000  0.085000 3.875000 0.545000 ;
+      RECT 3.995000  1.445000 5.165000 1.615000 ;
+      RECT 3.995000  1.615000 4.325000 2.295000 ;
+      RECT 4.075000  0.255000 4.245000 0.735000 ;
+      RECT 4.445000  0.085000 4.715000 0.545000 ;
+      RECT 4.495000  1.785000 4.665000 2.295000 ;
+      RECT 4.495000  2.295000 6.145000 2.465000 ;
+      RECT 4.835000  1.615000 5.165000 2.115000 ;
+      RECT 4.915000  0.255000 5.085000 0.735000 ;
+      RECT 5.305000  0.085000 5.915000 0.545000 ;
+      RECT 5.395000  1.445000 7.595000 1.615000 ;
+      RECT 5.395000  1.615000 5.645000 2.115000 ;
+      RECT 5.815000  1.785000 6.145000 2.295000 ;
+      RECT 6.240000  0.255000 6.410000 0.735000 ;
+      RECT 6.315000  1.615000 6.485000 2.455000 ;
+      RECT 6.655000  1.785000 6.985000 2.635000 ;
+      RECT 6.685000  0.085000 6.955000 0.545000 ;
+      RECT 7.265000  0.255000 7.595000 0.735000 ;
+      RECT 7.265000  1.615000 7.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_4
+MACRO sky130_fd_sc_hd__and4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.625000 0.775000 1.955000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.765000 0.815000 0.945000 ;
+        RECT 0.605000 0.945000 1.225000 1.115000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.415000 3.080000 0.995000 ;
+        RECT 2.895000 0.995000 3.125000 1.325000 ;
+        RECT 2.895000 1.325000 3.080000 1.635000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.420000 3.545000 0.995000 ;
+        RECT 3.350000 0.995000 3.605000 1.325000 ;
+        RECT 3.350000 1.325000 3.545000 1.635000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.425400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.255000 0.255000 4.515000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.285000 ;
+      RECT 0.085000  1.285000 1.215000 1.455000 ;
+      RECT 0.085000  1.455000 0.255000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.655000  0.085000 0.985000 0.465000 ;
+      RECT 0.655000  2.255000 0.985000 2.635000 ;
+      RECT 1.045000  1.455000 1.215000 1.575000 ;
+      RECT 1.045000  1.575000 1.625000 1.745000 ;
+      RECT 1.165000  0.255000 2.645000 0.425000 ;
+      RECT 1.165000  0.425000 1.565000 0.755000 ;
+      RECT 1.225000  1.915000 1.965000 2.085000 ;
+      RECT 1.225000  2.085000 1.415000 2.465000 ;
+      RECT 1.395000  0.755000 1.565000 1.235000 ;
+      RECT 1.395000  1.235000 1.965000 1.405000 ;
+      RECT 1.665000  2.255000 1.995000 2.635000 ;
+      RECT 1.755000  0.595000 2.305000 0.925000 ;
+      RECT 1.795000  1.405000 1.965000 1.915000 ;
+      RECT 2.135000  0.925000 2.305000 1.915000 ;
+      RECT 2.135000  1.915000 4.085000 2.085000 ;
+      RECT 2.205000  2.085000 2.375000 2.465000 ;
+      RECT 2.475000  0.425000 2.645000 1.325000 ;
+      RECT 2.570000  2.255000 2.900000 2.635000 ;
+      RECT 3.160000  2.085000 3.330000 2.465000 ;
+      RECT 3.755000  0.085000 4.085000 0.465000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.915000  0.995000 4.085000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_1
+MACRO sky130_fd_sc_hd__and4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.485000 0.995000 5.845000 1.620000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.765000 0.780000 1.635000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 0.755000 3.545000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 0.995000 3.080000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.650000 2.080000 0.820000 ;
+        RECT 0.960000 0.820000 1.240000 1.545000 ;
+        RECT 0.960000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.490000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  1.075000 2.500000 1.245000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 2.330000  0.615000 2.940000 0.785000 ;
+      RECT 2.330000  0.785000 2.500000 1.075000 ;
+      RECT 2.330000  1.245000 2.500000 1.545000 ;
+      RECT 2.330000  1.545000 4.150000 1.715000 ;
+      RECT 2.770000  0.300000 4.610000 0.470000 ;
+      RECT 2.770000  0.470000 2.940000 0.615000 ;
+      RECT 3.330000  2.255000 3.660000 2.635000 ;
+      RECT 3.730000  0.995000 3.900000 1.155000 ;
+      RECT 3.730000  1.155000 4.490000 1.325000 ;
+      RECT 4.255000  0.470000 4.610000 0.810000 ;
+      RECT 4.320000  1.325000 4.490000 1.915000 ;
+      RECT 4.360000  2.255000 5.370000 2.635000 ;
+      RECT 4.950000  0.655000 5.805000 0.825000 ;
+      RECT 4.950000  0.825000 5.120000 1.915000 ;
+      RECT 4.950000  1.915000 5.805000 2.085000 ;
+      RECT 4.975000  0.085000 5.305000 0.465000 ;
+      RECT 5.635000  0.255000 5.805000 0.655000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_4
+MACRO sky130_fd_sc_hd__and4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.330000 1.635000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.765000 4.175000 1.305000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.420000 3.175000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.425000 3.655000 1.405000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.545000 1.320000 1.715000 ;
+        RECT 1.015000 0.255000 1.240000 1.545000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.670000 0.805000 ;
+      RECT 0.175000  1.885000 1.925000 2.055000 ;
+      RECT 0.175000  2.055000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.885000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.465000 ;
+      RECT 1.415000  0.635000 2.405000 0.805000 ;
+      RECT 1.415000  0.805000 1.585000 1.325000 ;
+      RECT 1.490000  2.255000 2.160000 2.635000 ;
+      RECT 1.755000  0.995000 2.065000 1.325000 ;
+      RECT 1.755000  1.325000 1.925000 1.885000 ;
+      RECT 2.010000  0.255000 2.180000 0.635000 ;
+      RECT 2.235000  0.805000 2.405000 1.915000 ;
+      RECT 2.235000  1.915000 3.415000 2.085000 ;
+      RECT 2.395000  2.085000 2.565000 2.465000 ;
+      RECT 2.575000  1.400000 2.745000 1.575000 ;
+      RECT 2.575000  1.575000 3.755000 1.745000 ;
+      RECT 2.735000  2.255000 3.075000 2.635000 ;
+      RECT 3.245000  2.085000 3.415000 2.465000 ;
+      RECT 3.585000  1.745000 3.755000 1.915000 ;
+      RECT 3.585000  1.915000 4.515000 2.085000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.835000  0.085000 4.085000 0.585000 ;
+      RECT 4.255000  0.255000 4.515000 0.585000 ;
+      RECT 4.255000  2.085000 4.515000 2.465000 ;
+      RECT 4.345000  0.585000 4.515000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_2
+MACRO sky130_fd_sc_hd__dfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.655000  1.785000 0.825000 1.955000 ;
+      RECT 1.015000  1.105000 1.185000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.595000 1.755000 0.885000 1.800000 ;
+      RECT 0.595000 1.800000 6.255000 1.940000 ;
+      RECT 0.595000 1.940000 0.885000 1.985000 ;
+      RECT 0.955000 1.075000 1.245000 1.120000 ;
+      RECT 0.955000 1.120000 6.255000 1.260000 ;
+      RECT 0.955000 1.260000 1.245000 1.305000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtn_1
+MACRO sky130_fd_sc_hd__or4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.430000 0.995000 2.810000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 2.125000 2.660000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.995000 2.260000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.425000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.415000 3.595000 0.760000 ;
+        RECT 3.320000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.760000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.585000 ;
+      RECT 0.085000  1.560000 0.425000 2.635000 ;
+      RECT 0.595000  0.305000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.250000 1.325000 ;
+      RECT 0.595000  1.325000 0.835000 1.920000 ;
+      RECT 1.030000  1.495000 1.350000 1.785000 ;
+      RECT 1.030000  1.785000 2.660000 1.955000 ;
+      RECT 1.035000  0.085000 1.365000 0.585000 ;
+      RECT 1.565000  0.305000 1.735000 0.655000 ;
+      RECT 1.565000  0.655000 3.150000 0.825000 ;
+      RECT 1.910000  0.085000 2.240000 0.485000 ;
+      RECT 2.410000  0.305000 2.580000 0.655000 ;
+      RECT 2.490000  1.495000 3.150000 1.665000 ;
+      RECT 2.490000  1.665000 2.660000 1.785000 ;
+      RECT 2.750000  0.085000 3.130000 0.485000 ;
+      RECT 2.830000  1.835000 3.110000 2.635000 ;
+      RECT 2.980000  0.825000 3.150000 0.995000 ;
+      RECT 2.980000  0.995000 3.255000 1.325000 ;
+      RECT 2.980000  1.325000 3.150000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_1
+MACRO sky130_fd_sc_hd__or4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 2.125000 2.670000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.550000 1.075000 3.550000 1.275000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.435000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.675000 1.250000 0.680000 ;
+        RECT 0.935000 0.680000 1.245000 0.790000 ;
+        RECT 0.935000 0.790000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.250000 1.825000 ;
+        RECT 0.970000 0.260000 1.250000 0.675000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.325000 0.350000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.605000 0.765000 1.890000 ;
+      RECT 0.510000  1.890000 0.765000 1.995000 ;
+      RECT 0.510000  1.995000 1.715000 2.165000 ;
+      RECT 0.515000  2.335000 0.845000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.605000 ;
+      RECT 0.630000  0.085000 0.800000 0.565000 ;
+      RECT 1.290000  0.995000 1.585000 1.325000 ;
+      RECT 1.415000  0.735000 3.055000 0.905000 ;
+      RECT 1.415000  0.905000 1.585000 0.995000 ;
+      RECT 1.415000  1.325000 1.585000 1.355000 ;
+      RECT 1.415000  1.355000 1.600000 1.370000 ;
+      RECT 1.415000  1.370000 1.610000 1.380000 ;
+      RECT 1.415000  1.380000 1.620000 1.390000 ;
+      RECT 1.415000  1.390000 1.625000 1.400000 ;
+      RECT 1.415000  1.400000 1.630000 1.410000 ;
+      RECT 1.415000  1.410000 1.645000 1.420000 ;
+      RECT 1.415000  1.420000 1.655000 1.425000 ;
+      RECT 1.415000  1.425000 1.665000 1.445000 ;
+      RECT 1.415000  1.445000 3.560000 1.450000 ;
+      RECT 1.420000  1.450000 3.560000 1.615000 ;
+      RECT 1.435000  0.085000 1.815000 0.485000 ;
+      RECT 1.440000  1.785000 3.030000 1.955000 ;
+      RECT 1.440000  1.955000 1.715000 1.995000 ;
+      RECT 1.480000  2.335000 1.815000 2.635000 ;
+      RECT 1.985000  0.305000 2.155000 0.735000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 2.860000  1.955000 3.030000 2.215000 ;
+      RECT 2.860000  2.215000 3.345000 2.385000 ;
+      RECT 2.885000  0.305000 3.055000 0.735000 ;
+      RECT 3.225000  0.085000 3.555000 0.585000 ;
+      RECT 3.225000  1.615000 3.560000 1.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_2
+MACRO sky130_fd_sc_hd__or4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.755000 0.995000 2.925000 1.445000 ;
+        RECT 2.755000 1.445000 3.190000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.995000 2.525000 1.450000 ;
+        RECT 2.335000 1.450000 2.525000 1.785000 ;
+        RECT 2.335000 1.785000 2.635000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.795000 0.995000 1.965000 1.620000 ;
+        RECT 1.795000 1.620000 2.155000 2.375000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.995000 0.445000 1.955000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.455000 4.965000 1.625000 ;
+        RECT 3.395000 1.625000 3.645000 2.465000 ;
+        RECT 3.435000 0.255000 3.685000 0.725000 ;
+        RECT 3.435000 0.725000 4.965000 0.905000 ;
+        RECT 4.195000 0.255000 4.525000 0.725000 ;
+        RECT 4.235000 1.625000 4.485000 2.465000 ;
+        RECT 4.725000 0.905000 4.965000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.825000 ;
+      RECT 0.085000  2.135000 0.365000 2.635000 ;
+      RECT 0.595000  0.435000 0.785000 0.905000 ;
+      RECT 0.595000  2.065000 0.785000 2.455000 ;
+      RECT 0.615000  0.905000 0.785000 0.995000 ;
+      RECT 0.615000  0.995000 1.215000 1.325000 ;
+      RECT 0.615000  1.325000 0.785000 2.065000 ;
+      RECT 1.035000  0.085000 1.285000 0.585000 ;
+      RECT 1.035000  1.575000 1.625000 1.745000 ;
+      RECT 1.035000  1.745000 1.365000 2.450000 ;
+      RECT 1.455000  0.655000 3.265000 0.825000 ;
+      RECT 1.455000  0.825000 1.625000 1.575000 ;
+      RECT 1.615000  0.305000 1.785000 0.655000 ;
+      RECT 1.985000  0.085000 2.315000 0.485000 ;
+      RECT 2.485000  0.305000 2.655000 0.655000 ;
+      RECT 2.875000  0.085000 3.255000 0.485000 ;
+      RECT 2.920000  1.795000 3.170000 2.635000 ;
+      RECT 3.095000  0.825000 3.265000 1.075000 ;
+      RECT 3.095000  1.075000 4.555000 1.245000 ;
+      RECT 3.815000  1.795000 4.065000 2.635000 ;
+      RECT 3.855000  0.085000 4.025000 0.555000 ;
+      RECT 4.655000  1.795000 4.905000 2.635000 ;
+      RECT 4.695000  0.085000 4.865000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_4
+MACRO sky130_fd_sc_hd__a221o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 1.075000 3.190000 1.105000 ;
+        RECT 2.855000 1.105000 4.060000 1.285000 ;
+        RECT 3.710000 1.075000 4.060000 1.105000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 1.075000 2.680000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 1.075000 6.035000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.270000 1.075000 7.280000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.230000 1.075000 4.725000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.735000 1.685000 0.905000 ;
+        RECT 0.095000 0.905000 0.325000 1.455000 ;
+        RECT 0.095000 1.455000 1.645000 1.625000 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 1.685000 0.735000 ;
+        RECT 0.555000 1.625000 0.805000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 1.395000 1.625000 1.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.155000  1.795000 0.385000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.555000 ;
+      RECT 0.495000  1.075000 1.845000 1.115000 ;
+      RECT 0.495000  1.115000 1.985000 1.285000 ;
+      RECT 0.975000  1.795000 1.225000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.815000  1.285000 1.985000 1.455000 ;
+      RECT 1.815000  1.455000 5.065000 1.625000 ;
+      RECT 1.815000  1.795000 2.065000 2.635000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  0.735000 2.525000 0.905000 ;
+      RECT 1.945000  0.905000 2.165000 0.935000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  1.795000 4.230000 1.875000 ;
+      RECT 2.235000  1.875000 5.575000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.465000 ;
+      RECT 2.655000  2.135000 2.905000 2.635000 ;
+      RECT 2.695000  0.085000 2.865000 0.895000 ;
+      RECT 3.075000  1.965000 3.330000 2.465000 ;
+      RECT 3.080000  0.305000 4.305000 0.475000 ;
+      RECT 3.190000  0.735000 3.885000 0.905000 ;
+      RECT 3.315000  0.905000 3.610000 0.935000 ;
+      RECT 3.500000  2.135000 3.750000 2.635000 ;
+      RECT 3.550000  0.645000 3.885000 0.735000 ;
+      RECT 3.940000  2.215000 6.385000 2.295000 ;
+      RECT 3.940000  2.295000 7.225000 2.465000 ;
+      RECT 4.055000  0.475000 4.305000 0.725000 ;
+      RECT 4.055000  0.725000 5.065000 0.905000 ;
+      RECT 4.060000  1.965000 5.575000 2.045000 ;
+      RECT 4.405000  1.625000 4.735000 1.705000 ;
+      RECT 4.475000  0.085000 4.645000 0.555000 ;
+      RECT 4.815000  0.255000 5.985000 0.475000 ;
+      RECT 4.815000  0.475000 5.065000 0.725000 ;
+      RECT 4.895000  0.905000 5.065000 1.455000 ;
+      RECT 5.235000  0.645000 6.505000 0.725000 ;
+      RECT 5.235000  0.725000 7.345000 0.905000 ;
+      RECT 5.245000  1.455000 6.805000 1.625000 ;
+      RECT 5.245000  1.625000 5.575000 1.875000 ;
+      RECT 5.745000  1.795000 6.385000 2.215000 ;
+      RECT 6.555000  1.625000 6.805000 2.125000 ;
+      RECT 6.675000  0.085000 6.845000 0.555000 ;
+      RECT 6.975000  1.785000 7.225000 2.295000 ;
+      RECT 7.015000  0.255000 7.345000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.995000  0.765000 2.165000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.400000  0.765000 3.570000 0.935000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.935000 0.735000 2.225000 0.780000 ;
+      RECT 1.935000 0.780000 3.630000 0.920000 ;
+      RECT 1.935000 0.920000 2.225000 0.965000 ;
+      RECT 3.340000 0.735000 3.630000 0.780000 ;
+      RECT 3.340000 0.920000 3.630000 0.965000 ;
+  END
+END sky130_fd_sc_hd__a221o_4
+MACRO sky130_fd_sc_hd__a221o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+      RECT 3.745000  0.085000 3.915000 0.980000 ;
+      RECT 3.745000  1.445000 3.915000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_2
+MACRO sky130_fd_sc_hd__a221o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_1
+MACRO sky130_fd_sc_hd__xnor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.930000 1.075000 1.625000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.965000 1.615000 ;
+        RECT 1.795000 1.075000 2.395000 1.245000 ;
+        RECT 1.795000 1.245000 1.965000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.525000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 2.125000 2.645000 2.295000 ;
+        RECT 2.475000 1.755000 3.135000 1.955000 ;
+        RECT 2.475000 1.955000 2.645000 2.125000 ;
+        RECT 2.815000 0.345000 3.135000 0.825000 ;
+        RECT 2.965000 0.825000 3.135000 1.755000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.280000 0.550000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 2.305000 1.955000 ;
+      RECT 0.085000  2.125000 0.385000 2.635000 ;
+      RECT 0.555000  1.955000 0.885000 2.465000 ;
+      RECT 1.055000  0.085000 1.225000 0.905000 ;
+      RECT 1.055000  2.125000 1.685000 2.635000 ;
+      RECT 1.395000  0.255000 1.725000 0.735000 ;
+      RECT 1.395000  0.735000 2.645000 0.825000 ;
+      RECT 1.395000  0.825000 2.305000 0.905000 ;
+      RECT 1.895000  0.085000 2.245000 0.475000 ;
+      RECT 2.135000  0.655000 2.645000 0.735000 ;
+      RECT 2.135000  1.415000 2.795000 1.585000 ;
+      RECT 2.135000  1.585000 2.305000 1.785000 ;
+      RECT 2.415000  0.255000 2.645000 0.655000 ;
+      RECT 2.625000  0.995000 2.795000 1.415000 ;
+      RECT 2.815000  2.125000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xnor2_1
+MACRO sky130_fd_sc_hd__xnor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.255000 1.075000 2.705000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.960000 1.285000 ;
+        RECT 0.790000 1.285000 0.960000 1.445000 ;
+        RECT 0.790000 1.445000 3.100000 1.615000 ;
+        RECT 2.930000 1.075000 3.955000 1.285000 ;
+        RECT 2.930000 1.285000 3.100000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.913000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.795000 5.295000 1.965000 ;
+        RECT 3.725000 1.965000 3.935000 2.125000 ;
+        RECT 4.585000 0.305000 5.895000 0.475000 ;
+        RECT 5.045000 1.415000 5.895000 1.625000 ;
+        RECT 5.045000 1.625000 5.295000 1.795000 ;
+        RECT 5.045000 1.965000 5.295000 2.125000 ;
+        RECT 5.505000 0.475000 5.895000 1.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.645000 0.860000 0.895000 ;
+      RECT 0.085000  0.895000 0.315000 1.785000 ;
+      RECT 0.085000  1.785000 3.480000 1.955000 ;
+      RECT 0.085000  1.955000 2.080000 1.965000 ;
+      RECT 0.085000  1.965000 0.400000 2.465000 ;
+      RECT 0.105000  0.255000 1.280000 0.475000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  1.965000 1.240000 2.465000 ;
+      RECT 1.030000  0.475000 1.280000 0.725000 ;
+      RECT 1.030000  0.725000 2.120000 0.905000 ;
+      RECT 1.410000  2.135000 1.660000 2.635000 ;
+      RECT 1.450000  0.085000 1.620000 0.555000 ;
+      RECT 1.790000  0.255000 2.120000 0.725000 ;
+      RECT 1.830000  1.965000 2.080000 2.465000 ;
+      RECT 2.390000  2.125000 2.640000 2.465000 ;
+      RECT 2.430000  0.085000 2.600000 0.905000 ;
+      RECT 2.770000  0.255000 3.100000 0.725000 ;
+      RECT 2.770000  0.725000 5.335000 0.905000 ;
+      RECT 2.810000  2.135000 3.060000 2.635000 ;
+      RECT 3.230000  2.125000 3.555000 2.295000 ;
+      RECT 3.230000  2.295000 4.355000 2.465000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.310000  1.455000 4.805000 1.625000 ;
+      RECT 3.310000  1.625000 3.480000 1.785000 ;
+      RECT 3.610000  0.255000 3.975000 0.725000 ;
+      RECT 4.105000  2.135000 4.355000 2.295000 ;
+      RECT 4.145000  0.085000 4.315000 0.555000 ;
+      RECT 4.625000  2.135000 4.875000 2.635000 ;
+      RECT 4.635000  1.075000 5.295000 1.245000 ;
+      RECT 4.635000  1.245000 4.805000 1.455000 ;
+      RECT 5.005000  0.645000 5.335000 0.725000 ;
+      RECT 5.465000  1.795000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.465000  2.125000 2.635000 2.295000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.385000  2.125000 3.555000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.405000 2.095000 2.695000 2.140000 ;
+      RECT 2.405000 2.140000 3.615000 2.280000 ;
+      RECT 2.405000 2.280000 2.695000 2.325000 ;
+      RECT 3.325000 2.095000 3.615000 2.140000 ;
+      RECT 3.325000 2.280000 3.615000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xnor2_2
+MACRO sky130_fd_sc_hd__xnor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.175000 1.075000 5.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 1.075000 1.855000 1.275000 ;
+        RECT 1.685000 1.275000 1.855000 1.445000 ;
+        RECT 1.685000 1.445000 5.730000 1.615000 ;
+        RECT 5.560000 1.075000 7.430000 1.275000 ;
+        RECT 5.560000 1.275000 5.730000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.721000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.160000 1.785000  8.250000 2.045000 ;
+        RECT 7.960000 1.445000 10.035000 1.665000 ;
+        RECT 7.960000 1.665000  8.250000 1.785000 ;
+        RECT 7.960000 2.045000  8.250000 2.465000 ;
+        RECT 8.380000 0.645000 10.035000 0.905000 ;
+        RECT 8.840000 1.665000  9.090000 2.465000 ;
+        RECT 9.680000 1.665000 10.035000 2.465000 ;
+        RECT 9.815000 0.905000 10.035000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.760000 0.905000 ;
+      RECT 0.085000  0.905000  0.320000 1.445000 ;
+      RECT 0.085000  1.445000  1.300000 1.615000 ;
+      RECT 0.085000  1.615000  0.460000 2.465000 ;
+      RECT 0.170000  0.255000  2.180000 0.475000 ;
+      RECT 0.630000  1.835000  0.880000 2.635000 ;
+      RECT 1.050000  1.615000  1.300000 1.785000 ;
+      RECT 1.050000  1.785000  3.820000 2.005000 ;
+      RECT 1.050000  2.005000  1.300000 2.465000 ;
+      RECT 1.470000  2.175000  1.720000 2.635000 ;
+      RECT 1.890000  2.005000  2.140000 2.465000 ;
+      RECT 1.930000  0.475000  2.180000 0.725000 ;
+      RECT 1.930000  0.725000  3.860000 0.905000 ;
+      RECT 2.310000  2.175000  2.560000 2.635000 ;
+      RECT 2.350000  0.085000  2.520000 0.555000 ;
+      RECT 2.690000  0.255000  3.020000 0.725000 ;
+      RECT 2.730000  2.005000  2.980000 2.465000 ;
+      RECT 3.150000  2.175000  3.400000 2.635000 ;
+      RECT 3.190000  0.085000  3.360000 0.555000 ;
+      RECT 3.530000  0.255000  3.860000 0.725000 ;
+      RECT 3.570000  2.005000  3.820000 2.465000 ;
+      RECT 4.035000  0.085000  4.310000 0.905000 ;
+      RECT 4.035000  1.785000  5.990000 2.005000 ;
+      RECT 4.035000  2.005000  4.350000 2.465000 ;
+      RECT 4.480000  0.255000  4.810000 0.725000 ;
+      RECT 4.480000  0.725000  7.430000 0.735000 ;
+      RECT 4.480000  0.735000  8.210000 0.905000 ;
+      RECT 4.520000  2.175000  4.770000 2.635000 ;
+      RECT 4.940000  2.005000  5.190000 2.465000 ;
+      RECT 4.980000  0.085000  5.150000 0.555000 ;
+      RECT 5.320000  0.255000  5.650000 0.725000 ;
+      RECT 5.360000  2.175000  5.610000 2.635000 ;
+      RECT 5.780000  2.005000  5.990000 2.215000 ;
+      RECT 5.780000  2.215000  7.750000 2.465000 ;
+      RECT 5.820000  0.085000  5.990000 0.555000 ;
+      RECT 5.900000  1.445000  7.770000 1.615000 ;
+      RECT 6.160000  0.255000  6.490000 0.725000 ;
+      RECT 6.660000  0.085000  6.830000 0.555000 ;
+      RECT 7.000000  0.255000  7.330000 0.725000 ;
+      RECT 7.500000  0.085000  7.770000 0.555000 ;
+      RECT 7.600000  1.075000  9.645000 1.275000 ;
+      RECT 7.600000  1.275000  7.770000 1.445000 ;
+      RECT 7.960000  0.305000  9.970000 0.475000 ;
+      RECT 7.960000  0.475000  8.210000 0.735000 ;
+      RECT 8.420000  1.835000  8.670000 2.635000 ;
+      RECT 9.260000  1.835000  9.510000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.445000 1.235000 1.615000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.005000 1.415000 1.295000 1.460000 ;
+      RECT 1.005000 1.460000 6.355000 1.600000 ;
+      RECT 1.005000 1.600000 1.295000 1.645000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xnor2_4
+MACRO sky130_fd_sc_hd__or3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 1.430000 1.325000 ;
+        RECT 0.605000 1.325000 0.830000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.280000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.435000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 0.415000 2.215000 0.760000 ;
+        RECT 1.940000 1.495000 2.215000 2.465000 ;
+        RECT 2.045000 0.760000 2.215000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.105000  0.305000 0.360000 0.655000 ;
+      RECT 0.105000  0.655000 1.770000 0.825000 ;
+      RECT 0.105000  1.495000 0.435000 1.785000 ;
+      RECT 0.105000  1.785000 1.270000 1.955000 ;
+      RECT 0.530000  0.085000 0.860000 0.485000 ;
+      RECT 1.030000  0.305000 1.200000 0.655000 ;
+      RECT 1.100000  1.495000 1.770000 1.665000 ;
+      RECT 1.100000  1.665000 1.270000 1.785000 ;
+      RECT 1.370000  0.085000 1.750000 0.485000 ;
+      RECT 1.450000  1.835000 1.730000 2.635000 ;
+      RECT 1.600000  0.825000 1.770000 0.995000 ;
+      RECT 1.600000  0.995000 1.875000 1.325000 ;
+      RECT 1.600000  1.325000 1.770000 1.495000 ;
+      RECT 2.385000  0.085000 2.675000 0.915000 ;
+      RECT 2.385000  1.430000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_2
+MACRO sky130_fd_sc_hd__or3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.995000 1.425000 1.325000 ;
+        RECT 0.600000 1.325000 0.795000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.275000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.415000 2.210000 0.760000 ;
+        RECT 1.935000 1.495000 2.210000 2.465000 ;
+        RECT 2.040000 0.760000 2.210000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.100000  0.305000 0.355000 0.655000 ;
+      RECT 0.100000  0.655000 1.765000 0.825000 ;
+      RECT 0.105000  1.495000 0.430000 1.785000 ;
+      RECT 0.105000  1.785000 1.275000 1.955000 ;
+      RECT 0.525000  0.085000 0.855000 0.485000 ;
+      RECT 1.025000  0.305000 1.195000 0.655000 ;
+      RECT 1.105000  1.495000 1.765000 1.665000 ;
+      RECT 1.105000  1.665000 1.275000 1.785000 ;
+      RECT 1.365000  0.085000 1.745000 0.485000 ;
+      RECT 1.445000  1.835000 1.725000 2.635000 ;
+      RECT 1.595000  0.825000 1.765000 0.995000 ;
+      RECT 1.595000  0.995000 1.870000 1.325000 ;
+      RECT 1.595000  1.325000 1.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_1
+MACRO sky130_fd_sc_hd__or3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.075000 1.055000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.050000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.305000 0.265000 2.635000 0.735000 ;
+        RECT 2.305000 0.735000 4.055000 0.905000 ;
+        RECT 2.345000 1.455000 4.055000 1.625000 ;
+        RECT 2.345000 1.625000 2.595000 2.465000 ;
+        RECT 3.145000 0.265000 3.475000 0.735000 ;
+        RECT 3.185000 1.625000 3.435000 2.465000 ;
+        RECT 3.765000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.725000 ;
+      RECT 0.085000  0.725000 2.090000 0.905000 ;
+      RECT 0.085000  1.495000 0.425000 2.295000 ;
+      RECT 0.085000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 1.000000  1.495000 2.090000 1.665000 ;
+      RECT 1.000000  1.665000 1.265000 2.295000 ;
+      RECT 1.435000  0.085000 2.135000 0.555000 ;
+      RECT 1.435000  1.835000 2.135000 2.635000 ;
+      RECT 1.870000  0.905000 2.090000 1.075000 ;
+      RECT 1.870000  1.075000 3.595000 1.245000 ;
+      RECT 1.870000  1.245000 2.090000 1.495000 ;
+      RECT 2.765000  1.795000 3.015000 2.635000 ;
+      RECT 2.805000  0.085000 2.975000 0.555000 ;
+      RECT 3.605000  1.795000 3.855000 2.635000 ;
+      RECT 3.645000  0.085000 3.815000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_4
+MACRO sky130_fd_sc_hd__nand3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.732000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 2.675000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 0.255000 2.675000 0.485000 ;
+        RECT 2.085000 1.665000 2.675000 2.465000 ;
+        RECT 2.385000 0.485000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.445000 0.510000 0.655000 ;
+      RECT 0.085000  0.655000 2.215000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.710000  0.085000 1.040000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.045000  0.825000 2.215000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_1
+MACRO sky130_fd_sc_hd__nand3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 1.075000 4.480000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.500000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.965000 0.905000 ;
+        RECT 1.455000 1.445000 6.505000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 3.465000 2.005000 ;
+        RECT 2.295000 2.005000 2.625000 2.465000 ;
+        RECT 2.795000 0.905000 2.965000 1.075000 ;
+        RECT 2.795000 1.075000 3.100000 1.445000 ;
+        RECT 3.135000 2.005000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.285000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 1.445000 ;
+      RECT 0.085000  1.445000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.445000 1.285000 2.635000 ;
+      RECT 1.005000  0.905000 1.285000 1.075000 ;
+      RECT 1.005000  1.075000 2.625000 1.275000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  2.175000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 4.725000 0.715000 ;
+      RECT 3.135000  0.715000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.085000 5.165000 0.545000 ;
+      RECT 5.335000  0.255000 5.665000 0.715000 ;
+      RECT 5.835000  0.085000 6.005000 0.545000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.175000  0.255000 6.505000 0.715000 ;
+      RECT 6.675000  0.085000 7.005000 0.905000 ;
+      RECT 6.675000  1.445000 7.005000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_4
+MACRO sky130_fd_sc_hd__nand3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.140000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.740000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.785000 4.050000 1.955000 ;
+        RECT 1.060000 1.955000 2.230000 2.005000 ;
+        RECT 1.060000 2.005000 1.390000 2.465000 ;
+        RECT 1.900000 2.005000 2.230000 2.465000 ;
+        RECT 3.260000 0.635000 4.050000 0.905000 ;
+        RECT 3.260000 1.955000 4.050000 2.005000 ;
+        RECT 3.260000 2.005000 3.510000 2.465000 ;
+        RECT 3.850000 0.905000 4.050000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.410000 0.655000 ;
+      RECT 0.090000  0.655000 0.260000 1.445000 ;
+      RECT 0.090000  1.445000 3.650000 1.615000 ;
+      RECT 0.090000  1.615000 0.260000 2.065000 ;
+      RECT 0.090000  2.065000 0.410000 2.465000 ;
+      RECT 0.580000  0.085000 0.890000 0.905000 ;
+      RECT 0.580000  1.835000 0.890000 2.635000 ;
+      RECT 1.060000  0.255000 1.390000 0.715000 ;
+      RECT 1.060000  0.715000 2.750000 0.905000 ;
+      RECT 1.560000  0.085000 1.810000 0.545000 ;
+      RECT 1.560000  2.175000 1.730000 2.635000 ;
+      RECT 2.000000  0.255000 4.050000 0.465000 ;
+      RECT 2.000000  0.635000 2.750000 0.715000 ;
+      RECT 2.400000  2.175000 2.650000 2.635000 ;
+      RECT 2.840000  2.175000 3.090000 2.635000 ;
+      RECT 2.920000  0.465000 3.090000 0.905000 ;
+      RECT 3.320000  1.075000 3.650000 1.445000 ;
+      RECT 3.760000  2.175000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.480000 1.285000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.390500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185000 0.270000 3.625000 0.640000 ;
+        RECT 3.185000 1.530000 3.625000 2.465000 ;
+        RECT 3.345000 0.640000 3.625000 1.530000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.270000 0.905000 ;
+      RECT 0.085000  1.455000 1.270000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.765000  1.075000 1.435000 1.245000 ;
+      RECT 0.850000  0.905000 1.270000 1.075000 ;
+      RECT 0.850000  1.245000 1.270000 1.455000 ;
+      RECT 1.390000  1.785000 1.795000 2.465000 ;
+      RECT 1.440000  0.270000 1.795000 0.900000 ;
+      RECT 1.625000  0.900000 1.795000 1.075000 ;
+      RECT 1.625000  1.075000 2.305000 1.245000 ;
+      RECT 1.625000  1.245000 1.795000 1.785000 ;
+      RECT 1.985000  0.270000 2.235000 0.735000 ;
+      RECT 1.985000  0.735000 2.645000 0.905000 ;
+      RECT 1.985000  1.460000 2.645000 1.630000 ;
+      RECT 1.985000  1.630000 2.235000 2.465000 ;
+      RECT 2.475000  0.905000 2.645000 0.995000 ;
+      RECT 2.475000  0.995000 3.175000 1.325000 ;
+      RECT 2.475000  1.325000 2.645000 1.460000 ;
+      RECT 2.685000  0.085000 3.015000 0.565000 ;
+      RECT 2.685000  1.800000 3.015000 2.635000 ;
+      RECT 3.795000  0.085000 4.055000 0.635000 ;
+      RECT 3.795000  1.800000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.535000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.504100 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.255000 3.595000 0.640000 ;
+        RECT 3.190000 1.690000 3.595000 2.465000 ;
+        RECT 3.345000 0.640000 3.595000 1.690000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.705000  0.905000 1.055000 1.025000 ;
+      RECT 0.705000  1.025000 1.135000 1.315000 ;
+      RECT 0.705000  1.315000 1.055000 1.460000 ;
+      RECT 1.380000  0.255000 1.730000 1.070000 ;
+      RECT 1.380000  1.070000 2.240000 1.320000 ;
+      RECT 1.380000  1.320000 1.730000 2.465000 ;
+      RECT 1.990000  0.255000 2.240000 0.730000 ;
+      RECT 1.990000  0.730000 2.580000 0.900000 ;
+      RECT 1.990000  1.495000 2.580000 1.665000 ;
+      RECT 1.990000  1.665000 2.240000 2.465000 ;
+      RECT 2.410000  0.900000 2.580000 0.995000 ;
+      RECT 2.410000  0.995000 3.175000 1.325000 ;
+      RECT 2.410000  1.325000 2.580000 1.495000 ;
+      RECT 2.690000  0.085000 3.020000 0.600000 ;
+      RECT 2.690000  1.835000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_1
+MACRO sky130_fd_sc_hd__fah_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fah_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.440000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 2.495000 1.275000 ;
+        RECT 1.990000 1.275000 2.190000 1.410000 ;
+        RECT 2.015000 1.410000 2.190000 1.725000 ;
+      LAYER mcon ;
+        RECT 1.990000 1.105000 2.160000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.675000 0.995000 5.925000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.680000 1.105000 5.850000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.930000 1.075000 2.220000 1.120000 ;
+        RECT 1.930000 1.120000 5.910000 1.260000 ;
+        RECT 1.930000 1.260000 2.220000 1.305000 ;
+        RECT 5.620000 1.075000 5.910000 1.120000 ;
+        RECT 5.620000 1.260000 5.910000 1.305000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.475000 1.075000  9.865000 1.325000 ;
+        RECT 9.690000 0.735000 10.010000 0.935000 ;
+        RECT 9.690000 0.935000  9.865000 1.075000 ;
+    END
+  END CI
+  PIN COUT
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.870000 0.270000 11.310000 0.825000 ;
+        RECT 10.870000 0.825000 11.040000 1.495000 ;
+        RECT 10.870000 1.495000 11.390000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.506000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.980000 0.255000 12.335000 0.825000 ;
+        RECT 11.985000 1.785000 12.335000 2.465000 ;
+        RECT 12.110000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.255000  0.425000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.445000 1.895000 ;
+      RECT  0.085000  1.895000  2.805000 2.065000 ;
+      RECT  0.085000  2.065000  0.395000 2.465000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.565000  2.260000  0.930000 2.635000 ;
+      RECT  0.595000  0.085000  0.765000 0.545000 ;
+      RECT  0.595000  0.735000  1.320000 0.905000 ;
+      RECT  0.595000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.380000 ;
+      RECT  0.610000  1.380000  0.815000 1.445000 ;
+      RECT  0.610000  1.445000  1.315000 1.455000 ;
+      RECT  0.615000  1.455000  1.315000 1.615000 ;
+      RECT  0.985000  1.615000  1.315000 1.715000 ;
+      RECT  0.990000  0.255000  1.320000 0.735000 ;
+      RECT  1.490000  1.445000  1.820000 1.500000 ;
+      RECT  1.490000  1.500000  1.840000 1.725000 ;
+      RECT  1.500000  0.255000  1.840000 0.715000 ;
+      RECT  1.500000  0.715000  2.520000 0.885000 ;
+      RECT  1.500000  0.885000  1.820000 0.905000 ;
+      RECT  1.615000  0.905000  1.820000 1.445000 ;
+      RECT  2.010000  0.085000  2.180000 0.545000 ;
+      RECT  2.065000  2.235000  2.395000 2.635000 ;
+      RECT  2.350000  0.255000  4.840000 0.425000 ;
+      RECT  2.350000  0.425000  2.520000 0.715000 ;
+      RECT  2.360000  1.445000  2.860000 1.715000 ;
+      RECT  2.635000  2.065000  2.805000 2.295000 ;
+      RECT  2.635000  2.295000  4.950000 2.465000 ;
+      RECT  2.690000  0.595000  2.860000 1.445000 ;
+      RECT  3.030000  0.425000  4.840000 0.465000 ;
+      RECT  3.030000  0.465000  3.200000 1.955000 ;
+      RECT  3.030000  1.955000  4.320000 2.125000 ;
+      RECT  3.370000  0.635000  3.900000 0.805000 ;
+      RECT  3.370000  0.805000  3.540000 1.455000 ;
+      RECT  3.370000  1.455000  3.815000 1.785000 ;
+      RECT  3.985000  1.785000  4.320000 1.955000 ;
+      RECT  4.070000  0.645000  4.400000 0.735000 ;
+      RECT  4.070000  0.735000  4.560000 0.755000 ;
+      RECT  4.070000  0.755000  5.170000 0.780000 ;
+      RECT  4.070000  0.780000  5.155000 0.805000 ;
+      RECT  4.070000  0.805000  5.145000 0.905000 ;
+      RECT  4.070000  1.075000  4.400000 1.160000 ;
+      RECT  4.070000  1.160000  4.535000 1.615000 ;
+      RECT  4.480000  0.905000  5.145000 0.925000 ;
+      RECT  4.650000  0.465000  4.840000 0.585000 ;
+      RECT  4.705000  0.925000  4.875000 2.295000 ;
+      RECT  4.925000  0.735000  5.180000 0.740000 ;
+      RECT  4.925000  0.740000  5.170000 0.755000 ;
+      RECT  4.950000  0.715000  5.180000 0.735000 ;
+      RECT  4.980000  0.690000  5.180000 0.715000 ;
+      RECT  5.000000  0.655000  5.180000 0.690000 ;
+      RECT  5.010000  0.255000  6.100000 0.425000 ;
+      RECT  5.010000  0.425000  5.180000 0.655000 ;
+      RECT  5.125000  1.150000  5.505000 1.320000 ;
+      RECT  5.125000  1.320000  5.295000 2.295000 ;
+      RECT  5.125000  2.295000  7.560000 2.465000 ;
+      RECT  5.320000  0.865000  5.520000 0.925000 ;
+      RECT  5.320000  0.925000  5.505000 1.150000 ;
+      RECT  5.335000  0.840000  5.520000 0.865000 ;
+      RECT  5.350000  0.595000  5.520000 0.840000 ;
+      RECT  5.475000  1.700000  5.875000 2.030000 ;
+      RECT  5.750000  0.425000  6.100000 0.565000 ;
+      RECT  6.105000  0.740000  6.435000 1.275000 ;
+      RECT  6.105000  1.445000  6.460000 1.615000 ;
+      RECT  6.270000  0.255000  9.735000 0.425000 ;
+      RECT  6.270000  0.425000  6.600000 0.570000 ;
+      RECT  6.290000  1.615000  6.460000 1.955000 ;
+      RECT  6.290000  1.955000  7.220000 2.125000 ;
+      RECT  6.610000  0.755000  6.940000 0.925000 ;
+      RECT  6.610000  0.925000  6.880000 1.275000 ;
+      RECT  6.710000  1.275000  6.880000 1.785000 ;
+      RECT  6.770000  0.595000  6.940000 0.755000 ;
+      RECT  7.050000  1.060000  7.280000 1.130000 ;
+      RECT  7.050000  1.130000  7.245000 1.175000 ;
+      RECT  7.050000  1.175000  7.220000 1.955000 ;
+      RECT  7.065000  1.045000  7.280000 1.060000 ;
+      RECT  7.090000  1.010000  7.280000 1.045000 ;
+      RECT  7.110000  0.595000  7.445000 0.765000 ;
+      RECT  7.110000  0.765000  7.280000 1.010000 ;
+      RECT  7.390000  1.275000  7.620000 1.375000 ;
+      RECT  7.390000  1.375000  7.595000 1.400000 ;
+      RECT  7.390000  1.400000  7.575000 1.425000 ;
+      RECT  7.390000  1.425000  7.560000 2.295000 ;
+      RECT  7.450000  0.995000  7.620000 1.275000 ;
+      RECT  7.705000  0.425000  7.960000 0.825000 ;
+      RECT  7.730000  1.510000  7.960000 2.295000 ;
+      RECT  7.730000  2.295000  9.655000 2.465000 ;
+      RECT  7.790000  0.825000  7.960000 1.510000 ;
+      RECT  8.145000  1.955000  9.250000 2.125000 ;
+      RECT  8.155000  0.595000  8.405000 0.925000 ;
+      RECT  8.225000  0.925000  8.405000 1.445000 ;
+      RECT  8.225000  1.445000  8.910000 1.785000 ;
+      RECT  8.575000  0.595000  8.745000 1.105000 ;
+      RECT  8.575000  1.105000  9.250000 1.275000 ;
+      RECT  8.920000  0.685000  9.300000 0.935000 ;
+      RECT  9.080000  1.275000  9.250000 1.955000 ;
+      RECT  9.400000  0.425000  9.735000 0.515000 ;
+      RECT  9.420000  1.495000 10.350000 1.705000 ;
+      RECT  9.420000  1.705000  9.655000 2.295000 ;
+      RECT  9.840000  2.275000 10.175000 2.635000 ;
+      RECT  9.905000  0.085000 10.075000 0.565000 ;
+      RECT 10.180000  0.995000 10.350000 1.495000 ;
+      RECT 10.245000  0.285000 10.690000 0.825000 ;
+      RECT 10.345000  1.875000 10.690000 2.465000 ;
+      RECT 10.520000  0.825000 10.690000 1.875000 ;
+      RECT 11.210000  0.995000 11.460000 1.325000 ;
+      RECT 11.480000  0.085000 11.810000 0.825000 ;
+      RECT 11.560000  1.785000 11.815000 2.635000 ;
+      RECT 11.630000  0.995000 11.940000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.445000  2.620000 1.615000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.370000  0.765000  3.540000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.365000  1.445000  4.535000 1.615000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.570000  1.785000  5.740000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  0.765000  6.320000 0.935000 ;
+      RECT  6.150000  1.445000  6.320000 1.615000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.610000  1.105000  6.780000 1.275000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.460000  1.445000  8.630000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.920000  0.765000  9.090000 0.935000 ;
+      RECT  9.080000  1.785000  9.250000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.785000 10.690000 1.955000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  1.105000 11.390000 1.275000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  2.390000 1.415000  2.680000 1.460000 ;
+      RECT  2.390000 1.460000  6.380000 1.600000 ;
+      RECT  2.390000 1.600000  2.680000 1.645000 ;
+      RECT  3.310000 0.735000  3.600000 0.780000 ;
+      RECT  3.310000 0.780000  9.150000 0.920000 ;
+      RECT  3.310000 0.920000  3.600000 0.965000 ;
+      RECT  3.925000 1.755000  4.215000 1.800000 ;
+      RECT  3.925000 1.800000  5.800000 1.940000 ;
+      RECT  3.925000 1.940000  4.215000 1.985000 ;
+      RECT  4.305000 1.415000  4.595000 1.460000 ;
+      RECT  4.305000 1.600000  4.595000 1.645000 ;
+      RECT  5.510000 1.755000  5.800000 1.800000 ;
+      RECT  5.510000 1.940000  5.800000 1.985000 ;
+      RECT  6.090000 0.735000  6.380000 0.780000 ;
+      RECT  6.090000 0.920000  6.380000 0.965000 ;
+      RECT  6.090000 1.415000  6.380000 1.460000 ;
+      RECT  6.090000 1.600000  6.380000 1.645000 ;
+      RECT  6.550000 1.075000  6.840000 1.120000 ;
+      RECT  6.550000 1.120000 11.450000 1.260000 ;
+      RECT  6.550000 1.260000  6.840000 1.305000 ;
+      RECT  8.400000 1.415000  8.690000 1.460000 ;
+      RECT  8.400000 1.460000 11.910000 1.600000 ;
+      RECT  8.400000 1.600000  8.690000 1.645000 ;
+      RECT  8.860000 0.735000  9.150000 0.780000 ;
+      RECT  8.860000 0.920000  9.150000 0.965000 ;
+      RECT  9.020000 1.755000  9.310000 1.800000 ;
+      RECT  9.020000 1.800000 10.750000 1.940000 ;
+      RECT  9.020000 1.940000  9.310000 1.985000 ;
+      RECT 10.460000 1.755000 10.750000 1.800000 ;
+      RECT 10.460000 1.940000 10.750000 1.985000 ;
+      RECT 11.160000 1.075000 11.450000 1.120000 ;
+      RECT 11.160000 1.260000 11.450000 1.305000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fah_1
+MACRO sky130_fd_sc_hd__a222oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.000000 2.925000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.000000 3.435000 1.330000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.000000 2.445000 1.330000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.000000 1.965000 1.330000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.000000 0.545000 1.315000 ;
+    END
+  END C1
+  PIN C2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.715000 1.000000 1.085000 1.315000 ;
+    END
+  END C2
+  PIN Y
+    ANTENNADIFFAREA  0.897600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.425000 0.645000 ;
+        RECT 0.095000 0.645000 2.645000 0.815000 ;
+        RECT 0.095000 1.485000 0.425000 1.500000 ;
+        RECT 0.095000 1.500000 1.425000 1.670000 ;
+        RECT 0.095000 1.670000 0.425000 1.680000 ;
+        RECT 0.095000 1.680000 0.345000 2.255000 ;
+        RECT 0.095000 2.255000 0.425000 2.465000 ;
+        RECT 1.015000 1.670000 1.185000 1.830000 ;
+        RECT 1.255000 0.815000 1.480000 1.330000 ;
+        RECT 1.255000 1.330000 1.425000 1.500000 ;
+        RECT 2.315000 0.295000 2.645000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.000000 0.000000 3.680000 0.240000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  1.875000 0.845000 2.075000 ;
+      RECT 0.595000  2.075000 0.765000 2.295000 ;
+      RECT 0.595000  2.295000 2.185000 2.465000 ;
+      RECT 0.875000  0.085000 1.605000 0.465000 ;
+      RECT 1.515000  1.825000 2.015000 1.965000 ;
+      RECT 1.515000  1.965000 1.970000 1.970000 ;
+      RECT 1.515000  1.970000 1.935000 1.980000 ;
+      RECT 1.515000  1.980000 1.915000 1.995000 ;
+      RECT 1.845000  1.655000 3.595000 1.670000 ;
+      RECT 1.845000  1.670000 2.685000 1.735000 ;
+      RECT 1.845000  1.735000 2.605000 1.825000 ;
+      RECT 2.015000  2.135000 2.185000 2.295000 ;
+      RECT 2.355000  1.500000 3.595000 1.655000 ;
+      RECT 2.355000  1.825000 2.605000 2.255000 ;
+      RECT 2.355000  2.255000 2.685000 2.465000 ;
+      RECT 2.775000  1.905000 3.105000 2.075000 ;
+      RECT 2.855000  2.075000 3.025000 2.635000 ;
+      RECT 3.220000  1.670000 3.595000 1.735000 ;
+      RECT 3.255000  0.085000 3.585000 0.815000 ;
+      RECT 3.255000  2.255000 3.595000 2.465000 ;
+      RECT 3.335000  1.735000 3.595000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a222oi_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  16.56000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.315000 0.995000 ;
+        RECT 0.085000 0.995000 0.665000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.450000 1.075000 15.650000 1.285000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  4.968000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  2.925000 0.255000  3.255000 0.725000 ;
+        RECT  2.925000 0.725000 16.475000 0.905000 ;
+        RECT  3.765000 0.255000  4.095000 0.725000 ;
+        RECT  4.605000 0.255000  4.935000 0.725000 ;
+        RECT  5.445000 0.255000  5.775000 0.725000 ;
+        RECT  6.285000 0.255000  6.615000 0.725000 ;
+        RECT  7.125000 0.255000  7.455000 0.725000 ;
+        RECT  7.965000 0.255000  8.295000 0.725000 ;
+        RECT  8.805000 0.255000  9.135000 0.725000 ;
+        RECT  9.645000 0.255000  9.975000 0.725000 ;
+        RECT  9.685000 1.455000 16.475000 1.625000 ;
+        RECT  9.685000 1.625000  9.935000 2.125000 ;
+        RECT 10.485000 0.255000 10.815000 0.725000 ;
+        RECT 10.525000 1.625000 10.775000 2.125000 ;
+        RECT 11.325000 0.255000 11.655000 0.725000 ;
+        RECT 11.365000 1.625000 11.615000 2.125000 ;
+        RECT 12.165000 0.255000 12.495000 0.725000 ;
+        RECT 12.205000 1.625000 12.455000 2.125000 ;
+        RECT 13.005000 0.255000 13.335000 0.725000 ;
+        RECT 13.045000 1.625000 13.295000 2.125000 ;
+        RECT 13.845000 0.255000 14.175000 0.725000 ;
+        RECT 13.885000 1.625000 14.135000 2.125000 ;
+        RECT 14.685000 0.255000 15.015000 0.725000 ;
+        RECT 14.725000 1.625000 14.975000 2.125000 ;
+        RECT 15.525000 0.255000 15.855000 0.725000 ;
+        RECT 15.565000 1.625000 15.815000 2.125000 ;
+        RECT 15.820000 0.905000 16.475000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 16.560000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 16.750000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 16.560000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 16.560000 0.085000 ;
+      RECT  0.000000  2.635000 16.560000 2.805000 ;
+      RECT  0.300000  1.495000  0.515000 2.635000 ;
+      RECT  0.485000  0.085000  0.815000 0.825000 ;
+      RECT  0.685000  1.495000  1.015000 2.465000 ;
+      RECT  0.835000  1.065000  2.035000 1.075000 ;
+      RECT  0.835000  1.075000  9.280000 1.285000 ;
+      RECT  0.835000  1.285000  1.015000 1.495000 ;
+      RECT  0.985000  0.255000  1.195000 1.065000 ;
+      RECT  1.185000  1.455000  1.355000 2.635000 ;
+      RECT  1.365000  0.085000  1.615000 0.895000 ;
+      RECT  1.525000  1.285000  1.855000 2.465000 ;
+      RECT  1.785000  0.255000  2.035000 1.065000 ;
+      RECT  2.025000  1.455000  2.270000 2.635000 ;
+      RECT  2.205000  0.085000  2.755000 0.905000 ;
+      RECT  2.475000  1.455000  9.515000 1.665000 ;
+      RECT  2.475000  1.665000  2.795000 2.465000 ;
+      RECT  2.965000  1.835000  3.215000 2.635000 ;
+      RECT  3.385000  1.665000  3.635000 2.465000 ;
+      RECT  3.425000  0.085000  3.595000 0.555000 ;
+      RECT  3.805000  1.835000  4.055000 2.635000 ;
+      RECT  4.225000  1.665000  4.475000 2.465000 ;
+      RECT  4.265000  0.085000  4.435000 0.555000 ;
+      RECT  4.645000  1.835000  4.895000 2.635000 ;
+      RECT  5.065000  1.665000  5.315000 2.465000 ;
+      RECT  5.105000  0.085000  5.275000 0.555000 ;
+      RECT  5.485000  1.835000  5.735000 2.635000 ;
+      RECT  5.905000  1.665000  6.155000 2.465000 ;
+      RECT  5.945000  0.085000  6.115000 0.555000 ;
+      RECT  6.325000  1.835000  6.575000 2.635000 ;
+      RECT  6.745000  1.665000  6.995000 2.465000 ;
+      RECT  6.785000  0.085000  6.955000 0.555000 ;
+      RECT  7.165000  1.835000  7.415000 2.635000 ;
+      RECT  7.585000  1.665000  7.835000 2.465000 ;
+      RECT  7.625000  0.085000  7.795000 0.555000 ;
+      RECT  8.005000  1.835000  8.255000 2.635000 ;
+      RECT  8.425000  1.665000  8.675000 2.465000 ;
+      RECT  8.465000  0.085000  8.635000 0.555000 ;
+      RECT  8.845000  1.835000  9.095000 2.635000 ;
+      RECT  9.265000  1.665000  9.515000 2.295000 ;
+      RECT  9.265000  2.295000 16.235000 2.465000 ;
+      RECT  9.305000  0.085000  9.475000 0.555000 ;
+      RECT 10.105000  1.795000 10.355000 2.295000 ;
+      RECT 10.145000  0.085000 10.315000 0.555000 ;
+      RECT 10.945000  1.795000 11.195000 2.295000 ;
+      RECT 10.985000  0.085000 11.155000 0.555000 ;
+      RECT 11.785000  1.795000 12.035000 2.295000 ;
+      RECT 11.825000  0.085000 11.995000 0.555000 ;
+      RECT 12.625000  1.795000 12.875000 2.295000 ;
+      RECT 12.665000  0.085000 12.835000 0.555000 ;
+      RECT 13.465000  1.795000 13.715000 2.295000 ;
+      RECT 13.505000  0.085000 13.675000 0.555000 ;
+      RECT 14.305000  1.795000 14.555000 2.295000 ;
+      RECT 14.345000  0.085000 14.515000 0.555000 ;
+      RECT 15.145000  1.795000 15.395000 2.295000 ;
+      RECT 15.185000  0.085000 15.355000 0.555000 ;
+      RECT 15.985000  1.795000 16.235000 2.295000 ;
+      RECT 16.025000  0.085000 16.295000 0.555000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+      RECT 15.325000 -0.085000 15.495000 0.085000 ;
+      RECT 15.325000  2.635000 15.495000 2.805000 ;
+      RECT 15.785000 -0.085000 15.955000 0.085000 ;
+      RECT 15.785000  2.635000 15.955000 2.805000 ;
+      RECT 16.245000 -0.085000 16.415000 0.085000 ;
+      RECT 16.245000  2.635000 16.415000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_16
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.265000 1.065000 ;
+        RECT 0.085000 1.065000 0.575000 1.285000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.270000 1.075000 8.010000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.335000 0.725000 ;
+        RECT 2.005000 0.725000 8.655000 0.905000 ;
+        RECT 2.845000 0.255000 3.175000 0.725000 ;
+        RECT 3.685000 0.255000 4.015000 0.725000 ;
+        RECT 4.525000 0.255000 4.855000 0.725000 ;
+        RECT 5.365000 0.255000 5.695000 0.725000 ;
+        RECT 5.405000 1.445000 8.655000 1.615000 ;
+        RECT 5.405000 1.615000 5.655000 2.125000 ;
+        RECT 6.205000 0.255000 6.535000 0.725000 ;
+        RECT 6.245000 1.615000 6.495000 2.125000 ;
+        RECT 7.045000 0.255000 7.375000 0.725000 ;
+        RECT 7.085000 1.615000 7.335000 2.125000 ;
+        RECT 7.885000 0.255000 8.215000 0.725000 ;
+        RECT 7.925000 1.615000 8.175000 2.125000 ;
+        RECT 8.180000 0.905000 8.655000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.195000  1.455000 0.415000 2.635000 ;
+      RECT 0.435000  0.085000 0.655000 0.895000 ;
+      RECT 0.585000  1.455000 0.915000 2.465000 ;
+      RECT 0.745000  1.065000 1.155000 1.075000 ;
+      RECT 0.745000  1.075000 5.000000 1.285000 ;
+      RECT 0.745000  1.285000 0.915000 1.455000 ;
+      RECT 0.825000  0.255000 1.155000 1.065000 ;
+      RECT 1.085000  1.455000 1.330000 2.635000 ;
+      RECT 1.325000  0.085000 1.835000 0.905000 ;
+      RECT 1.555000  1.455000 5.235000 1.665000 ;
+      RECT 1.555000  1.665000 1.875000 2.465000 ;
+      RECT 2.045000  1.835000 2.295000 2.635000 ;
+      RECT 2.465000  1.665000 2.715000 2.465000 ;
+      RECT 2.505000  0.085000 2.675000 0.555000 ;
+      RECT 2.885000  1.835000 3.135000 2.635000 ;
+      RECT 3.305000  1.665000 3.555000 2.465000 ;
+      RECT 3.345000  0.085000 3.515000 0.555000 ;
+      RECT 3.725000  1.835000 3.975000 2.635000 ;
+      RECT 4.145000  1.665000 4.395000 2.465000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.565000  1.835000 4.815000 2.635000 ;
+      RECT 4.985000  1.665000 5.235000 2.295000 ;
+      RECT 4.985000  2.295000 8.595000 2.465000 ;
+      RECT 5.025000  0.085000 5.195000 0.555000 ;
+      RECT 5.825000  1.785000 6.075000 2.295000 ;
+      RECT 5.865000  0.085000 6.035000 0.555000 ;
+      RECT 6.665000  1.785000 6.915000 2.295000 ;
+      RECT 6.705000  0.085000 6.875000 0.555000 ;
+      RECT 7.505000  1.785000 7.755000 2.295000 ;
+      RECT 7.545000  0.085000 7.715000 0.555000 ;
+      RECT 8.345000  1.785000 8.595000 2.295000 ;
+      RECT 8.385000  0.085000 8.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_8
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_4
+MACRO sky130_fd_sc_hd__dfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 1.005000 2.160000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.445000 11.875000 2.465000 ;
+        RECT 11.660000 0.825000 11.875000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.280000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.590000 0.735000 4.000000 0.965000 ;
+        RECT 3.590000 0.965000 3.920000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.830000 0.765000 4.000000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.460000 0.735000 7.835000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.510000 0.765000 7.680000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 0.735000 4.060000 0.780000 ;
+        RECT 3.770000 0.780000 7.740000 0.920000 ;
+        RECT 3.770000 0.920000 4.060000 0.965000 ;
+        RECT 7.450000 0.735000 7.740000 0.780000 ;
+        RECT 7.450000 0.920000 7.740000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.410000  0.635000  2.125000 0.825000 ;
+      RECT  1.410000  0.825000  1.580000 1.795000 ;
+      RECT  1.410000  1.795000  2.125000 1.965000 ;
+      RECT  1.435000  0.085000  1.785000 0.465000 ;
+      RECT  1.435000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.330000  0.705000  2.550000 1.575000 ;
+      RECT  2.330000  1.575000  2.830000 1.955000 ;
+      RECT  2.340000  2.250000  3.170000 2.420000 ;
+      RECT  2.405000  0.265000  3.400000 0.465000 ;
+      RECT  2.730000  0.645000  3.060000 1.015000 ;
+      RECT  3.000000  1.195000  3.400000 1.235000 ;
+      RECT  3.000000  1.235000  4.350000 1.405000 ;
+      RECT  3.000000  1.405000  3.170000 2.250000 ;
+      RECT  3.230000  0.465000  3.400000 1.195000 ;
+      RECT  3.340000  1.575000  3.590000 1.785000 ;
+      RECT  3.340000  1.785000  4.690000 2.035000 ;
+      RECT  3.410000  2.205000  3.790000 2.635000 ;
+      RECT  3.570000  0.085000  3.740000 0.525000 ;
+      RECT  3.910000  0.255000  5.080000 0.425000 ;
+      RECT  3.910000  0.425000  4.240000 0.545000 ;
+      RECT  4.090000  2.035000  4.260000 2.375000 ;
+      RECT  4.100000  1.405000  4.350000 1.485000 ;
+      RECT  4.130000  1.155000  4.350000 1.235000 ;
+      RECT  4.410000  0.595000  4.740000 0.765000 ;
+      RECT  4.520000  0.765000  4.740000 0.895000 ;
+      RECT  4.520000  0.895000  5.830000 1.065000 ;
+      RECT  4.520000  1.065000  4.690000 1.785000 ;
+      RECT  4.860000  1.235000  5.190000 1.415000 ;
+      RECT  4.860000  1.415000  5.865000 1.655000 ;
+      RECT  4.880000  1.915000  5.210000 2.635000 ;
+      RECT  4.910000  0.425000  5.080000 0.715000 ;
+      RECT  5.350000  0.085000  5.680000 0.465000 ;
+      RECT  5.500000  1.065000  5.830000 1.235000 ;
+      RECT  6.065000  1.575000  6.300000 1.985000 ;
+      RECT  6.125000  0.705000  6.410000 1.125000 ;
+      RECT  6.125000  1.125000  6.745000 1.305000 ;
+      RECT  6.255000  2.250000  7.085000 2.420000 ;
+      RECT  6.320000  0.265000  7.085000 0.465000 ;
+      RECT  6.540000  1.305000  6.745000 1.905000 ;
+      RECT  6.915000  0.465000  7.085000 1.235000 ;
+      RECT  6.915000  1.235000  8.265000 1.405000 ;
+      RECT  6.915000  1.405000  7.085000 2.250000 ;
+      RECT  7.255000  1.575000  7.505000 1.915000 ;
+      RECT  7.255000  1.915000 10.030000 2.085000 ;
+      RECT  7.265000  0.085000  7.525000 0.525000 ;
+      RECT  7.325000  2.255000  7.705000 2.635000 ;
+      RECT  7.785000  0.255000  8.955000 0.425000 ;
+      RECT  7.785000  0.425000  8.115000 0.545000 ;
+      RECT  7.945000  2.085000  8.115000 2.375000 ;
+      RECT  8.045000  1.075000  8.265000 1.235000 ;
+      RECT  8.285000  0.595000  8.615000 0.780000 ;
+      RECT  8.435000  0.780000  8.615000 1.915000 ;
+      RECT  8.645000  2.255000 10.030000 2.635000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.785000  0.755000  9.475000 0.925000 ;
+      RECT  8.785000  0.925000  9.060000 1.575000 ;
+      RECT  8.785000  1.575000  9.545000 1.745000 ;
+      RECT  9.240000  0.265000  9.475000 0.755000 ;
+      RECT  9.700000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.110000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.655000  0.255000 10.970000 0.995000 ;
+      RECT 10.655000  0.995000 11.490000 1.325000 ;
+      RECT 10.655000  1.325000 10.970000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.150000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.785000  0.780000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  0.765000  1.240000 0.935000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.785000  2.620000 1.955000 ;
+      RECT  2.890000  0.765000  3.060000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.670000  1.445000  5.840000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.130000  1.105000  6.300000 1.275000 ;
+      RECT  6.130000  1.785000  6.300000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.890000  1.445000  9.060000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 6.360000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.120000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.390000 1.755000 2.680000 1.800000 ;
+      RECT 2.390000 1.940000 2.680000 1.985000 ;
+      RECT 2.830000 0.735000 3.120000 0.780000 ;
+      RECT 2.830000 0.920000 3.120000 0.965000 ;
+      RECT 2.925000 0.965000 3.120000 1.120000 ;
+      RECT 2.925000 1.120000 6.360000 1.260000 ;
+      RECT 5.610000 1.415000 5.900000 1.460000 ;
+      RECT 5.610000 1.460000 9.120000 1.600000 ;
+      RECT 5.610000 1.600000 5.900000 1.645000 ;
+      RECT 6.070000 1.075000 6.360000 1.120000 ;
+      RECT 6.070000 1.260000 6.360000 1.305000 ;
+      RECT 6.070000 1.755000 6.360000 1.800000 ;
+      RECT 6.070000 1.940000 6.360000 1.985000 ;
+      RECT 8.830000 1.415000 9.120000 1.460000 ;
+      RECT 8.830000 1.600000 9.120000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbp_1
+MACRO sky130_fd_sc_hd__a211o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.045000 2.450000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.045000 1.810000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.045000 3.070000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.045000 3.595000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.452000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.255000 0.775000 0.635000 ;
+        RECT 0.555000 0.635000 0.785000 2.335000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.385000 0.905000 ;
+      RECT 0.090000  1.490000 0.385000 2.635000 ;
+      RECT 0.945000  0.085000 1.795000 0.445000 ;
+      RECT 1.000000  0.695000 3.585000 0.875000 ;
+      RECT 1.000000  0.875000 1.310000 1.490000 ;
+      RECT 1.000000  1.490000 3.585000 1.660000 ;
+      RECT 1.000000  1.830000 1.255000 2.635000 ;
+      RECT 1.455000  1.840000 2.795000 2.020000 ;
+      RECT 1.455000  2.020000 1.785000 2.465000 ;
+      RECT 1.955000  2.190000 2.230000 2.635000 ;
+      RECT 2.275000  0.275000 2.605000 0.695000 ;
+      RECT 2.465000  2.020000 2.795000 2.465000 ;
+      RECT 2.810000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.275000 3.585000 0.695000 ;
+      RECT 3.255000  1.660000 3.585000 2.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_2
+MACRO sky130_fd_sc_hd__a211o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.995000 2.060000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.995000 1.305000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.125000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.265000 0.425000 1.685000 ;
+        RECT 0.090000 1.685000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.525000  1.915000 0.855000 2.635000 ;
+      RECT 0.600000  0.625000 3.085000 0.815000 ;
+      RECT 0.600000  0.815000 0.825000 1.505000 ;
+      RECT 0.600000  1.505000 3.095000 1.685000 ;
+      RECT 0.605000  0.085000 1.350000 0.455000 ;
+      RECT 1.045000  1.865000 2.235000 2.095000 ;
+      RECT 1.045000  2.095000 1.305000 2.455000 ;
+      RECT 1.475000  2.265000 1.805000 2.635000 ;
+      RECT 1.915000  0.265000 2.170000 0.625000 ;
+      RECT 1.975000  2.095000 2.235000 2.455000 ;
+      RECT 2.350000  0.085000 2.680000 0.455000 ;
+      RECT 2.805000  1.685000 3.095000 2.455000 ;
+      RECT 2.860000  0.265000 3.085000 0.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_1
+MACRO sky130_fd_sc_hd__a211o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.035000 1.020000 5.380000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.495000 1.020000 4.825000 1.510000 ;
+        RECT 4.495000 1.510000 5.845000 1.700000 ;
+        RECT 5.635000 1.020000 6.225000 1.320000 ;
+        RECT 5.635000 1.320000 5.845000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.985000 2.805000 1.325000 ;
+        RECT 2.625000 1.325000 2.805000 1.445000 ;
+        RECT 2.625000 1.445000 4.175000 1.700000 ;
+        RECT 3.845000 0.985000 4.175000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.975000 0.985000 3.645000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.933750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 2.025000 0.875000 ;
+        RECT 0.085000 0.875000 0.340000 1.495000 ;
+        RECT 0.085000 1.495000 1.640000 1.705000 ;
+        RECT 0.595000 1.705000 0.780000 2.465000 ;
+        RECT 0.985000 0.255000 1.175000 0.615000 ;
+        RECT 0.985000 0.615000 2.025000 0.635000 ;
+        RECT 1.450000 1.705000 1.640000 2.465000 ;
+        RECT 1.845000 0.255000 2.025000 0.615000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  1.875000 0.425000 2.635000 ;
+      RECT 0.485000  0.085000 0.815000 0.465000 ;
+      RECT 0.525000  1.045000 2.370000 1.325000 ;
+      RECT 0.950000  1.875000 1.280000 2.635000 ;
+      RECT 1.345000  0.085000 1.675000 0.445000 ;
+      RECT 1.810000  1.835000 2.060000 2.635000 ;
+      RECT 2.185000  1.325000 2.370000 1.505000 ;
+      RECT 2.185000  1.505000 2.455000 1.675000 ;
+      RECT 2.195000  0.615000 5.490000 0.805000 ;
+      RECT 2.195000  0.805000 2.370000 1.045000 ;
+      RECT 2.220000  0.085000 2.555000 0.445000 ;
+      RECT 2.280000  1.675000 2.455000 1.870000 ;
+      RECT 2.280000  1.870000 3.510000 2.040000 ;
+      RECT 2.320000  2.210000 4.450000 2.465000 ;
+      RECT 2.725000  0.255000 2.970000 0.615000 ;
+      RECT 3.140000  0.085000 3.470000 0.445000 ;
+      RECT 3.640000  0.255000 4.020000 0.615000 ;
+      RECT 4.120000  1.880000 6.345000 2.105000 ;
+      RECT 4.120000  2.105000 4.450000 2.210000 ;
+      RECT 4.190000  0.085000 4.560000 0.445000 ;
+      RECT 4.620000  2.275000 4.950000 2.635000 ;
+      RECT 5.160000  0.275000 5.490000 0.615000 ;
+      RECT 5.160000  2.105000 5.420000 2.465000 ;
+      RECT 5.590000  2.275000 5.920000 2.635000 ;
+      RECT 6.015000  0.085000 6.345000 0.805000 ;
+      RECT 6.015000  1.535000 6.345000 1.880000 ;
+      RECT 6.090000  2.105000 6.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_4
+MACRO sky130_fd_sc_hd__o2111a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.890000 1.075000 4.485000 1.245000 ;
+        RECT 4.130000 1.245000 4.485000 1.320000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.135000 1.075000 3.600000 1.245000 ;
+        RECT 3.145000 1.245000 3.600000 1.320000 ;
+        RECT 3.305000 1.320000 3.600000 1.490000 ;
+        RECT 3.305000 1.490000 4.825000 1.660000 ;
+        RECT 4.655000 1.075000 4.985000 1.320000 ;
+        RECT 4.655000 1.320000 4.825000 1.490000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.215000 1.320000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.150000 0.995000 1.395000 1.490000 ;
+        RECT 1.150000 1.490000 2.660000 1.660000 ;
+        RECT 2.445000 1.080000 2.820000 1.320000 ;
+        RECT 2.445000 1.320000 2.660000 1.490000 ;
+        RECT 2.490000 1.075000 2.820000 1.080000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 0.340000 1.655000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.962500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.255000 5.875000 0.695000 ;
+        RECT 5.650000 0.695000 7.275000 0.865000 ;
+        RECT 5.755000 1.495000 7.275000 1.665000 ;
+        RECT 5.755000 1.665000 5.925000 2.465000 ;
+        RECT 6.545000 0.255000 6.745000 0.695000 ;
+        RECT 6.585000 1.665000 6.775000 2.465000 ;
+        RECT 7.005000 0.865000 7.275000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  1.835000 5.550000 2.000000 ;
+      RECT 0.090000  2.000000 5.065000 2.005000 ;
+      RECT 0.090000  2.005000 0.345000 2.465000 ;
+      RECT 0.100000  0.255000 2.940000 0.485000 ;
+      RECT 0.100000  0.485000 0.345000 0.825000 ;
+      RECT 0.515000  0.655000 0.860000 1.830000 ;
+      RECT 0.515000  1.830000 5.550000 1.835000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 1.015000  2.005000 1.230000 2.465000 ;
+      RECT 1.400000  2.175000 1.625000 2.635000 ;
+      RECT 1.720000  0.655000 4.795000 0.885000 ;
+      RECT 1.795000  2.005000 2.025000 2.465000 ;
+      RECT 2.195000  2.175000 2.525000 2.635000 ;
+      RECT 2.695000  2.005000 3.285000 2.465000 ;
+      RECT 3.110000  0.085000 3.440000 0.485000 ;
+      RECT 3.610000  0.255000 3.825000 0.655000 ;
+      RECT 3.805000  2.180000 4.135000 2.635000 ;
+      RECT 3.995000  0.085000 4.365000 0.485000 ;
+      RECT 4.535000  0.255000 4.795000 0.655000 ;
+      RECT 4.775000  2.005000 5.065000 2.465000 ;
+      RECT 5.035000  0.085000 5.300000 0.545000 ;
+      RECT 5.245000  2.170000 5.585000 2.635000 ;
+      RECT 5.380000  1.075000 6.760000 1.320000 ;
+      RECT 5.380000  1.320000 5.550000 1.830000 ;
+      RECT 6.075000  0.085000 6.375000 0.525000 ;
+      RECT 6.095000  1.835000 6.415000 2.635000 ;
+      RECT 6.915000  0.085000 7.275000 0.525000 ;
+      RECT 6.945000  1.835000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_4
+MACRO sky130_fd_sc_hd__o2111a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.705000 1.075000 4.035000 1.660000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.075000 3.535000 1.325000 ;
+        RECT 3.350000 1.325000 3.535000 2.415000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.390000 2.690000 0.995000 ;
+        RECT 2.445000 0.995000 2.705000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.390000 2.195000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.265000 1.075000 1.745000 1.325000 ;
+        RECT 1.535000 0.390000 1.745000 1.075000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  0.995000 0.865000 1.325000 ;
+      RECT 0.525000  1.835000 1.335000 2.635000 ;
+      RECT 0.535000  0.085000 0.845000 0.565000 ;
+      RECT 0.695000  0.735000 1.365000 0.905000 ;
+      RECT 0.695000  0.905000 0.865000 0.995000 ;
+      RECT 0.695000  1.325000 0.865000 1.495000 ;
+      RECT 0.695000  1.495000 3.180000 1.665000 ;
+      RECT 1.025000  0.255000 1.365000 0.735000 ;
+      RECT 1.505000  1.665000 1.835000 2.465000 ;
+      RECT 2.020000  1.835000 2.760000 2.635000 ;
+      RECT 2.870000  0.255000 3.160000 0.705000 ;
+      RECT 2.870000  0.705000 4.055000 0.875000 ;
+      RECT 2.930000  1.665000 3.180000 2.465000 ;
+      RECT 3.330000  0.085000 3.620000 0.535000 ;
+      RECT 3.730000  1.835000 4.055000 2.635000 ;
+      RECT 3.790000  0.255000 4.055000 0.705000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_1
+MACRO sky130_fd_sc_hd__o2111a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.830000 1.005000 4.515000 1.315000 ;
+        RECT 4.310000 1.315000 4.515000 2.355000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.995000 3.660000 1.325000 ;
+        RECT 3.370000 1.325000 3.660000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.100000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.390000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 1.835000 1.615000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.855000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.030000  0.715000 1.805000 0.885000 ;
+      RECT 1.030000  0.885000 1.305000 1.785000 ;
+      RECT 1.030000  1.785000 3.195000 2.025000 ;
+      RECT 1.035000  0.085000 1.285000 0.545000 ;
+      RECT 1.035000  2.195000 1.655000 2.635000 ;
+      RECT 1.475000  0.255000 1.805000 0.715000 ;
+      RECT 1.860000  2.025000 2.140000 2.465000 ;
+      RECT 2.325000  2.255000 2.655000 2.635000 ;
+      RECT 2.865000  0.255000 3.195000 0.625000 ;
+      RECT 2.865000  0.625000 4.215000 0.825000 ;
+      RECT 2.865000  2.025000 3.195000 2.465000 ;
+      RECT 3.385000  0.085000 3.715000 0.455000 ;
+      RECT 3.885000  0.255000 4.215000 0.625000 ;
+      RECT 3.885000  1.495000 4.140000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_2
+MACRO sky130_fd_sc_hd__dlrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.478500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.680000 0.330000 5.850000 0.665000 ;
+        RECT 5.680000 0.665000 6.150000 0.835000 ;
+        RECT 5.680000 1.495000 6.065000 1.660000 ;
+        RECT 5.680000 1.660000 5.930000 2.465000 ;
+        RECT 5.790000 0.835000 6.150000 0.885000 ;
+        RECT 5.790000 0.885000 6.360000 1.325000 ;
+        RECT 5.790000 1.325000 6.065000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.515000 0.255000 7.765000 0.825000 ;
+        RECT 7.515000 1.605000 7.765000 2.465000 ;
+        RECT 7.595000 0.825000 7.765000 1.055000 ;
+        RECT 7.595000 1.055000 8.195000 1.325000 ;
+        RECT 7.595000 1.325000 7.765000 1.605000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.400000 0.995000 5.150000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.125000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.600000 2.635000 ;
+      RECT 3.840000  1.535000 5.510000 1.705000 ;
+      RECT 3.840000  1.705000 4.940000 1.865000 ;
+      RECT 4.270000  0.415000 4.570000 0.655000 ;
+      RECT 4.270000  0.655000 5.510000 0.825000 ;
+      RECT 4.770000  1.865000 4.940000 2.435000 ;
+      RECT 5.110000  0.085000 5.490000 0.485000 ;
+      RECT 5.110000  1.875000 5.490000 2.635000 ;
+      RECT 5.320000  0.825000 5.510000 0.995000 ;
+      RECT 5.320000  0.995000 5.620000 1.325000 ;
+      RECT 5.320000  1.325000 5.510000 1.535000 ;
+      RECT 6.020000  0.085000 6.360000 0.465000 ;
+      RECT 6.100000  1.830000 6.360000 2.635000 ;
+      RECT 6.535000  0.255000 6.865000 0.995000 ;
+      RECT 6.535000  0.995000 7.425000 1.325000 ;
+      RECT 6.535000  1.325000 6.870000 2.465000 ;
+      RECT 7.035000  0.085000 7.340000 0.545000 ;
+      RECT 7.045000  1.835000 7.340000 2.635000 ;
+      RECT 7.935000  0.085000 8.195000 0.885000 ;
+      RECT 7.935000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_2
+MACRO sky130_fd_sc_hd__dlrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.410000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.565000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.450000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.600000  0.765000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.130000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.535000 5.890000 1.765000 ;
+      RECT 3.840000  1.765000 4.950000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.890000 0.825000 ;
+      RECT 4.780000  1.865000 4.950000 2.435000 ;
+      RECT 5.120000  0.085000 5.890000 0.485000 ;
+      RECT 5.120000  1.935000 5.890000 2.635000 ;
+      RECT 5.655000  0.825000 5.890000 1.535000 ;
+      RECT 6.580000  0.255000 6.805000 0.995000 ;
+      RECT 6.580000  0.995000 7.395000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_1
+MACRO sky130_fd_sc_hd__o211ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.400000 1.075000 1.410000 1.330000 ;
+        RECT 0.965000 1.330000 1.410000 1.515000 ;
+        RECT 0.965000 1.515000 3.630000 1.685000 ;
+        RECT 3.350000 0.995000 3.630000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 3.180000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 0.995000 4.975000 1.410000 ;
+        RECT 4.260000 1.410000 4.975000 1.515000 ;
+        RECT 4.260000 1.515000 7.000000 1.685000 ;
+        RECT 6.830000 0.995000 7.000000 1.515000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.370000 1.075000 6.440000 1.345000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.001000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 1.855000 7.680000 2.025000 ;
+        RECT 1.805000 2.025000 3.470000 2.105000 ;
+        RECT 4.045000 2.025000 7.680000 2.105000 ;
+        RECT 5.280000 0.270000 6.735000 0.450000 ;
+        RECT 6.565000 0.450000 6.735000 0.655000 ;
+        RECT 6.565000 0.655000 7.350000 0.825000 ;
+        RECT 7.170000 0.825000 7.350000 1.340000 ;
+        RECT 7.170000 1.340000 7.680000 1.855000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.665000 0.385000 2.635000 ;
+      RECT 0.155000  0.535000 0.355000 0.625000 ;
+      RECT 0.155000  0.625000 1.240000 0.695000 ;
+      RECT 0.155000  0.695000 3.835000 0.795000 ;
+      RECT 0.155000  0.795000 3.130000 0.865000 ;
+      RECT 0.155000  0.865000 1.795000 0.905000 ;
+      RECT 0.525000  0.085000 0.855000 0.445000 ;
+      RECT 0.555000  1.860000 0.775000 1.935000 ;
+      RECT 0.555000  1.935000 1.635000 2.105000 ;
+      RECT 0.555000  2.105000 0.775000 2.190000 ;
+      RECT 0.955000  2.275000 1.285000 2.635000 ;
+      RECT 1.025000  0.425000 1.240000 0.625000 ;
+      RECT 1.455000  2.105000 1.635000 2.275000 ;
+      RECT 1.455000  2.275000 3.435000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.525000 ;
+      RECT 1.775000  0.625000 3.835000 0.695000 ;
+      RECT 2.245000  0.085000 2.575000 0.445000 ;
+      RECT 3.105000  0.085000 3.435000 0.445000 ;
+      RECT 3.605000  0.255000 4.920000 0.455000 ;
+      RECT 3.605000  0.455000 3.835000 0.625000 ;
+      RECT 3.615000  2.195000 3.885000 2.635000 ;
+      RECT 4.005000  0.635000 6.170000 0.815000 ;
+      RECT 4.435000  2.275000 4.765000 2.635000 ;
+      RECT 5.280000  2.275000 5.610000 2.635000 ;
+      RECT 6.120000  2.275000 6.455000 2.635000 ;
+      RECT 6.980000  0.310000 7.680000 0.480000 ;
+      RECT 7.355000  2.275000 7.685000 2.635000 ;
+      RECT 7.510000  0.480000 7.680000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.425000 1.240000 0.595000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.510000  0.425000 7.680000 0.595000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.395000 1.300000 0.440000 ;
+      RECT 1.010000 0.440000 7.740000 0.580000 ;
+      RECT 1.010000 0.580000 1.300000 0.625000 ;
+      RECT 7.450000 0.395000 7.740000 0.440000 ;
+      RECT 7.450000 0.580000 7.740000 0.625000 ;
+  END
+END sky130_fd_sc_hd__o211ai_4
+MACRO sky130_fd_sc_hd__o211ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.505000 1.075000 4.455000 1.245000 ;
+        RECT 3.560000 1.245000 4.455000 1.295000 ;
+        RECT 4.115000 0.765000 4.455000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 1.075000 3.335000 1.355000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.905000 1.365000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.375000 1.970000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.022000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.670000 0.875000 1.540000 ;
+        RECT 0.545000 1.540000 3.155000 1.710000 ;
+        RECT 0.545000 1.710000 0.805000 2.465000 ;
+        RECT 1.475000 1.710000 1.665000 2.465000 ;
+        RECT 2.825000 1.710000 3.155000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 2.165000 0.445000 ;
+      RECT 0.115000  2.175000 0.375000 2.635000 ;
+      RECT 0.975000  1.915000 1.305000 2.635000 ;
+      RECT 1.045000  0.445000 2.165000 0.465000 ;
+      RECT 1.045000  0.465000 1.235000 0.890000 ;
+      RECT 1.405000  0.635000 3.945000 0.845000 ;
+      RECT 1.835000  1.915000 2.165000 2.635000 ;
+      RECT 2.395000  0.085000 2.725000 0.445000 ;
+      RECT 2.395000  2.100000 2.655000 2.295000 ;
+      RECT 2.395000  2.295000 3.515000 2.465000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.325000  1.525000 4.445000 1.695000 ;
+      RECT 3.325000  1.695000 3.515000 2.295000 ;
+      RECT 3.685000  1.865000 4.015000 2.635000 ;
+      RECT 3.755000  0.515000 3.945000 0.635000 ;
+      RECT 4.115000  0.085000 4.445000 0.445000 ;
+      RECT 4.185000  1.695000 4.445000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_2
+MACRO sky130_fd_sc_hd__o211ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.395000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+        RECT 0.605000 1.325000 0.775000 2.250000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 0.995000 1.795000 1.325000 ;
+        RECT 1.470000 1.325000 1.795000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.075000 2.300000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.418250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.595000 1.275000 1.815000 ;
+        RECT 0.945000 1.815000 2.675000 2.045000 ;
+        RECT 0.945000 2.045000 1.275000 2.445000 ;
+        RECT 1.965000 0.255000 2.675000 0.845000 ;
+        RECT 1.975000 2.045000 2.675000 2.465000 ;
+        RECT 2.470000 0.845000 2.675000 1.815000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.455000 0.825000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.595000  0.085000 0.925000 0.445000 ;
+      RECT 1.125000  0.255000 1.455000 0.615000 ;
+      RECT 1.445000  2.275000 1.775000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_1
+MACRO sky130_fd_sc_hd__and3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.470000 1.245000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.895000 2.125000 1.370000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.305000 1.295000 0.750000 ;
+        RECT 1.065000 0.750000 1.475000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.795000 2.245000 2.465000 ;
+        RECT 1.980000 0.255000 2.230000 0.715000 ;
+        RECT 2.060000 0.715000 2.230000 0.925000 ;
+        RECT 2.060000 0.925000 2.675000 1.445000 ;
+        RECT 2.075000 1.445000 2.245000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  2.130000 0.715000 2.635000 ;
+      RECT 0.100000  1.425000 1.890000 1.595000 ;
+      RECT 0.100000  1.595000 0.355000 1.960000 ;
+      RECT 0.105000  0.305000 0.895000 0.570000 ;
+      RECT 0.525000  1.765000 0.855000 1.955000 ;
+      RECT 0.525000  1.955000 0.715000 2.130000 ;
+      RECT 0.640000  0.570000 0.895000 1.425000 ;
+      RECT 1.080000  1.595000 1.330000 1.890000 ;
+      RECT 1.475000  0.085000 1.805000 0.580000 ;
+      RECT 1.555000  1.790000 1.770000 2.635000 ;
+      RECT 1.660000  0.995000 1.890000 1.425000 ;
+      RECT 2.400000  0.085000 2.675000 0.745000 ;
+      RECT 2.415000  1.625000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_2
+MACRO sky130_fd_sc_hd__and3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 0.635000 1.020000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 2.125000 1.345000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.145000 0.305000 1.365000 0.790000 ;
+        RECT 1.145000 0.790000 1.475000 1.215000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.765000 2.215000 2.465000 ;
+        RECT 1.955000 0.255000 2.215000 0.735000 ;
+        RECT 2.045000 0.735000 2.215000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 0.975000 0.465000 ;
+      RECT 0.085000  1.190000 0.975000 1.260000 ;
+      RECT 0.085000  1.260000 0.980000 1.285000 ;
+      RECT 0.085000  1.285000 0.990000 1.300000 ;
+      RECT 0.085000  1.300000 0.995000 1.315000 ;
+      RECT 0.085000  1.315000 1.005000 1.320000 ;
+      RECT 0.085000  1.320000 1.010000 1.330000 ;
+      RECT 0.085000  1.330000 1.015000 1.340000 ;
+      RECT 0.085000  1.340000 1.025000 1.345000 ;
+      RECT 0.085000  1.345000 1.035000 1.355000 ;
+      RECT 0.085000  1.355000 1.045000 1.360000 ;
+      RECT 0.085000  1.360000 0.345000 1.810000 ;
+      RECT 0.085000  1.980000 0.700000 2.080000 ;
+      RECT 0.085000  2.080000 0.690000 2.635000 ;
+      RECT 0.515000  1.710000 0.845000 1.955000 ;
+      RECT 0.515000  1.955000 0.700000 1.980000 ;
+      RECT 0.710000  1.360000 1.045000 1.365000 ;
+      RECT 0.710000  1.365000 1.060000 1.370000 ;
+      RECT 0.710000  1.370000 1.075000 1.380000 ;
+      RECT 0.710000  1.380000 1.100000 1.385000 ;
+      RECT 0.710000  1.385000 1.875000 1.390000 ;
+      RECT 0.740000  1.390000 1.875000 1.425000 ;
+      RECT 0.775000  1.425000 1.875000 1.450000 ;
+      RECT 0.805000  0.465000 0.975000 1.190000 ;
+      RECT 0.805000  1.450000 1.875000 1.480000 ;
+      RECT 0.825000  1.480000 1.875000 1.510000 ;
+      RECT 0.845000  1.510000 1.875000 1.540000 ;
+      RECT 0.915000  1.540000 1.875000 1.550000 ;
+      RECT 0.940000  1.550000 1.875000 1.560000 ;
+      RECT 0.960000  1.560000 1.875000 1.575000 ;
+      RECT 0.980000  1.575000 1.875000 1.590000 ;
+      RECT 0.985000  1.590000 1.770000 1.600000 ;
+      RECT 1.000000  1.600000 1.770000 1.635000 ;
+      RECT 1.015000  1.635000 1.770000 1.885000 ;
+      RECT 1.515000  2.090000 1.770000 2.635000 ;
+      RECT 1.535000  0.085000 1.785000 0.625000 ;
+      RECT 1.645000  0.990000 1.875000 1.385000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_1
+MACRO sky130_fd_sc_hd__and3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.995000 0.875000 1.340000 ;
+        RECT 0.115000 1.340000 0.365000 2.335000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.745000 1.355000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.900000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.515000 2.640000 0.615000 ;
+        RECT 2.450000 0.615000 4.055000 0.845000 ;
+        RECT 2.450000 1.535000 4.055000 1.760000 ;
+        RECT 2.450000 1.760000 2.640000 2.465000 ;
+        RECT 3.310000 0.255000 3.500000 0.615000 ;
+        RECT 3.310000 1.760000 4.055000 1.765000 ;
+        RECT 3.310000 1.765000 3.500000 2.465000 ;
+        RECT 3.775000 0.845000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.465000  0.255000 0.800000 0.375000 ;
+      RECT 0.465000  0.375000 1.725000 0.565000 ;
+      RECT 0.465000  0.565000 0.800000 0.805000 ;
+      RECT 0.545000  1.580000 2.280000 1.750000 ;
+      RECT 0.545000  1.750000 0.725000 2.465000 ;
+      RECT 0.895000  1.935000 1.345000 2.635000 ;
+      RECT 1.520000  1.750000 1.700000 2.465000 ;
+      RECT 1.535000  0.565000 1.725000 0.615000 ;
+      RECT 1.535000  0.615000 2.280000 0.805000 ;
+      RECT 1.905000  0.085000 2.235000 0.445000 ;
+      RECT 1.910000  1.935000 2.240000 2.635000 ;
+      RECT 2.070000  0.805000 2.280000 1.020000 ;
+      RECT 2.070000  1.020000 3.605000 1.355000 ;
+      RECT 2.070000  1.355000 2.280000 1.580000 ;
+      RECT 2.810000  0.085000 3.140000 0.445000 ;
+      RECT 2.810000  1.935000 3.140000 2.635000 ;
+      RECT 3.670000  0.085000 4.000000 0.445000 ;
+      RECT 3.670000  1.935000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.525000 0.390000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.525000 1.250000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.905000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.725000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 4.990000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.315000 1.495000 9.025000 1.720000 ;
+        RECT 2.315000 1.720000 7.685000 1.735000 ;
+        RECT 2.315000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.495000 1.285000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 1.495000 2.145000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.690000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.290000 1.905000 5.535000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.335000 2.125000 5.505000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.905000 6.395000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.195000 2.125000 6.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.010000 1.905000 7.255000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.050000 2.125000 7.220000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.870000 1.905000 8.125000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.900000 2.125000 8.070000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.755000 1.890000 9.025000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.780000 2.125000 8.950000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 9.130000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+        RECT 5.275000 2.080000 5.565000 2.140000 ;
+        RECT 6.135000 2.080000 6.425000 2.140000 ;
+        RECT 6.990000 2.080000 7.280000 2.140000 ;
+        RECT 7.840000 2.080000 8.130000 2.140000 ;
+        RECT 8.720000 2.080000 9.010000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.595000  0.265000 0.820000 1.075000 ;
+      RECT 0.595000  1.075000 7.690000 1.325000 ;
+      RECT 0.595000  1.325000 0.785000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.455000  1.325000 1.645000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.875000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.025000 1.835000 2.165000 1.965000 ;
+        RECT 1.025000 1.965000 1.390000 1.970000 ;
+        RECT 1.025000 1.970000 1.385000 1.975000 ;
+        RECT 1.025000 1.975000 1.370000 1.980000 ;
+        RECT 1.025000 1.980000 1.330000 2.000000 ;
+        RECT 1.025000 2.000000 1.325000 2.005000 ;
+        RECT 1.025000 2.005000 1.265000 2.465000 ;
+        RECT 1.185000 1.825000 2.165000 1.835000 ;
+        RECT 1.195000 1.820000 2.165000 1.825000 ;
+        RECT 1.205000 1.815000 2.165000 1.820000 ;
+        RECT 1.215000 1.805000 2.165000 1.815000 ;
+        RECT 1.245000 1.785000 2.165000 1.805000 ;
+        RECT 1.270000 1.750000 2.165000 1.785000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.750000 ;
+        RECT 1.935000 1.965000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.835000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 2.140000 1.765000 2.465000 ;
+        RECT 2.335000 1.765000 2.620000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+        RECT 2.375000 2.125000 2.545000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+        RECT 2.315000 2.080000 2.605000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.240000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.750000 1.725000 1.970000 ;
+        RECT 1.060000 1.970000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.750000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.855000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 2.140000 1.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.410000 ;
+      RECT 0.085000  1.410000 1.215000 1.580000 ;
+      RECT 0.085000  1.580000 0.355000 2.435000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.410000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+MACRO sky130_fd_sc_hd__o31a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.295000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.725000 1.325000 ;
+        RECT 1.525000 1.325000 1.725000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.995000 2.175000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.795000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.594000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.525000 0.825000 ;
+        RECT 0.085000 0.825000 0.395000 1.835000 ;
+        RECT 0.085000 1.835000 0.525000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.995000 0.735000 1.445000 ;
+      RECT 0.565000  1.445000 1.355000 1.615000 ;
+      RECT 0.695000  0.085000 1.145000 0.825000 ;
+      RECT 0.700000  1.785000 1.015000 2.635000 ;
+      RECT 1.185000  1.615000 1.355000 2.295000 ;
+      RECT 1.185000  2.295000 2.615000 2.465000 ;
+      RECT 1.315000  0.255000 1.485000 0.655000 ;
+      RECT 1.315000  0.655000 2.475000 0.825000 ;
+      RECT 1.655000  0.085000 2.075000 0.485000 ;
+      RECT 2.245000  0.255000 2.475000 0.655000 ;
+      RECT 2.365000  1.495000 3.135000 1.665000 ;
+      RECT 2.365000  1.665000 2.615000 2.295000 ;
+      RECT 2.645000  0.255000 3.135000 0.825000 ;
+      RECT 2.795000  1.835000 3.125000 2.635000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_1
+MACRO sky130_fd_sc_hd__o31a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 1.055000 5.470000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 1.055000 4.970000 1.360000 ;
+        RECT 4.680000 1.360000 4.970000 1.530000 ;
+        RECT 4.680000 1.530000 6.355000 1.700000 ;
+        RECT 5.640000 1.055000 6.355000 1.530000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.765000 1.055000 4.095000 1.360000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 1.055000 3.575000 1.355000 ;
+        RECT 2.780000 1.355000 3.150000 1.695000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.765000 0.885000 ;
+        RECT 0.085000 0.885000 0.735000 1.460000 ;
+        RECT 0.085000 1.460000 1.750000 1.665000 ;
+        RECT 0.680000 0.255000 0.895000 0.655000 ;
+        RECT 0.680000 0.655000 1.765000 0.715000 ;
+        RECT 0.680000 1.665000 0.895000 2.465000 ;
+        RECT 1.565000 0.255000 1.765000 0.655000 ;
+        RECT 1.565000 1.665000 1.750000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.085000 0.510000 0.545000 ;
+      RECT 0.085000  1.835000 0.510000 2.635000 ;
+      RECT 0.905000  1.055000 2.610000 1.290000 ;
+      RECT 1.065000  0.085000 1.395000 0.485000 ;
+      RECT 1.065000  1.835000 1.395000 2.635000 ;
+      RECT 1.920000  1.460000 2.250000 2.635000 ;
+      RECT 1.935000  0.085000 2.250000 0.885000 ;
+      RECT 2.440000  0.255000 3.570000 0.465000 ;
+      RECT 2.440000  0.635000 3.210000 0.885000 ;
+      RECT 2.440000  0.885000 2.610000 1.055000 ;
+      RECT 2.440000  1.290000 2.610000 1.870000 ;
+      RECT 2.440000  1.870000 4.090000 2.070000 ;
+      RECT 2.440000  2.070000 2.610000 2.465000 ;
+      RECT 2.780000  2.240000 3.110000 2.635000 ;
+      RECT 3.320000  1.530000 4.510000 1.700000 ;
+      RECT 3.380000  0.465000 3.570000 0.635000 ;
+      RECT 3.380000  0.635000 6.355000 0.885000 ;
+      RECT 3.760000  0.085000 4.090000 0.445000 ;
+      RECT 3.760000  2.070000 4.090000 2.465000 ;
+      RECT 4.260000  0.255000 4.430000 0.635000 ;
+      RECT 4.260000  1.700000 4.510000 2.465000 ;
+      RECT 4.600000  0.085000 4.930000 0.445000 ;
+      RECT 4.680000  1.870000 5.720000 2.070000 ;
+      RECT 4.680000  2.070000 4.850000 2.465000 ;
+      RECT 5.020000  2.240000 5.350000 2.635000 ;
+      RECT 5.100000  0.255000 5.270000 0.635000 ;
+      RECT 5.440000  0.085000 5.770000 0.445000 ;
+      RECT 5.520000  2.070000 5.720000 2.465000 ;
+      RECT 5.890000  1.870000 6.355000 2.465000 ;
+      RECT 5.940000  0.255000 6.355000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.125000 6.295000 2.295000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.355000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 6.065000 2.095000 6.355000 2.140000 ;
+      RECT 6.065000 2.280000 6.355000 2.325000 ;
+  END
+END sky130_fd_sc_hd__o31a_4
+MACRO sky130_fd_sc_hd__o31a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.995000 1.760000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.995000 2.190000 1.325000 ;
+        RECT 1.990000 1.325000 2.190000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.640000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.255000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.577500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.860000 1.295000 ;
+        RECT 0.550000 0.265000 0.990000 0.825000 ;
+        RECT 0.550000 0.825000 0.860000 1.075000 ;
+        RECT 0.550000 1.295000 0.860000 1.835000 ;
+        RECT 0.550000 1.835000 0.990000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.905000 ;
+      RECT 0.085000  1.465000 0.380000 2.635000 ;
+      RECT 1.030000  0.995000 1.200000 1.445000 ;
+      RECT 1.030000  1.445000 1.820000 1.615000 ;
+      RECT 1.160000  0.085000 1.610000 0.825000 ;
+      RECT 1.165000  1.785000 1.480000 2.635000 ;
+      RECT 1.650000  1.615000 1.820000 2.295000 ;
+      RECT 1.650000  2.295000 3.080000 2.465000 ;
+      RECT 1.780000  0.255000 1.950000 0.655000 ;
+      RECT 1.780000  0.655000 2.940000 0.825000 ;
+      RECT 2.120000  0.085000 2.540000 0.485000 ;
+      RECT 2.710000  0.255000 2.940000 0.655000 ;
+      RECT 2.830000  1.495000 3.595000 1.665000 ;
+      RECT 2.830000  1.665000 3.080000 2.295000 ;
+      RECT 3.110000  0.255000 3.595000 0.825000 ;
+      RECT 3.255000  1.835000 3.590000 2.635000 ;
+      RECT 3.425000  0.825000 3.595000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_2
+MACRO sky130_fd_sc_hd__o2bb2a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.445000 ;
+        RECT 3.315000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.895000 1.615000 ;
+        RECT 1.565000 1.075000 1.895000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.910000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.910000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.605000 0.905000 6.910000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 1.265000 0.735000 ;
+      RECT 0.095000  0.735000 2.025000 0.905000 ;
+      RECT 0.140000  1.795000 0.345000 2.635000 ;
+      RECT 0.555000  1.785000 0.805000 2.295000 ;
+      RECT 0.555000  2.295000 1.645000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.785000 2.615000 1.955000 ;
+      RECT 0.975000  1.955000 1.225000 2.125000 ;
+      RECT 1.395000  2.125000 1.645000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 2.945000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.735000 ;
+      RECT 1.815000  2.125000 2.065000 2.635000 ;
+      RECT 2.065000  1.075000 2.445000 1.415000 ;
+      RECT 2.065000  1.415000 2.615000 1.785000 ;
+      RECT 2.195000  0.645000 2.525000 0.815000 ;
+      RECT 2.195000  0.815000 2.445000 1.075000 ;
+      RECT 2.235000  1.955000 2.615000 1.965000 ;
+      RECT 2.235000  1.965000 2.525000 2.465000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.695000  2.135000 3.425000 2.635000 ;
+      RECT 2.955000  0.725000 4.305000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.785000 ;
+      RECT 2.955000  1.785000 4.685000 1.965000 ;
+      RECT 3.215000  0.085000 3.385000 0.555000 ;
+      RECT 3.555000  0.305000 4.725000 0.475000 ;
+      RECT 3.595000  1.965000 3.845000 2.125000 ;
+      RECT 3.975000  0.645000 4.305000 0.725000 ;
+      RECT 4.015000  2.135000 4.265000 2.635000 ;
+      RECT 4.435000  1.965000 4.685000 2.465000 ;
+      RECT 4.475000  0.475000 4.725000 0.895000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.165000  1.075000 6.435000 1.245000 ;
+      RECT 5.165000  1.245000 5.455000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.445000 5.395000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.460000 5.455000 1.600000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 5.165000 1.415000 5.455000 1.460000 ;
+      RECT 5.165000 1.600000 5.455000 1.645000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_4
+MACRO sky130_fd_sc_hd__o2bb2a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.770000 1.075000 1.220000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.380000 1.290000 0.735000 ;
+        RECT 1.070000 0.735000 1.565000 0.905000 ;
+        RECT 1.390000 0.905000 1.565000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.075000 3.595000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.520000 1.075000 3.080000 1.325000 ;
+        RECT 2.905000 1.325000 3.080000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.795000 ;
+        RECT 0.085000 1.795000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.600000 1.445000 ;
+      RECT 0.430000  1.445000 0.825000 1.615000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 0.620000  0.085000 0.790000 0.750000 ;
+      RECT 0.655000  1.615000 0.825000 1.885000 ;
+      RECT 0.655000  1.885000 2.735000 2.055000 ;
+      RECT 0.995000  1.495000 2.010000 1.715000 ;
+      RECT 1.460000  0.395000 1.905000 0.565000 ;
+      RECT 1.715000  2.235000 2.115000 2.635000 ;
+      RECT 1.735000  0.565000 1.905000 1.355000 ;
+      RECT 1.735000  1.355000 2.010000 1.495000 ;
+      RECT 2.075000  0.320000 2.325000 0.690000 ;
+      RECT 2.155000  0.690000 2.325000 1.075000 ;
+      RECT 2.155000  1.075000 2.350000 1.245000 ;
+      RECT 2.180000  1.245000 2.350000 1.495000 ;
+      RECT 2.180000  1.495000 2.735000 1.885000 ;
+      RECT 2.405000  2.055000 2.735000 2.290000 ;
+      RECT 2.495000  0.320000 2.745000 0.725000 ;
+      RECT 2.495000  0.725000 3.595000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.250000  1.815000 3.595000 2.635000 ;
+      RECT 3.255000  0.320000 3.595000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_1
+MACRO sky130_fd_sc_hd__o2bb2a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.215000 1.075000 1.685000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 0.380000 1.735000 0.735000 ;
+        RECT 1.515000 0.735000 2.020000 0.770000 ;
+        RECT 1.515000 0.770000 2.025000 0.905000 ;
+        RECT 1.855000 0.905000 2.025000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.700000 1.075000 4.045000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 3.525000 1.325000 ;
+        RECT 3.355000 1.325000 3.525000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.870000 0.825000 ;
+        RECT 0.535000 0.825000 0.705000 1.795000 ;
+        RECT 0.535000 1.795000 0.790000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.110000  0.085000 0.365000 0.910000 ;
+      RECT 0.110000  1.410000 0.365000 2.635000 ;
+      RECT 0.875000  0.995000 1.045000 1.445000 ;
+      RECT 0.875000  1.445000 1.270000 1.615000 ;
+      RECT 0.960000  2.235000 1.290000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.750000 ;
+      RECT 1.100000  1.615000 1.270000 1.885000 ;
+      RECT 1.100000  1.885000 3.185000 2.055000 ;
+      RECT 1.440000  1.495000 2.460000 1.715000 ;
+      RECT 1.905000  0.395000 2.365000 0.565000 ;
+      RECT 2.160000  2.235000 2.565000 2.635000 ;
+      RECT 2.195000  0.565000 2.365000 1.355000 ;
+      RECT 2.195000  1.355000 2.460000 1.495000 ;
+      RECT 2.535000  0.320000 2.780000 0.690000 ;
+      RECT 2.610000  0.690000 2.780000 1.075000 ;
+      RECT 2.610000  1.075000 2.800000 1.245000 ;
+      RECT 2.630000  1.245000 2.800000 1.495000 ;
+      RECT 2.630000  1.495000 3.185000 1.885000 ;
+      RECT 2.835000  2.055000 3.185000 2.425000 ;
+      RECT 2.955000  0.320000 3.185000 0.725000 ;
+      RECT 2.955000  0.725000 4.045000 0.905000 ;
+      RECT 3.375000  0.085000 3.545000 0.555000 ;
+      RECT 3.715000  0.320000 4.045000 0.725000 ;
+      RECT 3.730000  1.815000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_2
+MACRO sky130_fd_sc_hd__lpflow_bleeder_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN SHORT
+    ANTENNAGATEAREA  0.270000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.275000 1.040000 1.975000 1.730000 ;
+    END
+  END SHORT
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.870000 ;
+      RECT 2.145000  0.540000 2.475000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_bleeder_1
+MACRO sky130_fd_sc_hd__o21bai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 1.075000 2.675000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.075000 2.025000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.535000 1.345000 ;
+        RECT 0.085000 1.345000 0.355000 2.445000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.474000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.255000 1.285000 0.645000 ;
+        RECT 1.115000 0.645000 1.355000 0.825000 ;
+        RECT 1.185000 0.825000 1.355000 1.455000 ;
+        RECT 1.185000 1.455000 1.795000 1.625000 ;
+        RECT 1.470000 1.625000 1.795000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.360000 0.825000 ;
+      RECT 0.525000  1.535000 1.015000 1.705000 ;
+      RECT 0.525000  1.705000 0.800000 2.210000 ;
+      RECT 0.580000  0.495000 0.770000 0.655000 ;
+      RECT 0.580000  0.655000 0.890000 0.825000 ;
+      RECT 0.720000  0.825000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 1.015000 1.535000 ;
+      RECT 0.970000  1.875000 1.300000 2.635000 ;
+      RECT 1.490000  0.255000 1.820000 0.485000 ;
+      RECT 1.570000  0.485000 1.740000 0.735000 ;
+      RECT 1.570000  0.735000 2.665000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.555000 ;
+      RECT 2.270000  1.535000 2.645000 2.635000 ;
+      RECT 2.335000  0.270000 2.665000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_1
+MACRO sky130_fd_sc_hd__o21bai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.055000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.090000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.525000 1.325000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.445000 2.650000 1.615000 ;
+        RECT 1.085000 1.615000 1.255000 2.465000 ;
+        RECT 1.525000 0.645000 1.855000 0.905000 ;
+        RECT 1.525000 0.905000 1.780000 1.445000 ;
+        RECT 2.405000 1.615000 2.650000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.825000 ;
+      RECT 0.180000  1.495000 0.865000 1.665000 ;
+      RECT 0.180000  1.665000 0.350000 1.915000 ;
+      RECT 0.585000  1.875000 0.915000 2.635000 ;
+      RECT 0.600000  0.445000 0.865000 0.825000 ;
+      RECT 0.695000  0.825000 0.865000 1.075000 ;
+      RECT 0.695000  1.075000 1.335000 1.245000 ;
+      RECT 0.695000  1.245000 0.865000 1.495000 ;
+      RECT 1.075000  0.255000 2.275000 0.475000 ;
+      RECT 1.075000  0.475000 1.355000 0.905000 ;
+      RECT 1.470000  1.795000 1.720000 2.635000 ;
+      RECT 1.955000  1.795000 2.235000 2.295000 ;
+      RECT 1.955000  2.295000 3.035000 2.465000 ;
+      RECT 2.025000  0.475000 2.275000 0.725000 ;
+      RECT 2.025000  0.725000 3.980000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.555000 ;
+      RECT 2.785000  0.255000 3.115000 0.725000 ;
+      RECT 2.865000  1.455000 3.980000 1.665000 ;
+      RECT 2.865000  1.665000 3.035000 2.295000 ;
+      RECT 3.205000  1.835000 3.535000 2.635000 ;
+      RECT 3.285000  0.085000 3.455000 0.555000 ;
+      RECT 3.625000  0.265000 3.980000 0.725000 ;
+      RECT 3.705000  1.665000 3.980000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_2
+MACRO sky130_fd_sc_hd__o21bai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 1.075000 6.810000 1.285000 ;
+        RECT 6.585000 1.285000 6.810000 2.455000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.065000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.555000 1.285000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.455000 4.315000 1.625000 ;
+        RECT 1.065000 1.625000 1.275000 2.465000 ;
+        RECT 1.420000 0.645000 2.675000 0.815000 ;
+        RECT 1.865000 1.625000 2.115000 2.465000 ;
+        RECT 2.445000 0.815000 2.675000 1.075000 ;
+        RECT 2.445000 1.075000 2.895000 1.445000 ;
+        RECT 2.445000 1.445000 4.315000 1.455000 ;
+        RECT 3.225000 1.625000 3.475000 2.125000 ;
+        RECT 4.065000 1.625000 4.315000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.145000  1.455000 0.895000 1.625000 ;
+      RECT 0.145000  1.625000 0.475000 2.435000 ;
+      RECT 0.225000  0.085000 0.395000 0.895000 ;
+      RECT 0.565000  0.290000 0.895000 0.895000 ;
+      RECT 0.645000  1.795000 0.855000 2.635000 ;
+      RECT 0.725000  0.895000 0.895000 1.075000 ;
+      RECT 0.725000  1.075000 2.275000 1.285000 ;
+      RECT 0.725000  1.285000 0.895000 1.455000 ;
+      RECT 1.080000  0.305000 3.095000 0.475000 ;
+      RECT 1.445000  1.795000 1.695000 2.635000 ;
+      RECT 2.285000  1.795000 2.535000 2.635000 ;
+      RECT 2.775000  1.795000 3.055000 2.295000 ;
+      RECT 2.775000  2.295000 4.735000 2.465000 ;
+      RECT 2.845000  0.475000 3.095000 0.725000 ;
+      RECT 2.845000  0.725000 6.455000 0.905000 ;
+      RECT 3.265000  0.085000 3.435000 0.555000 ;
+      RECT 3.605000  0.255000 3.935000 0.725000 ;
+      RECT 3.645000  1.795000 3.895000 2.295000 ;
+      RECT 4.105000  0.085000 4.275000 0.555000 ;
+      RECT 4.445000  0.255000 4.775000 0.725000 ;
+      RECT 4.485000  1.455000 6.415000 1.625000 ;
+      RECT 4.485000  1.625000 4.735000 2.295000 ;
+      RECT 4.905000  1.795000 5.155000 2.635000 ;
+      RECT 4.945000  0.085000 5.115000 0.555000 ;
+      RECT 5.285000  0.255000 5.615000 0.725000 ;
+      RECT 5.325000  1.625000 5.575000 2.465000 ;
+      RECT 5.745000  1.795000 5.995000 2.635000 ;
+      RECT 5.785000  0.085000 5.955000 0.555000 ;
+      RECT 6.125000  0.255000 6.455000 0.725000 ;
+      RECT 6.165000  1.625000 6.415000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_4
+MACRO sky130_fd_sc_hd__nor2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_1
+MACRO sky130_fd_sc_hd__nor2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_2
+MACRO sky130_fd_sc_hd__nor2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_4
+MACRO sky130_fd_sc_hd__o32ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.290000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.090000 1.075000 7.260000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.770000 1.075000 5.380000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 3.540000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.685000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 3.380000 0.905000 ;
+        RECT 0.515000 1.495000 5.580000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.085000 ;
+        RECT 1.355000 1.665000 1.700000 2.085000 ;
+        RECT 1.855000 0.905000 2.035000 1.495000 ;
+        RECT 4.410000 1.665000 4.740000 2.085000 ;
+        RECT 5.250000 1.665000 5.580000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.255000  3.800000 0.465000 ;
+      RECT 0.090000  0.465000  0.345000 0.905000 ;
+      RECT 0.090000  1.495000  0.345000 2.255000 ;
+      RECT 0.090000  2.255000  2.040000 2.465000 ;
+      RECT 1.015000  1.835000  1.185000 2.255000 ;
+      RECT 1.870000  1.835000  3.800000 2.005000 ;
+      RECT 1.870000  2.005000  2.040000 2.255000 ;
+      RECT 2.210000  2.175000  2.540000 2.635000 ;
+      RECT 2.710000  2.005000  2.880000 2.425000 ;
+      RECT 3.050000  2.175000  3.380000 2.635000 ;
+      RECT 3.550000  0.465000  3.800000 0.735000 ;
+      RECT 3.550000  0.735000 10.035000 0.905000 ;
+      RECT 3.550000  2.005000  3.800000 2.465000 ;
+      RECT 3.970000  0.085000  4.140000 0.545000 ;
+      RECT 3.990000  1.835000  4.240000 2.255000 ;
+      RECT 3.990000  2.255000  7.680000 2.465000 ;
+      RECT 4.310000  0.255000  4.640000 0.735000 ;
+      RECT 4.810000  0.085000  5.140000 0.545000 ;
+      RECT 4.910000  1.835000  5.080000 2.255000 ;
+      RECT 5.310000  0.255000  5.980000 0.735000 ;
+      RECT 5.750000  1.835000  5.920000 2.255000 ;
+      RECT 6.090000  1.495000  9.460000 1.665000 ;
+      RECT 6.090000  1.665000  6.420000 2.085000 ;
+      RECT 6.170000  0.085000  6.340000 0.545000 ;
+      RECT 6.510000  0.255000  6.840000 0.735000 ;
+      RECT 6.590000  1.835000  6.760000 2.255000 ;
+      RECT 6.930000  1.665000  7.260000 2.085000 ;
+      RECT 7.010000  0.085000  7.180000 0.545000 ;
+      RECT 7.350000  0.255000  8.040000 0.735000 ;
+      RECT 7.430000  1.835000  7.680000 2.255000 ;
+      RECT 7.870000  1.835000  8.120000 2.635000 ;
+      RECT 8.290000  1.665000  8.620000 2.465000 ;
+      RECT 8.370000  0.085000  8.540000 0.545000 ;
+      RECT 8.710000  0.255000  9.040000 0.735000 ;
+      RECT 8.790000  1.835000  8.960000 2.635000 ;
+      RECT 9.130000  1.665000  9.460000 2.465000 ;
+      RECT 9.210000  0.085000  9.470000 0.545000 ;
+      RECT 9.630000  1.495000 10.035000 2.635000 ;
+      RECT 9.645000  0.255000 10.035000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_4
+MACRO sky130_fd_sc_hd__o32ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.575000 0.995000 3.135000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.930000 0.995000 2.225000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.685000 0.345000 0.995000 ;
+        RECT 0.090000 0.995000 0.360000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.870000 0.995000 1.240000 1.615000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.821250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 0.845000 0.825000 ;
+        RECT 0.530000 0.825000 0.700000 1.785000 ;
+        RECT 0.530000 1.785000 1.545000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.255000 1.345000 0.485000 ;
+      RECT 0.090000  1.495000 0.360000 2.635000 ;
+      RECT 1.015000  0.485000 1.345000 0.655000 ;
+      RECT 1.015000  0.655000 2.525000 0.825000 ;
+      RECT 1.515000  0.085000 2.185000 0.485000 ;
+      RECT 2.355000  0.375000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.135000 0.825000 ;
+      RECT 2.695000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_1
+MACRO sky130_fd_sc_hd__o32ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 1.075000 5.865000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 1.075000 4.480000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 3.065000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.705000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.845000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 2.045000 0.905000 ;
+        RECT 0.515000 1.495000 3.105000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.095000 ;
+        RECT 1.875000 0.905000 2.045000 1.105000 ;
+        RECT 1.875000 1.105000 2.170000 1.495000 ;
+        RECT 2.775000 1.665000 3.105000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.255000 2.405000 0.485000 ;
+      RECT 0.090000  0.485000 0.345000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.295000 ;
+      RECT 0.090000  2.295000 1.265000 2.465000 ;
+      RECT 1.015000  1.835000 2.105000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.295000 ;
+      RECT 1.435000  2.175000 1.605000 2.635000 ;
+      RECT 1.775000  2.005000 2.105000 2.455000 ;
+      RECT 2.235000  0.485000 2.405000 0.715000 ;
+      RECT 2.235000  0.715000 5.755000 0.905000 ;
+      RECT 2.335000  1.835000 2.585000 2.255000 ;
+      RECT 2.335000  2.255000 4.385000 2.445000 ;
+      RECT 2.620000  0.085000 2.950000 0.545000 ;
+      RECT 3.135000  0.255000 3.465000 0.715000 ;
+      RECT 3.275000  1.495000 3.445000 2.255000 ;
+      RECT 3.615000  1.495000 5.325000 1.665000 ;
+      RECT 3.615000  1.665000 3.945000 2.085000 ;
+      RECT 3.635000  0.085000 3.805000 0.545000 ;
+      RECT 4.055000  0.255000 4.725000 0.715000 ;
+      RECT 4.135000  1.835000 4.385000 2.255000 ;
+      RECT 4.620000  1.835000 4.825000 2.635000 ;
+      RECT 4.905000  0.085000 5.235000 0.545000 ;
+      RECT 4.995000  1.665000 5.325000 2.460000 ;
+      RECT 5.425000  0.255000 5.755000 0.715000 ;
+      RECT 5.495000  1.495000 5.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_2
+MACRO sky130_fd_sc_hd__a2111oi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.035000 1.070000 2.625000 1.400000 ;
+        RECT 2.355000 0.660000 2.625000 1.070000 ;
+        RECT 2.355000 1.400000 2.625000 1.735000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.650000 3.135000 1.735000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 1.055000 1.845000 1.735000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.055000 1.325000 2.360000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.730000 0.435000 1.655000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.424000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.825000 0.785000 2.465000 ;
+        RECT 0.605000 0.635000 2.040000 0.885000 ;
+        RECT 0.605000 0.885000 0.785000 1.825000 ;
+        RECT 0.785000 0.255000 1.040000 0.615000 ;
+        RECT 0.785000 0.615000 2.040000 0.635000 ;
+        RECT 1.710000 0.280000 2.040000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.465000 ;
+      RECT 1.210000  0.085000 1.540000 0.445000 ;
+      RECT 1.540000  1.905000 2.870000 2.085000 ;
+      RECT 1.540000  2.085000 1.870000 2.465000 ;
+      RECT 2.040000  2.255000 2.370000 2.635000 ;
+      RECT 2.470000  0.085000 2.800000 0.480000 ;
+      RECT 2.540000  2.085000 2.870000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_0
+MACRO sky130_fd_sc_hd__a2111oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.465000 0.985000 3.715000 1.445000 ;
+        RECT 3.465000 1.445000 5.290000 1.675000 ;
+        RECT 4.895000 0.995000 5.290000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.970000 1.015000 4.725000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.185000 1.030000 2.855000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.045000 0.455000 1.445000 ;
+        RECT 0.125000 1.445000 1.800000 1.680000 ;
+        RECT 1.615000 1.030000 1.975000 1.275000 ;
+        RECT 1.615000 1.275000 1.800000 1.445000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.755000 1.075000 1.425000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.212750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.255000 0.380000 0.615000 ;
+        RECT 0.120000 0.615000 5.355000 0.805000 ;
+        RECT 0.120000 0.805000 3.255000 0.845000 ;
+        RECT 0.900000 1.850000 2.140000 2.105000 ;
+        RECT 1.050000 0.255000 1.295000 0.615000 ;
+        RECT 1.965000 0.255000 2.295000 0.615000 ;
+        RECT 1.970000 1.445000 3.255000 1.625000 ;
+        RECT 1.970000 1.625000 2.140000 1.850000 ;
+        RECT 2.965000 0.275000 3.295000 0.615000 ;
+        RECT 3.025000 0.845000 3.255000 1.445000 ;
+        RECT 5.020000 0.295000 5.355000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  1.870000 0.460000 2.275000 ;
+      RECT 0.100000  2.275000 2.185000 2.295000 ;
+      RECT 0.100000  2.295000 2.985000 2.465000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.465000  0.085000 1.795000 0.445000 ;
+      RECT 2.310000  1.795000 3.335000 1.845000 ;
+      RECT 2.310000  1.845000 5.400000 1.965000 ;
+      RECT 2.310000  1.965000 2.640000 2.060000 ;
+      RECT 2.465000  0.085000 2.795000 0.445000 ;
+      RECT 2.815000  2.135000 2.985000 2.295000 ;
+      RECT 3.155000  1.965000 5.400000 2.095000 ;
+      RECT 3.155000  2.095000 3.520000 2.465000 ;
+      RECT 3.690000  2.275000 4.020000 2.635000 ;
+      RECT 4.125000  0.085000 4.455000 0.445000 ;
+      RECT 4.190000  2.095000 5.400000 2.105000 ;
+      RECT 4.190000  2.105000 4.400000 2.465000 ;
+      RECT 4.570000  2.275000 4.900000 2.635000 ;
+      RECT 5.070000  2.105000 5.400000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_2
+MACRO sky130_fd_sc_hd__a2111oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.020000 7.745000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 1.020000 9.990000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.955000 1.020000 5.650000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.055000 1.020000 3.745000 1.275000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 1.020000 1.845000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.009500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 7.620000 0.785000 ;
+        RECT 0.145000 0.785000 0.320000 1.475000 ;
+        RECT 0.145000 1.475000 1.720000 1.655000 ;
+        RECT 0.530000 1.655000 1.720000 1.685000 ;
+        RECT 0.530000 1.685000 0.860000 2.085000 ;
+        RECT 0.615000 0.455000 0.790000 0.615000 ;
+        RECT 1.390000 1.685000 1.720000 2.085000 ;
+        RECT 1.460000 0.455000 1.650000 0.615000 ;
+        RECT 2.400000 0.455000 2.590000 0.615000 ;
+        RECT 3.260000 0.455000 3.510000 0.615000 ;
+        RECT 4.180000 0.455000 4.420000 0.615000 ;
+        RECT 5.090000 0.455000 5.275000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.100000  1.835000  0.360000 2.255000 ;
+      RECT 0.100000  2.255000  3.870000 2.445000 ;
+      RECT 0.115000  0.085000  0.445000 0.445000 ;
+      RECT 0.960000  0.085000  1.290000 0.445000 ;
+      RECT 1.030000  1.855000  1.220000 2.255000 ;
+      RECT 1.820000  0.085000  2.230000 0.445000 ;
+      RECT 1.890000  1.855000  2.080000 2.255000 ;
+      RECT 2.250000  1.475000  5.680000 1.655000 ;
+      RECT 2.250000  1.655000  3.440000 1.685000 ;
+      RECT 2.250000  1.685000  2.580000 2.085000 ;
+      RECT 2.750000  1.855000  2.940000 2.255000 ;
+      RECT 2.760000  0.085000  3.090000 0.445000 ;
+      RECT 3.110000  1.685000  3.440000 2.085000 ;
+      RECT 3.610000  1.835000  3.870000 2.255000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 4.060000  1.835000  4.320000 2.255000 ;
+      RECT 4.060000  2.255000  5.180000 2.275000 ;
+      RECT 4.060000  2.275000  6.050000 2.445000 ;
+      RECT 4.490000  1.655000  5.680000 1.685000 ;
+      RECT 4.490000  1.685000  4.820000 2.085000 ;
+      RECT 4.590000  0.085000  4.920000 0.445000 ;
+      RECT 4.990000  1.855000  5.180000 2.255000 ;
+      RECT 5.350000  1.685000  5.680000 2.085000 ;
+      RECT 5.445000  0.085000  5.780000 0.445000 ;
+      RECT 5.860000  1.445000  9.770000 1.615000 ;
+      RECT 5.860000  1.615000  6.050000 2.275000 ;
+      RECT 5.980000  0.275000  8.075000 0.445000 ;
+      RECT 6.220000  1.785000  6.550000 2.635000 ;
+      RECT 6.720000  1.615000  6.910000 2.315000 ;
+      RECT 7.080000  1.805000  7.410000 2.635000 ;
+      RECT 7.580000  1.615000  9.770000 1.665000 ;
+      RECT 7.580000  1.665000  7.910000 2.315000 ;
+      RECT 7.885000  0.445000  8.075000 0.615000 ;
+      RECT 7.885000  0.615000  9.865000 0.785000 ;
+      RECT 8.080000  1.895000  8.410000 2.635000 ;
+      RECT 8.245000  0.085000  8.575000 0.445000 ;
+      RECT 8.580000  1.665000  9.770000 1.670000 ;
+      RECT 8.580000  1.670000  8.840000 2.290000 ;
+      RECT 8.745000  0.300000  8.935000 0.615000 ;
+      RECT 9.030000  1.915000  9.360000 2.635000 ;
+      RECT 9.105000  0.085000  9.435000 0.445000 ;
+      RECT 9.530000  1.670000  9.770000 2.260000 ;
+      RECT 9.605000  0.290000  9.865000 0.615000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_4
+MACRO sky130_fd_sc_hd__a2111oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.725000 1.400000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.350000 3.090000 1.020000 ;
+        RECT 2.905000 1.020000 3.540000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.050000 2.270000 1.400000 ;
+        RECT 1.940000 1.400000 2.215000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.050000 1.770000 2.455000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.785000 1.050000 1.235000 2.455000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.388750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.700000 1.375000 0.705000 ;
+        RECT 0.145000 0.705000 2.420000 0.815000 ;
+        RECT 0.145000 0.815000 2.300000 0.880000 ;
+        RECT 0.145000 0.880000 0.530000 2.460000 ;
+        RECT 1.045000 0.260000 1.375000 0.700000 ;
+        RECT 2.090000 0.305000 2.420000 0.705000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.315000  0.085000 0.630000 0.525000 ;
+      RECT 1.550000  0.085000 1.880000 0.535000 ;
+      RECT 2.395000  1.580000 3.505000 1.750000 ;
+      RECT 2.395000  1.750000 2.625000 2.460000 ;
+      RECT 2.800000  1.920000 3.130000 2.635000 ;
+      RECT 3.270000  0.085000 3.510000 0.760000 ;
+      RECT 3.310000  1.750000 3.505000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.645000 1.630000 0.815000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.505000 1.630000 1.675000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.365000 1.630000 2.535000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.800000 0.465000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.195000 2.125000 0.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.800000 1.325000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 1.800000 2.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.955000 2.125000 2.125000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 1.800000 3.045000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.835000 2.125000 3.005000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.150000 2.340000 ;
+        RECT 0.135000 2.080000 0.425000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+        RECT 1.895000 2.080000 2.185000 2.140000 ;
+        RECT 2.775000 2.080000 3.065000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.615000 1.455000 10.480000 1.665000 ;
+        RECT  0.615000 1.665000  0.785000 2.465000 ;
+        RECT  1.475000 1.665000  1.645000 2.465000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.335000 1.665000  2.505000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.195000 1.665000  3.365000 2.465000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.055000 1.665000  4.225000 2.465000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  5.080000 1.665000  5.250000 2.465000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.965000 1.665000  6.135000 2.465000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.825000 1.665000  6.995000 2.465000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.685000 1.665000  7.855000 2.465000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.545000 1.665000  8.715000 2.465000 ;
+        RECT  9.405000 1.665000  9.575000 2.465000 ;
+        RECT 10.265000 1.665000 10.435000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.110000 1.495000  0.440000 2.465000 ;
+        RECT 10.610000 1.835000 10.940000 2.465000 ;
+      LAYER mcon ;
+        RECT  0.130000 2.125000  0.300000 2.295000 ;
+        RECT 10.720000 2.125000 10.890000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.835000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.825000 1.835000 2.155000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.835000 3.015000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.835000 3.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.690000 2.125000 3.860000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.425000 1.835000 4.755000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.550000 2.125000 4.720000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.450000 1.835000 5.780000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.450000 2.125000 5.620000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.315000 1.835000 6.645000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.370000 2.125000 6.540000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.175000 1.835000 7.505000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.230000 2.125000 7.400000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.035000 1.835000 8.365000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.130000 2.125000 8.300000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.895000 1.835000 9.225000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.960000 2.125000 9.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 1.835000 10.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.820000 2.125000 9.990000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.080000  0.360000 2.140000 ;
+        RECT  0.070000 2.140000 10.970000 2.340000 ;
+        RECT  0.930000 2.080000  1.220000 2.140000 ;
+        RECT  1.830000 2.080000  2.120000 2.140000 ;
+        RECT  2.710000 2.080000  3.000000 2.140000 ;
+        RECT  3.630000 2.080000  3.920000 2.140000 ;
+        RECT  4.490000 2.080000  4.780000 2.140000 ;
+        RECT  5.390000 2.080000  5.680000 2.140000 ;
+        RECT  6.310000 2.080000  6.600000 2.140000 ;
+        RECT  7.170000 2.080000  7.460000 2.140000 ;
+        RECT  8.070000 2.080000  8.360000 2.140000 ;
+        RECT  8.900000 2.080000  9.190000 2.140000 ;
+        RECT  9.760000 2.080000 10.050000 2.140000 ;
+        RECT 10.660000 2.080000 10.950000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 11.040000 0.085000 ;
+      RECT 0.000000  2.635000 11.040000 2.805000 ;
+      RECT 1.855000  0.085000  2.125000 0.610000 ;
+      RECT 2.720000  0.085000  2.985000 0.610000 ;
+      RECT 3.580000  0.085000  3.845000 0.610000 ;
+      RECT 4.465000  0.085000  4.730000 0.610000 ;
+      RECT 5.490000  0.085000  5.755000 0.610000 ;
+      RECT 6.350000  0.085000  6.575000 0.610000 ;
+      RECT 7.210000  0.085000  7.475000 0.610000 ;
+      RECT 8.070000  0.085000  8.335000 0.610000 ;
+      RECT 8.930000  0.085000  9.195000 0.610000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.255000 0.840000 0.760000 ;
+        RECT 0.590000 0.760000 1.295000 0.945000 ;
+        RECT 0.595000 0.945000 1.295000 1.290000 ;
+        RECT 0.595000 1.290000 0.765000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.665000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.155000 2.125000 0.325000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 1.665000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.095000 2.080000 0.385000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.375000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.045000 1.630000 1.235000 2.435000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.800000 0.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.600000 2.125000 0.770000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.405000 1.800000 1.735000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.500000 2.125000 1.670000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.540000 2.080000 0.830000 2.140000 ;
+        RECT 1.440000 2.080000 1.730000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.595000 1.630000 0.765000 2.435000 ;
+        RECT 1.440000 1.630000 1.610000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.280000 1.630000 2.450000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.120000 1.630000 3.290000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.960000 1.630000 4.130000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.800000 1.630000 4.970000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.800000 0.425000 2.465000 ;
+        RECT 5.140000 1.800000 5.470000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.130000 2.125000 0.300000 2.295000 ;
+        RECT 5.255000 2.125000 5.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.800000 1.270000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 1.800000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.800000 2.950000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.460000 1.800000 3.790000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.495000 2.125000 3.665000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.300000 1.800000 4.630000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.355000 2.125000 4.525000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.080000 0.360000 2.140000 ;
+        RECT 0.070000 2.140000 5.910000 2.340000 ;
+        RECT 0.930000 2.080000 1.220000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.710000 2.080000 3.000000 2.140000 ;
+        RECT 3.435000 2.080000 3.725000 2.140000 ;
+        RECT 4.295000 2.080000 4.585000 2.140000 ;
+        RECT 5.195000 2.080000 5.485000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+MACRO sky130_fd_sc_hd__dlxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 0.955000 1.685000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.255000 5.490000 0.820000 ;
+        RECT 5.140000 1.670000 5.490000 2.455000 ;
+        RECT 5.320000 0.820000 5.490000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.445000 6.815000 2.465000 ;
+        RECT 6.600000 0.825000 6.815000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.795000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.135000 0.785000 ;
+      RECT 1.855000  0.785000 2.135000 0.875000 ;
+      RECT 1.855000  0.875000 2.335000 1.235000 ;
+      RECT 1.855000  1.235000 2.115000 1.495000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.965000  1.835000 2.245000 2.635000 ;
+      RECT 2.465000  1.355000 2.795000 1.685000 ;
+      RECT 2.580000  0.705000 3.135000 1.065000 ;
+      RECT 2.750000  2.255000 3.610000 2.425000 ;
+      RECT 2.800000  0.365000 3.475000 0.535000 ;
+      RECT 2.965000  1.065000 3.135000 1.575000 ;
+      RECT 2.965000  1.575000 3.290000 1.910000 ;
+      RECT 2.965000  1.910000 3.195000 1.995000 ;
+      RECT 3.305000  0.535000 3.475000 0.995000 ;
+      RECT 3.305000  0.995000 4.175000 1.165000 ;
+      RECT 3.425000  2.035000 3.650000 2.065000 ;
+      RECT 3.425000  2.065000 3.630000 2.090000 ;
+      RECT 3.425000  2.090000 3.610000 2.255000 ;
+      RECT 3.430000  2.020000 3.650000 2.035000 ;
+      RECT 3.435000  2.010000 3.650000 2.020000 ;
+      RECT 3.440000  1.995000 3.650000 2.010000 ;
+      RECT 3.460000  1.165000 4.175000 1.325000 ;
+      RECT 3.460000  1.325000 3.650000 1.995000 ;
+      RECT 3.700000  0.085000 4.045000 0.530000 ;
+      RECT 3.780000  2.175000 3.980000 2.635000 ;
+      RECT 3.820000  1.535000 4.515000 1.865000 ;
+      RECT 4.285000  0.415000 4.550000 0.745000 ;
+      RECT 4.285000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.550000 0.995000 ;
+      RECT 4.345000  0.995000 5.150000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.685000  1.570000 4.970000 2.635000 ;
+      RECT 4.720000  0.085000 4.970000 0.715000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.430000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.555000  1.445000 2.725000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.965000  1.785000 3.135000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.785000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.195000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.495000 1.415000 2.785000 1.460000 ;
+      RECT 2.495000 1.600000 2.785000 1.645000 ;
+      RECT 2.905000 1.755000 3.195000 1.800000 ;
+      RECT 2.905000 1.940000 3.195000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxbp_1
+MACRO sky130_fd_sc_hd__dlxtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.175000 0.415000 5.435000 0.745000 ;
+        RECT 5.175000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.320000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.320000 1.235000 ;
+      RECT 1.945000  1.235000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.780000 2.005000 ;
+      RECT 2.560000  0.735000 3.265000 1.040000 ;
+      RECT 2.745000  2.255000 3.605000 2.425000 ;
+      RECT 2.765000  0.365000 3.605000 0.535000 ;
+      RECT 2.950000  1.040000 3.265000 1.560000 ;
+      RECT 2.950000  1.560000 3.285000 1.910000 ;
+      RECT 3.295000  2.090000 3.620000 2.105000 ;
+      RECT 3.295000  2.105000 3.605000 2.255000 ;
+      RECT 3.390000  2.045000 3.645000 2.065000 ;
+      RECT 3.390000  2.065000 3.630000 2.085000 ;
+      RECT 3.390000  2.085000 3.620000 2.090000 ;
+      RECT 3.405000  2.035000 3.645000 2.045000 ;
+      RECT 3.430000  2.010000 3.645000 2.035000 ;
+      RECT 3.435000  0.535000 3.605000 0.995000 ;
+      RECT 3.435000  0.995000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.645000 1.450000 ;
+      RECT 3.455000  1.450000 3.645000 2.010000 ;
+      RECT 3.775000  0.085000 4.045000 0.545000 ;
+      RECT 3.775000  2.175000 4.095000 2.635000 ;
+      RECT 3.815000  1.535000 4.540000 1.865000 ;
+      RECT 4.295000  0.260000 4.540000 0.720000 ;
+      RECT 4.295000  1.865000 4.540000 2.435000 ;
+      RECT 4.370000  0.720000 4.540000 0.995000 ;
+      RECT 4.370000  0.995000 5.095000 1.325000 ;
+      RECT 4.370000  1.325000 4.540000 1.535000 ;
+      RECT 4.720000  1.570000 5.005000 2.635000 ;
+      RECT 4.755000  0.085000 4.980000 0.715000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.675000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_1
+MACRO sky130_fd_sc_hd__dlxtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.240000 0.415000 5.525000 0.745000 ;
+        RECT 5.240000 1.495000 5.525000 2.455000 ;
+        RECT 5.355000 0.745000 5.525000 0.995000 ;
+        RECT 5.355000 0.995000 6.815000 1.325000 ;
+        RECT 5.355000 1.325000 5.525000 1.495000 ;
+        RECT 6.115000 0.385000 6.385000 0.995000 ;
+        RECT 6.115000 1.325000 6.385000 2.455000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.120000 2.635000 ;
+      RECT 3.840000  1.535000 4.605000 1.865000 ;
+      RECT 4.385000  0.415000 4.605000 0.745000 ;
+      RECT 4.385000  1.865000 4.605000 2.435000 ;
+      RECT 4.435000  0.745000 4.605000 0.995000 ;
+      RECT 4.435000  0.995000 5.185000 1.325000 ;
+      RECT 4.435000  1.325000 4.605000 1.535000 ;
+      RECT 4.785000  0.085000 5.070000 0.715000 ;
+      RECT 4.785000  1.495000 5.070000 2.635000 ;
+      RECT 5.695000  0.085000 5.945000 0.825000 ;
+      RECT 5.695000  1.495000 5.945000 2.635000 ;
+      RECT 6.555000  0.085000 6.815000 0.715000 ;
+      RECT 6.555000  1.495000 6.815000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_4
+MACRO sky130_fd_sc_hd__dlxtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.685000 ;
+        RECT 5.215000 0.685000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.640000 ;
+        RECT 5.215000 1.640000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.895000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.895000 0.550000 ;
+      RECT 5.635000  1.755000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_2
+MACRO sky130_fd_sc_hd__a311o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.765000 2.155000 0.995000 ;
+        RECT 1.965000 0.995000 2.310000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.750000 1.705000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.240000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 0.995000 3.095000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.535000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.454000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.395000 0.670000 ;
+        RECT 0.085000 0.670000 0.255000 1.785000 ;
+        RECT 0.085000 1.785000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.425000  0.995000 0.735000 1.325000 ;
+      RECT 0.565000  0.655000 1.260000 0.825000 ;
+      RECT 0.565000  0.825000 0.735000 0.995000 ;
+      RECT 0.565000  1.325000 0.735000 1.495000 ;
+      RECT 0.565000  1.495000 3.505000 1.665000 ;
+      RECT 0.590000  0.085000 0.920000 0.465000 ;
+      RECT 0.595000  2.175000 0.840000 2.635000 ;
+      RECT 1.015000  1.835000 2.575000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.465000 ;
+      RECT 1.090000  0.255000 2.495000 0.425000 ;
+      RECT 1.090000  0.425000 1.260000 0.655000 ;
+      RECT 1.455000  2.255000 2.125000 2.635000 ;
+      RECT 2.325000  0.425000 2.495000 0.655000 ;
+      RECT 2.325000  0.655000 3.505000 0.825000 ;
+      RECT 2.325000  2.005000 2.575000 2.465000 ;
+      RECT 2.765000  0.085000 3.095000 0.485000 ;
+      RECT 3.335000  0.255000 3.505000 0.655000 ;
+      RECT 3.335000  1.665000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_1
+MACRO sky130_fd_sc_hd__a311o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.605000 2.620000 0.995000 ;
+        RECT 2.440000 0.995000 2.675000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.605000 2.165000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.710000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.995000 3.235000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 0.995000 4.005000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.295000 0.845000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.345000 0.465000 ;
+      RECT 1.015000  0.655000 1.695000 0.825000 ;
+      RECT 1.015000  0.825000 1.185000 1.495000 ;
+      RECT 1.015000  1.495000 3.965000 1.665000 ;
+      RECT 1.160000  1.835000 1.380000 2.635000 ;
+      RECT 1.525000  0.255000 2.960000 0.425000 ;
+      RECT 1.525000  0.425000 1.695000 0.655000 ;
+      RECT 1.590000  1.835000 3.025000 2.005000 ;
+      RECT 1.590000  2.005000 1.840000 2.465000 ;
+      RECT 2.125000  2.255000 2.455000 2.635000 ;
+      RECT 2.715000  2.005000 3.025000 2.465000 ;
+      RECT 2.790000  0.425000 2.960000 0.655000 ;
+      RECT 2.790000  0.655000 3.965000 0.825000 ;
+      RECT 3.220000  0.085000 3.550000 0.485000 ;
+      RECT 3.795000  0.255000 3.965000 0.655000 ;
+      RECT 3.795000  1.665000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_2
+MACRO sky130_fd_sc_hd__a311o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.945000 1.075000 7.275000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.255000 1.075000 6.040000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.515000 1.075000 4.945000 1.285000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.505000 1.285000 ;
+        RECT 1.060000 1.285000 1.255000 1.625000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.745000 0.350000 1.625000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.904000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.295000 2.545000 0.465000 ;
+        RECT 2.295000 0.465000 2.465000 0.715000 ;
+        RECT 2.295000 0.715000 3.305000 0.885000 ;
+        RECT 2.715000 1.545000 3.885000 1.715000 ;
+        RECT 2.910000 0.885000 3.105000 1.545000 ;
+        RECT 3.055000 0.295000 3.385000 0.465000 ;
+        RECT 3.135000 0.465000 3.305000 0.715000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.345000 0.565000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.515000  0.295000 0.845000 0.465000 ;
+      RECT 0.515000  1.955000 0.845000 2.125000 ;
+      RECT 0.595000  0.465000 0.765000 0.715000 ;
+      RECT 0.595000  0.715000 2.025000 0.885000 ;
+      RECT 0.595000  0.885000 0.765000 1.955000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.355000  0.295000 1.685000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.715000 ;
+      RECT 1.435000  1.455000 2.385000 1.625000 ;
+      RECT 1.435000  1.625000 1.605000 2.125000 ;
+      RECT 1.855000  0.085000 2.025000 0.545000 ;
+      RECT 1.855000  0.885000 2.025000 1.075000 ;
+      RECT 1.855000  1.075000 2.705000 1.245000 ;
+      RECT 1.855000  1.795000 2.025000 2.295000 ;
+      RECT 2.195000  1.625000 2.385000 1.915000 ;
+      RECT 2.195000  1.915000 6.765000 2.085000 ;
+      RECT 2.295000  2.255000 2.625000 2.635000 ;
+      RECT 2.715000  0.085000 2.885000 0.545000 ;
+      RECT 3.135000  2.255000 3.465000 2.635000 ;
+      RECT 3.275000  1.075000 4.320000 1.245000 ;
+      RECT 3.555000  0.085000 4.065000 0.545000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.150000  1.245000 4.320000 1.455000 ;
+      RECT 4.150000  1.455000 6.685000 1.625000 ;
+      RECT 4.275000  0.295000 4.605000 0.465000 ;
+      RECT 4.355000  0.465000 4.525000 0.715000 ;
+      RECT 4.355000  0.715000 6.005000 0.885000 ;
+      RECT 4.475000  1.795000 4.645000 1.915000 ;
+      RECT 4.475000  2.085000 4.645000 2.465000 ;
+      RECT 4.775000  0.085000 4.945000 0.545000 ;
+      RECT 4.815000  2.255000 5.175000 2.635000 ;
+      RECT 5.255000  0.255000 7.270000 0.425000 ;
+      RECT 5.255000  0.425000 6.345000 0.465000 ;
+      RECT 5.375000  1.795000 5.545000 1.915000 ;
+      RECT 5.375000  2.085000 5.545000 2.465000 ;
+      RECT 5.675000  0.645000 6.005000 0.715000 ;
+      RECT 5.715000  2.255000 6.045000 2.635000 ;
+      RECT 6.175000  0.465000 6.345000 0.885000 ;
+      RECT 6.515000  0.645000 6.845000 0.825000 ;
+      RECT 6.515000  0.825000 6.685000 1.455000 ;
+      RECT 6.595000  1.795000 6.765000 1.915000 ;
+      RECT 6.595000  2.085000 6.765000 2.465000 ;
+      RECT 6.935000  0.425000 7.270000 0.500000 ;
+      RECT 6.935000  1.795000 7.270000 2.635000 ;
+      RECT 7.015000  0.500000 7.270000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_4
+MACRO sky130_fd_sc_hd__nand3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 6.355000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 0.655000 6.355000 0.905000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.125000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.785000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.655000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.255000 6.000000 0.485000 ;
+      RECT 2.615000  0.655000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.455000  0.655000 3.785000 0.735000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  1.835000 6.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_4
+MACRO sky130_fd_sc_hd__nand3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.755000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.240000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.745000 0.330000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.699000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 1.745000 0.595000 ;
+        RECT 0.515000 0.595000 0.695000 1.495000 ;
+        RECT 0.515000 1.495000 1.745000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.415000 0.595000 1.745000 0.825000 ;
+        RECT 1.415000 1.665000 1.745000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.575000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.245000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_1
+MACRO sky130_fd_sc_hd__nand3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 2.160000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.595000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 1.445000 ;
+        RECT 0.515000 1.445000 3.045000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.715000 1.665000 3.045000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.295000 2.105000 0.465000 ;
+      RECT 0.090000  0.465000 0.345000 0.785000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.045000 0.905000 ;
+      RECT 1.855000  1.835000 2.545000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.465000 ;
+      RECT 3.215000  0.085000 3.595000 0.885000 ;
+      RECT 3.215000  1.445000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_2
+MACRO sky130_fd_sc_hd__or2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.730000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.415000 2.630000 0.760000 ;
+        RECT 2.400000 1.495000 2.630000 2.465000 ;
+        RECT 2.460000 0.760000 2.630000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.105000  0.265000 0.420000 0.735000 ;
+      RECT 0.105000  0.735000 0.840000 0.905000 ;
+      RECT 0.590000  0.085000 1.320000 0.565000 ;
+      RECT 0.595000  0.905000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.330000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.985000  1.495000 2.230000 1.665000 ;
+      RECT 0.985000  1.665000 1.405000 1.915000 ;
+      RECT 1.490000  0.305000 1.660000 0.655000 ;
+      RECT 1.490000  0.655000 2.230000 0.825000 ;
+      RECT 1.830000  0.085000 2.210000 0.485000 ;
+      RECT 1.910000  1.835000 2.190000 2.635000 ;
+      RECT 2.060000  0.825000 2.230000 0.995000 ;
+      RECT 2.060000  0.995000 2.290000 1.325000 ;
+      RECT 2.060000  1.325000 2.230000 1.495000 ;
+      RECT 2.800000  0.085000 3.055000 0.925000 ;
+      RECT 2.800000  1.460000 3.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_2
+MACRO sky130_fd_sc_hd__or2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_1
+MACRO sky130_fd_sc_hd__or2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.630000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.955000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 0.290000 2.655000 0.735000 ;
+        RECT 2.325000 0.735000 4.055000 0.905000 ;
+        RECT 2.365000 1.785000 3.455000 1.955000 ;
+        RECT 2.365000 1.955000 2.615000 2.465000 ;
+        RECT 2.830000 1.445000 4.055000 1.615000 ;
+        RECT 2.830000 1.615000 3.455000 1.785000 ;
+        RECT 3.165000 0.290000 3.495000 0.735000 ;
+        RECT 3.205000 1.955000 3.455000 2.465000 ;
+        RECT 3.670000 0.905000 4.055000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  2.125000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.245000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.120000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 2.465000 ;
+      RECT 0.990000  1.495000 2.660000 1.615000 ;
+      RECT 0.990000  1.615000 1.460000 2.465000 ;
+      RECT 1.290000  0.735000 1.745000 0.905000 ;
+      RECT 1.290000  0.905000 1.460000 1.445000 ;
+      RECT 1.290000  1.445000 2.660000 1.495000 ;
+      RECT 1.415000  0.305000 1.745000 0.735000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 1.980000  0.085000 2.155000 0.905000 ;
+      RECT 2.490000  1.075000 3.500000 1.245000 ;
+      RECT 2.490000  1.245000 2.660000 1.445000 ;
+      RECT 2.785000  2.135000 3.035000 2.635000 ;
+      RECT 2.825000  0.085000 2.995000 0.550000 ;
+      RECT 3.625000  1.795000 3.875000 2.635000 ;
+      RECT 3.665000  0.085000 3.835000 0.550000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_4
+MACRO sky130_fd_sc_hd__nand2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 0.995000 0.800000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.135000 1.275000 ;
+        RECT 1.990000 1.275000 2.180000 1.655000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.775500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.835000 2.635000 2.005000 ;
+        RECT 1.035000 2.005000 1.365000 2.465000 ;
+        RECT 1.525000 0.635000 1.855000 0.805000 ;
+        RECT 1.530000 0.805000 1.855000 0.905000 ;
+        RECT 1.530000 0.905000 1.810000 1.835000 ;
+        RECT 2.280000 2.005000 2.635000 2.465000 ;
+        RECT 2.360000 1.495000 2.635000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.110000  0.510000 0.345000 0.840000 ;
+      RECT 0.110000  0.840000 0.280000 1.495000 ;
+      RECT 0.110000  1.495000 1.360000 1.665000 ;
+      RECT 0.110000  1.665000 0.410000 1.860000 ;
+      RECT 0.515000  0.085000 0.845000 0.825000 ;
+      RECT 0.580000  1.835000 0.835000 2.635000 ;
+      RECT 1.030000  1.075000 1.360000 1.495000 ;
+      RECT 1.080000  0.255000 2.275000 0.465000 ;
+      RECT 1.080000  0.465000 1.355000 0.905000 ;
+      RECT 1.535000  2.175000 2.110000 2.635000 ;
+      RECT 2.025000  0.465000 2.275000 0.695000 ;
+      RECT 2.025000  0.695000 3.135000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.525000 ;
+      RECT 2.785000  0.255000 3.135000 0.695000 ;
+      RECT 2.805000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_2
+MACRO sky130_fd_sc_hd__nand2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.315000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.085000 1.315000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 1.835000 2.170000 2.005000 ;
+        RECT 1.000000 2.005000 1.330000 2.465000 ;
+        RECT 1.420000 0.255000 2.170000 0.545000 ;
+        RECT 1.800000 0.545000 2.170000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.525000 0.360000 0.735000 ;
+      RECT 0.090000  0.735000 1.425000 0.905000 ;
+      RECT 0.090000  1.495000 1.425000 1.665000 ;
+      RECT 0.090000  1.665000 0.370000 1.825000 ;
+      RECT 0.580000  0.085000 0.910000 0.545000 ;
+      RECT 0.580000  1.835000 0.830000 2.635000 ;
+      RECT 1.255000  0.905000 1.425000 1.075000 ;
+      RECT 1.255000  1.075000 1.630000 1.325000 ;
+      RECT 1.255000  1.325000 1.425000 1.495000 ;
+      RECT 1.500000  2.175000 1.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_1
+MACRO sky130_fd_sc_hd__nand2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.155000 1.075000 4.940000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 4.320000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.640000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.150000 1.665000 3.480000 2.465000 ;
+        RECT 3.990000 1.665000 4.320000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 0.780000 0.905000 ;
+      RECT 0.090000  1.445000 0.780000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.790000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.780000 1.445000 ;
+      RECT 0.970000  1.445000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 3.060000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.810000  0.465000 3.060000 0.715000 ;
+      RECT 2.810000  0.715000 4.850000 0.905000 ;
+      RECT 2.810000  1.835000 2.980000 2.635000 ;
+      RECT 3.230000  0.085000 3.400000 0.545000 ;
+      RECT 3.570000  0.255000 3.900000 0.715000 ;
+      RECT 3.650000  1.835000 3.820000 2.635000 ;
+      RECT 4.070000  0.085000 4.310000 0.545000 ;
+      RECT 4.520000  0.255000 4.850000 0.715000 ;
+      RECT 4.520000  1.495000 4.850000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_4
+MACRO sky130_fd_sc_hd__a221oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.675000 2.200000 1.075000 ;
+        RECT 1.945000 1.075000 2.275000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 0.995000 2.755000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.695000 1.285000 ;
+        RECT 1.415000 0.675000 1.695000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.615000 1.075000 1.055000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.285000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.767000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.170000 0.255000 0.345000 0.735000 ;
+        RECT 0.170000 0.735000 1.235000 0.905000 ;
+        RECT 0.175000 1.455000 2.300000 1.495000 ;
+        RECT 0.175000 1.495000 3.135000 1.625000 ;
+        RECT 0.175000 1.625000 0.345000 2.465000 ;
+        RECT 1.065000 0.255000 2.580000 0.505000 ;
+        RECT 1.065000 0.505000 1.235000 0.735000 ;
+        RECT 2.150000 1.625000 3.135000 1.665000 ;
+        RECT 2.380000 0.505000 2.580000 0.655000 ;
+        RECT 2.380000 0.655000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.025000 1.835000 ;
+      RECT 1.015000  1.835000 2.625000 2.045000 ;
+      RECT 1.015000  2.045000 1.240000 2.125000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.205000 2.635000 ;
+      RECT 2.375000  2.045000 2.625000 2.465000 ;
+      RECT 2.750000  0.085000 3.080000 0.485000 ;
+      RECT 2.795000  1.875000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_1
+MACRO sky130_fd_sc_hd__a221oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.265000 1.615000 ;
+        RECT 8.095000 1.075000 9.575000 1.275000 ;
+        RECT 8.095000 1.275000 8.265000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.935000 0.995000 5.285000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.415000 0.995000 3.765000 1.325000 ;
+        RECT 3.595000 1.325000 3.765000 1.445000 ;
+        RECT 3.595000 1.445000 5.795000 1.615000 ;
+        RECT 5.465000 1.075000 5.795000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.335000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.905000 ;
+        RECT 0.575000 1.445000 1.705000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.125000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 1.615000 1.665000 2.125000 ;
+        RECT 1.505000 0.905000 1.705000 1.095000 ;
+        RECT 1.505000 1.095000 3.245000 1.275000 ;
+        RECT 1.505000 1.275000 1.705000 1.445000 ;
+        RECT 3.075000 0.645000 5.680000 0.735000 ;
+        RECT 3.075000 0.735000 7.765000 0.820000 ;
+        RECT 3.075000 0.820000 3.245000 1.095000 ;
+        RECT 5.510000 0.820000 6.460000 0.905000 ;
+        RECT 6.290000 0.645000 7.765000 0.735000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  1.445000 0.405000 2.295000 ;
+      RECT 0.090000  2.295000 2.125000 2.465000 ;
+      RECT 0.115000  0.085000 0.365000 0.895000 ;
+      RECT 0.995000  1.785000 1.245000 2.295000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.875000  0.085000 2.045000 0.645000 ;
+      RECT 1.875000  0.645000 2.905000 0.925000 ;
+      RECT 1.875000  1.445000 3.030000 1.615000 ;
+      RECT 1.875000  1.615000 2.125000 2.295000 ;
+      RECT 2.235000  0.255000 5.585000 0.425000 ;
+      RECT 2.235000  0.425000 2.610000 0.475000 ;
+      RECT 2.315000  1.795000 2.565000 2.215000 ;
+      RECT 2.315000  2.215000 6.005000 2.465000 ;
+      RECT 2.735000  0.595000 2.905000 0.645000 ;
+      RECT 2.735000  1.615000 3.030000 1.835000 ;
+      RECT 2.735000  1.835000 5.585000 2.045000 ;
+      RECT 3.035000  0.425000 5.585000 0.475000 ;
+      RECT 5.755000  1.785000 8.605000 2.045000 ;
+      RECT 5.755000  2.045000 6.005000 2.215000 ;
+      RECT 5.835000  0.085000 6.005000 0.555000 ;
+      RECT 6.175000  0.255000 8.185000 0.475000 ;
+      RECT 6.175000  2.215000 8.185000 2.635000 ;
+      RECT 7.935000  0.475000 8.185000 0.725000 ;
+      RECT 7.935000  0.725000 9.025000 0.905000 ;
+      RECT 8.355000  0.085000 8.525000 0.555000 ;
+      RECT 8.355000  2.045000 8.525000 2.465000 ;
+      RECT 8.435000  1.445000 9.405000 1.615000 ;
+      RECT 8.435000  1.615000 8.605000 1.785000 ;
+      RECT 8.695000  0.255000 9.025000 0.725000 ;
+      RECT 8.775000  1.795000 8.945000 2.635000 ;
+      RECT 9.155000  1.615000 9.405000 2.465000 ;
+      RECT 9.195000  0.085000 9.365000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_4
+MACRO sky130_fd_sc_hd__a221oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 4.480000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.435000 1.075000 3.765000 1.445000 ;
+        RECT 3.435000 1.445000 4.820000 1.615000 ;
+        RECT 4.650000 1.075000 5.435000 1.275000 ;
+        RECT 4.650000 1.275000 4.820000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.765000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 2.040000 1.445000 ;
+        RECT 1.505000 1.445000 3.265000 1.615000 ;
+        RECT 2.935000 1.075000 3.265000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.420000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.305000 0.855000 0.725000 ;
+        RECT 0.525000 0.725000 4.395000 0.865000 ;
+        RECT 0.605000 0.865000 4.395000 0.905000 ;
+        RECT 0.605000 0.905000 0.855000 2.125000 ;
+        RECT 2.285000 0.645000 2.635000 0.725000 ;
+        RECT 4.065000 0.645000 4.395000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  1.795000 0.435000 2.295000 ;
+      RECT 0.090000  2.295000 1.275000 2.465000 ;
+      RECT 0.105000  0.085000 0.355000 0.895000 ;
+      RECT 1.025000  0.085000 1.715000 0.555000 ;
+      RECT 1.025000  1.495000 1.275000 1.785000 ;
+      RECT 1.025000  1.785000 3.015000 1.955000 ;
+      RECT 1.025000  1.955000 1.275000 2.295000 ;
+      RECT 1.505000  2.125000 1.755000 2.295000 ;
+      RECT 1.505000  2.295000 3.475000 2.465000 ;
+      RECT 1.885000  0.255000 3.055000 0.475000 ;
+      RECT 1.925000  1.955000 2.175000 2.125000 ;
+      RECT 2.345000  2.125000 2.595000 2.295000 ;
+      RECT 2.765000  1.955000 3.015000 2.125000 ;
+      RECT 3.225000  1.785000 5.195000 1.955000 ;
+      RECT 3.225000  1.955000 3.475000 2.295000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.645000  0.255000 4.815000 0.475000 ;
+      RECT 3.685000  2.125000 3.935000 2.635000 ;
+      RECT 4.105000  1.955000 4.355000 2.465000 ;
+      RECT 4.525000  2.125000 4.775000 2.635000 ;
+      RECT 4.565000  0.475000 4.815000 0.905000 ;
+      RECT 4.985000  0.085000 5.155000 0.905000 ;
+      RECT 4.990000  1.455000 5.195000 1.785000 ;
+      RECT 4.990000  1.955000 5.195000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_2
+MACRO sky130_fd_sc_hd__dfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.600000 1.455000 9.005000 2.465000 ;
+        RECT 8.675000 0.275000 9.005000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.180000 0.265000 10.435000 0.795000 ;
+        RECT 10.180000 1.445000 10.435000 2.325000 ;
+        RECT 10.225000 0.795000 10.435000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.090000  0.345000  0.345000 0.635000 ;
+      RECT 0.090000  0.635000  0.840000 0.805000 ;
+      RECT 0.090000  1.795000  0.840000 1.965000 ;
+      RECT 0.090000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.185000 2.465000 ;
+      RECT 1.545000  0.085000  1.875000 0.445000 ;
+      RECT 1.850000  2.175000  2.100000 2.635000 ;
+      RECT 2.045000  0.305000  2.540000 0.475000 ;
+      RECT 2.045000  0.475000  2.215000 1.835000 ;
+      RECT 2.045000  1.835000  2.440000 2.005000 ;
+      RECT 2.270000  2.005000  2.440000 2.135000 ;
+      RECT 2.270000  2.135000  2.520000 2.465000 ;
+      RECT 2.385000  0.765000  2.735000 1.385000 ;
+      RECT 2.610000  1.575000  3.075000 1.965000 ;
+      RECT 2.735000  2.135000  3.415000 2.465000 ;
+      RECT 2.745000  0.305000  3.600000 0.475000 ;
+      RECT 2.905000  0.765000  3.260000 0.985000 ;
+      RECT 2.905000  0.985000  3.075000 1.575000 ;
+      RECT 3.245000  1.185000  4.935000 1.355000 ;
+      RECT 3.245000  1.355000  3.415000 2.135000 ;
+      RECT 3.430000  0.475000  3.600000 1.185000 ;
+      RECT 3.585000  1.865000  4.660000 2.035000 ;
+      RECT 3.585000  2.035000  3.755000 2.375000 ;
+      RECT 3.775000  1.525000  5.275000 1.695000 ;
+      RECT 3.990000  2.205000  4.320000 2.635000 ;
+      RECT 4.475000  0.085000  4.805000 0.545000 ;
+      RECT 4.490000  2.035000  4.660000 2.375000 ;
+      RECT 4.765000  1.005000  4.935000 1.185000 ;
+      RECT 4.955000  2.175000  5.325000 2.635000 ;
+      RECT 5.015000  0.275000  5.365000 0.445000 ;
+      RECT 5.015000  0.445000  5.275000 0.835000 ;
+      RECT 5.105000  0.835000  5.275000 1.525000 ;
+      RECT 5.105000  1.695000  5.275000 1.835000 ;
+      RECT 5.105000  1.835000  5.665000 2.005000 ;
+      RECT 5.465000  0.705000  5.675000 1.495000 ;
+      RECT 5.465000  1.495000  6.140000 1.655000 ;
+      RECT 5.465000  1.655000  6.430000 1.665000 ;
+      RECT 5.495000  2.005000  5.665000 2.465000 ;
+      RECT 5.585000  0.255000  6.535000 0.535000 ;
+      RECT 5.845000  0.705000  6.195000 1.325000 ;
+      RECT 5.900000  2.125000  6.770000 2.465000 ;
+      RECT 5.970000  1.665000  6.430000 1.955000 ;
+      RECT 6.365000  0.535000  6.535000 1.315000 ;
+      RECT 6.365000  1.315000  6.770000 1.485000 ;
+      RECT 6.600000  1.485000  6.770000 1.575000 ;
+      RECT 6.600000  1.575000  7.820000 1.745000 ;
+      RECT 6.600000  1.745000  6.770000 2.125000 ;
+      RECT 6.705000  0.085000  6.895000 0.525000 ;
+      RECT 6.705000  0.695000  7.235000 0.865000 ;
+      RECT 6.705000  0.865000  6.925000 1.145000 ;
+      RECT 6.940000  2.175000  7.190000 2.635000 ;
+      RECT 7.065000  0.295000  8.135000 0.465000 ;
+      RECT 7.065000  0.465000  7.235000 0.695000 ;
+      RECT 7.360000  1.915000  8.160000 2.085000 ;
+      RECT 7.360000  2.085000  7.530000 2.375000 ;
+      RECT 7.710000  2.255000  8.430000 2.635000 ;
+      RECT 7.815000  0.465000  8.135000 0.820000 ;
+      RECT 7.815000  0.820000  8.140000 0.995000 ;
+      RECT 7.815000  0.995000  8.435000 1.295000 ;
+      RECT 7.990000  1.295000  8.435000 1.325000 ;
+      RECT 7.990000  1.325000  8.160000 1.915000 ;
+      RECT 8.335000  0.085000  8.505000 0.770000 ;
+      RECT 9.195000  0.345000  9.445000 0.995000 ;
+      RECT 9.195000  0.995000 10.055000 1.325000 ;
+      RECT 9.195000  1.325000  9.525000 2.425000 ;
+      RECT 9.760000  0.085000  9.930000 0.680000 ;
+      RECT 9.760000  1.495000  9.930000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_1
+MACRO sky130_fd_sc_hd__dfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.160000 0.265000 9.495000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.030000 1.535000 10.420000 2.080000 ;
+        RECT 10.040000 0.310000 10.420000 0.825000 ;
+        RECT 10.120000 2.080000 10.420000 2.465000 ;
+        RECT 10.250000 0.825000 10.420000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  7.985000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.055000 2.635000 ;
+      RECT  7.815000  0.465000  7.985000 0.995000 ;
+      RECT  7.815000  0.995000  8.160000 1.075000 ;
+      RECT  7.815000  1.075000  8.650000 1.295000 ;
+      RECT  7.990000  1.295000  8.650000 1.325000 ;
+      RECT  7.990000  1.325000  8.160000 1.915000 ;
+      RECT  8.335000  0.345000  8.585000 0.715000 ;
+      RECT  8.335000  0.715000  8.990000 0.885000 ;
+      RECT  8.335000  1.795000  8.990000 1.865000 ;
+      RECT  8.335000  1.865000  9.835000 2.035000 ;
+      RECT  8.335000  2.035000  8.560000 2.465000 ;
+      RECT  8.730000  2.205000  9.070000 2.635000 ;
+      RECT  8.755000  0.085000  8.990000 0.545000 ;
+      RECT  8.820000  0.885000  8.990000 1.795000 ;
+      RECT  9.620000  2.255000  9.950000 2.635000 ;
+      RECT  9.665000  0.995000 10.080000 1.325000 ;
+      RECT  9.665000  1.325000  9.835000 1.865000 ;
+      RECT  9.700000  0.085000  9.870000 0.825000 ;
+      RECT 10.590000  0.085000 10.760000 0.930000 ;
+      RECT 10.590000  1.445000 10.760000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_2
+MACRO sky130_fd_sc_hd__fill_8
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.120000 0.350000 0.050000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_8
+MACRO sky130_fd_sc_hd__fill_1
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.055000 0.260000 0.055000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_1
+MACRO sky130_fd_sc_hd__fill_4
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.175000 -0.060000 0.285000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_4
+MACRO sky130_fd_sc_hd__fill_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.050000 0.315000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_2
+MACRO sky130_fd_sc_hd__dlygate4sd3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.775000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.595000 0.825000 ;
+        RECT 3.210000 1.495000 3.595000 2.465000 ;
+        RECT 3.315000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.200000  0.255000 0.485000 0.715000 ;
+      RECT 0.200000  0.715000 1.155000 0.885000 ;
+      RECT 0.200000  1.785000 1.155000 2.005000 ;
+      RECT 0.200000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.945000  0.885000 1.155000 1.785000 ;
+      RECT 1.325000  0.255000 1.725000 1.055000 ;
+      RECT 1.325000  1.055000 2.420000 1.615000 ;
+      RECT 1.325000  1.615000 1.725000 2.465000 ;
+      RECT 1.915000  0.255000 2.195000 0.715000 ;
+      RECT 1.915000  0.715000 3.040000 0.885000 ;
+      RECT 1.915000  1.785000 3.040000 2.005000 ;
+      RECT 1.915000  2.005000 2.195000 2.465000 ;
+      RECT 2.590000  0.885000 3.040000 0.995000 ;
+      RECT 2.590000  0.995000 3.145000 1.325000 ;
+      RECT 2.590000  1.325000 3.040000 1.785000 ;
+      RECT 2.715000  0.085000 3.040000 0.545000 ;
+      RECT 2.715000  2.175000 3.040000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd3_1
+MACRO sky130_fd_sc_hd__o211a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.075000 1.720000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.075000 2.220000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.075000 2.720000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.245000 1.075000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  1.075000 1.125000 1.245000 ;
+      RECT 0.595000  0.085000 0.845000 0.885000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 0.955000  1.245000 1.125000 1.495000 ;
+      RECT 0.955000  1.495000 3.390000 1.665000 ;
+      RECT 1.035000  0.255000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.260000 0.905000 ;
+      RECT 1.035000  1.835000 1.285000 2.635000 ;
+      RECT 1.535000  0.085000 1.760000 0.545000 ;
+      RECT 1.930000  0.255000 2.260000 0.735000 ;
+      RECT 1.930000  1.665000 2.260000 2.465000 ;
+      RECT 2.560000  1.835000 2.890000 2.635000 ;
+      RECT 2.890000  0.255000 3.390000 0.865000 ;
+      RECT 2.890000  0.865000 3.060000 1.495000 ;
+      RECT 3.060000  1.665000 3.390000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_1
+MACRO sky130_fd_sc_hd__o211a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.995000 2.325000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.995000 1.820000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.240000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.360000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.720000 0.255000 3.050000 0.615000 ;
+        RECT 2.720000 0.615000 3.540000 0.785000 ;
+        RECT 2.810000 1.905000 3.540000 2.075000 ;
+        RECT 2.810000 2.075000 3.000000 2.465000 ;
+        RECT 3.345000 0.785000 3.540000 1.905000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  1.510000 2.665000 1.765000 ;
+      RECT 0.090000  1.765000 0.355000 2.465000 ;
+      RECT 0.095000  0.255000 0.430000 0.425000 ;
+      RECT 0.095000  0.425000 0.710000 0.825000 ;
+      RECT 0.525000  1.935000 0.855000 2.635000 ;
+      RECT 0.530000  0.825000 0.710000 1.510000 ;
+      RECT 0.880000  0.635000 2.150000 0.825000 ;
+      RECT 1.025000  1.765000 1.695000 2.465000 ;
+      RECT 1.390000  0.085000 1.725000 0.465000 ;
+      RECT 2.200000  1.935000 2.630000 2.635000 ;
+      RECT 2.315000  0.085000 2.550000 0.525000 ;
+      RECT 2.495000  0.995000 3.175000 1.325000 ;
+      RECT 2.495000  1.325000 2.665000 1.510000 ;
+      RECT 3.170000  2.255000 3.500000 2.635000 ;
+      RECT 3.220000  0.085000 3.550000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_2
+MACRO sky130_fd_sc_hd__o211a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.490000 1.035000 4.845000 1.495000 ;
+        RECT 4.490000 1.495000 6.290000 1.685000 ;
+        RECT 5.890000 1.035000 6.290000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.030000 1.035000 5.705000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.995000 2.830000 1.445000 ;
+        RECT 2.540000 1.445000 4.280000 1.685000 ;
+        RECT 3.950000 1.035000 4.280000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.055000 1.035000 3.740000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.911000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 1.605000 0.805000 ;
+        RECT 0.085000 0.805000 0.365000 1.435000 ;
+        RECT 0.085000 1.435000 2.030000 1.700000 ;
+        RECT 0.595000 0.255000 0.765000 0.615000 ;
+        RECT 0.595000 0.615000 1.605000 0.635000 ;
+        RECT 0.980000 1.700000 1.160000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.615000 ;
+        RECT 1.840000 1.700000 2.030000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.480000  1.870000 0.810000 2.635000 ;
+      RECT 0.535000  1.065000 2.370000 1.265000 ;
+      RECT 0.935000  0.085000 1.265000 0.445000 ;
+      RECT 1.340000  1.870000 1.670000 2.635000 ;
+      RECT 1.775000  0.085000 2.140000 0.465000 ;
+      RECT 2.200000  0.635000 3.520000 0.815000 ;
+      RECT 2.200000  0.815000 2.370000 1.065000 ;
+      RECT 2.200000  1.265000 2.370000 1.855000 ;
+      RECT 2.200000  1.855000 5.485000 2.025000 ;
+      RECT 2.200000  2.200000 2.530000 2.635000 ;
+      RECT 2.330000  0.255000 4.500000 0.465000 ;
+      RECT 2.700000  2.025000 3.060000 2.465000 ;
+      RECT 3.285000  2.195000 3.615000 2.635000 ;
+      RECT 3.785000  2.025000 4.120000 2.465000 ;
+      RECT 4.170000  0.465000 4.500000 0.695000 ;
+      RECT 4.170000  0.695000 6.345000 0.865000 ;
+      RECT 4.290000  2.195000 4.555000 2.635000 ;
+      RECT 4.670000  0.085000 4.985000 0.525000 ;
+      RECT 5.155000  0.255000 5.485000 0.695000 ;
+      RECT 5.155000  2.025000 5.485000 2.465000 ;
+      RECT 5.655000  0.085000 5.845000 0.525000 ;
+      RECT 6.015000  0.255000 6.345000 0.695000 ;
+      RECT 6.015000  1.915000 6.345000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_4
+MACRO sky130_fd_sc_hd__dlygate4sd2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.625000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.570000 0.255000 3.135000 0.825000 ;
+        RECT 2.570000 1.495000 3.135000 2.465000 ;
+        RECT 2.675000 0.825000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.715000 ;
+      RECT 0.085000  0.715000 1.030000 0.885000 ;
+      RECT 0.085000  1.785000 1.030000 2.005000 ;
+      RECT 0.085000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.795000  0.885000 1.030000 0.995000 ;
+      RECT 0.795000  0.995000 1.085000 1.325000 ;
+      RECT 0.795000  1.325000 1.030000 1.785000 ;
+      RECT 1.155000  0.255000 1.425000 0.585000 ;
+      RECT 1.155000  2.135000 1.425000 2.465000 ;
+      RECT 1.255000  0.585000 1.425000 1.055000 ;
+      RECT 1.255000  1.055000 2.030000 1.615000 ;
+      RECT 1.255000  1.615000 1.425000 2.135000 ;
+      RECT 1.615000  0.255000 1.875000 0.715000 ;
+      RECT 1.615000  0.715000 2.400000 0.885000 ;
+      RECT 1.615000  1.785000 2.400000 2.005000 ;
+      RECT 1.615000  2.005000 1.875000 2.465000 ;
+      RECT 2.075000  0.085000 2.400000 0.545000 ;
+      RECT 2.075000  2.175000 2.400000 2.635000 ;
+      RECT 2.200000  0.885000 2.400000 0.995000 ;
+      RECT 2.200000  0.995000 2.505000 1.325000 ;
+      RECT 2.200000  1.325000 2.400000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd2_1
+MACRO sky130_fd_sc_hd__sdfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.260000 0.305000 9.605000 0.820000 ;
+        RECT 9.260000 1.505000 9.605000 2.395000 ;
+        RECT 9.435000 0.820000 9.605000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.035000 4.020000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.250000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.080000 0.785000 3.250000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.810000 0.805000 ;
+      RECT 0.180000  1.795000  0.845000 1.965000 ;
+      RECT 0.180000  1.965000  0.350000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.520000  2.135000  0.850000 2.635000 ;
+      RECT 0.615000  0.805000  0.810000 0.970000 ;
+      RECT 0.615000  0.970000  0.845000 1.795000 ;
+      RECT 1.015000  0.345000  1.245000 0.715000 ;
+      RECT 1.020000  0.715000  1.245000 2.465000 ;
+      RECT 1.435000  0.275000  1.805000 0.445000 ;
+      RECT 1.435000  0.445000  1.605000 1.860000 ;
+      RECT 1.435000  1.860000  3.245000 2.075000 ;
+      RECT 1.435000  2.075000  1.710000 2.445000 ;
+      RECT 1.880000  2.245000  2.210000 2.635000 ;
+      RECT 1.975000  0.085000  2.305000 0.445000 ;
+      RECT 2.120000  0.955000  2.460000 1.125000 ;
+      RECT 2.120000  1.125000  2.290000 1.860000 ;
+      RECT 2.690000  2.245000  3.585000 2.415000 ;
+      RECT 2.820000  0.275000  3.590000 0.445000 ;
+      RECT 3.075000  1.355000  3.270000 1.685000 ;
+      RECT 3.075000  1.685000  3.245000 1.860000 ;
+      RECT 3.415000  1.825000  4.380000 1.995000 ;
+      RECT 3.415000  1.995000  3.585000 2.245000 ;
+      RECT 3.420000  0.445000  3.590000 0.695000 ;
+      RECT 3.420000  0.695000  4.380000 0.865000 ;
+      RECT 3.755000  2.165000  3.925000 2.635000 ;
+      RECT 3.760000  0.085000  3.960000 0.525000 ;
+      RECT 4.210000  0.365000  4.560000 0.535000 ;
+      RECT 4.210000  0.535000  4.380000 0.695000 ;
+      RECT 4.210000  0.865000  4.380000 1.825000 ;
+      RECT 4.210000  1.995000  4.380000 2.065000 ;
+      RECT 4.210000  2.065000  4.445000 2.440000 ;
+      RECT 4.550000  0.705000  5.130000 1.035000 ;
+      RECT 4.550000  1.035000  4.790000 1.905000 ;
+      RECT 4.690000  2.190000  5.760000 2.360000 ;
+      RECT 4.730000  0.365000  5.470000 0.535000 ;
+      RECT 4.980000  1.655000  5.420000 2.010000 ;
+      RECT 5.300000  0.535000  5.470000 1.315000 ;
+      RECT 5.300000  1.315000  6.100000 1.485000 ;
+      RECT 5.590000  1.485000  6.100000 1.575000 ;
+      RECT 5.590000  1.575000  5.760000 2.190000 ;
+      RECT 5.640000  0.765000  6.440000 1.065000 ;
+      RECT 5.640000  1.065000  5.810000 1.095000 ;
+      RECT 5.720000  0.085000  6.090000 0.585000 ;
+      RECT 5.930000  1.245000  6.100000 1.315000 ;
+      RECT 5.930000  1.835000  6.100000 2.635000 ;
+      RECT 6.270000  0.365000  6.730000 0.535000 ;
+      RECT 6.270000  0.535000  6.440000 0.765000 ;
+      RECT 6.270000  1.065000  6.440000 2.135000 ;
+      RECT 6.270000  2.135000  6.520000 2.465000 ;
+      RECT 6.610000  0.705000  7.160000 1.035000 ;
+      RECT 6.610000  1.245000  6.800000 1.965000 ;
+      RECT 6.745000  2.165000  7.630000 2.335000 ;
+      RECT 6.960000  0.365000  7.500000 0.535000 ;
+      RECT 6.970000  1.035000  7.160000 1.575000 ;
+      RECT 6.970000  1.575000  7.290000 1.905000 ;
+      RECT 7.330000  0.535000  7.500000 0.995000 ;
+      RECT 7.330000  0.995000  8.395000 1.325000 ;
+      RECT 7.330000  1.325000  7.630000 1.405000 ;
+      RECT 7.460000  1.405000  7.630000 2.165000 ;
+      RECT 7.745000  0.085000  8.115000 0.615000 ;
+      RECT 7.800000  1.575000  8.735000 1.905000 ;
+      RECT 7.810000  2.135000  8.115000 2.635000 ;
+      RECT 8.385000  0.300000  8.735000 0.825000 ;
+      RECT 8.465000  1.905000  8.735000 2.455000 ;
+      RECT 8.565000  0.825000  8.735000 0.995000 ;
+      RECT 8.565000  0.995000  9.265000 1.325000 ;
+      RECT 8.565000  1.325000  8.735000 1.575000 ;
+      RECT 8.905000  0.085000  9.075000 0.695000 ;
+      RECT 8.905000  1.625000  9.080000 2.635000 ;
+      RECT 9.775000  0.085000  9.945000 0.930000 ;
+      RECT 9.775000  1.405000  9.945000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.050000  0.765000 1.220000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.620000  1.785000 6.790000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.850000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.860000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.560000 1.755000 6.850000 1.800000 ;
+      RECT 6.560000 1.940000 6.850000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_2
+MACRO sky130_fd_sc_hd__sdfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.230000 0.305000 9.575000 0.820000 ;
+        RECT 9.230000 1.505000 9.575000 2.395000 ;
+        RECT 9.405000 0.820000 9.575000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.055000 3.990000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.635000 3.250000 0.785000 ;
+        RECT 1.760000 0.785000 1.990000 0.835000 ;
+        RECT 1.760000 0.835000 1.930000 1.685000 ;
+        RECT 1.870000 0.615000 3.250000 0.635000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.065000 0.785000 3.250000 1.095000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.810000 0.805000 ;
+      RECT 0.180000  1.795000 0.845000 1.965000 ;
+      RECT 0.180000  1.965000 0.350000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.520000  2.135000 0.850000 2.635000 ;
+      RECT 0.615000  0.805000 0.810000 0.970000 ;
+      RECT 0.615000  0.970000 0.845000 1.795000 ;
+      RECT 1.015000  0.345000 1.230000 0.715000 ;
+      RECT 1.020000  0.715000 1.230000 2.465000 ;
+      RECT 1.420000  0.260000 1.790000 0.465000 ;
+      RECT 1.420000  0.465000 1.590000 1.860000 ;
+      RECT 1.420000  1.860000 3.220000 2.075000 ;
+      RECT 1.420000  2.075000 1.710000 2.445000 ;
+      RECT 1.880000  2.245000 2.210000 2.635000 ;
+      RECT 1.960000  0.085000 2.305000 0.445000 ;
+      RECT 2.115000  0.960000 2.460000 1.130000 ;
+      RECT 2.115000  1.130000 2.290000 1.860000 ;
+      RECT 2.690000  2.245000 3.560000 2.415000 ;
+      RECT 2.820000  0.275000 3.590000 0.445000 ;
+      RECT 3.050000  1.305000 3.270000 1.635000 ;
+      RECT 3.050000  1.635000 3.220000 1.860000 ;
+      RECT 3.390000  1.825000 4.350000 1.995000 ;
+      RECT 3.390000  1.995000 3.560000 2.245000 ;
+      RECT 3.420000  0.445000 3.590000 0.715000 ;
+      RECT 3.420000  0.715000 4.350000 0.885000 ;
+      RECT 3.730000  2.165000 3.925000 2.635000 ;
+      RECT 3.760000  0.085000 3.960000 0.545000 ;
+      RECT 4.180000  0.285000 4.460000 0.615000 ;
+      RECT 4.180000  0.615000 4.350000 0.715000 ;
+      RECT 4.180000  0.885000 4.350000 1.825000 ;
+      RECT 4.180000  1.995000 4.350000 2.065000 ;
+      RECT 4.180000  2.065000 4.420000 2.440000 ;
+      RECT 4.520000  0.780000 5.100000 1.035000 ;
+      RECT 4.520000  1.035000 4.760000 1.905000 ;
+      RECT 4.630000  0.705000 5.100000 0.780000 ;
+      RECT 4.660000  2.190000 5.730000 2.360000 ;
+      RECT 4.700000  0.365000 5.440000 0.535000 ;
+      RECT 4.950000  1.655000 5.390000 2.010000 ;
+      RECT 5.270000  0.535000 5.440000 1.315000 ;
+      RECT 5.270000  1.315000 6.070000 1.485000 ;
+      RECT 5.560000  1.485000 6.070000 1.575000 ;
+      RECT 5.560000  1.575000 5.730000 2.190000 ;
+      RECT 5.610000  0.765000 6.410000 1.065000 ;
+      RECT 5.610000  1.065000 5.780000 1.095000 ;
+      RECT 5.690000  0.085000 6.060000 0.585000 ;
+      RECT 5.900000  1.245000 6.070000 1.315000 ;
+      RECT 5.900000  1.835000 6.070000 2.635000 ;
+      RECT 6.240000  0.365000 6.700000 0.535000 ;
+      RECT 6.240000  0.535000 6.410000 0.765000 ;
+      RECT 6.240000  1.065000 6.410000 2.135000 ;
+      RECT 6.240000  2.135000 6.490000 2.465000 ;
+      RECT 6.580000  0.705000 7.130000 1.035000 ;
+      RECT 6.580000  1.245000 6.770000 1.965000 ;
+      RECT 6.715000  2.165000 7.600000 2.335000 ;
+      RECT 6.930000  0.365000 7.470000 0.535000 ;
+      RECT 6.940000  1.035000 7.130000 1.575000 ;
+      RECT 6.940000  1.575000 7.260000 1.905000 ;
+      RECT 7.300000  0.535000 7.470000 0.995000 ;
+      RECT 7.300000  0.995000 8.365000 1.325000 ;
+      RECT 7.300000  1.325000 7.600000 1.405000 ;
+      RECT 7.430000  1.405000 7.600000 2.165000 ;
+      RECT 7.715000  0.085000 8.085000 0.615000 ;
+      RECT 7.770000  1.575000 8.705000 1.905000 ;
+      RECT 7.790000  2.135000 8.095000 2.635000 ;
+      RECT 8.355000  0.300000 8.705000 0.825000 ;
+      RECT 8.435000  1.905000 8.705000 2.455000 ;
+      RECT 8.535000  0.825000 8.705000 0.995000 ;
+      RECT 8.535000  0.995000 9.235000 1.325000 ;
+      RECT 8.535000  1.325000 8.705000 1.575000 ;
+      RECT 8.875000  0.085000 9.045000 0.695000 ;
+      RECT 8.875000  1.625000 9.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.040000  0.765000 1.210000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  1.785000 6.760000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.820000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.980000 0.735000 1.270000 0.780000 ;
+      RECT 0.980000 0.780000 6.860000 0.920000 ;
+      RECT 0.980000 0.920000 1.270000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.530000 1.755000 6.820000 1.800000 ;
+      RECT 6.530000 1.940000 6.820000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_1
+MACRO sky130_fd_sc_hd__sdfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  9.285000 0.305000  9.615000 0.735000 ;
+        RECT  9.285000 0.735000 10.955000 0.905000 ;
+        RECT  9.285000 1.505000 10.955000 1.675000 ;
+        RECT  9.285000 1.675000  9.615000 2.395000 ;
+        RECT 10.135000 0.305000 10.465000 0.735000 ;
+        RECT 10.135000 1.675000 10.465000 2.395000 ;
+        RECT 10.655000 0.905000 10.955000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.025000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.385000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.385000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.215000  0.365000  4.565000 0.535000 ;
+      RECT  4.215000  0.535000  4.385000 0.695000 ;
+      RECT  4.215000  0.865000  4.385000 1.825000 ;
+      RECT  4.215000  1.995000  4.385000 2.065000 ;
+      RECT  4.215000  2.065000  4.450000 2.440000 ;
+      RECT  4.555000  0.705000  5.135000 1.035000 ;
+      RECT  4.555000  1.035000  4.795000 1.905000 ;
+      RECT  4.695000  2.190000  5.765000 2.360000 ;
+      RECT  4.735000  0.365000  5.475000 0.535000 ;
+      RECT  4.985000  1.655000  5.425000 2.010000 ;
+      RECT  5.305000  0.535000  5.475000 1.315000 ;
+      RECT  5.305000  1.315000  6.105000 1.485000 ;
+      RECT  5.595000  1.485000  6.105000 1.575000 ;
+      RECT  5.595000  1.575000  5.765000 2.190000 ;
+      RECT  5.645000  0.765000  6.445000 1.065000 ;
+      RECT  5.645000  1.065000  5.815000 1.095000 ;
+      RECT  5.725000  0.085000  6.095000 0.585000 ;
+      RECT  5.935000  1.245000  6.105000 1.315000 ;
+      RECT  5.935000  1.835000  6.105000 2.635000 ;
+      RECT  6.275000  0.365000  6.735000 0.535000 ;
+      RECT  6.275000  0.535000  6.445000 0.765000 ;
+      RECT  6.275000  1.065000  6.445000 2.135000 ;
+      RECT  6.275000  2.135000  6.525000 2.465000 ;
+      RECT  6.615000  0.705000  7.165000 1.035000 ;
+      RECT  6.615000  1.245000  6.805000 1.965000 ;
+      RECT  6.750000  2.165000  7.635000 2.335000 ;
+      RECT  6.965000  0.365000  7.505000 0.535000 ;
+      RECT  6.975000  1.035000  7.165000 1.575000 ;
+      RECT  6.975000  1.575000  7.295000 1.905000 ;
+      RECT  7.335000  0.535000  7.505000 0.995000 ;
+      RECT  7.335000  0.995000  8.400000 1.325000 ;
+      RECT  7.335000  1.325000  7.635000 1.405000 ;
+      RECT  7.465000  1.405000  7.635000 2.165000 ;
+      RECT  7.750000  0.085000  8.120000 0.615000 ;
+      RECT  7.805000  1.575000  8.755000 1.905000 ;
+      RECT  7.815000  2.135000  8.120000 2.635000 ;
+      RECT  8.390000  0.300000  8.750000 0.825000 ;
+      RECT  8.470000  1.905000  8.755000 2.455000 ;
+      RECT  8.570000  0.825000  8.750000 1.075000 ;
+      RECT  8.570000  1.075000 10.485000 1.325000 ;
+      RECT  8.570000  1.325000  8.755000 1.575000 ;
+      RECT  8.925000  0.085000  9.095000 0.695000 ;
+      RECT  8.925000  1.625000  9.105000 2.635000 ;
+      RECT  9.795000  0.085000  9.965000 0.565000 ;
+      RECT  9.795000  1.845000  9.965000 2.635000 ;
+      RECT 10.635000  0.085000 10.805000 0.565000 ;
+      RECT 10.635000  1.845000 10.805000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.055000  0.765000  1.225000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.755000  0.765000  4.925000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.215000  1.785000  5.385000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  0.765000  6.795000 0.935000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 6.855000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 0.995000 0.735000 1.285000 0.780000 ;
+      RECT 0.995000 0.780000 6.855000 0.920000 ;
+      RECT 0.995000 0.920000 1.285000 0.965000 ;
+      RECT 4.695000 0.735000 4.985000 0.780000 ;
+      RECT 4.695000 0.920000 4.985000 0.965000 ;
+      RECT 5.155000 1.755000 5.445000 1.800000 ;
+      RECT 5.155000 1.940000 5.445000 1.985000 ;
+      RECT 6.565000 0.735000 6.855000 0.780000 ;
+      RECT 6.565000 0.920000 6.855000 0.965000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_4
+MACRO sky130_fd_sc_hd__maj3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.695000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 0.995000 2.155000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.495000 ;
+        RECT 0.425000 1.495000 3.070000 1.665000 ;
+        RECT 2.415000 1.415000 3.070000 1.495000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.285000 0.255000 3.615000 0.905000 ;
+        RECT 3.285000 1.495000 3.615000 2.465000 ;
+        RECT 3.445000 0.905000 3.615000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 3.105000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.835000 ;
+      RECT 0.085000  1.835000 2.085000 2.005000 ;
+      RECT 0.085000  2.005000 0.615000 2.465000 ;
+      RECT 0.975000  0.085000 1.305000 0.485000 ;
+      RECT 0.975000  2.175000 1.305000 2.635000 ;
+      RECT 1.755000  0.255000 2.085000 0.655000 ;
+      RECT 1.755000  2.005000 2.085000 2.465000 ;
+      RECT 2.535000  1.835000 2.860000 2.635000 ;
+      RECT 2.635000  0.085000 2.965000 0.485000 ;
+      RECT 2.925000  0.825000 3.105000 1.075000 ;
+      RECT 2.925000  1.075000 3.275000 1.245000 ;
+      RECT 3.785000  0.085000 4.055000 0.905000 ;
+      RECT 3.785000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_2
+MACRO sky130_fd_sc_hd__maj3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.125000 1.325000 ;
+        RECT 0.610000 1.325000 0.780000 2.460000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.995000 1.905000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.765000 2.755000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.602250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 2.160000 3.595000 2.465000 ;
+        RECT 3.265000 1.495000 3.595000 2.160000 ;
+        RECT 3.370000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.135000  0.255000 0.395000 0.655000 ;
+      RECT 0.135000  0.655000 2.245000 0.825000 ;
+      RECT 0.135000  0.825000 0.395000 2.125000 ;
+      RECT 0.875000  0.085000 1.205000 0.485000 ;
+      RECT 0.955000  1.715000 1.205000 2.635000 ;
+      RECT 1.655000  0.255000 1.985000 0.640000 ;
+      RECT 1.655000  0.640000 2.245000 0.655000 ;
+      RECT 1.655000  1.815000 2.245000 2.080000 ;
+      RECT 2.075000  0.825000 2.245000 1.495000 ;
+      RECT 2.075000  1.495000 3.095000 1.665000 ;
+      RECT 2.075000  1.665000 2.245000 1.815000 ;
+      RECT 2.545000  0.085000 2.880000 0.470000 ;
+      RECT 2.555000  1.845000 2.885000 2.635000 ;
+      RECT 2.925000  0.995000 3.200000 1.325000 ;
+      RECT 2.925000  1.325000 3.095000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_1
+MACRO sky130_fd_sc_hd__maj3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.450000 1.635000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.290000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.890000 1.285000 ;
+        RECT 0.720000 1.285000 0.890000 1.915000 ;
+        RECT 0.720000 1.915000 1.790000 2.085000 ;
+        RECT 1.620000 2.085000 1.790000 2.225000 ;
+        RECT 1.620000 2.225000 2.630000 2.395000 ;
+        RECT 2.460000 1.075000 2.945000 1.245000 ;
+        RECT 2.460000 1.245000 2.630000 2.225000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.375000 0.255000 3.705000 0.490000 ;
+        RECT 3.375000 1.455000 4.975000 1.625000 ;
+        RECT 3.375000 1.625000 3.705000 2.465000 ;
+        RECT 3.455000 0.490000 3.705000 0.715000 ;
+        RECT 3.455000 0.715000 4.975000 0.905000 ;
+        RECT 4.215000 0.255000 4.545000 0.715000 ;
+        RECT 4.215000 1.625000 4.545000 2.465000 ;
+        RECT 4.715000 0.905000 4.975000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.635000 0.660000 ;
+      RECT 0.085000  0.660000 2.290000 0.715000 ;
+      RECT 0.085000  0.715000 3.285000 0.885000 ;
+      RECT 0.085000  0.885000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.465000 2.465000 ;
+      RECT 1.120000  0.085000 1.450000 0.490000 ;
+      RECT 1.120000  2.255000 1.450000 2.635000 ;
+      RECT 1.620000  0.885000 1.790000 1.545000 ;
+      RECT 1.620000  1.545000 2.290000 1.745000 ;
+      RECT 1.960000  0.255000 2.290000 0.660000 ;
+      RECT 1.960000  1.745000 2.290000 2.055000 ;
+      RECT 2.845000  1.455000 3.175000 2.635000 ;
+      RECT 2.860000  0.085000 3.205000 0.545000 ;
+      RECT 3.115000  0.885000 3.285000 1.075000 ;
+      RECT 3.115000  1.075000 4.545000 1.285000 ;
+      RECT 3.875000  0.085000 4.045000 0.545000 ;
+      RECT 3.875000  1.795000 4.045000 2.635000 ;
+      RECT 4.715000  0.085000 4.885000 0.545000 ;
+      RECT 4.715000  1.795000 4.925000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_4
+MACRO sky130_fd_sc_hd__and4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.740000 0.335000 1.630000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.420000 1.745000 1.745000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.420000 2.275000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.645000 2.775000 1.615000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.503250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.255000 3.545000 0.640000 ;
+        RECT 3.260000 0.640000 4.055000 0.825000 ;
+        RECT 3.340000 1.535000 4.055000 1.745000 ;
+        RECT 3.340000 1.745000 3.545000 2.465000 ;
+        RECT 3.425000 0.825000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.175000  1.830000 0.805000 2.000000 ;
+      RECT 0.175000  2.000000 0.345000 2.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.595000  0.255000 0.805000 0.585000 ;
+      RECT 0.635000  0.585000 0.805000 0.995000 ;
+      RECT 0.635000  0.995000 0.975000 1.325000 ;
+      RECT 0.635000  1.325000 0.805000 1.830000 ;
+      RECT 1.015000  1.660000 1.315000 1.915000 ;
+      RECT 1.015000  1.915000 3.165000 1.965000 ;
+      RECT 1.015000  1.965000 2.610000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.095000  0.255000 1.315000 0.585000 ;
+      RECT 1.145000  0.585000 1.315000 1.660000 ;
+      RECT 1.555000  2.255000 2.225000 2.635000 ;
+      RECT 2.440000  1.795000 3.165000 1.915000 ;
+      RECT 2.440000  2.085000 2.610000 2.465000 ;
+      RECT 2.760000  0.085000 3.090000 0.465000 ;
+      RECT 2.840000  2.195000 3.170000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.795000 ;
+      RECT 3.715000  0.085000 4.050000 0.465000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_2
+MACRO sky130_fd_sc_hd__and4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.440000 0.765000 0.790000 1.635000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.735000 4.145000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.345000 0.755000 3.555000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.085000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 0.650000 2.080000 0.820000 ;
+        RECT 0.980000 0.820000 1.260000 1.545000 ;
+        RECT 0.980000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.900000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 1.440000  1.075000 2.550000 1.245000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.285000  0.085000 2.615000 0.445000 ;
+      RECT 2.380000  0.615000 2.965000 0.785000 ;
+      RECT 2.380000  0.785000 2.550000 1.075000 ;
+      RECT 2.380000  1.245000 2.550000 1.545000 ;
+      RECT 2.380000  1.545000 4.545000 1.715000 ;
+      RECT 2.795000  0.300000 4.965000 0.470000 ;
+      RECT 2.795000  0.470000 2.965000 0.615000 ;
+      RECT 3.475000  2.255000 3.805000 2.635000 ;
+      RECT 4.390000  0.470000 4.965000 0.810000 ;
+      RECT 4.635000  2.255000 4.965000 2.635000 ;
+      RECT 4.730000  0.995000 4.900000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_4
+MACRO sky130_fd_sc_hd__and4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.450000 1.675000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.420000 1.800000 1.695000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 0.420000 2.295000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 0.665000 2.825000 1.695000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.295000 3.590000 0.340000 ;
+        RECT 3.255000 0.340000 3.595000 0.805000 ;
+        RECT 3.335000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.805000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.170000  0.255000 0.345000 0.655000 ;
+      RECT 0.170000  0.655000 0.800000 0.825000 ;
+      RECT 0.170000  1.845000 0.800000 2.015000 ;
+      RECT 0.170000  2.015000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.630000  0.825000 0.800000 0.995000 ;
+      RECT 0.630000  0.995000 0.980000 1.325000 ;
+      RECT 0.630000  1.325000 0.800000 1.845000 ;
+      RECT 1.090000  0.255000 1.320000 0.585000 ;
+      RECT 1.150000  0.585000 1.320000 1.875000 ;
+      RECT 1.150000  1.875000 3.165000 2.045000 ;
+      RECT 1.150000  2.045000 1.320000 2.465000 ;
+      RECT 1.555000  2.225000 2.225000 2.635000 ;
+      RECT 2.440000  2.045000 2.610000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.465000 ;
+      RECT 2.810000  2.225000 3.140000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_1
+MACRO sky130_fd_sc_hd__a31o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.995000 2.160000 1.655000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.700000 1.655000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.995000 1.240000 1.325000 ;
+        RECT 1.025000 1.325000 1.240000 1.655000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 0.995000 2.620000 1.655000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.300000 0.425000 0.810000 ;
+        RECT 0.095000 0.810000 0.285000 1.575000 ;
+        RECT 0.095000 1.575000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.455000  0.995000 0.765000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.595000  0.655000 2.960000 0.825000 ;
+      RECT 0.595000  0.825000 0.765000 0.995000 ;
+      RECT 0.595000  1.495000 0.845000 2.635000 ;
+      RECT 1.035000  1.825000 2.325000 1.995000 ;
+      RECT 1.035000  1.995000 1.285000 2.415000 ;
+      RECT 1.515000  2.165000 1.845000 2.635000 ;
+      RECT 1.975000  0.315000 2.305000 0.655000 ;
+      RECT 2.075000  1.995000 2.325000 2.415000 ;
+      RECT 2.475000  0.085000 2.805000 0.485000 ;
+      RECT 2.505000  1.825000 2.960000 1.995000 ;
+      RECT 2.505000  1.995000 2.835000 2.425000 ;
+      RECT 2.790000  0.825000 2.960000 1.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_1
+MACRO sky130_fd_sc_hd__a31o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.415000 2.175000 0.700000 ;
+        RECT 1.965000 0.700000 2.355000 0.870000 ;
+        RECT 2.185000 0.870000 2.355000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.400000 1.700000 0.695000 ;
+        RECT 1.530000 0.695000 1.795000 0.865000 ;
+        RECT 1.625000 0.865000 1.795000 1.075000 ;
+        RECT 1.625000 1.075000 1.955000 1.245000 ;
+        RECT 1.625000 1.245000 1.795000 1.260000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.760000 1.270000 0.995000 ;
+        RECT 1.065000 0.995000 1.395000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.755000 3.090000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.715000 0.765000 0.885000 ;
+        RECT 0.090000 0.885000 0.345000 1.835000 ;
+        RECT 0.090000 1.835000 0.765000 2.005000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 2.005000 0.765000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.135000  2.175000 0.385000 2.635000 ;
+      RECT 0.555000  1.075000 0.885000 1.245000 ;
+      RECT 0.555000  1.245000 0.725000 1.495000 ;
+      RECT 0.555000  1.495000 3.045000 1.665000 ;
+      RECT 0.935000  1.835000 1.185000 2.635000 ;
+      RECT 0.955000  0.085000 1.285000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.545000 ;
+      RECT 1.355000  1.835000 2.645000 2.005000 ;
+      RECT 1.355000  2.005000 1.605000 2.425000 ;
+      RECT 1.815000  2.175000 2.145000 2.635000 ;
+      RECT 2.335000  2.005000 2.585000 2.425000 ;
+      RECT 2.375000  0.335000 2.705000 0.505000 ;
+      RECT 2.460000  0.255000 2.705000 0.335000 ;
+      RECT 2.535000  0.505000 2.705000 1.495000 ;
+      RECT 2.875000  0.085000 3.135000 0.565000 ;
+      RECT 2.875000  1.665000 3.045000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_2
+MACRO sky130_fd_sc_hd__a31o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.075000 1.705000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.075000 1.055000 1.245000 ;
+        RECT 0.805000 0.735000 2.170000 0.905000 ;
+        RECT 0.805000 0.905000 0.975000 1.075000 ;
+        RECT 1.985000 0.905000 2.170000 1.075000 ;
+        RECT 1.985000 1.075000 2.315000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.525000 1.445000 ;
+        RECT 0.150000 1.445000 2.855000 1.615000 ;
+        RECT 2.525000 1.075000 2.855000 1.445000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.575000 1.075000 4.030000 1.285000 ;
+        RECT 3.815000 0.745000 4.030000 1.075000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.655000 6.295000 0.825000 ;
+        RECT 4.535000 1.785000 6.295000 1.955000 ;
+        RECT 4.595000 1.955000 4.765000 2.465000 ;
+        RECT 5.435000 1.955000 5.605000 2.465000 ;
+        RECT 6.125000 0.825000 6.295000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.785000 2.985000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.395000 2.520000 0.565000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.195000  2.125000 2.525000 2.635000 ;
+      RECT 2.350000  0.565000 2.520000 0.700000 ;
+      RECT 2.350000  0.700000 3.485000 0.805000 ;
+      RECT 2.350000  0.805000 3.345000 0.870000 ;
+      RECT 2.700000  0.085000 2.985000 0.530000 ;
+      RECT 2.815000  1.955000 2.985000 2.295000 ;
+      RECT 2.815000  2.295000 3.825000 2.465000 ;
+      RECT 3.155000  0.295000 3.485000 0.700000 ;
+      RECT 3.155000  0.870000 3.345000 1.455000 ;
+      RECT 3.155000  1.455000 4.395000 1.625000 ;
+      RECT 3.155000  1.625000 3.485000 2.115000 ;
+      RECT 3.655000  1.795000 3.825000 2.295000 ;
+      RECT 3.735000  0.085000 4.265000 0.565000 ;
+      RECT 4.095000  2.125000 4.425000 2.635000 ;
+      RECT 4.225000  0.995000 5.935000 1.325000 ;
+      RECT 4.225000  1.325000 4.395000 1.455000 ;
+      RECT 4.935000  0.085000 5.265000 0.485000 ;
+      RECT 4.935000  2.125000 5.265000 2.635000 ;
+      RECT 5.775000  0.085000 6.105000 0.485000 ;
+      RECT 5.775000  2.125000 6.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_4
+MACRO sky130_fd_sc_hd__nand4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 0.725000 3.640000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.655000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.735000 1.720000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 1.075000 1.320000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.909000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.120000 1.495000 2.670000 1.665000 ;
+        RECT 1.120000 1.665000 1.450000 2.465000 ;
+        RECT 2.140000 1.665000 2.470000 2.465000 ;
+        RECT 2.420000 0.255000 2.930000 0.825000 ;
+        RECT 2.420000 0.825000 2.670000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.485000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.270000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 2.065000 ;
+      RECT 0.085000  2.065000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.900000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 1.080000  0.365000 2.250000 0.555000 ;
+      RECT 1.080000  0.555000 1.270000 0.715000 ;
+      RECT 1.640000  1.835000 1.970000 2.635000 ;
+      RECT 1.970000  0.555000 2.250000 1.325000 ;
+      RECT 2.680000  2.175000 3.450000 2.635000 ;
+      RECT 2.840000  0.995000 3.090000 1.835000 ;
+      RECT 2.840000  1.835000 4.055000 2.005000 ;
+      RECT 3.100000  0.085000 3.450000 0.545000 ;
+      RECT 3.620000  0.255000 4.055000 0.545000 ;
+      RECT 3.635000  2.005000 4.055000 2.465000 ;
+      RECT 3.810000  0.545000 4.055000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_1
+MACRO sky130_fd_sc_hd__nand4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 1.170000 0.890000 1.340000 ;
+        RECT 0.610000 1.070000 0.890000 1.170000 ;
+        RECT 0.610000 1.340000 0.890000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.070000 0.330000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.720000 1.075000 4.615000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.945000 1.075000 5.875000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 0.655000 2.415000 1.445000 ;
+        RECT 2.085000 1.445000 5.455000 1.665000 ;
+        RECT 2.085000 1.665000 2.335000 2.465000 ;
+        RECT 2.925000 1.665000 3.255000 2.465000 ;
+        RECT 3.245000 1.075000 3.550000 1.445000 ;
+        RECT 4.285000 1.665000 4.615000 2.465000 ;
+        RECT 5.125000 1.665000 5.455000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.730000 ;
+      RECT 0.085000  0.730000 1.230000 0.900000 ;
+      RECT 0.085000  1.785000 1.230000 1.980000 ;
+      RECT 0.085000  1.980000 0.370000 2.440000 ;
+      RECT 0.515000  0.085000 0.765000 0.545000 ;
+      RECT 0.540000  2.195000 0.765000 2.635000 ;
+      RECT 0.935000  0.255000 1.575000 0.560000 ;
+      RECT 0.935000  2.150000 1.575000 2.465000 ;
+      RECT 1.060000  0.900000 1.230000 1.785000 ;
+      RECT 1.400000  0.560000 1.575000 0.715000 ;
+      RECT 1.400000  0.715000 1.580000 1.410000 ;
+      RECT 1.400000  1.410000 1.575000 2.150000 ;
+      RECT 1.745000  0.255000 3.675000 0.485000 ;
+      RECT 1.745000  0.485000 1.915000 0.585000 ;
+      RECT 1.745000  1.495000 1.915000 2.635000 ;
+      RECT 2.505000  1.835000 2.755000 2.635000 ;
+      RECT 2.745000  1.075000 3.075000 1.275000 ;
+      RECT 2.925000  0.655000 4.615000 0.905000 ;
+      RECT 3.425000  1.835000 4.115000 2.635000 ;
+      RECT 3.865000  0.255000 5.035000 0.485000 ;
+      RECT 4.785000  0.485000 5.035000 0.735000 ;
+      RECT 4.785000  0.735000 5.895000 0.905000 ;
+      RECT 4.785000  1.835000 4.955000 2.635000 ;
+      RECT 5.205000  0.085000 5.375000 0.565000 ;
+      RECT 5.545000  0.255000 5.895000 0.735000 ;
+      RECT 5.625000  1.445000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.060000  1.105000 1.230000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.105000 3.075000 1.275000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.000000 1.075000 3.135000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_2
+MACRO sky130_fd_sc_hd__nand4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.120000 1.075000 7.910000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.420000 1.075000 10.015000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.655000 3.990000 0.905000 ;
+        RECT 2.540000 1.445000 9.590000 1.665000 ;
+        RECT 2.540000 1.665000 2.790000 2.465000 ;
+        RECT 3.380000 1.665000 3.710000 2.465000 ;
+        RECT 3.700000 0.905000 3.990000 1.445000 ;
+        RECT 4.220000 1.665000 4.550000 2.465000 ;
+        RECT 5.060000 1.665000 5.390000 2.465000 ;
+        RECT 6.740000 1.665000 7.070000 2.465000 ;
+        RECT 7.580000 1.665000 7.910000 2.465000 ;
+        RECT 8.420000 1.665000 8.750000 2.465000 ;
+        RECT 9.260000 1.665000 9.590000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  0.345000 0.635000 ;
+      RECT 0.085000  0.635000  1.455000 0.805000 ;
+      RECT 0.085000  1.785000  1.455000 1.980000 ;
+      RECT 0.085000  1.980000  0.370000 2.440000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.540000  2.195000  0.765000 2.635000 ;
+      RECT 0.935000  2.150000  1.795000 2.465000 ;
+      RECT 1.015000  0.255000  1.795000 0.465000 ;
+      RECT 1.145000  0.805000  1.455000 1.785000 ;
+      RECT 1.625000  0.465000  1.795000 1.075000 ;
+      RECT 1.625000  1.075000  2.210000 1.305000 ;
+      RECT 1.625000  1.305000  1.795000 2.150000 ;
+      RECT 2.200000  0.255000  5.810000 0.485000 ;
+      RECT 2.200000  0.485000  2.370000 0.905000 ;
+      RECT 2.200000  1.495000  2.370000 2.635000 ;
+      RECT 2.540000  1.075000  3.285000 1.245000 ;
+      RECT 2.960000  1.835000  3.210000 2.635000 ;
+      RECT 3.880000  1.835000  4.050000 2.635000 ;
+      RECT 4.160000  1.075000  5.390000 1.275000 ;
+      RECT 4.220000  0.655000  5.390000 0.735000 ;
+      RECT 4.220000  0.735000  6.150000 0.905000 ;
+      RECT 4.720000  1.835000  4.890000 2.635000 ;
+      RECT 5.610000  1.835000  6.540000 2.635000 ;
+      RECT 5.980000  0.255000  7.910000 0.485000 ;
+      RECT 5.980000  0.485000  6.150000 0.735000 ;
+      RECT 6.320000  0.655000 10.035000 0.905000 ;
+      RECT 7.240000  1.835000  7.410000 2.635000 ;
+      RECT 8.080000  1.835000  8.250000 2.635000 ;
+      RECT 8.420000  0.085000  8.750000 0.485000 ;
+      RECT 8.920000  1.835000  9.090000 2.635000 ;
+      RECT 9.260000  0.085000  9.590000 0.485000 ;
+      RECT 9.760000  1.445000 10.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.980000  1.105000 2.150000 1.275000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.280000  1.105000 4.450000 1.275000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.920000 1.075000 2.210000 1.120000 ;
+      RECT 1.920000 1.120000 4.510000 1.260000 ;
+      RECT 1.920000 1.260000 2.210000 1.305000 ;
+      RECT 4.220000 1.075000 4.510000 1.120000 ;
+      RECT 4.220000 1.260000 4.510000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_4
+MACRO sky130_fd_sc_hd__a22o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.675000 1.695000 1.075000 ;
+        RECT 1.485000 1.075000 1.815000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.040000 2.395000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 0.255000 3.135000 0.585000 ;
+        RECT 2.875000 1.785000 3.135000 2.465000 ;
+        RECT 2.965000 0.585000 3.135000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.545000 0.850000 ;
+      RECT 0.090000  1.455000 1.265000 1.515000 ;
+      RECT 0.090000  1.515000 2.795000 1.625000 ;
+      RECT 0.090000  1.625000 0.345000 2.245000 ;
+      RECT 0.090000  2.245000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.780000 1.885000 ;
+      RECT 0.595000  1.885000 2.205000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.120000 0.465000 ;
+      RECT 0.935000  1.625000 2.735000 1.685000 ;
+      RECT 0.935000  1.685000 1.265000 1.715000 ;
+      RECT 1.370000  1.875000 2.205000 1.885000 ;
+      RECT 1.430000  2.255000 1.785000 2.635000 ;
+      RECT 1.950000  0.465000 2.120000 0.615000 ;
+      RECT 1.950000  0.615000 2.705000 0.740000 ;
+      RECT 1.950000  0.740000 2.795000 0.785000 ;
+      RECT 1.955000  2.085000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.705000 0.445000 ;
+      RECT 2.455000  1.855000 2.705000 2.635000 ;
+      RECT 2.525000  0.785000 2.795000 0.905000 ;
+      RECT 2.595000  1.480000 2.795000 1.515000 ;
+      RECT 2.625000  0.905000 2.795000 1.480000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_1
+MACRO sky130_fd_sc_hd__a22o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.675000 1.720000 1.075000 ;
+        RECT 1.510000 1.075000 1.840000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.415000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.255000 3.160000 0.585000 ;
+        RECT 2.900000 1.785000 3.160000 2.465000 ;
+        RECT 2.990000 0.585000 3.160000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.850000 ;
+      RECT 0.095000  1.455000 2.815000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.295000 ;
+      RECT 0.095000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  1.795000 2.230000 2.035000 ;
+      RECT 0.595000  2.035000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.145000 0.505000 ;
+      RECT 0.935000  2.255000 1.265000 2.295000 ;
+      RECT 1.455000  2.215000 1.810000 2.635000 ;
+      RECT 1.975000  0.505000 2.145000 0.735000 ;
+      RECT 1.975000  0.735000 2.815000 0.905000 ;
+      RECT 1.980000  2.035000 2.230000 2.465000 ;
+      RECT 2.355000  0.085000 2.685000 0.565000 ;
+      RECT 2.400000  1.875000 2.730000 2.635000 ;
+      RECT 2.645000  0.905000 2.815000 1.455000 ;
+      RECT 3.330000  0.085000 3.500000 0.985000 ;
+      RECT 3.330000  1.445000 3.500000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_2
+MACRO sky130_fd_sc_hd__a22o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 3.930000 1.955000 ;
+      RECT 1.900000  2.125000 2.150000 2.635000 ;
+      RECT 1.940000  0.085000 2.630000 0.555000 ;
+      RECT 1.940000  0.735000 5.310000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.420000  2.125000 2.670000 2.295000 ;
+      RECT 2.420000  2.295000 4.430000 2.465000 ;
+      RECT 2.800000  0.255000 3.970000 0.475000 ;
+      RECT 2.840000  1.955000 3.090000 2.125000 ;
+      RECT 3.170000  0.645000 3.605000 0.735000 ;
+      RECT 3.260000  2.125000 3.510000 2.295000 ;
+      RECT 3.680000  1.955000 3.930000 2.125000 ;
+      RECT 4.100000  1.785000 6.110000 1.955000 ;
+      RECT 4.100000  1.955000 4.430000 2.295000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.560000  0.255000 5.730000 0.475000 ;
+      RECT 4.600000  2.125000 4.850000 2.635000 ;
+      RECT 4.935000  0.645000 5.310000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.465000 ;
+      RECT 5.440000  2.125000 5.690000 2.635000 ;
+      RECT 5.480000  0.475000 5.730000 0.895000 ;
+      RECT 5.900000  0.085000 6.070000 0.895000 ;
+      RECT 5.905000  1.455000 6.110000 1.785000 ;
+      RECT 5.905000  1.955000 6.110000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_4
+MACRO sky130_fd_sc_hd__dlrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 0.415000 6.355000 2.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.500000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.330000 1.245000 ;
+      RECT 3.480000  1.245000 4.330000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  1.535000 5.925000 1.865000 ;
+      RECT 3.820000  2.135000 4.110000 2.635000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.925000 0.825000 ;
+      RECT 4.300000  2.135000 4.580000 2.635000 ;
+      RECT 4.750000  1.865000 4.940000 2.465000 ;
+      RECT 5.095000  0.085000 5.925000 0.485000 ;
+      RECT 5.110000  2.135000 5.925000 2.635000 ;
+      RECT 5.605000  0.825000 5.925000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_1
+MACRO sky130_fd_sc_hd__dlrtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 2.005000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.415000 ;
+      RECT 2.930000  1.415000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 1.025000 ;
+      RECT 3.335000  1.025000 4.315000 1.245000 ;
+      RECT 3.485000  1.245000 4.315000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.245000  0.255000 4.595000 0.655000 ;
+      RECT 4.245000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.785000 2.645000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.445000 3.105000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.165000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.705000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.755000 2.705000 1.800000 ;
+      RECT 2.415000 1.940000 2.705000 1.985000 ;
+      RECT 2.875000 1.415000 3.165000 1.460000 ;
+      RECT 2.875000 1.600000 3.165000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_4
+MACRO sky130_fd_sc_hd__dlrtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 5.170000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.960000  0.785000 2.340000 1.095000 ;
+      RECT 1.960000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.675000  0.705000 3.095000 1.145000 ;
+      RECT 2.775000  2.255000 3.605000 2.425000 ;
+      RECT 2.810000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.145000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.310000 1.245000 ;
+      RECT 3.435000  1.245000 4.310000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.800000  2.135000 4.110000 2.635000 ;
+      RECT 3.820000  1.535000 5.585000 1.705000 ;
+      RECT 3.820000  1.705000 4.920000 1.865000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.730000  1.865000 4.920000 2.465000 ;
+      RECT 5.090000  1.875000 5.460000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.485000 ;
+      RECT 5.350000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  0.825000 5.585000 0.995000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_2
+MACRO sky130_fd_sc_hd__edfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.225000 0.255000 11.555000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.400000 1.065000 9.845000 1.410000 ;
+        RECT 9.400000 1.410000 9.730000 2.465000 ;
+        RECT 9.515000 0.255000 9.845000 1.065000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.890000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.890000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.890000 2.165000 ;
+      RECT  9.060000  1.495000  9.230000 2.635000 ;
+      RECT  9.095000  0.085000  9.345000 0.900000 ;
+      RECT  9.900000  1.575000 10.130000 2.010000 ;
+      RECT 10.015000  0.890000 10.640000 1.220000 ;
+      RECT 10.300000  0.255000 10.640000 0.890000 ;
+      RECT 10.300000  1.220000 10.640000 2.465000 ;
+      RECT 10.810000  0.085000 11.055000 0.900000 ;
+      RECT 10.810000  1.465000 11.055000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.680000  1.785000  8.850000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT  9.930000  1.785000 10.100000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.390000  0.765000 10.560000 0.935000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000  8.030000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000  8.450000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 10.620000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.740000 1.415000  5.030000 1.460000 ;
+      RECT  4.740000 1.600000  5.030000 1.645000 ;
+      RECT  5.150000 1.755000  5.440000 1.800000 ;
+      RECT  5.150000 1.940000  5.440000 1.985000 ;
+      RECT  7.740000 1.755000  8.030000 1.800000 ;
+      RECT  7.740000 1.940000  8.030000 1.985000 ;
+      RECT  8.160000 1.415000  8.450000 1.460000 ;
+      RECT  8.160000 1.600000  8.450000 1.645000 ;
+      RECT  8.620000 1.755000  8.910000 1.800000 ;
+      RECT  8.620000 1.800000 10.160000 1.940000 ;
+      RECT  8.620000 1.940000  8.910000 1.985000 ;
+      RECT  9.870000 1.755000 10.160000 1.800000 ;
+      RECT  9.870000 1.940000 10.160000 1.985000 ;
+      RECT 10.330000 0.735000 10.620000 0.780000 ;
+      RECT 10.330000 0.920000 10.620000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxbp_1
+MACRO sky130_fd_sc_hd__a2bb2o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.280000 0.765000 3.540000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.355000 3.080000 1.655000 ;
+        RECT 2.820000 0.765000 3.080000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.810000 ;
+        RECT 0.085000 0.810000 0.260000 1.525000 ;
+        RECT 0.085000 1.525000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.945000 0.530000 ;
+      RECT 0.515000  1.325000 0.685000 1.805000 ;
+      RECT 0.515000  1.805000 1.275000 1.975000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 1.105000  1.975000 1.275000 2.200000 ;
+      RECT 1.105000  2.200000 2.245000 2.370000 ;
+      RECT 1.180000  0.255000 1.350000 0.655000 ;
+      RECT 1.180000  0.655000 2.060000 0.825000 ;
+      RECT 1.520000  0.085000 2.240000 0.485000 ;
+      RECT 1.540000  1.545000 2.060000 1.715000 ;
+      RECT 1.540000  1.715000 1.710000 1.905000 ;
+      RECT 1.890000  0.825000 2.060000 1.545000 ;
+      RECT 1.990000  1.895000 2.400000 2.065000 ;
+      RECT 1.990000  2.065000 2.245000 2.200000 ;
+      RECT 1.990000  2.370000 2.245000 2.465000 ;
+      RECT 2.230000  0.700000 2.580000 0.870000 ;
+      RECT 2.230000  0.870000 2.400000 1.895000 ;
+      RECT 2.410000  0.255000 2.580000 0.700000 ;
+      RECT 2.415000  2.255000 2.745000 2.425000 ;
+      RECT 2.575000  1.835000 3.515000 2.005000 ;
+      RECT 2.575000  2.005000 2.745000 2.255000 ;
+      RECT 2.915000  2.175000 3.165000 2.635000 ;
+      RECT 3.155000  0.085000 3.555000 0.595000 ;
+      RECT 3.335000  2.005000 3.515000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_1
+MACRO sky130_fd_sc_hd__a2bb2o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.325000 ;
+        RECT 3.475000 1.325000 3.645000 1.445000 ;
+        RECT 3.475000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.685000 1.615000 ;
+        RECT 1.515000 1.075000 1.895000 1.245000 ;
+        RECT 1.515000 1.245000 1.685000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.920000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.920000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.610000 0.905000 6.920000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.135000  1.785000 2.065000 1.955000 ;
+      RECT 0.135000  1.955000 0.385000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 1.685000 0.475000 ;
+      RECT 0.515000  0.475000 0.765000 0.905000 ;
+      RECT 0.555000  2.125000 0.805000 2.635000 ;
+      RECT 0.935000  0.645000 1.270000 0.735000 ;
+      RECT 0.935000  0.735000 2.525000 0.905000 ;
+      RECT 0.975000  1.955000 1.225000 2.465000 ;
+      RECT 1.395000  2.125000 1.645000 2.635000 ;
+      RECT 1.815000  1.955000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 2.905000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  1.455000 2.065000 1.785000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  0.905000 2.445000 1.415000 ;
+      RECT 2.235000  1.415000 2.620000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.125000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.655000  2.135000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 3.385000 0.555000 ;
+      RECT 2.955000  0.725000 4.725000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.495000 ;
+      RECT 2.955000  1.495000 3.305000 1.665000 ;
+      RECT 3.135000  1.665000 3.305000 1.785000 ;
+      RECT 3.135000  1.785000 4.265000 1.965000 ;
+      RECT 3.175000  2.135000 3.425000 2.635000 ;
+      RECT 3.555000  0.255000 3.885000 0.725000 ;
+      RECT 3.595000  2.135000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.965000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  1.785000 4.685000 2.295000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.135000  1.075000 6.440000 1.245000 ;
+      RECT 5.135000  1.245000 5.460000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  1.445000 5.400000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.460000 5.460000 1.600000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 5.170000 1.415000 5.460000 1.460000 ;
+      RECT 5.170000 1.600000 5.460000 1.645000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_4
+MACRO sky130_fd_sc_hd__a2bb2o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.345000 0.995000 1.675000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 0.995000 2.135000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.730000 0.765000 3.990000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.355000 3.530000 1.655000 ;
+        RECT 3.270000 0.765000 3.530000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.780000 0.810000 ;
+        RECT 0.525000 0.810000 0.695000 1.525000 ;
+        RECT 0.525000 1.525000 0.780000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.185000  0.085000 0.355000 0.930000 ;
+      RECT 0.185000  1.445000 0.355000 2.635000 ;
+      RECT 0.865000  0.995000 1.120000 1.325000 ;
+      RECT 0.950000  0.085000 1.380000 0.530000 ;
+      RECT 0.950000  1.325000 1.120000 1.805000 ;
+      RECT 0.950000  1.805000 1.710000 1.975000 ;
+      RECT 0.950000  2.235000 1.280000 2.635000 ;
+      RECT 1.540000  1.975000 1.710000 2.200000 ;
+      RECT 1.540000  2.200000 2.670000 2.370000 ;
+      RECT 1.615000  0.255000 1.785000 0.655000 ;
+      RECT 1.615000  0.655000 2.510000 0.825000 ;
+      RECT 1.955000  0.085000 2.690000 0.485000 ;
+      RECT 1.975000  1.545000 2.510000 1.715000 ;
+      RECT 1.975000  1.715000 2.145000 1.905000 ;
+      RECT 2.340000  0.825000 2.510000 1.545000 ;
+      RECT 2.440000  1.895000 2.850000 2.065000 ;
+      RECT 2.440000  2.065000 2.670000 2.200000 ;
+      RECT 2.500000  2.370000 2.670000 2.465000 ;
+      RECT 2.680000  0.700000 3.030000 0.870000 ;
+      RECT 2.680000  0.870000 2.850000 1.895000 ;
+      RECT 2.860000  0.255000 3.030000 0.700000 ;
+      RECT 2.875000  2.255000 3.205000 2.425000 ;
+      RECT 3.035000  1.835000 3.965000 2.005000 ;
+      RECT 3.035000  2.005000 3.205000 2.255000 ;
+      RECT 3.375000  2.175000 3.625000 2.635000 ;
+      RECT 3.605000  0.085000 4.005000 0.595000 ;
+      RECT 3.795000  2.005000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_2
+MACRO sky130_fd_sc_hd__sdfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 1.355000 2.775000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.180000 0.305000 9.530000 0.725000 ;
+        RECT 9.180000 0.725000 9.560000 0.790000 ;
+        RECT 9.180000 0.790000 9.610000 0.825000 ;
+        RECT 9.200000 1.505000 9.610000 1.540000 ;
+        RECT 9.200000 1.540000 9.530000 2.465000 ;
+        RECT 9.355000 1.430000 9.610000 1.505000 ;
+        RECT 9.390000 0.825000 9.610000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.685000 0.265000 10.940000 0.795000 ;
+        RECT 10.685000 1.445000 10.940000 2.325000 ;
+        RECT 10.730000 0.795000 10.940000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.515000 1.055000 3.995000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.750000 3.235000 0.785000 ;
+        RECT 1.760000 0.785000 2.010000 0.810000 ;
+        RECT 1.760000 0.810000 1.990000 0.820000 ;
+        RECT 1.760000 0.820000 1.975000 0.835000 ;
+        RECT 1.760000 0.835000 1.970000 0.840000 ;
+        RECT 1.760000 0.840000 1.965000 0.850000 ;
+        RECT 1.760000 0.850000 1.960000 0.855000 ;
+        RECT 1.760000 0.855000 1.955000 0.860000 ;
+        RECT 1.760000 0.860000 1.950000 0.870000 ;
+        RECT 1.760000 0.870000 1.945000 0.875000 ;
+        RECT 1.760000 0.875000 1.940000 0.880000 ;
+        RECT 1.760000 0.880000 1.930000 1.685000 ;
+        RECT 1.790000 0.735000 3.235000 0.750000 ;
+        RECT 1.805000 0.725000 3.235000 0.735000 ;
+        RECT 1.820000 0.715000 3.235000 0.725000 ;
+        RECT 1.830000 0.705000 3.235000 0.715000 ;
+        RECT 1.840000 0.690000 3.235000 0.705000 ;
+        RECT 1.860000 0.655000 3.235000 0.690000 ;
+        RECT 1.875000 0.615000 3.235000 0.655000 ;
+        RECT 2.455000 0.305000 2.630000 0.615000 ;
+        RECT 3.065000 0.785000 3.235000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.420000  0.255000  1.705000 0.585000 ;
+      RECT  1.420000  0.585000  1.590000 1.860000 ;
+      RECT  1.420000  1.860000  3.230000 2.075000 ;
+      RECT  1.420000  2.075000  1.705000 2.445000 ;
+      RECT  1.875000  2.245000  2.205000 2.635000 ;
+      RECT  1.955000  0.085000  2.285000 0.445000 ;
+      RECT  2.100000  0.955000  2.445000 1.125000 ;
+      RECT  2.100000  1.125000  2.270000 1.860000 ;
+      RECT  2.675000  2.245000  3.570000 2.415000 ;
+      RECT  2.800000  0.275000  3.575000 0.445000 ;
+      RECT  3.060000  1.355000  3.255000 1.685000 ;
+      RECT  3.060000  1.685000  3.230000 1.860000 ;
+      RECT  3.400000  1.825000  4.335000 1.995000 ;
+      RECT  3.400000  1.995000  3.570000 2.245000 ;
+      RECT  3.405000  0.445000  3.575000 0.715000 ;
+      RECT  3.405000  0.715000  4.335000 0.885000 ;
+      RECT  3.740000  2.165000  3.910000 2.635000 ;
+      RECT  3.745000  0.085000  3.945000 0.545000 ;
+      RECT  4.165000  0.365000  4.515000 0.535000 ;
+      RECT  4.165000  0.535000  4.335000 0.715000 ;
+      RECT  4.165000  0.885000  4.335000 1.825000 ;
+      RECT  4.165000  1.995000  4.335000 2.070000 ;
+      RECT  4.165000  2.070000  4.450000 2.440000 ;
+      RECT  4.505000  0.705000  5.085000 1.035000 ;
+      RECT  4.505000  1.035000  4.745000 1.905000 ;
+      RECT  4.645000  2.190000  5.715000 2.360000 ;
+      RECT  4.685000  0.365000  5.425000 0.535000 ;
+      RECT  4.935000  1.655000  5.375000 2.010000 ;
+      RECT  5.255000  0.535000  5.425000 1.315000 ;
+      RECT  5.255000  1.315000  6.055000 1.485000 ;
+      RECT  5.545000  1.485000  6.055000 1.575000 ;
+      RECT  5.545000  1.575000  5.715000 2.190000 ;
+      RECT  5.595000  0.765000  6.395000 1.065000 ;
+      RECT  5.595000  1.065000  5.765000 1.095000 ;
+      RECT  5.675000  0.085000  6.045000 0.585000 ;
+      RECT  5.885000  1.245000  6.055000 1.315000 ;
+      RECT  5.885000  1.835000  6.055000 2.635000 ;
+      RECT  6.225000  0.365000  6.685000 0.535000 ;
+      RECT  6.225000  0.535000  6.395000 0.765000 ;
+      RECT  6.225000  1.065000  6.395000 2.135000 ;
+      RECT  6.225000  2.135000  6.475000 2.465000 ;
+      RECT  6.565000  0.705000  7.115000 1.035000 ;
+      RECT  6.565000  1.245000  6.755000 1.965000 ;
+      RECT  6.700000  2.165000  7.585000 2.335000 ;
+      RECT  6.915000  0.365000  7.455000 0.535000 ;
+      RECT  6.925000  1.035000  7.115000 1.575000 ;
+      RECT  6.925000  1.575000  7.245000 1.905000 ;
+      RECT  7.285000  0.535000  7.455000 0.995000 ;
+      RECT  7.285000  0.995000  8.315000 1.325000 ;
+      RECT  7.285000  1.325000  7.585000 1.405000 ;
+      RECT  7.415000  1.405000  7.585000 2.165000 ;
+      RECT  7.700000  0.085000  8.070000 0.615000 ;
+      RECT  7.755000  1.575000  8.670000 1.905000 ;
+      RECT  7.765000  2.135000  8.070000 2.635000 ;
+      RECT  8.340000  0.300000  8.670000 0.825000 ;
+      RECT  8.380000  1.905000  8.670000 2.455000 ;
+      RECT  8.485000  0.825000  8.670000 0.995000 ;
+      RECT  8.485000  0.995000  9.220000 1.325000 ;
+      RECT  8.485000  1.325000  8.670000 1.575000 ;
+      RECT  8.840000  0.085000  9.010000 0.695000 ;
+      RECT  8.840000  1.625000  9.010000 2.635000 ;
+      RECT  9.700000  0.345000  9.950000 0.620000 ;
+      RECT  9.700000  1.685000 10.030000 2.425000 ;
+      RECT  9.780000  0.620000  9.950000 0.995000 ;
+      RECT  9.780000  0.995000 10.560000 1.325000 ;
+      RECT  9.780000  1.325000 10.030000 1.685000 ;
+      RECT 10.185000  0.085000 10.515000 0.805000 ;
+      RECT 10.210000  1.495000 10.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.015000  0.765000  1.185000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.165000  1.785000  5.335000 1.955000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.575000  1.785000  6.745000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  0.765000  6.755000 0.935000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.805000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.955000 0.735000 1.245000 0.780000 ;
+      RECT 0.955000 0.780000 6.815000 0.920000 ;
+      RECT 0.955000 0.920000 1.245000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.105000 1.755000 5.395000 1.800000 ;
+      RECT 5.105000 1.940000 5.395000 1.985000 ;
+      RECT 6.515000 1.755000 6.805000 1.800000 ;
+      RECT 6.515000 1.940000 6.805000 1.985000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_1
+MACRO sky130_fd_sc_hd__sdfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.255000 0.255000 9.585000 0.790000 ;
+        RECT 9.255000 0.790000 9.615000 0.825000 ;
+        RECT 9.255000 1.495000 9.615000 1.530000 ;
+        RECT 9.255000 1.530000 9.585000 2.430000 ;
+        RECT 9.410000 0.825000 9.615000 0.890000 ;
+        RECT 9.410000 1.430000 9.615000 1.495000 ;
+        RECT 9.445000 0.890000 9.615000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.190000 0.265000 11.440000 0.795000 ;
+        RECT 11.190000 1.445000 11.440000 2.325000 ;
+        RECT 11.235000 0.795000 11.440000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.035000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.375000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.375000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.205000  0.365000  4.555000 0.535000 ;
+      RECT  4.205000  0.535000  4.375000 0.695000 ;
+      RECT  4.205000  0.865000  4.375000 1.825000 ;
+      RECT  4.205000  1.995000  4.375000 2.065000 ;
+      RECT  4.205000  2.065000  4.485000 2.440000 ;
+      RECT  4.545000  0.705000  5.125000 1.035000 ;
+      RECT  4.545000  1.035000  4.785000 1.905000 ;
+      RECT  4.685000  2.190000  5.755000 2.360000 ;
+      RECT  4.725000  0.365000  5.465000 0.535000 ;
+      RECT  4.975000  1.655000  5.415000 2.010000 ;
+      RECT  5.295000  0.535000  5.465000 1.315000 ;
+      RECT  5.295000  1.315000  6.095000 1.485000 ;
+      RECT  5.585000  1.485000  6.095000 1.575000 ;
+      RECT  5.585000  1.575000  5.755000 2.190000 ;
+      RECT  5.635000  0.765000  6.435000 1.065000 ;
+      RECT  5.635000  1.065000  5.805000 1.095000 ;
+      RECT  5.715000  0.085000  6.085000 0.585000 ;
+      RECT  5.925000  1.245000  6.095000 1.315000 ;
+      RECT  5.925000  1.835000  6.095000 2.635000 ;
+      RECT  6.265000  0.365000  6.725000 0.535000 ;
+      RECT  6.265000  0.535000  6.435000 0.765000 ;
+      RECT  6.265000  1.065000  6.435000 2.135000 ;
+      RECT  6.265000  2.135000  6.515000 2.465000 ;
+      RECT  6.605000  0.705000  7.155000 1.035000 ;
+      RECT  6.605000  1.245000  6.795000 1.965000 ;
+      RECT  6.740000  2.165000  7.625000 2.335000 ;
+      RECT  6.955000  0.365000  7.495000 0.535000 ;
+      RECT  6.965000  1.035000  7.155000 1.575000 ;
+      RECT  6.965000  1.575000  7.285000 1.905000 ;
+      RECT  7.325000  0.535000  7.495000 0.995000 ;
+      RECT  7.325000  0.995000  8.370000 1.325000 ;
+      RECT  7.325000  1.325000  7.625000 1.405000 ;
+      RECT  7.455000  1.405000  7.625000 2.165000 ;
+      RECT  7.740000  0.085000  8.110000 0.615000 ;
+      RECT  7.795000  1.575000  8.725000 1.905000 ;
+      RECT  7.805000  2.135000  8.110000 2.635000 ;
+      RECT  8.360000  0.300000  8.725000 0.825000 ;
+      RECT  8.395000  1.905000  8.725000 2.455000 ;
+      RECT  8.540000  0.825000  8.725000 0.995000 ;
+      RECT  8.540000  0.995000  9.275000 1.325000 ;
+      RECT  8.540000  1.325000  8.725000 1.575000 ;
+      RECT  8.895000  0.085000  9.085000 0.695000 ;
+      RECT  8.895000  1.625000  9.075000 2.635000 ;
+      RECT  9.755000  0.085000  9.985000 0.690000 ;
+      RECT  9.765000  1.615000  9.935000 2.635000 ;
+      RECT 10.205000  0.345000 10.455000 0.995000 ;
+      RECT 10.205000  0.995000 11.065000 1.325000 ;
+      RECT 10.205000  1.325000 10.535000 2.425000 ;
+      RECT 10.690000  0.085000 11.020000 0.805000 ;
+      RECT 10.715000  1.495000 11.020000 2.635000 ;
+      RECT 11.610000  0.085000 11.780000 0.955000 ;
+      RECT 11.610000  1.395000 11.780000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.050000  0.765000  1.220000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  6.640000  0.765000  6.810000 0.935000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.855000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.870000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+      RECT 6.580000 0.735000 6.870000 0.780000 ;
+      RECT 6.580000 0.920000 6.870000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_2
+MACRO sky130_fd_sc_hd__a21bo_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 3.100000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 0.995000 3.560000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.035000 1.525000 1.325000 ;
+        RECT 1.330000 0.995000 1.525000 1.035000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.715000 0.850000 0.885000 ;
+        RECT 0.150000 0.885000 0.380000 1.835000 ;
+        RECT 0.150000 1.835000 0.850000 2.005000 ;
+        RECT 0.520000 0.315000 0.850000 0.715000 ;
+        RECT 0.595000 2.005000 0.850000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.570000  1.075000 0.900000 1.495000 ;
+      RECT 0.570000  1.495000 1.285000 1.665000 ;
+      RECT 1.020000  0.085000 1.220000 0.865000 ;
+      RECT 1.040000  2.275000 1.370000 2.635000 ;
+      RECT 1.115000  1.665000 1.285000 1.895000 ;
+      RECT 1.115000  1.895000 2.225000 2.105000 ;
+      RECT 1.455000  0.655000 1.865000 0.825000 ;
+      RECT 1.455000  1.555000 1.865000 1.725000 ;
+      RECT 1.695000  0.825000 1.865000 0.995000 ;
+      RECT 1.695000  0.995000 2.175000 1.325000 ;
+      RECT 1.695000  1.325000 1.865000 1.555000 ;
+      RECT 1.975000  0.085000 2.305000 0.465000 ;
+      RECT 1.975000  2.105000 2.225000 2.465000 ;
+      RECT 2.055000  1.505000 2.515000 1.675000 ;
+      RECT 2.055000  1.675000 2.225000 1.895000 ;
+      RECT 2.345000  0.635000 2.740000 0.825000 ;
+      RECT 2.345000  0.825000 2.515000 1.505000 ;
+      RECT 2.395000  1.845000 3.565000 2.015000 ;
+      RECT 2.395000  2.015000 2.725000 2.465000 ;
+      RECT 2.895000  2.185000 3.065000 2.635000 ;
+      RECT 3.235000  0.085000 3.565000 0.825000 ;
+      RECT 3.235000  2.015000 3.565000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_2
+MACRO sky130_fd_sc_hd__a21bo_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.995000 2.175000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 0.995000 2.630000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.325000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.265000 3.580000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.105000  1.845000 0.855000 2.045000 ;
+      RECT 0.105000  2.045000 0.345000 2.435000 ;
+      RECT 0.515000  0.265000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.515000  2.225000 0.865000 2.635000 ;
+      RECT 0.945000  0.085000 1.190000 0.865000 ;
+      RECT 1.035000  1.045000 1.580000 1.345000 ;
+      RECT 1.035000  1.345000 1.365000 2.455000 ;
+      RECT 1.360000  0.265000 1.790000 0.625000 ;
+      RECT 1.360000  0.625000 3.100000 0.815000 ;
+      RECT 1.360000  0.815000 1.580000 1.045000 ;
+      RECT 1.535000  1.785000 2.560000 1.985000 ;
+      RECT 1.535000  1.985000 1.715000 2.455000 ;
+      RECT 1.885000  2.155000 2.215000 2.635000 ;
+      RECT 2.370000  0.085000 3.100000 0.455000 ;
+      RECT 2.390000  1.985000 2.560000 2.455000 ;
+      RECT 2.825000  1.495000 3.110000 2.635000 ;
+      RECT 2.840000  0.815000 3.100000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_1
+MACRO sky130_fd_sc_hd__a21bo_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.590000 1.010000 4.955000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.025000 1.010000 4.420000 1.275000 ;
+        RECT 4.245000 1.275000 4.420000 1.595000 ;
+        RECT 4.245000 1.595000 5.390000 1.765000 ;
+        RECT 5.220000 1.055000 5.700000 1.290000 ;
+        RECT 5.220000 1.290000 5.390000 1.595000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.500000 1.010000 0.830000 1.625000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.615000 2.340000 0.785000 ;
+        RECT 1.000000 0.785000 1.235000 1.595000 ;
+        RECT 1.000000 1.595000 2.410000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.105000  0.255000 0.540000 0.840000 ;
+      RECT 0.105000  0.840000 0.330000 1.795000 ;
+      RECT 0.105000  1.795000 0.565000 1.935000 ;
+      RECT 0.105000  1.935000 2.870000 2.105000 ;
+      RECT 0.105000  2.105000 0.550000 2.465000 ;
+      RECT 0.710000  0.085000 1.050000 0.445000 ;
+      RECT 0.720000  2.275000 1.050000 2.635000 ;
+      RECT 1.405000  0.995000 2.810000 1.185000 ;
+      RECT 1.405000  1.185000 2.530000 1.325000 ;
+      RECT 1.580000  0.085000 1.910000 0.445000 ;
+      RECT 1.580000  2.275000 1.910000 2.635000 ;
+      RECT 2.435000  2.275000 2.770000 2.635000 ;
+      RECT 2.515000  0.085000 3.285000 0.445000 ;
+      RECT 2.640000  0.615000 3.645000 0.670000 ;
+      RECT 2.640000  0.670000 4.965000 0.785000 ;
+      RECT 2.640000  0.785000 3.010000 0.800000 ;
+      RECT 2.640000  0.800000 2.810000 0.995000 ;
+      RECT 2.700000  1.355000 3.305000 1.525000 ;
+      RECT 2.700000  1.525000 2.870000 1.935000 ;
+      RECT 2.995000  0.995000 3.305000 1.355000 ;
+      RECT 3.055000  1.695000 3.225000 2.210000 ;
+      RECT 3.055000  2.210000 4.065000 2.380000 ;
+      RECT 3.475000  0.255000 3.645000 0.615000 ;
+      RECT 3.475000  0.785000 4.965000 0.840000 ;
+      RECT 3.475000  0.840000 3.645000 1.805000 ;
+      RECT 3.855000  0.085000 4.185000 0.445000 ;
+      RECT 3.885000  1.445000 4.065000 1.935000 ;
+      RECT 3.885000  1.935000 5.825000 2.105000 ;
+      RECT 3.885000  2.105000 4.065000 2.210000 ;
+      RECT 4.235000  2.275000 4.565000 2.635000 ;
+      RECT 4.685000  0.405000 4.965000 0.670000 ;
+      RECT 5.075000  2.275000 5.405000 2.635000 ;
+      RECT 5.545000  0.085000 5.825000 0.885000 ;
+      RECT 5.570000  1.460000 5.825000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_4
+MACRO sky130_fd_sc_hd__nor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.655000 1.755000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.005000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.425000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.604500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.385000 0.345000 0.655000 ;
+        RECT 0.090000 0.655000 1.315000 0.825000 ;
+        RECT 0.090000 1.495000 0.425000 2.280000 ;
+        RECT 0.090000 2.280000 1.170000 2.450000 ;
+        RECT 1.000000 1.495000 1.315000 1.665000 ;
+        RECT 1.000000 1.665000 1.170000 2.280000 ;
+        RECT 1.015000 0.385000 1.185000 0.655000 ;
+        RECT 1.145000 0.825000 1.315000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.435000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_1
+MACRO sky130_fd_sc_hd__nor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.185000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.075000 2.965000 1.285000 ;
+        RECT 2.375000 1.285000 2.640000 1.625000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.595000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.755000 0.255000 3.085000 0.725000 ;
+        RECT 2.835000 1.455000 3.595000 1.625000 ;
+        RECT 2.835000 1.625000 3.045000 2.125000 ;
+        RECT 3.135000 0.905000 3.595000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.465000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.585000 0.555000 ;
+      RECT 2.415000  1.795000 2.625000 2.295000 ;
+      RECT 3.215000  1.795000 3.465000 2.295000 ;
+      RECT 3.255000  0.085000 3.545000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_2
+MACRO sky130_fd_sc_hd__nor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.685000 1.285000 ;
+        RECT 3.515000 1.285000 3.685000 1.445000 ;
+        RECT 3.515000 1.445000 5.165000 1.615000 ;
+        RECT 4.995000 1.075000 5.415000 1.285000 ;
+        RECT 4.995000 1.285000 5.165000 1.445000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.855000 1.075000 4.765000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 5.895000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.515000 1.785000 5.895000 1.955000 ;
+        RECT 3.515000 1.955000 4.605000 1.965000 ;
+        RECT 3.515000 1.965000 3.765000 2.125000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 4.355000 1.965000 4.605000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 5.605000 0.255000 5.895000 0.725000 ;
+        RECT 5.605000 0.905000 5.895000 1.785000 ;
+        RECT 5.615000 1.955000 5.895000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.085000 ;
+      RECT 1.835000  2.085000 2.925000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 3.345000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 1.915000 ;
+      RECT 2.675000  1.795000 2.925000 2.085000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.295000 ;
+      RECT 3.095000  2.295000 5.025000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 3.935000  2.135000 4.185000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 4.775000  2.135000 5.025000 2.295000 ;
+      RECT 5.195000  2.125000 5.445000 2.465000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.125000 2.615000 2.295000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.125000 5.375000 2.295000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 2.065000 2.680000 2.140000 ;
+      RECT 2.385000 2.140000 5.440000 2.280000 ;
+      RECT 2.385000 2.280000 2.680000 2.335000 ;
+      RECT 5.145000 2.065000 5.440000 2.140000 ;
+      RECT 5.145000 2.280000 5.440000 2.335000 ;
+  END
+END sky130_fd_sc_hd__nor3_4
+MACRO sky130_fd_sc_hd__einvp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.850000 0.765000 3.135000 1.615000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.354000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.595000 2.680000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.875000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.875000 0.995000 ;
+      RECT 0.500000  0.995000 2.180000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.875000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.045000  0.255000 1.240000 0.655000 ;
+      RECT 1.045000  0.655000 2.180000 0.825000 ;
+      RECT 1.045000  1.555000 2.155000 1.725000 ;
+      RECT 1.045000  1.725000 1.285000 2.465000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.940000  0.255000 3.135000 0.425000 ;
+      RECT 1.940000  0.425000 2.180000 0.655000 ;
+      RECT 1.985000  1.725000 2.155000 2.295000 ;
+      RECT 1.985000  2.295000 3.135000 2.465000 ;
+      RECT 2.850000  0.425000 3.135000 0.595000 ;
+      RECT 2.850000  1.785000 3.135000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_2
+MACRO sky130_fd_sc_hd__einvp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.975000 2.215000 1.955000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.223500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.545000 1.725000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.620000 0.255000 2.215000 0.805000 ;
+        RECT 1.620000 0.805000 1.795000 2.125000 ;
+        RECT 1.620000 2.125000 2.215000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 1.450000 0.825000 ;
+      RECT 0.085000  1.895000 1.450000 2.065000 ;
+      RECT 0.085000  2.065000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 1.450000 0.485000 ;
+      RECT 0.515000  2.235000 1.450000 2.635000 ;
+      RECT 0.715000  0.825000 1.450000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_1
+MACRO sky130_fd_sc_hd__einvp_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.420000 1.020000 8.195000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  1.027500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.635000 8.195000 0.850000 ;
+        RECT 4.870000 0.850000 5.250000 1.445000 ;
+        RECT 4.870000 1.445000 7.720000 1.615000 ;
+        RECT 4.870000 1.615000 5.200000 2.125000 ;
+        RECT 5.710000 1.615000 6.040000 2.125000 ;
+        RECT 6.550000 1.615000 6.880000 2.125000 ;
+        RECT 7.390000 1.615000 7.720000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 4.700000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 4.700000 0.825000 ;
+      RECT 1.075000  1.555000 4.700000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.545000 0.485000 ;
+      RECT 2.295000  1.895000 2.625000 2.635000 ;
+      RECT 2.715000  0.255000 2.885000 0.655000 ;
+      RECT 2.795000  1.725000 2.965000 2.465000 ;
+      RECT 3.055000  0.085000 3.385000 0.485000 ;
+      RECT 3.135000  1.895000 3.465000 2.635000 ;
+      RECT 3.555000  0.255000 3.725000 0.655000 ;
+      RECT 3.635000  1.725000 3.805000 2.465000 ;
+      RECT 3.895000  0.085000 4.235000 0.485000 ;
+      RECT 3.975000  1.895000 4.305000 2.635000 ;
+      RECT 4.405000  0.255000 8.195000 0.465000 ;
+      RECT 4.405000  0.465000 4.700000 0.655000 ;
+      RECT 4.475000  1.725000 4.700000 2.295000 ;
+      RECT 4.475000  2.295000 8.195000 2.465000 ;
+      RECT 5.370000  1.785000 5.540000 2.295000 ;
+      RECT 6.210000  1.785000 6.380000 2.295000 ;
+      RECT 7.050000  1.785000 7.220000 2.295000 ;
+      RECT 7.890000  1.445000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_8
+MACRO sky130_fd_sc_hd__einvp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.020000 4.975000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.637500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.635000 4.975000 0.850000 ;
+        RECT 3.190000 0.850000 3.570000 1.445000 ;
+        RECT 3.190000 1.445000 4.360000 1.615000 ;
+        RECT 3.190000 1.615000 3.520000 2.125000 ;
+        RECT 4.030000 1.615000 4.360000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 3.020000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 3.020000 0.825000 ;
+      RECT 1.075000  1.555000 2.995000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.555000 0.485000 ;
+      RECT 2.295000  1.895000 2.655000 2.635000 ;
+      RECT 2.735000  0.255000 4.975000 0.465000 ;
+      RECT 2.735000  0.465000 3.020000 0.655000 ;
+      RECT 2.825000  1.725000 2.995000 2.295000 ;
+      RECT 2.825000  2.295000 4.975000 2.465000 ;
+      RECT 3.690000  1.785000 3.860000 2.295000 ;
+      RECT 4.530000  1.445000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_4
+MACRO sky130_fd_sc_hd__a21oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.565000 1.065000 4.000000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.050000 1.065000 2.395000 1.480000 ;
+        RECT 2.050000 1.480000 5.470000 1.705000 ;
+        RECT 4.225000 1.075000 5.470000 1.480000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.400000 1.035000 ;
+        RECT 0.090000 1.035000 1.430000 1.415000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 1.585000 1.880000 1.705000 ;
+        RECT 0.580000 1.705000 1.745000 2.035000 ;
+        RECT 0.595000 0.370000 0.785000 0.615000 ;
+        RECT 0.595000 0.615000 1.645000 0.695000 ;
+        RECT 0.595000 0.695000 3.905000 0.865000 ;
+        RECT 1.455000 0.255000 1.645000 0.615000 ;
+        RECT 1.600000 0.865000 3.905000 0.895000 ;
+        RECT 1.600000 0.895000 1.880000 1.585000 ;
+        RECT 2.275000 0.675000 3.905000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.805000 ;
+      RECT 0.180000  1.795000 0.375000 2.215000 ;
+      RECT 0.180000  2.215000 2.115000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.955000  2.205000 2.115000 2.215000 ;
+      RECT 1.835000  0.085000 2.115000 0.525000 ;
+      RECT 1.915000  1.875000 5.625000 2.105000 ;
+      RECT 1.915000  2.105000 2.115000 2.205000 ;
+      RECT 2.285000  0.255000 4.335000 0.505000 ;
+      RECT 2.285000  2.275000 2.615000 2.635000 ;
+      RECT 2.785000  2.105000 2.975000 2.465000 ;
+      RECT 3.145000  2.275000 3.475000 2.635000 ;
+      RECT 3.645000  2.105000 3.835000 2.465000 ;
+      RECT 4.005000  2.275000 4.335000 2.635000 ;
+      RECT 4.075000  0.505000 4.335000 0.735000 ;
+      RECT 4.075000  0.735000 5.195000 0.905000 ;
+      RECT 4.505000  0.085000 4.695000 0.565000 ;
+      RECT 4.505000  2.105000 4.685000 2.465000 ;
+      RECT 4.865000  0.255000 5.195000 0.735000 ;
+      RECT 4.865000  2.275000 5.195000 2.635000 ;
+      RECT 5.365000  0.085000 5.625000 0.885000 ;
+      RECT 5.365000  2.105000 5.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_4
+MACRO sky130_fd_sc_hd__a21oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.995000 1.265000 1.325000 ;
+        RECT 1.035000 0.375000 1.265000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.740000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.675000 0.335000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.447000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.680000 1.685000 ;
+        RECT 0.095000 1.685000 0.370000 2.455000 ;
+        RECT 0.505000 0.645000 0.835000 0.825000 ;
+        RECT 0.505000 0.825000 0.680000 1.495000 ;
+        RECT 0.610000 0.265000 0.835000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.110000  0.085000 0.440000 0.475000 ;
+      RECT 0.540000  1.855000 1.745000 2.025000 ;
+      RECT 0.540000  2.025000 0.870000 2.455000 ;
+      RECT 0.850000  1.525000 1.745000 1.855000 ;
+      RECT 1.040000  2.195000 1.235000 2.635000 ;
+      RECT 1.415000  2.025000 1.745000 2.455000 ;
+      RECT 1.445000  0.085000 1.745000 0.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_1
+MACRO sky130_fd_sc_hd__a21oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.815000 0.995000 1.425000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.035000 0.645000 1.495000 ;
+        RECT 0.145000 1.495000 1.930000 1.675000 ;
+        RECT 1.605000 1.075000 1.935000 1.245000 ;
+        RECT 1.605000 1.245000 1.930000 1.495000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.800000 0.995000 3.075000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.300000 0.615000 ;
+        RECT 0.955000 0.615000 2.615000 0.785000 ;
+        RECT 2.295000 0.255000 2.615000 0.615000 ;
+        RECT 2.315000 0.785000 2.615000 2.115000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.100000  0.085000 0.395000 0.865000 ;
+      RECT 0.110000  1.855000 2.145000 2.025000 ;
+      RECT 0.110000  2.025000 1.220000 2.105000 ;
+      RECT 0.110000  2.105000 0.370000 2.465000 ;
+      RECT 0.540000  2.275000 0.870000 2.635000 ;
+      RECT 1.050000  2.105000 1.220000 2.465000 ;
+      RECT 1.475000  2.195000 1.645000 2.635000 ;
+      RECT 1.760000  0.085000 2.090000 0.445000 ;
+      RECT 1.815000  2.025000 2.145000 2.285000 ;
+      RECT 1.815000  2.285000 3.090000 2.465000 ;
+      RECT 2.785000  1.795000 3.090000 2.285000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_2
+MACRO sky130_fd_sc_hd__sdlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.500000 0.255000 6.830000 0.445000 ;
+        RECT 6.580000 0.445000 6.830000 0.715000 ;
+        RECT 6.580000 0.715000 7.220000 0.885000 ;
+        RECT 6.580000 1.485000 7.220000 1.655000 ;
+        RECT 6.580000 1.655000 6.830000 2.465000 ;
+        RECT 7.050000 0.885000 7.220000 1.055000 ;
+        RECT 7.050000 1.055000 8.195000 1.315000 ;
+        RECT 7.050000 1.315000 7.220000 1.485000 ;
+        RECT 7.420000 0.255000 7.720000 1.055000 ;
+        RECT 7.420000 1.315000 7.720000 2.465000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.345000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.725000 0.995000 4.945000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.105000 4.940000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.685000 0.995000 6.065000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.710000 1.105000 5.880000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.710000 1.075000 5.000000 1.120000 ;
+        RECT 4.710000 1.120000 5.940000 1.260000 ;
+        RECT 4.710000 1.260000 5.000000 1.305000 ;
+        RECT 5.650000 1.075000 5.940000 1.120000 ;
+        RECT 5.650000 1.260000 5.940000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.515000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.595000  0.255000 3.925000 0.765000 ;
+      RECT 3.595000  0.765000 4.020000 0.935000 ;
+      RECT 3.595000  0.935000 3.765000 1.575000 ;
+      RECT 3.595000  1.575000 4.005000 1.745000 ;
+      RECT 3.595000  2.255000 5.515000 2.635000 ;
+      RECT 3.935000  1.105000 4.480000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.175000  1.275000 4.480000 1.495000 ;
+      RECT 4.175000  1.495000 4.975000 1.745000 ;
+      RECT 4.190000  0.615000 4.845000 0.785000 ;
+      RECT 4.190000  0.785000 4.480000 1.105000 ;
+      RECT 4.595000  0.255000 4.845000 0.615000 ;
+      RECT 5.015000  0.255000 5.435000 0.615000 ;
+      RECT 5.015000  0.615000 6.410000 0.785000 ;
+      RECT 5.165000  0.995000 5.515000 1.915000 ;
+      RECT 5.605000  0.085000 6.330000 0.445000 ;
+      RECT 5.685000  1.495000 6.410000 2.085000 ;
+      RECT 5.685000  2.085000 5.855000 2.465000 ;
+      RECT 6.055000  2.255000 6.385000 2.635000 ;
+      RECT 6.240000  0.785000 6.410000 1.055000 ;
+      RECT 6.240000  1.055000 6.880000 1.315000 ;
+      RECT 6.240000  1.315000 6.410000 1.495000 ;
+      RECT 7.000000  0.085000 7.250000 0.545000 ;
+      RECT 7.000000  1.825000 7.250000 2.635000 ;
+      RECT 7.890000  0.085000 8.195000 0.885000 ;
+      RECT 7.890000  1.485000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.445000 4.480000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.540000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.080000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+      RECT 4.250000 1.415000 4.540000 1.460000 ;
+      RECT 4.250000 1.600000 4.540000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_4
+MACRO sky130_fd_sc_hd__sdlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.570000 0.255000 6.840000 0.825000 ;
+        RECT 6.570000 1.495000 6.840000 2.465000 ;
+        RECT 6.670000 0.825000 6.840000 1.055000 ;
+        RECT 6.670000 1.055000 7.275000 1.315000 ;
+        RECT 6.670000 1.315000 6.840000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.705000 0.955000 6.050000 1.265000 ;
+        RECT 4.705000 1.265000 4.925000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.490000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.575000  0.255000 3.925000 0.765000 ;
+      RECT 3.575000  0.765000 4.000000 0.935000 ;
+      RECT 3.575000  0.935000 3.745000 1.575000 ;
+      RECT 3.575000  1.575000 4.040000 1.745000 ;
+      RECT 3.575000  2.255000 5.530000 2.635000 ;
+      RECT 3.915000  1.105000 4.460000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.170000  0.615000 4.825000 0.785000 ;
+      RECT 4.170000  0.785000 4.460000 1.105000 ;
+      RECT 4.210000  1.275000 4.460000 1.495000 ;
+      RECT 4.210000  1.495000 5.010000 1.745000 ;
+      RECT 4.595000  0.255000 4.825000 0.615000 ;
+      RECT 5.100000  0.255000 5.310000 0.615000 ;
+      RECT 5.100000  0.615000 6.400000 0.785000 ;
+      RECT 5.180000  1.435000 5.650000 1.605000 ;
+      RECT 5.180000  1.605000 5.490000 1.915000 ;
+      RECT 5.490000  0.085000 6.400000 0.445000 ;
+      RECT 5.700000  1.775000 6.400000 2.085000 ;
+      RECT 5.700000  2.085000 5.870000 2.465000 ;
+      RECT 5.820000  1.435000 6.400000 1.775000 ;
+      RECT 6.070000  2.255000 6.400000 2.635000 ;
+      RECT 6.230000  0.785000 6.400000 0.995000 ;
+      RECT 6.230000  0.995000 6.500000 1.325000 ;
+      RECT 6.230000  1.325000 6.400000 1.435000 ;
+      RECT 7.010000  0.085000 7.275000 0.885000 ;
+      RECT 7.010000  1.485000 7.275000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.830000  0.765000 4.000000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.445000 4.460000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.520000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.060000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.770000 0.735000 4.060000 0.780000 ;
+      RECT 3.770000 0.920000 4.060000 0.965000 ;
+      RECT 4.230000 1.415000 4.520000 1.460000 ;
+      RECT 4.230000 1.600000 4.520000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_2
+MACRO sky130_fd_sc_hd__sdlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.955000 1.190000 1.325000 ;
+        RECT 0.880000 1.325000 1.190000 1.445000 ;
+        RECT 0.880000 1.445000 1.235000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.530000 0.255000 6.815000 0.825000 ;
+        RECT 6.530000 1.495000 6.815000 2.465000 ;
+        RECT 6.645000 0.825000 6.815000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.710000 0.955000 6.010000 1.265000 ;
+        RECT 4.710000 1.265000 4.930000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.190000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.510000  0.785000 0.680000 1.460000 ;
+      RECT 0.510000  1.460000 0.710000 1.755000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.540000  1.755000 0.710000 2.125000 ;
+      RECT 0.540000  2.125000 1.255000 2.465000 ;
+      RECT 1.015000  0.255000 1.190000 0.615000 ;
+      RECT 1.360000  0.255000 2.495000 0.535000 ;
+      RECT 1.360000  0.705000 1.700000 1.205000 ;
+      RECT 1.360000  1.205000 1.860000 1.325000 ;
+      RECT 1.405000  1.325000 1.860000 1.955000 ;
+      RECT 1.425000  2.125000 2.200000 2.465000 ;
+      RECT 1.870000  0.705000 2.155000 1.035000 ;
+      RECT 2.030000  1.205000 3.010000 1.375000 ;
+      RECT 2.030000  1.375000 2.200000 2.125000 ;
+      RECT 2.325000  0.535000 2.495000 0.995000 ;
+      RECT 2.325000  0.995000 3.010000 1.205000 ;
+      RECT 2.370000  1.575000 2.540000 1.635000 ;
+      RECT 2.370000  1.635000 3.400000 1.905000 ;
+      RECT 2.370000  2.075000 3.010000 2.635000 ;
+      RECT 2.665000  0.085000 3.010000 0.825000 ;
+      RECT 3.180000  0.255000 3.400000 1.635000 ;
+      RECT 3.180000  1.905000 3.400000 1.915000 ;
+      RECT 3.180000  1.915000 5.450000 2.085000 ;
+      RECT 3.180000  2.085000 3.400000 2.465000 ;
+      RECT 3.580000  0.255000 3.910000 0.765000 ;
+      RECT 3.580000  0.765000 4.005000 0.935000 ;
+      RECT 3.580000  0.935000 3.750000 1.575000 ;
+      RECT 3.580000  1.575000 3.990000 1.745000 ;
+      RECT 3.580000  2.255000 5.490000 2.635000 ;
+      RECT 3.920000  1.105000 4.465000 1.275000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.160000  1.275000 4.465000 1.495000 ;
+      RECT 4.160000  1.495000 4.960000 1.745000 ;
+      RECT 4.175000  0.615000 4.830000 0.785000 ;
+      RECT 4.175000  0.785000 4.465000 1.105000 ;
+      RECT 4.580000  0.255000 4.830000 0.615000 ;
+      RECT 5.010000  0.255000 5.270000 0.615000 ;
+      RECT 5.010000  0.615000 6.360000 0.785000 ;
+      RECT 5.140000  1.435000 5.610000 1.605000 ;
+      RECT 5.140000  1.605000 5.450000 1.915000 ;
+      RECT 5.505000  0.085000 6.360000 0.445000 ;
+      RECT 5.660000  1.775000 6.360000 2.085000 ;
+      RECT 5.660000  2.085000 5.830000 2.465000 ;
+      RECT 5.780000  1.435000 6.360000 1.775000 ;
+      RECT 6.030000  2.255000 6.360000 2.635000 ;
+      RECT 6.190000  0.785000 6.360000 0.995000 ;
+      RECT 6.190000  0.995000 6.460000 1.325000 ;
+      RECT 6.190000  1.325000 6.360000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.445000 1.695000 1.615000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.835000  0.765000 4.005000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.295000  1.445000 4.465000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.525000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 4.065000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 3.775000 0.735000 4.065000 0.780000 ;
+      RECT 3.775000 0.920000 4.065000 0.965000 ;
+      RECT 4.235000 1.415000 4.525000 1.460000 ;
+      RECT 4.235000 1.600000 4.525000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_1
+MACRO sky130_fd_sc_hd__dlrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.955000 1.770000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 4.815000 1.035000 ;
+        RECT 4.480000 1.035000 5.240000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.435000  1.495000 2.120000 1.665000 ;
+      RECT 1.435000  1.665000 1.785000 2.415000 ;
+      RECT 1.515000  0.345000 1.705000 0.615000 ;
+      RECT 1.515000  0.615000 2.120000 0.765000 ;
+      RECT 1.515000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.950000  0.785000 2.335000 1.095000 ;
+      RECT 1.950000  1.095000 2.120000 1.495000 ;
+      RECT 1.955000  1.835000 2.250000 2.635000 ;
+      RECT 2.450000  1.355000 2.755000 1.685000 ;
+      RECT 2.585000  0.735000 3.100000 1.040000 ;
+      RECT 2.770000  0.365000 3.445000 0.535000 ;
+      RECT 2.770000  2.255000 3.580000 2.425000 ;
+      RECT 2.905000  1.780000 3.265000 1.910000 ;
+      RECT 2.905000  1.910000 3.175000 1.995000 ;
+      RECT 2.930000  1.040000 3.100000 1.570000 ;
+      RECT 2.930000  1.570000 3.265000 1.780000 ;
+      RECT 3.270000  0.535000 3.445000 0.995000 ;
+      RECT 3.270000  0.995000 4.220000 1.325000 ;
+      RECT 3.410000  2.000000 3.605000 2.085000 ;
+      RECT 3.410000  2.085000 3.580000 2.255000 ;
+      RECT 3.415000  1.995000 3.605000 2.000000 ;
+      RECT 3.420000  1.985000 3.605000 1.995000 ;
+      RECT 3.435000  1.325000 3.605000 1.985000 ;
+      RECT 3.720000  0.085000 4.060000 0.530000 ;
+      RECT 3.750000  2.175000 4.090000 2.635000 ;
+      RECT 3.775000  1.535000 5.585000 1.705000 ;
+      RECT 3.775000  1.705000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.580000 0.655000 ;
+      RECT 4.240000  0.655000 5.095000 0.695000 ;
+      RECT 4.240000  0.695000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.800000  1.865000 4.970000 2.465000 ;
+      RECT 4.955000  0.825000 5.585000 0.865000 ;
+      RECT 5.140000  1.875000 5.485000 2.635000 ;
+      RECT 5.255000  0.085000 5.425000 0.525000 ;
+      RECT 5.415000  0.865000 5.585000 0.995000 ;
+      RECT 5.415000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.680000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_2
+MACRO sky130_fd_sc_hd__dlrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 1.685000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.575000 ;
+      RECT 2.930000  1.575000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 0.995000 ;
+      RECT 3.335000  0.995000 4.235000 1.165000 ;
+      RECT 3.485000  1.165000 4.235000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.265000  0.255000 4.595000 0.655000 ;
+      RECT 4.265000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.445000 2.645000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.785000 3.105000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.705000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.165000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.415000 2.705000 1.460000 ;
+      RECT 2.415000 1.600000 2.705000 1.645000 ;
+      RECT 2.875000 1.755000 3.165000 1.800000 ;
+      RECT 2.875000 1.940000 3.165000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_4
+MACRO sky130_fd_sc_hd__dlrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.345000 5.895000 0.745000 ;
+        RECT 5.635000 1.670000 5.895000 2.455000 ;
+        RECT 5.725000 0.745000 5.895000 1.670000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.345000 4.975000 0.995000 ;
+        RECT 4.745000 0.995000 5.075000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  1.795000 0.775000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.775000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.775000 1.070000 ;
+      RECT 0.605000  1.070000 0.835000 1.400000 ;
+      RECT 0.605000  1.400000 0.775000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.235000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.335000 1.095000 ;
+      RECT 1.945000  1.095000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.835000 1.625000 ;
+      RECT 2.445000  1.625000 2.760000 1.685000 ;
+      RECT 2.690000  0.765000 3.245000 1.095000 ;
+      RECT 2.810000  2.255000 3.625000 2.425000 ;
+      RECT 2.815000  0.365000 3.585000 0.535000 ;
+      RECT 2.900000  1.785000 3.265000 1.995000 ;
+      RECT 3.005000  1.095000 3.245000 1.635000 ;
+      RECT 3.005000  1.635000 3.265000 1.785000 ;
+      RECT 3.415000  0.535000 3.585000 0.995000 ;
+      RECT 3.415000  0.995000 4.175000 1.165000 ;
+      RECT 3.455000  1.165000 4.175000 1.325000 ;
+      RECT 3.455000  1.325000 3.625000 2.255000 ;
+      RECT 3.755000  0.085000 4.025000 0.610000 ;
+      RECT 3.815000  1.535000 5.465000 1.735000 ;
+      RECT 3.815000  1.735000 4.965000 1.865000 ;
+      RECT 3.930000  2.135000 4.445000 2.635000 ;
+      RECT 4.195000  0.295000 4.575000 0.805000 ;
+      RECT 4.345000  0.805000 4.575000 1.505000 ;
+      RECT 4.345000  1.505000 5.465000 1.535000 ;
+      RECT 4.625000  1.865000 4.965000 2.435000 ;
+      RECT 5.135000  1.915000 5.465000 2.635000 ;
+      RECT 5.155000  0.085000 5.440000 0.715000 ;
+      RECT 5.245000  0.995000 5.555000 1.325000 ;
+      RECT 5.245000  1.325000 5.465000 1.505000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.445000 0.775000 1.615000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.785000 1.235000 1.955000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.415000 0.835000 1.460000 ;
+      RECT 0.545000 1.460000 2.675000 1.600000 ;
+      RECT 0.545000 1.600000 0.835000 1.645000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 3.155000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_1
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.565000  1.815000 0.895000 2.635000 ;
+      RECT 0.635000  0.085000 1.310000 0.470000 ;
+      RECT 0.695000  0.650000 1.915000 0.655000 ;
+      RECT 0.695000  0.655000 2.805000 0.825000 ;
+      RECT 0.695000  0.825000 0.915000 1.465000 ;
+      RECT 0.695000  1.465000 1.345000 1.645000 ;
+      RECT 1.135000  1.645000 1.345000 2.460000 ;
+      RECT 1.585000  0.260000 1.915000 0.650000 ;
+      RECT 2.085000  0.085000 2.430000 0.485000 ;
+      RECT 2.600000  0.260000 2.805000 0.655000 ;
+      RECT 2.860000  1.495000 3.990000 1.665000 ;
+      RECT 2.860000  1.665000 3.145000 2.460000 ;
+      RECT 3.325000  1.835000 3.540000 2.635000 ;
+      RECT 3.715000  0.085000 3.955000 0.760000 ;
+      RECT 3.720000  1.665000 3.990000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
+MACRO sky130_fd_sc_hd__a2111o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.495000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 1.075000 5.625000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.975000 3.255000 1.285000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.975000 2.280000 1.285000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.370000 1.625000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.165000 0.255000 6.355000 0.635000 ;
+        RECT 6.165000 0.635000 7.735000 0.805000 ;
+        RECT 6.165000 1.465000 7.735000 1.635000 ;
+        RECT 6.165000 1.635000 7.215000 1.715000 ;
+        RECT 6.165000 1.715000 6.355000 2.465000 ;
+        RECT 7.025000 0.255000 7.215000 0.635000 ;
+        RECT 7.025000 1.715000 7.215000 2.465000 ;
+        RECT 7.490000 0.805000 7.735000 1.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.110000  1.795000 0.370000 2.295000 ;
+      RECT 0.110000  2.295000 2.160000 2.465000 ;
+      RECT 0.180000  0.255000 0.440000 0.635000 ;
+      RECT 0.180000  0.635000 3.655000 0.805000 ;
+      RECT 0.540000  0.805000 0.870000 2.125000 ;
+      RECT 0.610000  0.085000 0.940000 0.465000 ;
+      RECT 1.040000  1.455000 1.230000 2.295000 ;
+      RECT 1.110000  0.255000 1.340000 0.615000 ;
+      RECT 1.110000  0.615000 3.655000 0.635000 ;
+      RECT 1.400000  1.455000 3.100000 1.625000 ;
+      RECT 1.400000  1.625000 1.730000 2.125000 ;
+      RECT 1.510000  0.085000 1.840000 0.445000 ;
+      RECT 1.900000  1.795000 2.160000 2.295000 ;
+      RECT 2.015000  0.255000 2.240000 0.615000 ;
+      RECT 2.340000  1.795000 2.675000 2.295000 ;
+      RECT 2.340000  2.295000 3.650000 2.465000 ;
+      RECT 2.420000  0.085000 3.295000 0.445000 ;
+      RECT 2.845000  1.625000 3.100000 2.125000 ;
+      RECT 3.320000  1.795000 5.495000 1.995000 ;
+      RECT 3.320000  1.995000 3.650000 2.295000 ;
+      RECT 3.465000  0.255000 4.585000 0.445000 ;
+      RECT 3.465000  0.445000 3.655000 0.615000 ;
+      RECT 3.465000  0.805000 3.655000 1.445000 ;
+      RECT 3.465000  1.445000 5.975000 1.625000 ;
+      RECT 3.825000  0.615000 5.495000 0.785000 ;
+      RECT 3.865000  2.165000 4.195000 2.635000 ;
+      RECT 4.365000  1.995000 4.625000 2.415000 ;
+      RECT 4.805000  0.085000 5.140000 0.445000 ;
+      RECT 4.805000  2.255000 5.140000 2.635000 ;
+      RECT 5.310000  0.255000 5.495000 0.615000 ;
+      RECT 5.310000  1.995000 5.495000 2.465000 ;
+      RECT 5.665000  0.085000 5.995000 0.515000 ;
+      RECT 5.665000  1.800000 5.995000 2.635000 ;
+      RECT 5.795000  1.075000 7.320000 1.245000 ;
+      RECT 5.795000  1.245000 5.975000 1.445000 ;
+      RECT 6.525000  0.085000 6.855000 0.445000 ;
+      RECT 6.525000  1.885000 6.855000 2.635000 ;
+      RECT 7.385000  0.085000 7.715000 0.465000 ;
+      RECT 7.385000  1.805000 7.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_4
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.435000 0.885000 ;
+      RECT 0.085000  1.635000 0.435000 2.635000 ;
+      RECT 1.065000  0.085000 2.010000 0.445000 ;
+      RECT 1.065000  0.445000 1.325000 0.865000 ;
+      RECT 1.065000  1.075000 1.705000 1.325000 ;
+      RECT 1.065000  1.495000 1.315000 2.635000 ;
+      RECT 1.495000  0.615000 3.335000 0.785000 ;
+      RECT 1.495000  0.785000 1.705000 1.075000 ;
+      RECT 1.495000  1.325000 1.705000 1.495000 ;
+      RECT 1.495000  1.495000 1.785000 2.465000 ;
+      RECT 2.180000  0.255000 2.420000 0.615000 ;
+      RECT 2.590000  0.085000 2.920000 0.445000 ;
+      RECT 3.070000  1.915000 4.515000 2.085000 ;
+      RECT 3.070000  2.085000 3.400000 2.465000 ;
+      RECT 3.090000  0.255000 3.335000 0.615000 ;
+      RECT 3.590000  2.255000 3.920000 2.635000 ;
+      RECT 4.090000  2.085000 4.515000 2.465000 ;
+      RECT 4.165000  0.085000 4.515000 0.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
+MACRO sky130_fd_sc_hd__fahcin_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.665000 1.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.240000 0.645000 4.490000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.285000 0.765000 4.455000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.515000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.225000 0.735000 4.515000 0.780000 ;
+        RECT 4.225000 0.920000 4.515000 0.965000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.520000 1.075000 10.965000 1.275000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.600000 0.755000 6.925000 0.925000 ;
+        RECT 6.600000 0.925000 6.870000 1.675000 ;
+        RECT 6.700000 1.675000 6.870000 1.785000 ;
+        RECT 6.755000 0.595000 6.925000 0.755000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.000000 1.785000 12.335000 2.465000 ;
+        RECT 12.125000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  1.970000 2.295000 ;
+      RECT  0.940000  2.295000  3.515000 2.385000 ;
+      RECT  0.995000  0.255000  3.390000 0.425000 ;
+      RECT  0.995000  0.425000  2.100000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.785000 ;
+      RECT  1.280000  1.785000  2.050000 1.955000 ;
+      RECT  1.280000  1.955000  1.450000 2.045000 ;
+      RECT  1.715000  2.385000  3.515000 2.465000 ;
+      RECT  1.985000  0.675000  2.390000 1.350000 ;
+      RECT  2.220000  0.595000  2.390000 0.675000 ;
+      RECT  2.220000  1.350000  2.390000 1.785000 ;
+      RECT  2.515000  0.425000  3.390000 0.465000 ;
+      RECT  2.565000  1.785000  2.895000 2.045000 ;
+      RECT  2.620000  0.655000  3.025000 0.735000 ;
+      RECT  2.620000  0.735000  3.135000 0.755000 ;
+      RECT  2.620000  0.755000  3.730000 0.905000 ;
+      RECT  2.640000  1.075000  2.970000 1.095000 ;
+      RECT  2.640000  1.095000  3.120000 1.245000 ;
+      RECT  2.800000  1.245000  3.120000 1.265000 ;
+      RECT  2.950000  1.265000  3.120000 1.615000 ;
+      RECT  3.055000  0.905000  3.730000 0.925000 ;
+      RECT  3.215000  0.465000  3.390000 0.585000 ;
+      RECT  3.245000  2.110000  3.460000 2.295000 ;
+      RECT  3.290000  0.925000  3.460000 2.110000 ;
+      RECT  3.560000  0.255000  4.570000 0.425000 ;
+      RECT  3.560000  0.425000  3.730000 0.755000 ;
+      RECT  3.710000  1.150000  4.070000 1.320000 ;
+      RECT  3.710000  1.320000  3.880000 2.290000 ;
+      RECT  3.710000  2.290000  5.065000 2.460000 ;
+      RECT  3.900000  0.595000  4.070000 1.150000 ;
+      RECT  4.080000  1.695000  4.445000 2.120000 ;
+      RECT  4.240000  0.425000  4.570000 0.475000 ;
+      RECT  4.690000  1.385000  5.170000 1.725000 ;
+      RECT  4.815000  1.895000  5.995000 2.065000 ;
+      RECT  4.815000  2.065000  5.065000 2.290000 ;
+      RECT  4.830000  0.510000  5.000000 0.995000 ;
+      RECT  4.830000  0.995000  5.630000 1.325000 ;
+      RECT  4.830000  1.325000  5.170000 1.385000 ;
+      RECT  5.180000  0.085000  5.510000 0.805000 ;
+      RECT  5.260000  2.235000  5.590000 2.635000 ;
+      RECT  5.635000  1.555000  6.370000 1.725000 ;
+      RECT  5.680000  0.380000  5.970000 0.815000 ;
+      RECT  5.800000  0.815000  5.970000 1.555000 ;
+      RECT  5.825000  2.065000  5.995000 2.295000 ;
+      RECT  5.825000  2.295000  7.950000 2.465000 ;
+      RECT  6.140000  0.740000  6.425000 1.325000 ;
+      RECT  6.200000  1.725000  6.370000 1.895000 ;
+      RECT  6.200000  1.895000  6.530000 1.955000 ;
+      RECT  6.200000  1.955000  7.210000 2.125000 ;
+      RECT  6.255000  0.255000  7.695000 0.425000 ;
+      RECT  6.255000  0.425000  6.585000 0.570000 ;
+      RECT  7.040000  1.060000  7.270000 1.230000 ;
+      RECT  7.040000  1.230000  7.210000 1.955000 ;
+      RECT  7.100000  0.595000  7.350000 0.925000 ;
+      RECT  7.100000  0.925000  7.270000 1.060000 ;
+      RECT  7.380000  1.360000  7.610000 1.530000 ;
+      RECT  7.380000  1.530000  7.550000 2.125000 ;
+      RECT  7.440000  1.105000  7.695000 1.290000 ;
+      RECT  7.440000  1.290000  7.610000 1.360000 ;
+      RECT  7.520000  0.425000  7.695000 1.105000 ;
+      RECT  7.780000  1.550000  8.035000 1.720000 ;
+      RECT  7.780000  1.720000  7.950000 2.295000 ;
+      RECT  7.865000  0.255000  9.980000 0.425000 ;
+      RECT  7.865000  0.425000  8.035000 0.740000 ;
+      RECT  7.865000  0.995000  8.035000 1.550000 ;
+      RECT  8.220000  1.955000  8.390000 2.295000 ;
+      RECT  8.220000  2.295000  9.410000 2.465000 ;
+      RECT  8.305000  0.595000  8.555000 0.925000 ;
+      RECT  8.375000  0.925000  8.555000 1.445000 ;
+      RECT  8.375000  1.445000  8.670000 1.530000 ;
+      RECT  8.375000  1.530000  8.890000 1.785000 ;
+      RECT  8.560000  1.785000  8.890000 2.125000 ;
+      RECT  8.725000  0.595000  9.410000 0.765000 ;
+      RECT  8.835000  0.995000  9.070000 1.325000 ;
+      RECT  9.240000  0.765000  9.410000 1.875000 ;
+      RECT  9.240000  1.875000 10.885000 2.025000 ;
+      RECT  9.240000  2.025000 10.145000 2.030000 ;
+      RECT  9.240000  2.030000 10.130000 2.035000 ;
+      RECT  9.240000  2.035000 10.120000 2.040000 ;
+      RECT  9.240000  2.040000 10.105000 2.045000 ;
+      RECT  9.240000  2.045000  9.410000 2.295000 ;
+      RECT  9.640000  0.425000  9.980000 0.825000 ;
+      RECT  9.640000  0.825000  9.810000 1.535000 ;
+      RECT  9.640000  1.535000 10.010000 1.705000 ;
+      RECT  9.980000  0.995000 10.350000 1.325000 ;
+      RECT 10.055000  1.870000 10.885000 1.875000 ;
+      RECT 10.070000  1.865000 10.885000 1.870000 ;
+      RECT 10.085000  1.860000 10.885000 1.865000 ;
+      RECT 10.100000  1.855000 10.885000 1.860000 ;
+      RECT 10.180000  0.085000 10.350000 0.565000 ;
+      RECT 10.180000  0.735000 10.910000 0.905000 ;
+      RECT 10.180000  0.905000 10.350000 0.995000 ;
+      RECT 10.180000  1.325000 10.350000 1.445000 ;
+      RECT 10.180000  1.445000 10.885000 1.855000 ;
+      RECT 10.190000  2.195000 10.360000 2.635000 ;
+      RECT 10.530000  0.285000 10.910000 0.735000 ;
+      RECT 10.535000  2.025000 10.885000 2.465000 ;
+      RECT 11.075000  1.455000 11.405000 2.465000 ;
+      RECT 11.155000  0.270000 11.325000 0.680000 ;
+      RECT 11.155000  0.680000 11.405000 1.455000 ;
+      RECT 11.495000  0.085000 11.825000 0.510000 ;
+      RECT 11.575000  1.785000 11.830000 2.635000 ;
+      RECT 11.645000  0.995000 11.955000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.880000  1.785000  2.050000 1.955000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.570000  1.785000  2.740000 1.955000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.950000  1.445000  3.120000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.140000  1.785000  4.310000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.760000  1.445000  4.930000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.520000  0.765000  7.690000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.440000  1.445000  8.610000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.105000  9.070000 1.275000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  0.765000 11.390000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.820000 1.755000  2.110000 1.800000 ;
+      RECT  1.820000 1.800000  4.370000 1.940000 ;
+      RECT  1.820000 1.940000  2.110000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.130000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.510000 1.755000  2.800000 1.800000 ;
+      RECT  2.510000 1.940000  2.800000 1.985000 ;
+      RECT  2.890000 1.415000  3.180000 1.460000 ;
+      RECT  2.890000 1.460000  4.990000 1.600000 ;
+      RECT  2.890000 1.600000  3.180000 1.645000 ;
+      RECT  4.080000 1.755000  4.370000 1.800000 ;
+      RECT  4.080000 1.940000  4.370000 1.985000 ;
+      RECT  4.700000 1.415000  4.990000 1.460000 ;
+      RECT  4.700000 1.600000  4.990000 1.645000 ;
+      RECT  6.080000 1.075000  6.370000 1.120000 ;
+      RECT  6.080000 1.260000  6.370000 1.305000 ;
+      RECT  7.460000 0.735000  7.750000 0.780000 ;
+      RECT  7.460000 0.780000 11.450000 0.920000 ;
+      RECT  7.460000 0.920000  7.750000 0.965000 ;
+      RECT  8.380000 1.415000  8.670000 1.460000 ;
+      RECT  8.380000 1.460000 11.910000 1.600000 ;
+      RECT  8.380000 1.600000  8.670000 1.645000 ;
+      RECT  8.840000 1.075000  9.130000 1.120000 ;
+      RECT  8.840000 1.260000  9.130000 1.305000 ;
+      RECT 11.160000 0.735000 11.450000 0.780000 ;
+      RECT 11.160000 0.920000 11.450000 0.965000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcin_1
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.755000 2.465000 ;
+        RECT 1.005000 1.025000 1.755000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.085000 2.080000 1.755000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 2.675000 2.465000 ;
+        RECT 1.465000 1.025000 2.675000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.085000 2.080000 2.675000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_6
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 3.595000 2.465000 ;
+        RECT 1.905000 1.025000 3.595000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.610000 2.340000 ;
+        RECT 0.085000 2.080000 3.595000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.295000 2.465000 ;
+        RECT 0.775000 1.005000 1.295000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.085000 2.080000 1.295000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_3
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 5.430000 2.465000 ;
+        RECT 2.835000 1.025000 5.430000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+        RECT 3.825000 2.125000 3.995000 2.295000 ;
+        RECT 4.285000 2.125000 4.455000 2.295000 ;
+        RECT 4.745000 2.125000 4.915000 2.295000 ;
+        RECT 5.205000 2.125000 5.375000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 5.450000 2.340000 ;
+        RECT 0.085000 2.080000 5.435000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_12
+MACRO sky130_fd_sc_hd__o311a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.750000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.920000 0.995000 2.250000 1.325000 ;
+        RECT 1.980000 1.325000 2.250000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.730000 1.325000 ;
+        RECT 2.440000 1.325000 2.675000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.995000 3.300000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.810000 0.995000 4.055000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.905000 1.315000 ;
+        RECT 0.550000 0.255000 0.825000 0.995000 ;
+        RECT 0.550000 0.995000 0.905000 1.055000 ;
+        RECT 0.550000 1.315000 0.905000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.885000 ;
+      RECT 0.085000  1.485000 0.380000 2.635000 ;
+      RECT 0.995000  0.085000 1.665000 0.825000 ;
+      RECT 1.075000  0.995000 1.245000 1.495000 ;
+      RECT 1.075000  1.495000 1.810000 1.665000 ;
+      RECT 1.075000  1.835000 1.470000 2.635000 ;
+      RECT 1.640000  1.665000 1.810000 2.295000 ;
+      RECT 1.640000  2.295000 3.175000 2.465000 ;
+      RECT 1.835000  0.310000 2.120000 0.655000 ;
+      RECT 1.835000  0.655000 3.220000 0.825000 ;
+      RECT 2.300000  0.085000 2.675000 0.485000 ;
+      RECT 2.845000  1.495000 4.055000 1.665000 ;
+      RECT 2.845000  1.665000 3.175000 2.295000 ;
+      RECT 2.890000  0.310000 3.220000 0.655000 ;
+      RECT 3.360000  1.835000 3.595000 2.635000 ;
+      RECT 3.470000  0.255000 4.055000 0.825000 ;
+      RECT 3.470000  0.825000 3.640000 1.495000 ;
+      RECT 3.765000  1.665000 4.055000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_2
+MACRO sky130_fd_sc_hd__o311a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.950000 1.055000 7.735000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.020000 1.055000 6.770000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.655000 1.055000 5.850000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.055000 4.475000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.115000 1.055000 3.080000 1.315000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.765000 1.315000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 0.715000 1.605000 0.885000 ;
+        RECT 0.595000 0.885000 0.765000 1.055000 ;
+        RECT 0.595000 1.315000 0.765000 1.485000 ;
+        RECT 0.595000 1.485000 1.605000 1.725000 ;
+        RECT 0.595000 1.725000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.715000 ;
+        RECT 1.435000 1.725000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.885000 ;
+      RECT 0.085000  1.485000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.545000 ;
+      RECT 0.935000  1.055000 1.945000 1.315000 ;
+      RECT 0.935000  1.895000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.025000 0.545000 ;
+      RECT 1.775000  0.715000 3.045000 0.885000 ;
+      RECT 1.775000  0.885000 1.945000 1.055000 ;
+      RECT 1.775000  1.315000 1.945000 1.485000 ;
+      RECT 1.775000  1.485000 5.005000 1.725000 ;
+      RECT 1.775000  1.895000 2.445000 2.635000 ;
+      RECT 2.195000  0.255000 4.305000 0.505000 ;
+      RECT 2.195000  0.675000 3.045000 0.715000 ;
+      RECT 2.615000  1.725000 2.785000 2.465000 ;
+      RECT 2.955000  1.895000 3.285000 2.635000 ;
+      RECT 3.215000  0.505000 3.385000 0.885000 ;
+      RECT 3.455000  1.725000 3.625000 2.465000 ;
+      RECT 3.555000  0.675000 7.735000 0.885000 ;
+      RECT 3.855000  1.895000 4.045000 2.635000 ;
+      RECT 4.335000  1.895000 4.665000 2.295000 ;
+      RECT 4.335000  2.295000 6.445000 2.465000 ;
+      RECT 4.485000  0.255000 4.755000 0.675000 ;
+      RECT 4.835000  1.725000 5.005000 2.125000 ;
+      RECT 4.925000  0.085000 5.605000 0.505000 ;
+      RECT 5.255000  1.485000 5.525000 2.295000 ;
+      RECT 5.695000  1.485000 7.735000 1.725000 ;
+      RECT 5.695000  1.725000 5.945000 2.125000 ;
+      RECT 5.775000  0.255000 5.945000 0.675000 ;
+      RECT 6.115000  0.085000 6.445000 0.505000 ;
+      RECT 6.115000  1.895000 6.445000 2.295000 ;
+      RECT 6.615000  0.255000 6.785000 0.675000 ;
+      RECT 6.615000  1.725000 6.785000 2.125000 ;
+      RECT 6.955000  0.085000 7.285000 0.505000 ;
+      RECT 6.955000  1.895000 7.285000 2.635000 ;
+      RECT 7.455000  0.255000 7.735000 0.675000 ;
+      RECT 7.455000  1.725000 7.735000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_4
+MACRO sky130_fd_sc_hd__o311a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.450000 0.995000 1.790000 1.325000 ;
+        RECT 1.520000 1.325000 1.790000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.270000 1.325000 ;
+        RECT 1.980000 1.325000 2.215000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.840000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.355000 1.070000 ;
+        RECT 0.085000 1.070000 0.435000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.525000  0.085000 1.195000 0.825000 ;
+      RECT 0.605000  0.995000 0.775000 1.495000 ;
+      RECT 0.605000  1.495000 1.350000 1.665000 ;
+      RECT 0.605000  1.835000 1.010000 2.635000 ;
+      RECT 1.180000  1.665000 1.350000 2.295000 ;
+      RECT 1.180000  2.295000 2.715000 2.465000 ;
+      RECT 1.365000  0.310000 1.660000 0.655000 ;
+      RECT 1.365000  0.655000 2.760000 0.825000 ;
+      RECT 1.840000  0.085000 2.215000 0.485000 ;
+      RECT 2.385000  1.495000 3.595000 1.665000 ;
+      RECT 2.385000  1.665000 2.715000 2.295000 ;
+      RECT 2.430000  0.310000 2.760000 0.655000 ;
+      RECT 2.900000  1.835000 3.135000 2.635000 ;
+      RECT 3.010000  0.255000 3.595000 0.825000 ;
+      RECT 3.010000  0.825000 3.180000 1.495000 ;
+      RECT 3.305000  1.665000 3.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_1
+MACRO sky130_fd_sc_hd__sdfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.675000  1.785000  0.845000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.145000  1.105000  1.315000 1.275000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.615000 1.755000 0.915000 1.800000 ;
+      RECT 0.615000 1.800000 8.675000 1.940000 ;
+      RECT 0.615000 1.940000 0.915000 1.985000 ;
+      RECT 1.085000 1.075000 1.375000 1.120000 ;
+      RECT 1.085000 1.120000 8.635000 1.260000 ;
+      RECT 1.085000 1.260000 1.375000 1.305000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtn_1
+MACRO sky130_fd_sc_hd__sedfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.935000 0.255000 14.265000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.300000 ;
+        RECT 11.700000 1.300000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 15.370000 2.910000 ;
+        RECT  7.200000 1.305000 15.370000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.465000 12.450000 2.635000 ;
+      RECT 12.315000  0.085000 12.565000 0.900000 ;
+      RECT 12.620000  1.575000 12.850000 2.010000 ;
+      RECT 12.735000  0.890000 13.360000 1.220000 ;
+      RECT 13.020000  0.255000 13.360000 0.890000 ;
+      RECT 13.020000  1.220000 13.360000 2.465000 ;
+      RECT 13.530000  0.085000 13.765000 0.900000 ;
+      RECT 13.530000  1.465000 13.765000 2.635000 ;
+      RECT 14.435000  0.085000 14.695000 0.900000 ;
+      RECT 14.435000  1.465000 14.695000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.650000  1.785000 12.820000 1.955000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.110000  0.765000 13.280000 0.935000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 13.340000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.880000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.590000 1.755000 12.880000 1.800000 ;
+      RECT 12.590000 1.940000 12.880000 1.985000 ;
+      RECT 13.050000 0.735000 13.340000 0.780000 ;
+      RECT 13.050000 0.920000 13.340000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_2
+MACRO sky130_fd_sc_hd__sedfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.525000 0.255000 13.855000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.410000 ;
+        RECT 11.700000 1.410000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.450000 2.910000 ;
+        RECT  7.200000 1.305000 14.450000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.575000 12.430000 2.010000 ;
+      RECT 12.315000  0.890000 12.940000 1.220000 ;
+      RECT 12.600000  0.255000 12.940000 0.890000 ;
+      RECT 12.600000  1.220000 12.940000 2.465000 ;
+      RECT 13.110000  0.085000 13.355000 0.900000 ;
+      RECT 13.110000  1.465000 13.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.230000  1.785000 12.400000 1.955000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.690000  0.765000 12.860000 0.935000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.920000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.460000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.170000 1.755000 12.460000 1.800000 ;
+      RECT 12.170000 1.940000 12.460000 1.985000 ;
+      RECT 12.630000 0.735000 12.920000 0.780000 ;
+      RECT 12.630000 0.920000 12.920000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_1
+MACRO sky130_fd_sc_hd__a31oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.443500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 7.585000 0.805000 ;
+        RECT 6.075000 1.915000 7.245000 2.085000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.045000 0.805000 7.245000 1.915000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.175000  1.495000 5.405000 1.665000 ;
+      RECT 0.175000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.915000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.015000  1.665000 1.185000 2.465000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.355000  1.915000 1.685000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 1.855000  1.665000 2.025000 2.465000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.195000  1.915000 2.525000 2.635000 ;
+      RECT 2.695000  1.665000 2.865000 2.465000 ;
+      RECT 3.035000  1.915000 3.365000 2.635000 ;
+      RECT 3.535000  1.665000 3.705000 2.465000 ;
+      RECT 3.895000  1.915000 4.225000 2.635000 ;
+      RECT 4.395000  1.665000 4.565000 2.465000 ;
+      RECT 4.735000  2.255000 5.065000 2.635000 ;
+      RECT 5.235000  1.665000 5.405000 2.255000 ;
+      RECT 5.235000  2.255000 7.665000 2.425000 ;
+      RECT 5.235000  2.425000 5.405000 2.465000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.415000  1.495000 7.665000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_4
+MACRO sky130_fd_sc_hd__a31oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.665000 1.615000 ;
+        RECT 2.905000 0.995000 3.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.995000 1.755000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.820000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.820000 1.075000 4.490000 1.275000 ;
+        RECT 4.265000 1.275000 4.490000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.922000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 4.505000 0.825000 ;
+        RECT 3.255000 0.255000 3.425000 0.655000 ;
+        RECT 3.255000 0.825000 3.570000 1.445000 ;
+        RECT 3.255000 1.445000 4.085000 1.615000 ;
+        RECT 3.755000 1.615000 4.085000 2.115000 ;
+        RECT 4.175000 0.295000 4.505000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.655000 2.105000 0.825000 ;
+      RECT 0.175000  1.785000 3.505000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.295000 3.075000 0.465000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.310000  2.125000 2.980000 2.635000 ;
+      RECT 3.335000  1.955000 3.505000 2.295000 ;
+      RECT 3.335000  2.295000 4.425000 2.465000 ;
+      RECT 3.675000  0.085000 4.005000 0.465000 ;
+      RECT 4.255000  1.795000 4.425000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_2
+MACRO sky130_fd_sc_hd__a31oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.445000 1.455000 1.665000 ;
+        RECT 1.270000 0.995000 1.455000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.335000 1.055000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.365000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.215000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.481250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 0.295000 1.785000 0.715000 ;
+        RECT 1.380000 0.715000 1.795000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+        RECT 1.625000 1.495000 2.210000 1.665000 ;
+        RECT 1.875000 1.665000 2.210000 2.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.430000 0.815000 ;
+      RECT 0.090000  1.495000 0.420000 2.635000 ;
+      RECT 0.590000  1.835000 1.695000 2.005000 ;
+      RECT 0.590000  2.005000 0.765000 2.415000 ;
+      RECT 0.935000  2.175000 1.265000 2.635000 ;
+      RECT 1.470000  2.005000 1.695000 2.415000 ;
+      RECT 1.955000  0.085000 2.215000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_1
+MACRO sky130_fd_sc_hd__dlxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 0.415000 5.435000 0.745000 ;
+        RECT 5.150000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.770000  2.255000 3.605000 2.425000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.175000 1.165000 ;
+      RECT 3.435000  1.165000 4.175000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.685000  0.085000 4.015000 0.530000 ;
+      RECT 3.775000  2.135000 3.945000 2.635000 ;
+      RECT 3.840000  1.535000 4.515000 1.865000 ;
+      RECT 4.295000  0.415000 4.515000 0.745000 ;
+      RECT 4.295000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.515000 0.995000 ;
+      RECT 4.345000  0.995000 5.095000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.695000  0.085000 4.900000 0.715000 ;
+      RECT 4.695000  1.570000 4.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxtp_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.055000 0.550000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.590000 0.545000 ;
+        RECT 3.220000 1.760000 3.590000 2.465000 ;
+        RECT 3.365000 0.545000 3.590000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.715000 ;
+      RECT 0.095000  0.715000 1.215000 0.885000 ;
+      RECT 0.095000  1.495000 1.215000 1.665000 ;
+      RECT 0.095000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.720000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.260000 2.465000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.710000  1.760000 3.040000 2.635000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.560000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.270000 3.150000 0.640000 ;
+        RECT 2.715000 1.420000 3.180000 1.525000 ;
+        RECT 2.715000 1.525000 3.150000 2.465000 ;
+        RECT 2.965000 0.640000 3.150000 0.780000 ;
+        RECT 2.965000 0.780000 3.180000 0.945000 ;
+        RECT 3.010000 0.945000 3.180000 1.420000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.730000  0.905000 1.055000 1.460000 ;
+      RECT 1.110000  1.800000 1.440000 2.465000 ;
+      RECT 1.160000  0.270000 1.440000 0.600000 ;
+      RECT 1.270000  0.600000 1.440000 1.075000 ;
+      RECT 1.270000  1.075000 2.205000 1.255000 ;
+      RECT 1.270000  1.255000 1.440000 1.800000 ;
+      RECT 1.630000  0.270000 1.960000 0.735000 ;
+      RECT 1.630000  0.735000 2.545000 0.905000 ;
+      RECT 1.630000  1.460000 2.545000 1.630000 ;
+      RECT 1.630000  1.630000 1.960000 2.465000 ;
+      RECT 2.130000  1.800000 2.545000 2.635000 ;
+      RECT 2.165000  0.085000 2.535000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 2.840000 1.245000 ;
+      RECT 2.375000  1.245000 2.545000 1.460000 ;
+      RECT 3.320000  0.085000 3.595000 0.645000 ;
+      RECT 3.320000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_2
+MACRO sky130_fd_sc_hd__and4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.755000 0.330000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.890000 0.420000 1.245000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.415000 1.720000 1.305000 ;
+        RECT 1.420000 1.305000 1.590000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.900000 0.415000 2.160000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 0.295000 3.065000 0.340000 ;
+        RECT 2.735000 0.340000 3.070000 0.805000 ;
+        RECT 2.735000 1.495000 3.070000 2.465000 ;
+        RECT 2.895000 0.805000 3.070000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  2.255000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.555000 1.665000 ;
+      RECT 0.600000  1.665000 0.850000 2.465000 ;
+      RECT 1.070000  1.915000 1.400000 2.635000 ;
+      RECT 1.585000  1.665000 1.835000 2.465000 ;
+      RECT 2.235000  1.835000 2.565000 2.635000 ;
+      RECT 2.330000  0.085000 2.565000 0.890000 ;
+      RECT 2.330000  1.075000 2.725000 1.315000 ;
+      RECT 2.330000  1.315000 2.555000 1.495000 ;
+      RECT 3.245000  1.835000 3.575000 2.635000 ;
+      RECT 3.255000  0.085000 3.585000 0.810000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_2
+MACRO sky130_fd_sc_hd__and4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.325000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 0.360000 1.235000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.355000 1.715000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.355000 2.175000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.295000 3.135000 0.805000 ;
+        RECT 2.795000 2.205000 3.135000 2.465000 ;
+        RECT 2.875000 0.805000 3.135000 2.205000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.170000  0.255000 0.665000 0.585000 ;
+      RECT 0.495000  0.585000 0.665000 1.495000 ;
+      RECT 0.495000  1.495000 2.685000 1.665000 ;
+      RECT 0.595000  1.665000 0.845000 2.465000 ;
+      RECT 1.065000  1.915000 1.395000 2.635000 ;
+      RECT 1.580000  1.665000 1.830000 2.465000 ;
+      RECT 2.295000  1.835000 2.625000 2.635000 ;
+      RECT 2.355000  0.085000 2.625000 0.885000 ;
+      RECT 2.370000  1.075000 2.700000 1.325000 ;
+      RECT 2.370000  1.325000 2.685000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_1
+MACRO sky130_fd_sc_hd__and4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.330000 1.655000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.995000 1.245000 1.325000 ;
+        RECT 0.890000 0.420000 1.245000 0.995000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.425000 1.700000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 0.730000 2.155000 0.935000 ;
+        RECT 1.905000 0.935000 2.075000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.535000 0.255000 2.705000 0.640000 ;
+        RECT 2.535000 0.640000 4.050000 0.810000 ;
+        RECT 2.535000 1.795000 2.785000 2.465000 ;
+        RECT 2.615000 1.485000 4.050000 1.655000 ;
+        RECT 2.615000 1.655000 2.785000 1.795000 ;
+        RECT 3.375000 0.255000 3.545000 0.640000 ;
+        RECT 3.375000 1.655000 4.050000 1.745000 ;
+        RECT 3.375000 1.745000 3.545000 2.465000 ;
+        RECT 3.800000 0.810000 4.050000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.105000  1.835000 0.385000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.415000 1.665000 ;
+      RECT 0.555000  1.665000 0.765000 2.465000 ;
+      RECT 0.955000  1.935000 1.285000 2.635000 ;
+      RECT 1.455000  1.665000 1.645000 2.465000 ;
+      RECT 2.025000  0.085000 2.335000 0.550000 ;
+      RECT 2.025000  1.855000 2.355000 2.635000 ;
+      RECT 2.245000  1.105000 3.585000 1.305000 ;
+      RECT 2.245000  1.305000 2.415000 1.495000 ;
+      RECT 2.575000  1.075000 3.585000 1.105000 ;
+      RECT 2.875000  0.085000 3.205000 0.470000 ;
+      RECT 2.955000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.470000 ;
+      RECT 3.715000  1.915000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_4
+MACRO sky130_fd_sc_hd__o21a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.345000 1.075000 2.675000 1.275000 ;
+        RECT 2.445000 1.275000 2.675000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 2.035000 1.095000 ;
+        RECT 1.705000 1.095000 2.155000 1.275000 ;
+        RECT 1.940000 1.275000 2.155000 2.390000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.075000 1.535000 1.305000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 1.030000 ;
+        RECT 0.085000 1.030000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.535000  1.860000 1.245000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.595000  0.715000 1.305000 0.905000 ;
+      RECT 0.595000  0.905000 0.880000 1.475000 ;
+      RECT 0.595000  1.475000 1.745000 1.690000 ;
+      RECT 1.005000  0.255000 1.365000 0.520000 ;
+      RECT 1.005000  0.520000 1.360000 0.525000 ;
+      RECT 1.005000  0.525000 1.355000 0.535000 ;
+      RECT 1.005000  0.535000 1.350000 0.540000 ;
+      RECT 1.005000  0.540000 1.345000 0.550000 ;
+      RECT 1.005000  0.550000 1.340000 0.555000 ;
+      RECT 1.005000  0.555000 1.330000 0.565000 ;
+      RECT 1.005000  0.565000 1.320000 0.575000 ;
+      RECT 1.005000  0.575000 1.305000 0.715000 ;
+      RECT 1.415000  1.690000 1.745000 2.465000 ;
+      RECT 1.495000  0.635000 1.825000 0.715000 ;
+      RECT 1.495000  0.715000 2.675000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.545000 ;
+      RECT 2.335000  0.255000 2.675000 0.715000 ;
+      RECT 2.335000  1.915000 2.665000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_1
+MACRO sky130_fd_sc_hd__o21a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.125000 1.450000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 1.025000 2.610000 1.400000 ;
+        RECT 2.405000 1.400000 2.610000 1.985000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 1.010000 1.855000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.530000 0.255000 0.775000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  1.635000 0.345000 2.635000 ;
+      RECT 0.105000  0.085000 0.345000 0.885000 ;
+      RECT 0.945000  0.085000 1.275000 0.465000 ;
+      RECT 0.945000  0.635000 1.795000 0.840000 ;
+      RECT 0.945000  0.840000 1.275000 1.330000 ;
+      RECT 0.945000  2.185000 1.795000 2.635000 ;
+      RECT 1.105000  1.330000 1.275000 1.785000 ;
+      RECT 1.105000  1.785000 2.225000 2.005000 ;
+      RECT 1.465000  0.255000 1.795000 0.635000 ;
+      RECT 1.965000  0.465000 2.175000 0.635000 ;
+      RECT 1.965000  0.635000 3.120000 0.825000 ;
+      RECT 1.965000  2.005000 2.225000 2.465000 ;
+      RECT 2.345000  0.085000 2.675000 0.465000 ;
+      RECT 2.795000  1.650000 3.120000 2.635000 ;
+      RECT 2.845000  0.495000 3.120000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_2
+MACRO sky130_fd_sc_hd__o21a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.480000 0.990000 3.785000 1.495000 ;
+        RECT 3.480000 1.495000 5.400000 1.705000 ;
+        RECT 5.030000 0.995000 5.400000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.140000 0.995000 4.690000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 1.075000 3.155000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.635000 1.715000 0.805000 ;
+        RECT 0.090000 0.805000 0.320000 1.530000 ;
+        RECT 0.090000 1.530000 1.955000 1.700000 ;
+        RECT 0.595000 0.615000 1.715000 0.635000 ;
+        RECT 0.915000 1.700000 1.105000 2.465000 ;
+        RECT 1.775000 1.700000 1.955000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.415000  1.870000 0.745000 2.635000 ;
+      RECT 0.490000  0.995000 2.315000 1.335000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 1.275000  1.870000 1.605000 2.635000 ;
+      RECT 1.815000  0.085000 2.145000 0.465000 ;
+      RECT 2.115000  0.655000 3.095000 0.870000 ;
+      RECT 2.115000  0.870000 2.315000 0.995000 ;
+      RECT 2.125000  1.335000 2.315000 1.830000 ;
+      RECT 2.125000  1.830000 2.845000 1.875000 ;
+      RECT 2.125000  1.875000 4.545000 2.085000 ;
+      RECT 2.135000  2.255000 2.485000 2.635000 ;
+      RECT 2.335000  0.255000 3.605000 0.485000 ;
+      RECT 2.655000  2.085000 4.545000 2.105000 ;
+      RECT 2.655000  2.105000 2.845000 2.465000 ;
+      RECT 3.015000  2.275000 3.685000 2.635000 ;
+      RECT 3.275000  0.485000 3.605000 0.615000 ;
+      RECT 3.275000  0.615000 5.405000 0.785000 ;
+      RECT 3.775000  0.085000 4.115000 0.445000 ;
+      RECT 4.215000  2.105000 4.545000 2.445000 ;
+      RECT 4.645000  0.085000 4.975000 0.445000 ;
+      RECT 5.075000  1.935000 5.435000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_4
+MACRO sky130_fd_sc_hd__probe_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met5 ;
+        RECT 1.250000 0.560000 4.270000 2.160000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.885000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.320000  1.105000 4.490000 1.275000 ;
+      RECT 4.680000  1.105000 4.850000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.465000 1.060000 4.105000 1.075000 ;
+      RECT 3.465000 1.075000 4.910000 1.305000 ;
+      RECT 3.465000 1.305000 4.105000 1.320000 ;
+    LAYER met2 ;
+      RECT 3.445000 1.005000 4.125000 1.375000 ;
+    LAYER met3 ;
+      RECT 3.395000 1.025000 4.175000 1.355000 ;
+    LAYER met4 ;
+      RECT 1.370000 0.680000 4.150000 1.860000 ;
+    LAYER via ;
+      RECT 3.495000 1.060000 3.755000 1.320000 ;
+      RECT 3.815000 1.060000 4.075000 1.320000 ;
+    LAYER via2 ;
+      RECT 3.445000 1.050000 3.725000 1.330000 ;
+      RECT 3.845000 1.050000 4.125000 1.330000 ;
+    LAYER via3 ;
+      RECT 3.425000 1.030000 3.745000 1.350000 ;
+      RECT 3.825000 1.030000 4.145000 1.350000 ;
+    LAYER via4 ;
+      RECT 2.970000 0.680000 4.150000 1.860000 ;
+  END
+END sky130_fd_sc_hd__probe_p_8
+MACRO sky130_fd_sc_hd__clkinv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.840000 0.760000 ;
+        RECT 0.515000 0.760000 1.295000 1.290000 ;
+        RECT 0.515000 1.290000 0.845000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  1.665000 0.345000 2.635000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+      RECT 1.015000  1.665000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_1
+MACRO sky130_fd_sc_hd__clkinv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.410000 2.435000 ;
+        RECT 1.010000 1.630000 1.270000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 0.580000  1.800000 0.840000 2.635000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+      RECT 1.440000  1.800000 1.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_2
+MACRO sky130_fd_sc_hd__clkinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.575000 1.455000 10.480000 1.665000 ;
+        RECT  0.575000 1.665000  0.830000 2.465000 ;
+        RECT  1.435000 1.665000  1.690000 2.450000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.325000 1.665000  2.550000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.155000 1.665000  3.410000 2.450000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.015000 1.665000  4.255000 2.450000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  4.905000 1.665000  5.280000 2.450000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.925000 1.665000  6.175000 2.450000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.785000 1.665000  7.035000 2.450000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.645000 1.665000  7.895000 2.450000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.505000 1.665000  8.755000 2.450000 ;
+        RECT  9.365000 1.665000  9.605000 2.450000 ;
+        RECT 10.225000 1.665000 10.480000 2.450000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.140000  1.495000  0.405000 2.635000 ;
+      RECT  1.000000  1.835000  1.260000 2.635000 ;
+      RECT  1.855000  0.085000  2.125000 0.610000 ;
+      RECT  1.865000  1.835000  2.120000 2.635000 ;
+      RECT  2.720000  0.085000  2.985000 0.610000 ;
+      RECT  2.720000  1.835000  2.980000 2.635000 ;
+      RECT  3.580000  0.085000  3.845000 0.610000 ;
+      RECT  3.585000  1.835000  3.840000 2.635000 ;
+      RECT  4.465000  0.085000  4.730000 0.610000 ;
+      RECT  4.465000  1.835000  4.720000 2.635000 ;
+      RECT  5.490000  0.085000  5.755000 0.610000 ;
+      RECT  5.490000  1.835000  5.745000 2.120000 ;
+      RECT  5.490000  2.120000  5.750000 2.635000 ;
+      RECT  6.350000  0.085000  6.575000 0.610000 ;
+      RECT  6.355000  1.835000  6.610000 2.635000 ;
+      RECT  7.210000  0.085000  7.475000 0.610000 ;
+      RECT  7.215000  1.835000  7.470000 2.635000 ;
+      RECT  8.070000  0.085000  8.335000 0.610000 ;
+      RECT  8.075000  1.835000  8.330000 2.635000 ;
+      RECT  8.930000  0.085000  9.195000 0.610000 ;
+      RECT  8.935000  1.835000  9.190000 2.635000 ;
+      RECT  9.795000  1.835000 10.050000 2.635000 ;
+      RECT 10.650000  1.835000 10.910000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_16
+MACRO sky130_fd_sc_hd__clkinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.565000 1.630000 0.805000 2.435000 ;
+        RECT 1.405000 1.630000 1.645000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.245000 1.630000 2.495000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.080000 1.630000 3.325000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.920000 1.630000 4.175000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.765000 1.630000 5.005000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.135000  1.800000 0.395000 2.635000 ;
+      RECT 0.975000  1.800000 1.235000 2.635000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.815000  1.800000 2.075000 2.635000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.665000  1.800000 2.910000 2.635000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.495000  1.800000 3.750000 2.635000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.345000  1.800000 4.595000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+      RECT 5.175000  1.800000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_8
+MACRO sky130_fd_sc_hd__clkinv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.605000 1.630000 0.860000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.465000 1.630000 1.720000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.320000 1.630000 2.580000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.800000 0.430000 2.635000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.030000  1.800000 1.290000 2.635000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 1.890000  1.800000 2.150000 2.635000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+      RECT 2.750000  1.800000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_4
+MACRO sky130_fd_sc_hd__sdfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 0.995000 ;
+        RECT 11.190000 0.995000 12.240000 1.325000 ;
+        RECT 11.190000 1.325000 11.400000 1.445000 ;
+        RECT 11.990000 0.265000 12.240000 0.995000 ;
+        RECT 11.990000 1.325000 12.240000 2.325000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+      RECT 12.410000  0.085000 12.580000 0.545000 ;
+      RECT 12.410000  1.495000 12.660000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_4
+MACRO sky130_fd_sc_hd__sdfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_1
+MACRO sky130_fd_sc_hd__sdfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 12.150000 2.910000 ;
+        RECT  4.405000 1.305000 12.150000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_2
+MACRO sky130_fd_sc_hd__xor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.860000 0.995000 2.495000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.590000 0.925000 ;
+        RECT 0.085000 0.925000 0.400000 1.440000 ;
+        RECT 0.085000 1.440000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.750000  0.995000 0.950000 1.325000 ;
+      RECT 0.760000  0.085000 1.010000 0.525000 ;
+      RECT 0.780000  0.695000 1.350000 0.865000 ;
+      RECT 0.780000  0.865000 0.950000 0.995000 ;
+      RECT 0.780000  1.325000 0.950000 1.875000 ;
+      RECT 0.780000  1.875000 1.470000 2.045000 ;
+      RECT 0.780000  2.215000 1.115000 2.635000 ;
+      RECT 1.180000  0.255000 2.740000 0.425000 ;
+      RECT 1.180000  0.425000 1.350000 0.695000 ;
+      RECT 1.185000  1.535000 2.835000 1.705000 ;
+      RECT 1.300000  2.045000 1.470000 2.235000 ;
+      RECT 1.300000  2.235000 2.895000 2.405000 ;
+      RECT 1.520000  0.595000 1.690000 1.535000 ;
+      RECT 1.870000  1.895000 3.175000 2.065000 ;
+      RECT 1.970000  0.655000 3.080000 0.825000 ;
+      RECT 2.390000  0.425000 2.740000 0.455000 ;
+      RECT 2.665000  0.995000 2.940000 1.325000 ;
+      RECT 2.665000  1.325000 2.835000 1.535000 ;
+      RECT 2.910000  0.255000 3.760000 0.425000 ;
+      RECT 2.910000  0.425000 3.080000 0.655000 ;
+      RECT 3.005000  1.525000 3.535000 1.695000 ;
+      RECT 3.005000  1.695000 3.175000 1.895000 ;
+      RECT 3.110000  2.235000 3.515000 2.405000 ;
+      RECT 3.250000  0.595000 3.420000 1.375000 ;
+      RECT 3.250000  1.375000 3.535000 1.525000 ;
+      RECT 3.345000  1.895000 4.520000 2.065000 ;
+      RECT 3.345000  2.065000 3.515000 2.235000 ;
+      RECT 3.590000  0.425000 3.760000 1.035000 ;
+      RECT 3.590000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.930000  0.085000 4.100000 0.865000 ;
+      RECT 4.105000  1.445000 4.520000 1.715000 ;
+      RECT 4.280000  0.415000 4.520000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.695000  0.265000 5.110000 0.485000 ;
+      RECT 4.695000  0.485000 4.915000 0.595000 ;
+      RECT 4.695000  0.595000 4.865000 2.105000 ;
+      RECT 5.035000  0.720000 5.450000 0.825000 ;
+      RECT 5.035000  0.825000 5.255000 0.890000 ;
+      RECT 5.035000  0.890000 5.205000 2.275000 ;
+      RECT 5.085000  0.655000 5.450000 0.720000 ;
+      RECT 5.280000  0.320000 5.450000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.620000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_1
+MACRO sky130_fd_sc_hd__xor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.525000 1.075000 8.935000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.705000 0.995000 7.875000 1.445000 ;
+        RECT 7.705000 1.445000 8.285000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.880000 0.995000 3.515000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.350000 0.765000 0.660000 ;
+        RECT 0.595000 0.660000 1.605000 0.830000 ;
+        RECT 0.595000 0.830000 1.535000 0.925000 ;
+        RECT 0.695000 1.440000 1.420000 1.455000 ;
+        RECT 0.695000 1.455000 1.705000 2.045000 ;
+        RECT 0.695000 2.045000 0.865000 2.465000 ;
+        RECT 1.105000 0.925000 1.420000 1.440000 ;
+        RECT 1.435000 0.350000 1.605000 0.660000 ;
+        RECT 1.535000 2.045000 1.705000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 10.120000 0.085000 ;
+        RECT 0.175000  0.085000  0.345000 0.545000 ;
+        RECT 0.935000  0.085000  1.265000 0.465000 ;
+        RECT 1.855000  0.085000  2.025000 0.525000 ;
+        RECT 4.950000  0.085000  5.120000 0.885000 ;
+        RECT 8.995000  0.085000  9.165000 0.565000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+        RECT 4.285000 -0.085000 4.455000 0.085000 ;
+        RECT 4.745000 -0.085000 4.915000 0.085000 ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+        RECT 5.665000 -0.085000 5.835000 0.085000 ;
+        RECT 6.125000 -0.085000 6.295000 0.085000 ;
+        RECT 6.585000 -0.085000 6.755000 0.085000 ;
+        RECT 7.045000 -0.085000 7.215000 0.085000 ;
+        RECT 7.505000 -0.085000 7.675000 0.085000 ;
+        RECT 7.965000 -0.085000 8.135000 0.085000 ;
+        RECT 8.425000 -0.085000 8.595000 0.085000 ;
+        RECT 8.885000 -0.085000 9.055000 0.085000 ;
+        RECT 9.345000 -0.085000 9.515000 0.085000 ;
+        RECT 9.805000 -0.085000 9.975000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.235000 -0.085000 0.405000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 10.120000 2.805000 ;
+        RECT 0.275000 2.135000  0.445000 2.635000 ;
+        RECT 1.035000 2.215000  1.365000 2.635000 ;
+        RECT 1.875000 2.215000  2.205000 2.635000 ;
+        RECT 4.705000 2.235000  5.035000 2.635000 ;
+        RECT 8.915000 2.275000  9.245000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+        RECT 7.505000 2.635000 7.675000 2.805000 ;
+        RECT 7.965000 2.635000 8.135000 2.805000 ;
+        RECT 8.425000 2.635000 8.595000 2.805000 ;
+        RECT 8.885000 2.635000 9.055000 2.805000 ;
+        RECT 9.345000 2.635000 9.515000 2.805000 ;
+        RECT 9.805000 2.635000 9.975000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.820000 0.965000 2.045000 1.325000 ;
+      RECT 1.875000 0.695000 2.365000 0.865000 ;
+      RECT 1.875000 0.865000 2.045000 0.965000 ;
+      RECT 1.875000 1.325000 2.045000 1.875000 ;
+      RECT 1.875000 1.875000 2.545000 2.045000 ;
+      RECT 2.195000 0.255000 3.760000 0.425000 ;
+      RECT 2.195000 0.425000 2.365000 0.695000 ;
+      RECT 2.370000 1.535000 3.855000 1.705000 ;
+      RECT 2.375000 2.045000 2.545000 2.235000 ;
+      RECT 2.375000 2.235000 3.915000 2.405000 ;
+      RECT 2.540000 0.595000 2.710000 1.535000 ;
+      RECT 2.890000 1.895000 4.195000 2.065000 ;
+      RECT 2.990000 0.655000 4.100000 0.825000 ;
+      RECT 3.410000 0.425000 3.760000 0.455000 ;
+      RECT 3.685000 0.995000 4.055000 1.325000 ;
+      RECT 3.685000 1.325000 3.855000 1.535000 ;
+      RECT 3.930000 0.255000 4.780000 0.425000 ;
+      RECT 3.930000 0.425000 4.100000 0.655000 ;
+      RECT 4.025000 1.525000 4.555000 1.695000 ;
+      RECT 4.025000 1.695000 4.195000 1.895000 ;
+      RECT 4.130000 2.235000 4.535000 2.405000 ;
+      RECT 4.270000 0.595000 4.440000 1.375000 ;
+      RECT 4.270000 1.375000 4.555000 1.525000 ;
+      RECT 4.365000 1.895000 5.540000 2.065000 ;
+      RECT 4.365000 2.065000 4.535000 2.235000 ;
+      RECT 4.610000 0.425000 4.780000 1.035000 ;
+      RECT 4.610000 1.035000 4.865000 1.040000 ;
+      RECT 4.610000 1.040000 4.880000 1.045000 ;
+      RECT 4.610000 1.045000 4.890000 1.050000 ;
+      RECT 4.610000 1.050000 4.895000 1.205000 ;
+      RECT 4.725000 1.205000 4.895000 1.895000 ;
+      RECT 5.125000 1.445000 5.540000 1.715000 ;
+      RECT 5.300000 0.415000 5.540000 1.445000 ;
+      RECT 5.370000 2.065000 5.540000 2.275000 ;
+      RECT 5.370000 2.275000 8.465000 2.445000 ;
+      RECT 5.715000 0.265000 6.130000 0.485000 ;
+      RECT 5.715000 0.485000 5.935000 0.595000 ;
+      RECT 5.715000 0.595000 5.885000 2.105000 ;
+      RECT 6.075000 0.720000 6.470000 0.825000 ;
+      RECT 6.075000 0.825000 6.275000 0.890000 ;
+      RECT 6.075000 0.890000 6.245000 2.275000 ;
+      RECT 6.105000 0.655000 6.470000 0.720000 ;
+      RECT 6.300000 0.320000 6.470000 0.655000 ;
+      RECT 6.415000 1.445000 7.195000 1.615000 ;
+      RECT 6.415000 1.615000 6.830000 2.045000 ;
+      RECT 6.430000 0.995000 6.855000 1.270000 ;
+      RECT 6.640000 0.630000 6.855000 0.995000 ;
+      RECT 7.025000 0.255000 8.170000 0.425000 ;
+      RECT 7.025000 0.425000 7.195000 1.445000 ;
+      RECT 7.365000 0.595000 7.535000 1.935000 ;
+      RECT 7.365000 1.935000 9.675000 2.105000 ;
+      RECT 7.705000 0.425000 8.170000 0.465000 ;
+      RECT 8.045000 0.730000 8.250000 0.945000 ;
+      RECT 8.045000 0.945000 8.355000 1.275000 ;
+      RECT 8.455000 1.495000 9.275000 1.705000 ;
+      RECT 8.495000 0.295000 8.785000 0.735000 ;
+      RECT 8.495000 0.735000 9.275000 0.750000 ;
+      RECT 8.535000 0.750000 9.275000 0.905000 ;
+      RECT 9.105000 0.905000 9.275000 0.995000 ;
+      RECT 9.105000 0.995000 9.335000 1.325000 ;
+      RECT 9.105000 1.325000 9.275000 1.495000 ;
+      RECT 9.190000 1.875000 9.675000 1.935000 ;
+      RECT 9.415000 0.255000 9.675000 0.585000 ;
+      RECT 9.415000 2.105000 9.675000 2.465000 ;
+      RECT 9.505000 0.585000 9.675000 1.875000 ;
+    LAYER mcon ;
+      RECT 4.385000 1.445000 4.555000 1.615000 ;
+      RECT 5.305000 0.765000 5.475000 0.935000 ;
+      RECT 5.765000 0.425000 5.935000 0.595000 ;
+      RECT 6.685000 0.765000 6.855000 0.935000 ;
+      RECT 6.685000 1.445000 6.855000 1.615000 ;
+      RECT 8.065000 0.765000 8.235000 0.935000 ;
+      RECT 8.525000 0.425000 8.695000 0.595000 ;
+    LAYER met1 ;
+      RECT 4.325000 1.415000 4.615000 1.460000 ;
+      RECT 4.325000 1.460000 6.915000 1.600000 ;
+      RECT 4.325000 1.600000 4.615000 1.645000 ;
+      RECT 5.245000 0.735000 5.535000 0.780000 ;
+      RECT 5.245000 0.780000 8.295000 0.920000 ;
+      RECT 5.245000 0.920000 5.535000 0.965000 ;
+      RECT 5.705000 0.395000 5.995000 0.440000 ;
+      RECT 5.705000 0.440000 8.755000 0.580000 ;
+      RECT 5.705000 0.580000 5.995000 0.625000 ;
+      RECT 6.625000 0.735000 6.915000 0.780000 ;
+      RECT 6.625000 0.920000 6.915000 0.965000 ;
+      RECT 6.625000 1.415000 6.915000 1.460000 ;
+      RECT 6.625000 1.600000 6.915000 1.645000 ;
+      RECT 8.005000 0.735000 8.295000 0.780000 ;
+      RECT 8.005000 0.920000 8.295000 0.965000 ;
+      RECT 8.465000 0.395000 8.755000 0.440000 ;
+      RECT 8.465000 0.580000 8.755000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_4
+MACRO sky130_fd_sc_hd__xor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.965000 1.075000 8.375000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.145000 0.995000 7.315000 1.445000 ;
+        RECT 7.145000 1.445000 7.725000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.320000 0.995000 2.955000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.660000 1.050000 0.925000 ;
+        RECT 0.545000 0.925000 0.860000 1.440000 ;
+        RECT 0.545000 1.440000 1.070000 2.045000 ;
+        RECT 0.800000 0.350000 1.050000 0.660000 ;
+        RECT 0.820000 2.045000 1.070000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.300000  0.085000 0.630000 0.465000 ;
+      RECT 0.300000  2.215000 0.650000 2.635000 ;
+      RECT 1.210000  0.995000 1.410000 1.325000 ;
+      RECT 1.220000  0.085000 1.470000 0.525000 ;
+      RECT 1.240000  0.695000 1.810000 0.865000 ;
+      RECT 1.240000  0.865000 1.410000 0.995000 ;
+      RECT 1.240000  1.325000 1.410000 1.875000 ;
+      RECT 1.240000  1.875000 1.930000 2.045000 ;
+      RECT 1.240000  2.215000 1.575000 2.635000 ;
+      RECT 1.640000  0.255000 3.200000 0.425000 ;
+      RECT 1.640000  0.425000 1.810000 0.695000 ;
+      RECT 1.645000  1.535000 3.295000 1.705000 ;
+      RECT 1.760000  2.045000 1.930000 2.235000 ;
+      RECT 1.760000  2.235000 3.355000 2.405000 ;
+      RECT 1.980000  0.595000 2.150000 1.535000 ;
+      RECT 2.330000  1.895000 3.635000 2.065000 ;
+      RECT 2.430000  0.655000 3.540000 0.825000 ;
+      RECT 2.850000  0.425000 3.200000 0.455000 ;
+      RECT 3.125000  0.995000 3.400000 1.325000 ;
+      RECT 3.125000  1.325000 3.295000 1.535000 ;
+      RECT 3.370000  0.255000 4.220000 0.425000 ;
+      RECT 3.370000  0.425000 3.540000 0.655000 ;
+      RECT 3.465000  1.525000 3.995000 1.695000 ;
+      RECT 3.465000  1.695000 3.635000 1.895000 ;
+      RECT 3.570000  2.235000 3.975000 2.405000 ;
+      RECT 3.710000  0.595000 3.880000 1.375000 ;
+      RECT 3.710000  1.375000 3.995000 1.525000 ;
+      RECT 3.805000  1.895000 4.980000 2.065000 ;
+      RECT 3.805000  2.065000 3.975000 2.235000 ;
+      RECT 4.050000  0.425000 4.220000 1.035000 ;
+      RECT 4.050000  1.035000 4.335000 1.205000 ;
+      RECT 4.145000  2.235000 4.475000 2.635000 ;
+      RECT 4.165000  1.205000 4.335000 1.895000 ;
+      RECT 4.390000  0.085000 4.560000 0.865000 ;
+      RECT 4.565000  1.445000 4.980000 1.715000 ;
+      RECT 4.740000  0.415000 4.980000 1.445000 ;
+      RECT 4.810000  2.065000 4.980000 2.275000 ;
+      RECT 4.810000  2.275000 7.905000 2.445000 ;
+      RECT 5.155000  0.265000 5.570000 0.485000 ;
+      RECT 5.155000  0.485000 5.375000 0.595000 ;
+      RECT 5.155000  0.595000 5.325000 2.105000 ;
+      RECT 5.495000  0.720000 5.910000 0.825000 ;
+      RECT 5.495000  0.825000 5.715000 0.890000 ;
+      RECT 5.495000  0.890000 5.665000 2.275000 ;
+      RECT 5.545000  0.655000 5.910000 0.720000 ;
+      RECT 5.740000  0.320000 5.910000 0.655000 ;
+      RECT 5.855000  1.445000 6.635000 1.615000 ;
+      RECT 5.855000  1.615000 6.270000 2.045000 ;
+      RECT 5.870000  0.995000 6.295000 1.270000 ;
+      RECT 6.080000  0.630000 6.295000 0.995000 ;
+      RECT 6.465000  0.255000 7.610000 0.425000 ;
+      RECT 6.465000  0.425000 6.635000 1.445000 ;
+      RECT 6.805000  0.595000 6.975000 1.935000 ;
+      RECT 6.805000  1.935000 9.115000 2.105000 ;
+      RECT 7.145000  0.425000 7.610000 0.465000 ;
+      RECT 7.485000  0.730000 7.690000 0.945000 ;
+      RECT 7.485000  0.945000 7.795000 1.275000 ;
+      RECT 7.895000  1.495000 8.715000 1.705000 ;
+      RECT 7.935000  0.295000 8.225000 0.735000 ;
+      RECT 7.935000  0.735000 8.715000 0.750000 ;
+      RECT 7.975000  0.750000 8.715000 0.905000 ;
+      RECT 8.315000  2.275000 8.650000 2.635000 ;
+      RECT 8.395000  0.085000 8.565000 0.565000 ;
+      RECT 8.545000  0.905000 8.715000 0.995000 ;
+      RECT 8.545000  0.995000 8.775000 1.325000 ;
+      RECT 8.545000  1.325000 8.715000 1.495000 ;
+      RECT 8.630000  1.875000 9.115000 1.935000 ;
+      RECT 8.815000  0.255000 9.115000 0.585000 ;
+      RECT 8.820000  2.105000 9.115000 2.465000 ;
+      RECT 8.945000  0.585000 9.115000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  1.445000 3.995000 1.615000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.425000 5.375000 0.595000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  0.765000 6.295000 0.935000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.765000 7.675000 0.935000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.425000 8.135000 0.595000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.765000 1.415000 4.055000 1.460000 ;
+      RECT 3.765000 1.460000 6.355000 1.600000 ;
+      RECT 3.765000 1.600000 4.055000 1.645000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.780000 7.735000 0.920000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 0.395000 5.435000 0.440000 ;
+      RECT 5.145000 0.440000 8.195000 0.580000 ;
+      RECT 5.145000 0.580000 5.435000 0.625000 ;
+      RECT 6.065000 0.735000 6.355000 0.780000 ;
+      RECT 6.065000 0.920000 6.355000 0.965000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+      RECT 7.445000 0.735000 7.735000 0.780000 ;
+      RECT 7.445000 0.920000 7.735000 0.965000 ;
+      RECT 7.905000 0.395000 8.195000 0.440000 ;
+      RECT 7.905000 0.580000 8.195000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_2
+MACRO sky130_fd_sc_hd__o221a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.635000 1.075000 3.075000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.075000 2.465000 1.285000 ;
+        RECT 1.980000 1.285000 2.285000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 1.075000 1.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.790000 1.275000 ;
+        RECT 1.500000 1.275000 1.790000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.345000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.295000 0.265000 3.625000 0.735000 ;
+        RECT 3.295000 0.735000 4.055000 0.905000 ;
+        RECT 3.295000 1.875000 4.055000 2.045000 ;
+        RECT 3.295000 2.045000 3.545000 2.465000 ;
+        RECT 3.745000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.170000  0.255000 0.500000 0.635000 ;
+      RECT 0.170000  0.635000 0.715000 0.805000 ;
+      RECT 0.250000  1.495000 1.330000 1.670000 ;
+      RECT 0.250000  1.670000 0.580000 2.465000 ;
+      RECT 0.545000  0.805000 0.715000 1.445000 ;
+      RECT 0.545000  1.445000 1.330000 1.495000 ;
+      RECT 0.670000  0.295000 1.855000 0.465000 ;
+      RECT 0.750000  1.850000 0.990000 2.635000 ;
+      RECT 1.085000  0.645000 1.470000 0.735000 ;
+      RECT 1.085000  0.735000 2.785000 0.905000 ;
+      RECT 1.160000  1.670000 1.330000 1.875000 ;
+      RECT 1.160000  1.875000 2.625000 2.045000 ;
+      RECT 1.550000  2.045000 2.305000 2.465000 ;
+      RECT 2.115000  0.085000 2.285000 0.555000 ;
+      RECT 2.455000  0.270000 2.785000 0.735000 ;
+      RECT 2.455000  1.455000 3.415000 1.625000 ;
+      RECT 2.455000  1.625000 2.625000 1.875000 ;
+      RECT 2.795000  1.795000 3.125000 2.635000 ;
+      RECT 2.955000  0.085000 3.125000 0.905000 ;
+      RECT 3.245000  1.075000 3.575000 1.285000 ;
+      RECT 3.245000  1.285000 3.415000 1.455000 ;
+      RECT 3.715000  2.215000 4.055000 2.635000 ;
+      RECT 3.795000  0.085000 3.965000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_2
+MACRO sky130_fd_sc_hd__o221a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.005000 1.075000 3.605000 1.445000 ;
+        RECT 3.005000 1.445000 4.775000 1.615000 ;
+        RECT 4.525000 1.075000 5.035000 1.275000 ;
+        RECT 4.525000 1.275000 4.775000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 4.355000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.075000 1.520000 1.445000 ;
+        RECT 1.025000 1.445000 2.745000 1.615000 ;
+        RECT 2.415000 1.075000 2.745000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.690000 1.075000 2.245000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.405000 0.735000 ;
+        RECT 5.235000 0.735000 6.920000 0.905000 ;
+        RECT 5.315000 1.785000 5.900000 1.955000 ;
+        RECT 5.315000 1.955000 5.525000 2.465000 ;
+        RECT 5.730000 1.445000 6.920000 1.615000 ;
+        RECT 5.730000 1.615000 5.900000 1.785000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 1.615000 6.365000 2.465000 ;
+        RECT 6.575000 0.905000 6.920000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 2.955000 0.475000 ;
+      RECT 0.085000  0.475000 0.345000 0.895000 ;
+      RECT 0.145000  1.455000 0.395000 2.635000 ;
+      RECT 0.515000  0.645000 0.845000 0.865000 ;
+      RECT 0.565000  1.445000 0.845000 1.785000 ;
+      RECT 0.565000  1.785000 5.145000 1.955000 ;
+      RECT 0.565000  1.955000 0.815000 2.465000 ;
+      RECT 0.610000  0.865000 0.845000 1.445000 ;
+      RECT 0.985000  2.125000 1.235000 2.635000 ;
+      RECT 1.015000  0.475000 1.185000 0.905000 ;
+      RECT 1.355000  0.645000 2.535000 0.715000 ;
+      RECT 1.355000  0.715000 3.885000 0.725000 ;
+      RECT 1.355000  0.725000 4.725000 0.905000 ;
+      RECT 1.405000  2.125000 1.655000 2.295000 ;
+      RECT 1.405000  2.295000 2.495000 2.465000 ;
+      RECT 1.825000  1.955000 2.075000 2.125000 ;
+      RECT 2.245000  2.125000 2.495000 2.295000 ;
+      RECT 2.665000  2.125000 3.425000 2.635000 ;
+      RECT 3.145000  0.085000 3.385000 0.545000 ;
+      RECT 3.555000  0.255000 3.885000 0.715000 ;
+      RECT 3.595000  2.125000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.955000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  2.125000 4.685000 2.295000 ;
+      RECT 4.855000  2.125000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.905000 ;
+      RECT 4.975000  1.445000 5.375000 1.615000 ;
+      RECT 4.975000  1.615000 5.145000 1.785000 ;
+      RECT 5.205000  1.075000 6.405000 1.275000 ;
+      RECT 5.205000  1.275000 5.375000 1.445000 ;
+      RECT 5.695000  2.125000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.830000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_4
+MACRO sky130_fd_sc_hd__o221a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.130000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 1.075000 2.490000 1.285000 ;
+        RECT 2.005000 1.285000 2.380000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.925000 1.075000 1.255000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.815000 1.325000 ;
+        RECT 1.495000 1.325000 1.815000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.415000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 0.265000 4.055000 0.905000 ;
+        RECT 3.390000 1.875000 4.055000 2.465000 ;
+        RECT 3.805000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.240000  1.455000 1.325000 1.625000 ;
+      RECT 0.240000  1.625000 0.540000 2.465000 ;
+      RECT 0.245000  0.255000 0.575000 0.645000 ;
+      RECT 0.245000  0.645000 0.755000 0.825000 ;
+      RECT 0.585000  0.825000 0.755000 1.455000 ;
+      RECT 0.735000  1.795000 0.985000 2.635000 ;
+      RECT 0.745000  0.305000 1.930000 0.475000 ;
+      RECT 1.155000  1.625000 1.325000 1.875000 ;
+      RECT 1.155000  1.875000 2.720000 2.045000 ;
+      RECT 1.160000  0.645000 1.545000 0.735000 ;
+      RECT 1.160000  0.735000 2.860000 0.905000 ;
+      RECT 1.575000  2.045000 2.380000 2.465000 ;
+      RECT 2.190000  0.085000 2.360000 0.555000 ;
+      RECT 2.530000  0.270000 2.860000 0.735000 ;
+      RECT 2.550000  1.455000 3.470000 1.625000 ;
+      RECT 2.550000  1.625000 2.720000 1.875000 ;
+      RECT 2.890000  1.795000 3.220000 2.635000 ;
+      RECT 3.030000  0.085000 3.200000 0.905000 ;
+      RECT 3.300000  1.075000 3.635000 1.285000 ;
+      RECT 3.300000  1.285000 3.470000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_1
+MACRO sky130_fd_sc_hd__mux4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.540000 0.375000 6.850000 0.995000 ;
+        RECT 6.540000 0.995000 6.950000 1.075000 ;
+        RECT 6.640000 1.075000 6.950000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 0.715000 5.120000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.765000 1.240000 1.095000 ;
+        RECT 1.025000 0.395000 1.240000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.715000 2.620000 1.015000 ;
+        RECT 2.415000 1.015000 2.620000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.975000 0.330000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.445000 0.320000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 1.445000 1.395000 1.615000 ;
+        RECT 1.225000 1.285000 1.395000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.445000 1.240000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.130000 1.245000 6.470000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.130000 1.445000 6.300000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.380000 1.460000 ;
+        RECT 0.085000 1.460000 6.360000 1.600000 ;
+        RECT 0.085000 1.600000 0.380000 1.645000 ;
+        RECT 1.010000 1.415000 1.300000 1.460000 ;
+        RECT 1.010000 1.600000 1.300000 1.645000 ;
+        RECT 6.070000 1.415000 6.360000 1.460000 ;
+        RECT 6.070000 1.600000 6.360000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 0.715000 3.080000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.360000 1.835000 7.770000 2.455000 ;
+        RECT 7.440000 0.265000 7.770000 0.725000 ;
+        RECT 7.460000 1.495000 7.770000 1.835000 ;
+        RECT 7.600000 0.725000 7.770000 1.065000 ;
+        RECT 7.600000 1.065000 8.685000 1.305000 ;
+        RECT 7.600000 1.305000 7.770000 1.495000 ;
+        RECT 8.360000 0.265000 8.685000 1.065000 ;
+        RECT 8.360000 1.305000 8.685000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.345000 0.345000 0.635000 ;
+      RECT 0.135000  0.635000 0.670000 0.805000 ;
+      RECT 0.135000  1.915000 1.905000 1.955000 ;
+      RECT 0.135000  1.955000 0.670000 2.085000 ;
+      RECT 0.135000  2.085000 0.345000 2.375000 ;
+      RECT 0.500000  0.805000 0.670000 1.785000 ;
+      RECT 0.500000  1.785000 1.905000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.705000 1.735000 1.035000 ;
+      RECT 1.415000  2.125000 2.245000 2.295000 ;
+      RECT 1.475000  0.365000 2.075000 0.535000 ;
+      RECT 1.565000  1.035000 1.735000 1.575000 ;
+      RECT 1.565000  1.575000 1.905000 1.785000 ;
+      RECT 1.905000  0.535000 2.075000 1.235000 ;
+      RECT 1.905000  1.235000 2.245000 1.405000 ;
+      RECT 2.075000  1.405000 2.245000 2.125000 ;
+      RECT 2.455000  0.085000 2.785000 0.545000 ;
+      RECT 2.600000  2.055000 2.830000 2.635000 ;
+      RECT 2.975000  1.785000 3.320000 1.955000 ;
+      RECT 2.990000  0.295000 3.420000 0.465000 ;
+      RECT 3.150000  1.490000 3.420000 1.660000 ;
+      RECT 3.150000  1.660000 3.320000 1.785000 ;
+      RECT 3.250000  0.465000 3.420000 1.060000 ;
+      RECT 3.250000  1.060000 3.485000 1.390000 ;
+      RECT 3.250000  1.390000 3.420000 1.490000 ;
+      RECT 3.310000  2.125000 3.825000 2.295000 ;
+      RECT 3.575000  1.810000 3.825000 2.125000 ;
+      RECT 3.590000  0.345000 3.825000 0.675000 ;
+      RECT 3.655000  0.675000 3.825000 1.810000 ;
+      RECT 3.995000  0.345000 4.185000 2.125000 ;
+      RECT 3.995000  2.125000 4.520000 2.295000 ;
+      RECT 4.400000  0.255000 4.605000 0.585000 ;
+      RECT 4.400000  0.585000 4.570000 1.565000 ;
+      RECT 4.400000  1.565000 5.500000 1.735000 ;
+      RECT 4.400000  1.735000 4.590000 1.895000 ;
+      RECT 4.760000  2.005000 5.105000 2.635000 ;
+      RECT 4.800000  0.085000 5.130000 0.545000 ;
+      RECT 5.330000  0.295000 6.225000 0.465000 ;
+      RECT 5.330000  0.465000 5.500000 1.565000 ;
+      RECT 5.330000  1.735000 5.500000 2.155000 ;
+      RECT 5.330000  2.155000 6.280000 2.325000 ;
+      RECT 5.670000  0.705000 6.290000 1.035000 ;
+      RECT 5.670000  1.035000 5.960000 1.985000 ;
+      RECT 6.530000  2.125000 6.850000 2.295000 ;
+      RECT 6.680000  1.495000 7.290000 1.665000 ;
+      RECT 6.680000  1.665000 6.850000 2.125000 ;
+      RECT 7.020000  0.085000 7.270000 0.815000 ;
+      RECT 7.020000  1.835000 7.190000 2.635000 ;
+      RECT 7.120000  0.995000 7.430000 1.325000 ;
+      RECT 7.120000  1.325000 7.290000 1.495000 ;
+      RECT 7.940000  0.085000 8.190000 0.885000 ;
+      RECT 7.940000  1.495000 8.190000 2.635000 ;
+      RECT 8.855000  0.085000 9.105000 0.885000 ;
+      RECT 8.855000  1.495000 9.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.785000 1.700000 1.955000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  2.125000 2.160000 2.295000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.370000  2.125000 3.540000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  2.125000 4.460000 2.295000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.670000  1.785000 5.840000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  2.125000 6.760000 2.295000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.755000 1.760000 1.800000 ;
+      RECT 1.470000 1.800000 5.900000 1.940000 ;
+      RECT 1.470000 1.940000 1.760000 1.985000 ;
+      RECT 1.930000 2.095000 2.220000 2.140000 ;
+      RECT 1.930000 2.140000 3.600000 2.280000 ;
+      RECT 1.930000 2.280000 2.220000 2.325000 ;
+      RECT 3.310000 2.095000 3.600000 2.140000 ;
+      RECT 3.310000 2.280000 3.600000 2.325000 ;
+      RECT 4.230000 2.095000 4.520000 2.140000 ;
+      RECT 4.230000 2.140000 6.820000 2.280000 ;
+      RECT 4.230000 2.280000 4.520000 2.325000 ;
+      RECT 5.610000 1.755000 5.900000 1.800000 ;
+      RECT 5.610000 1.940000 5.900000 1.985000 ;
+      RECT 6.530000 2.095000 6.820000 2.140000 ;
+      RECT 6.530000 2.280000 6.820000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_4
+MACRO sky130_fd_sc_hd__mux4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.535000 0.375000 6.845000 0.995000 ;
+        RECT 6.535000 0.995000 6.945000 1.075000 ;
+        RECT 6.635000 1.075000 6.945000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.715000 5.115000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.765000 1.235000 1.095000 ;
+        RECT 1.020000 0.395000 1.235000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.715000 2.615000 1.015000 ;
+        RECT 2.410000 1.015000 2.615000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.975000 0.325000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.445000 0.315000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.445000 1.390000 1.615000 ;
+        RECT 1.220000 1.285000 1.390000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.445000 1.235000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.125000 1.245000 6.465000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.125000 1.445000 6.295000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.375000 1.460000 ;
+        RECT 0.085000 1.460000 6.355000 1.600000 ;
+        RECT 0.085000 1.600000 0.375000 1.645000 ;
+        RECT 1.005000 1.415000 1.295000 1.460000 ;
+        RECT 1.005000 1.600000 1.295000 1.645000 ;
+        RECT 6.065000 1.415000 6.355000 1.460000 ;
+        RECT 6.065000 1.600000 6.355000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 0.715000 3.075000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.355000 1.835000 7.765000 2.455000 ;
+        RECT 7.435000 0.265000 7.765000 0.725000 ;
+        RECT 7.455000 1.495000 7.765000 1.835000 ;
+        RECT 7.595000 0.725000 7.765000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.665000 0.805000 ;
+      RECT 0.175000  1.915000 1.900000 1.955000 ;
+      RECT 0.175000  1.955000 0.665000 2.085000 ;
+      RECT 0.175000  2.085000 0.345000 2.375000 ;
+      RECT 0.495000  0.805000 0.665000 1.785000 ;
+      RECT 0.495000  1.785000 1.900000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.405000  0.705000 1.730000 1.035000 ;
+      RECT 1.410000  2.125000 2.240000 2.295000 ;
+      RECT 1.470000  0.365000 2.070000 0.535000 ;
+      RECT 1.560000  1.035000 1.730000 1.575000 ;
+      RECT 1.560000  1.575000 1.900000 1.785000 ;
+      RECT 1.900000  0.535000 2.070000 1.235000 ;
+      RECT 1.900000  1.235000 2.240000 1.405000 ;
+      RECT 2.070000  1.405000 2.240000 2.125000 ;
+      RECT 2.450000  0.085000 2.780000 0.545000 ;
+      RECT 2.595000  2.055000 2.825000 2.635000 ;
+      RECT 2.970000  1.785000 3.315000 1.955000 ;
+      RECT 2.985000  0.295000 3.415000 0.465000 ;
+      RECT 3.145000  1.490000 3.415000 1.660000 ;
+      RECT 3.145000  1.660000 3.315000 1.785000 ;
+      RECT 3.245000  0.465000 3.415000 1.060000 ;
+      RECT 3.245000  1.060000 3.480000 1.390000 ;
+      RECT 3.245000  1.390000 3.415000 1.490000 ;
+      RECT 3.305000  2.125000 3.820000 2.295000 ;
+      RECT 3.565000  1.810000 3.820000 2.125000 ;
+      RECT 3.585000  0.345000 3.820000 0.675000 ;
+      RECT 3.650000  0.675000 3.820000 1.810000 ;
+      RECT 3.990000  0.345000 4.180000 2.125000 ;
+      RECT 3.990000  2.125000 4.515000 2.295000 ;
+      RECT 4.395000  0.255000 4.600000 0.585000 ;
+      RECT 4.395000  0.585000 4.565000 1.565000 ;
+      RECT 4.395000  1.565000 5.495000 1.735000 ;
+      RECT 4.395000  1.735000 4.585000 1.895000 ;
+      RECT 4.755000  2.005000 5.100000 2.635000 ;
+      RECT 4.795000  0.085000 5.125000 0.545000 ;
+      RECT 5.325000  0.295000 6.220000 0.465000 ;
+      RECT 5.325000  0.465000 5.495000 1.565000 ;
+      RECT 5.325000  1.735000 5.495000 2.155000 ;
+      RECT 5.325000  2.155000 6.275000 2.325000 ;
+      RECT 5.665000  0.705000 6.285000 1.035000 ;
+      RECT 5.665000  1.035000 5.955000 1.985000 ;
+      RECT 6.525000  2.125000 6.845000 2.295000 ;
+      RECT 6.675000  1.495000 7.285000 1.665000 ;
+      RECT 6.675000  1.665000 6.845000 2.125000 ;
+      RECT 7.015000  0.085000 7.265000 0.815000 ;
+      RECT 7.015000  1.835000 7.185000 2.635000 ;
+      RECT 7.115000  0.995000 7.425000 1.325000 ;
+      RECT 7.115000  1.325000 7.285000 1.495000 ;
+      RECT 7.935000  0.085000 8.190000 0.885000 ;
+      RECT 7.935000  1.495000 8.185000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.785000 1.695000 1.955000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.125000 2.155000 2.295000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.125000 3.535000 2.295000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  1.785000 5.835000 1.955000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.125000 6.755000 2.295000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.800000 5.895000 1.940000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+      RECT 1.925000 2.095000 2.215000 2.140000 ;
+      RECT 1.925000 2.140000 3.595000 2.280000 ;
+      RECT 1.925000 2.280000 2.215000 2.325000 ;
+      RECT 3.305000 2.095000 3.595000 2.140000 ;
+      RECT 3.305000 2.280000 3.595000 2.325000 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.815000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 5.605000 1.755000 5.895000 1.800000 ;
+      RECT 5.605000 1.940000 5.895000 1.985000 ;
+      RECT 6.525000 2.095000 6.815000 2.140000 ;
+      RECT 6.525000 2.280000 6.815000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_2
+MACRO sky130_fd_sc_hd__mux4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 0.995000 1.240000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.495000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.250000 1.055000 5.580000 1.675000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.800000 1.055000 5.045000 1.675000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.265000 0.995000 3.565000 1.995000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.995000 6.345000 1.675000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 0.255000 9.575000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 1.185000 0.805000 ;
+      RECT 0.175000  1.795000 1.705000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 2.090000 0.425000 ;
+      RECT 1.015000  0.425000 1.185000 0.635000 ;
+      RECT 1.015000  2.135000 1.185000 2.295000 ;
+      RECT 1.015000  2.295000 2.545000 2.465000 ;
+      RECT 1.410000  0.595000 1.750000 0.765000 ;
+      RECT 1.410000  0.765000 1.700000 0.935000 ;
+      RECT 1.410000  0.935000 1.580000 1.455000 ;
+      RECT 1.410000  1.455000 2.045000 1.625000 ;
+      RECT 1.535000  1.965000 1.705000 2.125000 ;
+      RECT 1.875000  1.625000 2.045000 1.955000 ;
+      RECT 1.875000  1.955000 2.205000 2.125000 ;
+      RECT 1.920000  0.425000 2.090000 0.760000 ;
+      RECT 2.080000  1.105000 2.620000 1.285000 ;
+      RECT 2.260000  0.430000 2.620000 1.105000 ;
+      RECT 2.260000  1.285000 2.620000 1.395000 ;
+      RECT 2.260000  1.395000 3.065000 1.625000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.715000  1.625000 3.065000 2.465000 ;
+      RECT 2.800000  0.085000 3.090000 0.805000 ;
+      RECT 3.235000  2.255000 3.565000 2.635000 ;
+      RECT 3.380000  0.255000 4.980000 0.425000 ;
+      RECT 3.380000  0.425000 3.550000 0.795000 ;
+      RECT 3.720000  0.595000 4.050000 0.845000 ;
+      RECT 3.735000  0.845000 4.050000 0.920000 ;
+      RECT 3.735000  0.920000 3.905000 1.445000 ;
+      RECT 3.735000  1.445000 4.495000 1.615000 ;
+      RECT 3.825000  1.785000 3.995000 2.295000 ;
+      RECT 3.825000  2.295000 4.835000 2.465000 ;
+      RECT 4.075000  1.095000 4.405000 1.105000 ;
+      RECT 4.075000  1.105000 4.460000 1.265000 ;
+      RECT 4.165000  1.615000 4.495000 2.125000 ;
+      RECT 4.220000  0.595000 4.390000 0.715000 ;
+      RECT 4.220000  0.715000 5.740000 0.885000 ;
+      RECT 4.220000  0.885000 4.390000 0.925000 ;
+      RECT 4.290000  1.265000 4.460000 1.275000 ;
+      RECT 4.625000  0.425000 4.980000 0.465000 ;
+      RECT 4.665000  1.915000 5.730000 2.085000 ;
+      RECT 4.665000  2.085000 4.835000 2.295000 ;
+      RECT 5.060000  2.255000 5.390000 2.635000 ;
+      RECT 5.150000  0.085000 5.320000 0.545000 ;
+      RECT 5.495000  0.295000 5.740000 0.715000 ;
+      RECT 5.560000  2.085000 5.730000 2.465000 ;
+      RECT 5.980000  2.255000 6.330000 2.635000 ;
+      RECT 6.010000  0.085000 6.340000 0.465000 ;
+      RECT 6.500000  2.135000 6.685000 2.465000 ;
+      RECT 6.510000  0.325000 6.685000 0.655000 ;
+      RECT 6.515000  0.655000 6.685000 1.105000 ;
+      RECT 6.515000  1.105000 6.805000 1.275000 ;
+      RECT 6.515000  1.275000 6.685000 2.135000 ;
+      RECT 6.980000  0.765000 7.220000 0.935000 ;
+      RECT 6.980000  0.935000 7.150000 2.135000 ;
+      RECT 6.980000  2.135000 7.190000 2.465000 ;
+      RECT 7.030000  0.255000 7.200000 0.415000 ;
+      RECT 7.030000  0.415000 7.560000 0.585000 ;
+      RECT 7.360000  2.255000 7.690000 2.295000 ;
+      RECT 7.360000  2.295000 8.645000 2.465000 ;
+      RECT 7.390000  0.585000 7.560000 1.755000 ;
+      RECT 7.390000  1.755000 8.175000 1.985000 ;
+      RECT 7.730000  0.255000 8.725000 0.425000 ;
+      RECT 7.730000  0.425000 7.900000 0.585000 ;
+      RECT 7.845000  1.985000 8.175000 2.125000 ;
+      RECT 7.970000  0.765000 8.385000 0.925000 ;
+      RECT 7.970000  0.925000 8.380000 0.935000 ;
+      RECT 8.190000  1.105000 8.645000 1.275000 ;
+      RECT 8.210000  0.595000 8.385000 0.765000 ;
+      RECT 8.475000  1.665000 9.125000 1.835000 ;
+      RECT 8.475000  1.835000 8.645000 2.295000 ;
+      RECT 8.555000  0.425000 8.725000 0.715000 ;
+      RECT 8.555000  0.715000 9.125000 0.885000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 8.895000  0.085000 9.065000 0.545000 ;
+      RECT 8.955000  0.885000 9.125000 1.665000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.105000 2.620000 1.275000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.105000 4.460000 1.275000 ;
+      RECT 4.325000  1.785000 4.495000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.635000  1.105000 6.805000 1.275000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.050000  0.765000 7.220000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.555000  1.785000 7.725000 1.955000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.475000  1.105000 8.645000 1.275000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 8.200000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 2.390000 1.075000 2.680000 1.120000 ;
+      RECT 2.390000 1.120000 4.520000 1.260000 ;
+      RECT 2.390000 1.260000 2.680000 1.305000 ;
+      RECT 4.230000 1.075000 4.520000 1.120000 ;
+      RECT 4.230000 1.260000 4.520000 1.305000 ;
+      RECT 4.265000 1.755000 4.555000 1.800000 ;
+      RECT 4.265000 1.800000 7.785000 1.940000 ;
+      RECT 4.265000 1.940000 4.555000 1.985000 ;
+      RECT 6.575000 1.075000 6.865000 1.120000 ;
+      RECT 6.575000 1.120000 8.705000 1.260000 ;
+      RECT 6.575000 1.260000 6.865000 1.305000 ;
+      RECT 6.990000 0.735000 7.280000 0.780000 ;
+      RECT 6.990000 0.920000 7.280000 0.965000 ;
+      RECT 7.495000 1.755000 7.785000 1.800000 ;
+      RECT 7.495000 1.940000 7.785000 1.985000 ;
+      RECT 7.910000 0.735000 8.200000 0.780000 ;
+      RECT 7.910000 0.920000 8.200000 0.965000 ;
+      RECT 8.415000 1.075000 8.705000 1.120000 ;
+      RECT 8.415000 1.260000 8.705000 1.305000 ;
+  END
+END sky130_fd_sc_hd__mux4_1
+MACRO sky130_fd_sc_hd__fa_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.080000 0.995000 2.680000 1.275000 ;
+        RECT 2.080000 1.275000 2.340000 1.325000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 1.030000 4.000000 1.360000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.105000 4.000000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.645000 0.955000 6.005000 1.275000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.105000 5.860000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 0.995000 7.885000 1.275000 ;
+      LAYER mcon ;
+        RECT 7.070000 1.105000 7.240000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.120000 7.300000 1.260000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 3.770000 1.075000 4.060000 1.120000 ;
+        RECT 3.770000 1.260000 4.060000 1.305000 ;
+        RECT 5.630000 1.075000 5.920000 1.120000 ;
+        RECT 5.630000 1.260000 5.920000 1.305000 ;
+        RECT 7.010000 1.075000 7.300000 1.120000 ;
+        RECT 7.010000 1.260000 7.300000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.445000 3.080000 1.690000 ;
+      LAYER mcon ;
+        RECT 2.910000 1.445000 3.080000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.580000 1.435000 4.995000 1.745000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.445000 4.940000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.075000 1.445000 7.760000 1.735000 ;
+      LAYER mcon ;
+        RECT 7.530000 1.445000 7.700000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.850000 1.415000 3.140000 1.460000 ;
+        RECT 2.850000 1.460000 7.760000 1.600000 ;
+        RECT 2.850000 1.600000 3.140000 1.645000 ;
+        RECT 4.710000 1.415000 5.000000 1.460000 ;
+        RECT 4.710000 1.600000 5.000000 1.645000 ;
+        RECT 7.470000 1.415000 7.760000 1.460000 ;
+        RECT 7.470000 1.600000 7.760000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.477000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.105000 3.420000 1.275000 ;
+        RECT 3.250000 1.275000 3.420000 1.570000 ;
+        RECT 3.250000 1.570000 4.340000 1.740000 ;
+        RECT 4.170000 0.965000 5.390000 1.250000 ;
+        RECT 4.170000 1.250000 4.340000 1.570000 ;
+        RECT 5.220000 1.250000 5.390000 1.435000 ;
+        RECT 5.220000 1.435000 5.580000 1.515000 ;
+        RECT 5.220000 1.515000 6.845000 1.685000 ;
+        RECT 6.595000 1.355000 6.845000 1.515000 ;
+        RECT 6.595000 1.685000 6.845000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 1.525000 0.905000 ;
+        RECT 0.085000 0.905000 0.435000 1.415000 ;
+        RECT 0.085000 1.415000 1.570000 1.585000 ;
+        RECT 0.515000 0.255000 0.845000 0.735000 ;
+        RECT 0.515000 1.585000 0.845000 2.445000 ;
+        RECT 1.355000 0.315000 1.685000 0.485000 ;
+        RECT 1.355000 0.485000 1.525000 0.735000 ;
+        RECT 1.400000 1.585000 1.570000 1.780000 ;
+        RECT 1.400000 1.780000 1.645000 1.950000 ;
+        RECT 1.435000 1.950000 1.645000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.943000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.320000 0.255000  8.650000 0.485000 ;
+        RECT 8.320000 1.795000  8.570000 1.965000 ;
+        RECT 8.320000 1.965000  8.490000 2.465000 ;
+        RECT 8.400000 0.485000  8.650000 0.735000 ;
+        RECT 8.400000 0.735000 10.035000 0.905000 ;
+        RECT 8.400000 1.415000 10.035000 1.585000 ;
+        RECT 8.400000 1.585000  8.570000 1.795000 ;
+        RECT 9.160000 0.270000  9.490000 0.735000 ;
+        RECT 9.160000 1.585000  9.490000 2.425000 ;
+        RECT 9.700000 0.905000 10.035000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.565000 ;
+      RECT 0.175000  1.795000  0.345000 2.635000 ;
+      RECT 0.605000  1.075000  1.910000 1.245000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.795000  1.185000 2.635000 ;
+      RECT 1.740000  0.655000  3.090000 0.825000 ;
+      RECT 1.740000  0.825000  1.910000 1.075000 ;
+      RECT 1.740000  1.245000  1.910000 1.430000 ;
+      RECT 1.740000  1.430000  1.945000 1.495000 ;
+      RECT 1.740000  1.495000  2.310000 1.600000 ;
+      RECT 1.775000  1.600000  2.310000 1.665000 ;
+      RECT 1.815000  2.275000  2.145000 2.635000 ;
+      RECT 1.855000  0.085000  2.185000 0.465000 ;
+      RECT 2.140000  1.665000  2.310000 1.910000 ;
+      RECT 2.140000  1.910000  3.170000 2.080000 ;
+      RECT 2.370000  0.255000  3.090000 0.655000 ;
+      RECT 2.735000  2.080000  3.170000 2.465000 ;
+      RECT 2.850000  0.825000  3.090000 0.935000 ;
+      RECT 3.340000  0.255000  3.510000 0.615000 ;
+      RECT 3.340000  0.615000  4.350000 0.785000 ;
+      RECT 3.340000  1.935000  4.415000 2.105000 ;
+      RECT 3.340000  2.105000  3.510000 2.465000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 3.680000  2.275000  4.010000 2.635000 ;
+      RECT 4.180000  0.255000  4.350000 0.615000 ;
+      RECT 4.180000  2.105000  4.415000 2.465000 ;
+      RECT 4.620000  0.085000  4.950000 0.490000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  0.255000  5.290000 0.615000 ;
+      RECT 5.120000  0.615000  6.130000 0.785000 ;
+      RECT 5.120000  1.935000  6.130000 2.105000 ;
+      RECT 5.120000  2.105000  5.290000 2.465000 ;
+      RECT 5.460000  0.085000  5.790000 0.445000 ;
+      RECT 5.460000  2.275000  5.790000 2.635000 ;
+      RECT 5.960000  0.255000  6.130000 0.615000 ;
+      RECT 5.960000  2.105000  6.130000 2.465000 ;
+      RECT 6.175000  0.955000  6.860000 1.125000 ;
+      RECT 6.345000  0.765000  6.860000 0.955000 ;
+      RECT 6.410000  2.125000  7.610000 2.465000 ;
+      RECT 6.465000  0.255000  7.475000 0.505000 ;
+      RECT 6.465000  0.505000  6.635000 0.595000 ;
+      RECT 7.305000  0.505000  7.475000 0.655000 ;
+      RECT 7.305000  0.655000  8.225000 0.825000 ;
+      RECT 7.440000  1.935000  8.105000 2.105000 ;
+      RECT 7.440000  2.105000  7.610000 2.125000 ;
+      RECT 7.705000  0.085000  8.035000 0.445000 ;
+      RECT 7.815000  2.275000  8.145000 2.635000 ;
+      RECT 7.935000  1.470000  8.225000 1.640000 ;
+      RECT 7.935000  1.640000  8.105000 1.935000 ;
+      RECT 8.055000  0.825000  8.225000 1.075000 ;
+      RECT 8.055000  1.075000  9.445000 1.245000 ;
+      RECT 8.055000  1.245000  8.225000 1.470000 ;
+      RECT 8.740000  1.795000  8.910000 2.635000 ;
+      RECT 8.820000  0.085000  8.990000 0.565000 ;
+      RECT 9.660000  0.085000  9.830000 0.565000 ;
+      RECT 9.660000  1.795000  9.830000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.910000  0.765000 3.080000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.610000  0.765000 6.780000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.850000 0.735000 3.140000 0.780000 ;
+      RECT 2.850000 0.780000 6.840000 0.920000 ;
+      RECT 2.850000 0.920000 3.140000 0.965000 ;
+      RECT 6.550000 0.735000 6.840000 0.780000 ;
+      RECT 6.550000 0.920000 6.840000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_4
+MACRO sky130_fd_sc_hd__fa_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.275000 ;
+        RECT 0.910000 1.275000 1.080000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.105000 1.240000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.230000 1.030000 2.620000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.250000 0.955000 4.625000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.310000 1.105000 4.480000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 1.035000 6.325000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.150000 1.105000 6.320000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.010000 1.075000 1.300000 1.120000 ;
+        RECT 1.010000 1.120000 6.380000 1.260000 ;
+        RECT 1.010000 1.260000 1.300000 1.305000 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 4.250000 1.075000 4.540000 1.120000 ;
+        RECT 4.250000 1.260000 4.540000 1.305000 ;
+        RECT 6.090000 1.075000 6.380000 1.120000 ;
+        RECT 6.090000 1.260000 6.380000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.445000 1.700000 1.880000 ;
+      LAYER mcon ;
+        RECT 1.530000 1.445000 1.700000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200000 1.435000 3.560000 1.765000 ;
+      LAYER mcon ;
+        RECT 3.390000 1.445000 3.560000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.635000 1.445000 6.055000 1.765000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.445000 5.860000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.470000 1.415000 1.760000 1.460000 ;
+        RECT 1.470000 1.460000 5.920000 1.600000 ;
+        RECT 1.470000 1.600000 1.760000 1.645000 ;
+        RECT 3.330000 1.415000 3.620000 1.460000 ;
+        RECT 3.330000 1.600000 3.620000 1.645000 ;
+        RECT 5.630000 1.415000 5.920000 1.460000 ;
+        RECT 5.630000 1.600000 5.920000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.670000 1.105000 2.040000 1.275000 ;
+        RECT 1.870000 1.275000 2.040000 1.595000 ;
+        RECT 1.870000 1.595000 2.960000 1.765000 ;
+        RECT 2.790000 0.965000 3.955000 1.250000 ;
+        RECT 2.790000 1.250000 2.960000 1.595000 ;
+        RECT 3.785000 1.250000 3.955000 1.515000 ;
+        RECT 3.785000 1.515000 5.405000 1.685000 ;
+        RECT 5.155000 1.685000 5.405000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.830000 ;
+        RECT 0.085000 0.830000 0.260000 1.485000 ;
+        RECT 0.085000 1.485000 0.345000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.840000 0.255000 7.240000 0.810000 ;
+        RECT 6.840000 1.485000 7.240000 2.465000 ;
+        RECT 6.910000 0.810000 7.240000 1.485000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  0.635000 1.710000 0.805000 ;
+      RECT 0.515000  0.805000 0.685000 0.995000 ;
+      RECT 0.515000  1.325000 0.685000 1.625000 ;
+      RECT 0.515000  1.625000 1.105000 1.945000 ;
+      RECT 0.515000  2.150000 0.765000 2.635000 ;
+      RECT 0.935000  1.945000 1.105000 2.065000 ;
+      RECT 0.935000  2.065000 1.710000 2.465000 ;
+      RECT 1.110000  0.255000 1.710000 0.635000 ;
+      RECT 1.470000  0.805000 1.710000 0.935000 ;
+      RECT 1.960000  0.255000 2.130000 0.615000 ;
+      RECT 1.960000  0.615000 2.970000 0.785000 ;
+      RECT 1.960000  1.935000 3.035000 2.105000 ;
+      RECT 1.960000  2.105000 2.130000 2.465000 ;
+      RECT 2.300000  0.085000 2.630000 0.445000 ;
+      RECT 2.300000  2.275000 2.630000 2.635000 ;
+      RECT 2.800000  0.255000 2.970000 0.615000 ;
+      RECT 2.800000  2.105000 3.035000 2.465000 ;
+      RECT 3.240000  0.085000 3.570000 0.490000 ;
+      RECT 3.240000  2.255000 3.570000 2.635000 ;
+      RECT 3.740000  0.255000 3.910000 0.615000 ;
+      RECT 3.740000  0.615000 4.750000 0.785000 ;
+      RECT 3.740000  1.935000 4.750000 2.105000 ;
+      RECT 3.740000  2.105000 3.910000 2.465000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.080000  2.275000 4.410000 2.635000 ;
+      RECT 4.580000  0.255000 4.750000 0.615000 ;
+      RECT 4.580000  2.105000 4.750000 2.465000 ;
+      RECT 4.795000  0.955000 5.460000 1.125000 ;
+      RECT 4.965000  0.765000 5.460000 0.955000 ;
+      RECT 5.085000  0.255000 6.095000 0.505000 ;
+      RECT 5.085000  0.505000 5.255000 0.595000 ;
+      RECT 5.085000  2.125000 6.170000 2.465000 ;
+      RECT 5.925000  0.505000 6.095000 0.615000 ;
+      RECT 5.925000  0.615000 6.665000 0.785000 ;
+      RECT 6.000000  1.935000 6.665000 2.105000 ;
+      RECT 6.000000  2.105000 6.170000 2.125000 ;
+      RECT 6.265000  0.085000 6.595000 0.445000 ;
+      RECT 6.340000  2.275000 6.670000 2.635000 ;
+      RECT 6.495000  0.785000 6.665000 0.995000 ;
+      RECT 6.495000  0.995000 6.740000 1.325000 ;
+      RECT 6.495000  1.325000 6.665000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  0.765000 5.400000 0.935000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 5.460000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 5.170000 0.735000 5.460000 0.780000 ;
+      RECT 5.170000 0.920000 5.460000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_1
+MACRO sky130_fd_sc_hd__fa_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.995000 1.755000 1.275000 ;
+        RECT 1.245000 1.275000 1.505000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.030000 3.075000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.905000 1.105000 3.075000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 0.955000 5.080000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.765000 1.105000 4.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.105000 0.995000 6.960000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.145000 1.105000 6.315000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000 1.755000 1.120000 ;
+        RECT 1.465000 1.120000 6.375000 1.260000 ;
+        RECT 1.465000 1.260000 1.755000 1.305000 ;
+        RECT 2.845000 1.075000 3.135000 1.120000 ;
+        RECT 2.845000 1.260000 3.135000 1.305000 ;
+        RECT 4.705000 1.075000 4.995000 1.120000 ;
+        RECT 4.705000 1.260000 4.995000 1.305000 ;
+        RECT 6.085000 1.075000 6.375000 1.120000 ;
+        RECT 6.085000 1.260000 6.375000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.645000 1.445000 2.155000 1.690000 ;
+      LAYER mcon ;
+        RECT 1.985000 1.445000 2.155000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.655000 1.435000 4.070000 1.745000 ;
+      LAYER mcon ;
+        RECT 3.845000 1.445000 4.015000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.445000 6.835000 1.735000 ;
+      LAYER mcon ;
+        RECT 6.605000 1.445000 6.775000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.925000 1.415000 2.215000 1.460000 ;
+        RECT 1.925000 1.460000 6.835000 1.600000 ;
+        RECT 1.925000 1.600000 2.215000 1.645000 ;
+        RECT 3.785000 1.415000 4.075000 1.460000 ;
+        RECT 3.785000 1.600000 4.075000 1.645000 ;
+        RECT 6.545000 1.415000 6.835000 1.460000 ;
+        RECT 6.545000 1.600000 6.835000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.475500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.125000 1.105000 2.495000 1.275000 ;
+        RECT 2.325000 1.275000 2.495000 1.570000 ;
+        RECT 2.325000 1.570000 3.415000 1.740000 ;
+        RECT 3.245000 0.965000 4.465000 1.250000 ;
+        RECT 3.245000 1.250000 3.415000 1.570000 ;
+        RECT 4.295000 1.250000 4.465000 1.435000 ;
+        RECT 4.295000 1.435000 4.655000 1.515000 ;
+        RECT 4.295000 1.515000 5.920000 1.685000 ;
+        RECT 5.670000 1.355000 5.920000 1.515000 ;
+        RECT 5.670000 1.685000 5.920000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 0.690000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.415000 ;
+        RECT 0.085000 1.415000 0.735000 1.585000 ;
+        RECT 0.520000 0.315000 0.850000 0.485000 ;
+        RECT 0.520000 0.485000 0.690000 0.735000 ;
+        RECT 0.565000 1.585000 0.735000 1.780000 ;
+        RECT 0.565000 1.780000 0.810000 1.950000 ;
+        RECT 0.600000 1.950000 0.810000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.523500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.395000 0.255000 7.725000 0.485000 ;
+        RECT 7.395000 1.795000 7.645000 1.965000 ;
+        RECT 7.395000 1.965000 7.565000 2.465000 ;
+        RECT 7.475000 0.485000 7.725000 0.735000 ;
+        RECT 7.475000 0.735000 8.195000 0.905000 ;
+        RECT 7.475000 1.415000 8.195000 1.585000 ;
+        RECT 7.475000 1.585000 7.645000 1.795000 ;
+        RECT 7.970000 0.905000 8.195000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.565000 ;
+      RECT 0.180000  1.795000 0.350000 2.635000 ;
+      RECT 0.540000  1.075000 1.075000 1.245000 ;
+      RECT 0.905000  0.655000 2.165000 0.825000 ;
+      RECT 0.905000  0.825000 1.075000 1.075000 ;
+      RECT 0.905000  1.245000 1.075000 1.430000 ;
+      RECT 0.905000  1.430000 1.110000 1.495000 ;
+      RECT 0.905000  1.495000 1.475000 1.600000 ;
+      RECT 0.940000  1.600000 1.475000 1.665000 ;
+      RECT 0.980000  2.275000 1.310000 2.635000 ;
+      RECT 1.020000  0.085000 1.350000 0.465000 ;
+      RECT 1.305000  1.665000 1.475000 1.910000 ;
+      RECT 1.305000  1.910000 2.245000 2.080000 ;
+      RECT 1.535000  0.255000 2.165000 0.655000 ;
+      RECT 1.900000  2.080000 2.245000 2.465000 ;
+      RECT 1.925000  0.825000 2.165000 0.935000 ;
+      RECT 2.415000  0.255000 2.585000 0.615000 ;
+      RECT 2.415000  0.615000 3.425000 0.785000 ;
+      RECT 2.415000  1.935000 3.490000 2.105000 ;
+      RECT 2.415000  2.105000 2.585000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.445000 ;
+      RECT 2.755000  2.275000 3.085000 2.635000 ;
+      RECT 3.255000  0.255000 3.425000 0.615000 ;
+      RECT 3.255000  2.105000 3.490000 2.465000 ;
+      RECT 3.695000  0.085000 4.025000 0.490000 ;
+      RECT 3.695000  1.915000 4.025000 2.635000 ;
+      RECT 4.195000  0.255000 4.365000 0.615000 ;
+      RECT 4.195000  0.615000 5.205000 0.785000 ;
+      RECT 4.195000  1.935000 5.205000 2.105000 ;
+      RECT 4.195000  2.105000 4.365000 2.465000 ;
+      RECT 4.535000  0.085000 4.865000 0.445000 ;
+      RECT 4.535000  2.275000 4.865000 2.635000 ;
+      RECT 5.035000  0.255000 5.205000 0.615000 ;
+      RECT 5.035000  2.105000 5.205000 2.465000 ;
+      RECT 5.250000  0.955000 5.935000 1.125000 ;
+      RECT 5.420000  0.765000 5.935000 0.955000 ;
+      RECT 5.485000  2.125000 6.685000 2.465000 ;
+      RECT 5.540000  0.255000 6.550000 0.505000 ;
+      RECT 5.540000  0.505000 5.710000 0.595000 ;
+      RECT 6.380000  0.505000 6.550000 0.655000 ;
+      RECT 6.380000  0.655000 7.300000 0.825000 ;
+      RECT 6.515000  1.935000 7.180000 2.105000 ;
+      RECT 6.515000  2.105000 6.685000 2.125000 ;
+      RECT 6.780000  0.085000 7.110000 0.445000 ;
+      RECT 6.890000  2.275000 7.220000 2.635000 ;
+      RECT 7.010000  1.470000 7.300000 1.640000 ;
+      RECT 7.010000  1.640000 7.180000 1.935000 ;
+      RECT 7.130000  0.825000 7.300000 1.075000 ;
+      RECT 7.130000  1.075000 7.800000 1.245000 ;
+      RECT 7.130000  1.245000 7.300000 1.470000 ;
+      RECT 7.815000  1.795000 7.985000 2.635000 ;
+      RECT 7.895000  0.085000 8.065000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.685000  0.765000 5.855000 0.935000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 5.915000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 5.625000 0.735000 5.915000 0.780000 ;
+      RECT 5.625000 0.920000 5.915000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_2
+MACRO sky130_fd_sc_hd__mux2i_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 0.995000 1.070000 1.105000 ;
+        RECT 0.560000 1.105000 1.240000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 3.550000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  1.237500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.845000 1.075000 5.930000 1.290000 ;
+        RECT 5.760000 1.290000 5.930000 1.425000 ;
+        RECT 5.760000 1.425000 7.850000 1.595000 ;
+        RECT 7.680000 0.995000 7.850000 1.425000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  2.194500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.315000 3.785000 0.485000 ;
+        RECT 0.095000 0.485000 0.320000 2.255000 ;
+        RECT 0.095000 2.255000 3.785000 2.425000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.515000  0.655000 1.700000 0.825000 ;
+      RECT 0.515000  1.575000 5.580000 1.745000 ;
+      RECT 1.355000  0.825000 1.700000 0.935000 ;
+      RECT 2.195000  0.655000 5.485000 0.825000 ;
+      RECT 2.195000  1.915000 7.165000 2.085000 ;
+      RECT 3.975000  0.085000 4.305000 0.465000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.475000  0.255000 4.645000 0.655000 ;
+      RECT 4.815000  0.085000 5.145000 0.465000 ;
+      RECT 4.815000  2.255000 5.145000 2.635000 ;
+      RECT 5.315000  0.255000 5.485000 0.655000 ;
+      RECT 5.655000  0.085000 5.980000 0.590000 ;
+      RECT 5.655000  2.255000 5.985000 2.635000 ;
+      RECT 6.150000  0.255000 6.325000 0.715000 ;
+      RECT 6.150000  0.715000 7.165000 0.905000 ;
+      RECT 6.150000  0.905000 6.450000 0.935000 ;
+      RECT 6.155000  1.795000 6.325000 1.915000 ;
+      RECT 6.155000  2.085000 6.325000 2.465000 ;
+      RECT 6.495000  2.255000 6.825000 2.635000 ;
+      RECT 6.545000  0.085000 6.795000 0.545000 ;
+      RECT 6.730000  1.075000 7.510000 1.245000 ;
+      RECT 6.995000  0.510000 7.165000 0.715000 ;
+      RECT 6.995000  1.795000 7.165000 1.915000 ;
+      RECT 6.995000  2.085000 7.165000 2.465000 ;
+      RECT 7.340000  0.655000 8.195000 0.825000 ;
+      RECT 7.340000  0.825000 7.510000 1.075000 ;
+      RECT 7.435000  0.085000 7.765000 0.465000 ;
+      RECT 7.435000  2.255000 7.765000 2.635000 ;
+      RECT 7.935000  0.255000 8.195000 0.655000 ;
+      RECT 7.935000  1.795000 8.195000 2.465000 ;
+      RECT 8.020000  0.825000 8.195000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.150000  0.765000 6.320000 0.935000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 6.380000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 6.090000 0.735000 6.380000 0.780000 ;
+      RECT 6.090000 0.920000 6.380000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_4
+MACRO sky130_fd_sc_hd__mux2i_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.560000 1.275000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 0.995000 4.635000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.325000 ;
+        RECT 0.580000 0.725000 0.780000 0.995000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  1.691250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.295000 4.975000 0.465000 ;
+        RECT 2.715000 2.255000 4.975000 2.425000 ;
+        RECT 4.750000 1.785000 4.975000 2.255000 ;
+        RECT 4.805000 0.465000 4.975000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.675000 ;
+      RECT 0.085000  0.675000 0.260000 1.495000 ;
+      RECT 0.085000  1.495000 1.395000 1.665000 ;
+      RECT 0.085000  1.665000 0.260000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.835000 0.545000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.935000  1.835000 1.735000 2.005000 ;
+      RECT 1.015000  0.575000 1.255000 0.935000 ;
+      RECT 1.225000  1.155000 1.985000 1.325000 ;
+      RECT 1.225000  1.325000 1.395000 1.495000 ;
+      RECT 1.355000  2.255000 1.685000 2.635000 ;
+      RECT 1.435000  0.085000 1.685000 0.885000 ;
+      RECT 1.565000  1.495000 3.465000 1.665000 ;
+      RECT 1.565000  1.665000 1.735000 1.835000 ;
+      RECT 1.655000  1.075000 1.985000 1.155000 ;
+      RECT 1.855000  0.295000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 3.465000 0.905000 ;
+      RECT 1.855000  2.135000 2.080000 2.465000 ;
+      RECT 1.910000  1.835000 2.885000 1.915000 ;
+      RECT 1.910000  1.915000 4.350000 2.005000 ;
+      RECT 1.910000  2.005000 2.080000 2.135000 ;
+      RECT 2.275000  0.085000 2.445000 0.545000 ;
+      RECT 2.275000  2.175000 2.525000 2.635000 ;
+      RECT 2.715000  2.005000 4.350000 2.085000 ;
+      RECT 3.135000  0.655000 3.465000 0.735000 ;
+      RECT 3.135000  1.665000 3.465000 1.715000 ;
+      RECT 3.850000  0.655000 4.345000 0.825000 ;
+      RECT 3.850000  0.825000 4.105000 0.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.080000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_2
+MACRO sky130_fd_sc_hd__mux2i_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.420000 1.285000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.995000 1.125000 1.155000 ;
+        RECT 0.955000 1.155000 1.205000 1.325000 ;
+        RECT 1.035000 1.325000 1.205000 1.445000 ;
+        RECT 1.035000 1.445000 1.235000 2.110000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.760000 3.595000 1.620000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.595000 0.780000 1.455000 ;
+        RECT 0.590000 1.455000 0.840000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 1.805000 0.425000 ;
+      RECT 0.085000  0.425000 0.440000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.885000 ;
+      RECT 0.120000  1.455000 0.420000 2.295000 ;
+      RECT 0.120000  2.295000 1.575000 2.465000 ;
+      RECT 0.955000  0.655000 1.520000 0.715000 ;
+      RECT 0.955000  0.715000 2.620000 0.825000 ;
+      RECT 0.965000  0.425000 1.805000 0.465000 ;
+      RECT 1.295000  0.825000 2.620000 0.885000 ;
+      RECT 1.385000  1.075000 3.085000 1.310000 ;
+      RECT 1.405000  1.480000 2.615000 1.650000 ;
+      RECT 1.405000  1.650000 1.575000 2.295000 ;
+      RECT 1.745000  1.835000 1.975000 2.635000 ;
+      RECT 1.975000  0.085000 2.145000 0.545000 ;
+      RECT 2.285000  1.650000 2.615000 2.465000 ;
+      RECT 2.385000  0.255000 2.620000 0.715000 ;
+      RECT 2.800000  0.255000 3.165000 0.485000 ;
+      RECT 2.800000  0.485000 3.085000 1.075000 ;
+      RECT 2.860000  1.310000 3.085000 2.465000 ;
+      RECT 3.295000  1.835000 3.590000 2.635000 ;
+      RECT 3.335000  0.085000 3.555000 0.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2i_1
+MACRO sky130_fd_sc_hd__nand2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.765000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.845000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 2.215000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.655000 2.215000 0.905000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.935000 0.905000 2.215000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.185000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.105000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.775000  0.465000 2.105000 0.485000 ;
+      RECT 1.855000  1.835000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_2
+MACRO sky130_fd_sc_hd__nand2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.055000 0.430000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 1.485000 0.865000 2.465000 ;
+        RECT 0.600000 0.255000 1.295000 0.885000 ;
+        RECT 0.600000 0.885000 0.770000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 0.395000 0.885000 ;
+      RECT 0.085000  1.495000 0.365000 2.635000 ;
+      RECT 1.035000  1.495000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_1
+MACRO sky130_fd_sc_hd__nand2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.055000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.730000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 3.365000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.910000 1.075000 2.445000 1.495000 ;
+        RECT 2.195000 0.635000 3.365000 0.805000 ;
+        RECT 2.195000 0.805000 2.445000 1.075000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 2.025000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 1.265000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.545000 ;
+      RECT 1.775000  0.255000 3.785000 0.465000 ;
+      RECT 1.775000  0.465000 2.025000 0.715000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  0.465000 3.785000 0.885000 ;
+      RECT 3.535000  1.835000 3.785000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_4
+MACRO sky130_fd_sc_hd__nand2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.075000 6.305000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.510000 1.075000 3.365000 1.295000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.862000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.465000 6.725000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 3.640000 1.075000 4.120000 1.465000 ;
+        RECT 3.875000 0.655000 6.725000 0.905000 ;
+        RECT 3.875000 0.905000 4.120000 1.075000 ;
+        RECT 3.875000 1.665000 4.205000 2.465000 ;
+        RECT 4.715000 1.665000 5.045000 2.465000 ;
+        RECT 5.555000 1.665000 5.885000 2.465000 ;
+        RECT 6.395000 1.665000 6.725000 2.465000 ;
+        RECT 6.475000 0.905000 6.725000 1.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.705000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.255000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.275000  0.085000 2.445000 0.565000 ;
+      RECT 2.615000  0.255000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.115000  0.085000 3.285000 0.565000 ;
+      RECT 3.455000  0.255000 7.270000 0.485000 ;
+      RECT 3.455000  0.485000 3.705000 0.735000 ;
+      RECT 3.535000  1.835000 3.705000 2.635000 ;
+      RECT 4.375000  1.835000 4.545000 2.635000 ;
+      RECT 5.215000  1.835000 5.385000 2.635000 ;
+      RECT 6.055000  1.835000 6.225000 2.635000 ;
+      RECT 6.895000  0.485000 7.270000 0.905000 ;
+      RECT 6.915000  1.495000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_8
+MACRO sky130_fd_sc_hd__a32oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.230000 1.075000 1.595000 1.255000 ;
+        RECT 1.405000 0.345000 1.705000 0.765000 ;
+        RECT 1.405000 0.765000 1.595000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 0.995000 2.165000 1.325000 ;
+        RECT 1.965000 0.415000 2.165000 0.995000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.015000 2.750000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.995000 1.025000 1.425000 ;
+        RECT 0.855000 1.425000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.575500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 1.165000 0.805000 ;
+        RECT 0.515000 0.805000 0.685000 1.785000 ;
+        RECT 0.515000 1.785000 0.865000 2.085000 ;
+        RECT 0.915000 0.295000 1.165000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.835000 0.345000 2.255000 ;
+      RECT 0.085000  2.255000 1.345000 2.465000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 1.095000  1.785000 2.185000 1.955000 ;
+      RECT 1.095000  1.955000 1.345000 2.255000 ;
+      RECT 1.555000  2.135000 1.805000 2.635000 ;
+      RECT 2.015000  1.745000 2.185000 1.785000 ;
+      RECT 2.015000  1.955000 2.185000 2.465000 ;
+      RECT 2.355000  0.085000 2.695000 0.805000 ;
+      RECT 2.355000  1.495000 2.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_1
+MACRO sky130_fd_sc_hd__a32oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 5.465000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.075000 7.695000 1.300000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.295000 1.075000 9.985000 1.280000 ;
+        RECT 9.805000 0.755000 9.985000 1.075000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.585000 0.995000 3.555000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.750000 1.305000 ;
+        RECT 0.110000 1.305000 0.330000 1.965000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 3.365000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 1.355000 1.745000 1.685000 2.085000 ;
+        RECT 1.975000 0.990000 2.365000 1.575000 ;
+        RECT 1.975000 1.745000 2.525000 2.085000 ;
+        RECT 2.195000 0.635000 5.565000 0.805000 ;
+        RECT 2.195000 0.805000 2.365000 0.990000 ;
+        RECT 3.035000 1.745000 3.365000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.095000  2.255000  3.705000 2.425000 ;
+      RECT 0.175000  0.255000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  2.025000 0.805000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 1.015000  0.255000  1.185000 0.635000 ;
+      RECT 1.355000  0.085000  1.685000 0.465000 ;
+      RECT 1.855000  0.295000  3.785000 0.465000 ;
+      RECT 1.855000  0.465000  2.025000 0.635000 ;
+      RECT 3.535000  1.575000  9.925000 1.745000 ;
+      RECT 3.535000  1.745000  3.705000 2.255000 ;
+      RECT 3.895000  1.915000  4.225000 2.635000 ;
+      RECT 3.975000  0.295000  7.805000 0.465000 ;
+      RECT 4.395000  1.745000  4.565000 2.465000 ;
+      RECT 4.770000  1.915000  5.440000 2.635000 ;
+      RECT 5.640000  1.745000  5.810000 2.465000 ;
+      RECT 6.215000  0.635000  9.505000 0.805000 ;
+      RECT 6.215000  1.915000  6.545000 2.635000 ;
+      RECT 6.715000  1.745000  6.885000 2.465000 ;
+      RECT 7.055000  1.915000  7.385000 2.635000 ;
+      RECT 7.555000  1.745000  7.725000 2.465000 ;
+      RECT 7.995000  0.085000  8.325000 0.465000 ;
+      RECT 8.415000  1.915000  8.745000 2.635000 ;
+      RECT 8.495000  0.255000  8.665000 0.635000 ;
+      RECT 8.835000  0.085000  9.165000 0.465000 ;
+      RECT 8.915000  1.745000  9.085000 2.465000 ;
+      RECT 9.255000  1.915000  9.585000 2.635000 ;
+      RECT 9.335000  0.255000  9.505000 0.635000 ;
+      RECT 9.685000  0.085000 10.025000 0.465000 ;
+      RECT 9.755000  1.745000  9.925000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_4
+MACRO sky130_fd_sc_hd__a32oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.075000 3.220000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.075000 4.480000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.715000 1.075000 5.860000 1.625000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.080000 1.725000 1.285000 ;
+        RECT 1.175000 1.075000 1.505000 1.080000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.825000 1.285000 ;
+        RECT 0.145000 1.285000 0.325000 1.625000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.955000 0.845000 2.125000 ;
+        RECT 0.595000 1.455000 2.180000 1.625000 ;
+        RECT 0.595000 1.625000 0.765000 1.955000 ;
+        RECT 1.355000 0.655000 3.100000 0.825000 ;
+        RECT 1.435000 1.625000 1.605000 2.125000 ;
+        RECT 1.965000 0.825000 2.180000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.095000  0.295000 0.425000 0.465000 ;
+      RECT 0.175000  0.465000 0.345000 0.715000 ;
+      RECT 0.175000  0.715000 1.185000 0.885000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.295000 2.115000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.855000  1.795000 2.025000 1.915000 ;
+      RECT 1.855000  1.915000 5.805000 2.085000 ;
+      RECT 1.855000  2.085000 2.025000 2.295000 ;
+      RECT 2.270000  2.255000 2.940000 2.635000 ;
+      RECT 2.350000  0.295000 4.370000 0.465000 ;
+      RECT 3.180000  1.795000 3.350000 1.915000 ;
+      RECT 3.180000  2.085000 3.350000 2.465000 ;
+      RECT 3.550000  2.255000 4.220000 2.635000 ;
+      RECT 3.620000  0.635000 5.390000 0.805000 ;
+      RECT 4.390000  1.795000 4.560000 1.915000 ;
+      RECT 4.390000  2.085000 4.560000 2.465000 ;
+      RECT 4.555000  0.085000 4.890000 0.465000 ;
+      RECT 4.765000  2.255000 5.435000 2.635000 ;
+      RECT 5.060000  0.275000 5.390000 0.635000 ;
+      RECT 5.560000  0.085000 5.885000 0.885000 ;
+      RECT 5.635000  1.795000 5.805000 1.915000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_2
+MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.765000 2.125000 1.095000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.690000 0.415000 4.975000 0.745000 ;
+        RECT 4.690000 1.670000 4.975000 2.455000 ;
+        RECT 4.805000 0.745000 4.975000 1.670000 ;
+    END
+  END Q
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.145500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END SLEEP_B
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.130000 ;
+      RECT 0.610000  1.130000 0.810000 1.460000 ;
+      RECT 0.610000  1.460000 0.780000 1.795000 ;
+      RECT 0.980000  0.740000 1.185000 0.910000 ;
+      RECT 0.980000  0.910000 1.150000 1.825000 ;
+      RECT 0.980000  1.825000 1.185000 1.915000 ;
+      RECT 0.980000  1.915000 2.845000 1.965000 ;
+      RECT 1.015000  0.345000 1.185000 0.740000 ;
+      RECT 1.015000  1.965000 2.845000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.320000  1.240000 1.490000 1.525000 ;
+      RECT 1.320000  1.525000 2.335000 1.695000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.255000 1.850000 2.635000 ;
+      RECT 2.050000  1.355000 2.335000 1.525000 ;
+      RECT 2.295000  0.705000 2.675000 1.035000 ;
+      RECT 2.310000  2.255000 3.185000 2.425000 ;
+      RECT 2.380000  0.365000 3.040000 0.535000 ;
+      RECT 2.505000  1.035000 2.675000 1.575000 ;
+      RECT 2.505000  1.575000 2.845000 1.915000 ;
+      RECT 2.870000  0.535000 3.040000 0.995000 ;
+      RECT 2.870000  0.995000 3.780000 1.165000 ;
+      RECT 3.015000  1.165000 3.780000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.255000 ;
+      RECT 3.265000  0.085000 3.595000 0.530000 ;
+      RECT 3.355000  2.135000 3.525000 2.635000 ;
+      RECT 3.420000  1.535000 4.125000 1.865000 ;
+      RECT 3.835000  0.415000 4.125000 0.745000 ;
+      RECT 3.835000  1.865000 4.125000 2.435000 ;
+      RECT 3.950000  0.745000 4.125000 1.535000 ;
+      RECT 4.295000  0.085000 4.465000 0.715000 ;
+      RECT 4.295000  1.570000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputisolatch_1
+MACRO sky130_fd_sc_hd__o21ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 1.055000 0.450000 1.445000 ;
+        RECT 0.120000 1.445000 2.095000 1.615000 ;
+        RECT 1.600000 1.075000 2.095000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.620000 1.075000 1.420000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 0.765000 3.130000 1.400000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.742000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.785000 2.645000 1.965000 ;
+        RECT 0.995000 1.965000 1.295000 2.125000 ;
+        RECT 2.410000 1.965000 2.645000 2.465000 ;
+        RECT 2.435000 0.595000 2.645000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.255000 0.435000 0.715000 ;
+      RECT 0.105000  0.715000 2.265000 0.885000 ;
+      RECT 0.105000  1.785000 0.435000 2.635000 ;
+      RECT 0.605000  1.785000 0.825000 2.295000 ;
+      RECT 0.605000  2.295000 1.715000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.965000  0.255000 1.295000 0.715000 ;
+      RECT 1.525000  0.085000 1.695000 0.545000 ;
+      RECT 1.525000  2.135000 1.715000 2.295000 ;
+      RECT 1.910000  2.175000 2.240000 2.635000 ;
+      RECT 1.935000  0.255000 3.125000 0.425000 ;
+      RECT 1.935000  0.425000 2.265000 0.715000 ;
+      RECT 2.815000  0.425000 3.125000 0.595000 ;
+      RECT 2.815000  1.570000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_2
+MACRO sky130_fd_sc_hd__o21ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.410000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.325000 ;
+        RECT 0.590000 1.325000 0.785000 2.375000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.202500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.295000 1.750000 1.655000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.517000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.505000 1.315000 1.785000 ;
+        RECT 0.965000 1.785000 1.295000 2.465000 ;
+        RECT 1.145000 0.955000 1.665000 1.125000 ;
+        RECT 1.145000 1.125000 1.315000 1.505000 ;
+        RECT 1.495000 0.390000 1.665000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.265000 0.380000 0.615000 ;
+      RECT 0.090000  0.615000 1.305000 0.785000 ;
+      RECT 0.090000  1.495000 0.410000 2.635000 ;
+      RECT 0.575000  0.085000 0.905000 0.445000 ;
+      RECT 1.075000  0.310000 1.305000 0.615000 ;
+      RECT 1.495000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_1
+MACRO sky130_fd_sc_hd__o21ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.415000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 1.100000 1.005000 1.340000 ;
+        RECT 0.605000 1.340000 0.775000 1.645000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 1.355000 1.730000 1.685000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.290500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.510000 1.345000 1.680000 ;
+        RECT 0.965000 1.680000 1.300000 2.465000 ;
+        RECT 1.175000 0.955000 1.740000 1.125000 ;
+        RECT 1.175000 1.125000 1.345000 1.510000 ;
+        RECT 1.455000 0.280000 1.740000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.120000  0.280000 0.380000 0.615000 ;
+      RECT 0.120000  0.615000 1.285000 0.785000 ;
+      RECT 0.145000  1.825000 0.475000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.050000  0.280000 1.285000 0.615000 ;
+      RECT 1.470000  1.855000 1.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_0
+MACRO sky130_fd_sc_hd__o21ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.015000 1.475000 1.320000 ;
+        RECT 0.575000 1.320000 1.475000 1.515000 ;
+        RECT 0.575000 1.515000 3.695000 1.685000 ;
+        RECT 3.445000 0.990000 3.695000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.070000 3.275000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.905000 1.015000 5.255000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.855000 5.150000 2.025000 ;
+        RECT 3.935000 1.445000 5.835000 1.700000 ;
+        RECT 3.935000 1.700000 5.150000 1.855000 ;
+        RECT 4.030000 0.615000 5.835000 0.845000 ;
+        RECT 4.080000 2.025000 5.150000 2.085000 ;
+        RECT 4.080000 2.085000 4.290000 2.465000 ;
+        RECT 4.960000 2.085000 5.150000 2.465000 ;
+        RECT 5.425000 0.845000 5.835000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.615000 3.860000 0.820000 ;
+      RECT 0.120000  1.820000 0.405000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 0.575000  1.915000 1.670000 2.085000 ;
+      RECT 0.575000  2.085000 0.810000 2.465000 ;
+      RECT 0.980000  2.255000 1.310000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.445000 ;
+      RECT 1.480000  2.085000 1.670000 2.275000 ;
+      RECT 1.480000  2.275000 3.460000 2.465000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 3.130000  0.085000 3.460000 0.445000 ;
+      RECT 3.630000  0.255000 5.650000 0.445000 ;
+      RECT 3.630000  0.445000 3.860000 0.615000 ;
+      RECT 3.630000  2.195000 3.910000 2.635000 ;
+      RECT 4.460000  2.255000 4.790000 2.635000 ;
+      RECT 5.320000  1.880000 5.650000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_4
+MACRO sky130_fd_sc_hd__dlrbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.380000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.560000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.470000 0.995000 5.455000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.300000 1.165000 ;
+      RECT 3.480000  1.165000 4.300000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.090000 2.635000 ;
+      RECT 3.840000  1.535000 5.875000 1.765000 ;
+      RECT 3.840000  1.765000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.875000 0.825000 ;
+      RECT 4.260000  2.135000 4.590000 2.635000 ;
+      RECT 4.760000  1.865000 4.970000 2.435000 ;
+      RECT 5.135000  0.085000 5.875000 0.485000 ;
+      RECT 5.150000  1.935000 5.890000 2.635000 ;
+      RECT 5.625000  0.825000 5.875000 1.535000 ;
+      RECT 6.580000  0.255000 6.750000 0.985000 ;
+      RECT 6.580000  0.985000 6.830000 0.995000 ;
+      RECT 6.580000  0.995000 7.390000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_1
+MACRO sky130_fd_sc_hd__dlrbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.536250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.415000 5.910000 0.655000 ;
+        RECT 5.650000 0.655000 5.950000 0.685000 ;
+        RECT 5.650000 0.685000 5.975000 0.825000 ;
+        RECT 5.650000 1.495000 5.975000 1.660000 ;
+        RECT 5.650000 1.660000 5.915000 2.465000 ;
+        RECT 5.740000 0.825000 5.975000 0.860000 ;
+        RECT 5.790000 0.860000 5.975000 0.885000 ;
+        RECT 5.790000 0.885000 6.355000 1.325000 ;
+        RECT 5.790000 1.325000 5.975000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.500000 0.255000 7.755000 0.825000 ;
+        RECT 7.500000 1.445000 7.755000 2.465000 ;
+        RECT 7.545000 0.825000 7.755000 1.055000 ;
+        RECT 7.545000 1.055000 8.195000 1.325000 ;
+        RECT 7.545000 1.325000 7.755000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.390000 0.995000 5.140000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.780000 1.070000 ;
+      RECT 0.605000  1.070000 0.840000 1.400000 ;
+      RECT 0.605000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.825000 ;
+      RECT 3.820000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.495000 5.480000 1.665000 ;
+      RECT 3.840000  1.665000 4.930000 1.865000 ;
+      RECT 4.340000  0.415000 4.560000 0.655000 ;
+      RECT 4.340000  0.655000 5.480000 0.825000 ;
+      RECT 4.760000  1.865000 4.930000 2.435000 ;
+      RECT 5.100000  0.085000 5.480000 0.485000 ;
+      RECT 5.100000  1.855000 5.350000 2.635000 ;
+      RECT 5.310000  0.825000 5.480000 0.995000 ;
+      RECT 5.310000  0.995000 5.620000 1.325000 ;
+      RECT 5.310000  1.325000 5.480000 1.495000 ;
+      RECT 6.085000  0.085000 6.355000 0.545000 ;
+      RECT 6.085000  1.830000 6.355000 2.635000 ;
+      RECT 6.525000  0.255000 6.855000 0.995000 ;
+      RECT 6.525000  0.995000 7.375000 1.325000 ;
+      RECT 6.525000  1.325000 6.855000 2.465000 ;
+      RECT 7.025000  0.085000 7.330000 0.545000 ;
+      RECT 7.035000  1.835000 7.330000 2.635000 ;
+      RECT 7.925000  0.085000 8.195000 0.885000 ;
+      RECT 7.925000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0p_1
+MACRO sky130_fd_sc_hd__inv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.735000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.565000 0.255000 0.895000 0.725000 ;
+        RECT 0.565000 0.725000 2.170000 0.905000 ;
+        RECT 0.565000 1.495000 2.170000 1.665000 ;
+        RECT 0.565000 1.665000 0.895000 2.465000 ;
+        RECT 1.405000 0.255000 1.735000 0.725000 ;
+        RECT 1.405000 1.665000 2.170000 1.685000 ;
+        RECT 1.405000 1.685000 1.735000 2.465000 ;
+        RECT 1.905000 0.905000 2.170000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.395000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.545000 ;
+      RECT 1.065000  1.835000 1.235000 2.635000 ;
+      RECT 1.905000  0.085000 2.155000 0.550000 ;
+      RECT 1.905000  2.175000 2.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_4
+MACRO sky130_fd_sc_hd__inv_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 2.615000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.685000 1.495000 3.135000 1.665000 ;
+        RECT 0.685000 1.665000 1.015000 2.465000 ;
+        RECT 0.765000 0.255000 0.935000 0.725000 ;
+        RECT 0.765000 0.725000 3.135000 0.905000 ;
+        RECT 1.525000 1.665000 1.855000 2.465000 ;
+        RECT 1.605000 0.255000 1.775000 0.725000 ;
+        RECT 2.365000 1.665000 3.135000 1.685000 ;
+        RECT 2.365000 1.685000 2.695000 2.465000 ;
+        RECT 2.445000 0.255000 2.615000 0.725000 ;
+        RECT 2.785000 0.905000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.425000 2.635000 ;
+      RECT 1.185000  0.085000 1.355000 0.545000 ;
+      RECT 1.185000  1.835000 1.355000 2.635000 ;
+      RECT 2.025000  0.085000 2.195000 0.545000 ;
+      RECT 2.025000  1.835000 2.195000 2.635000 ;
+      RECT 2.785000  0.085000 3.035000 0.550000 ;
+      RECT 2.865000  2.175000 3.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_6
+MACRO sky130_fd_sc_hd__inv_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.970000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 5.270000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 5.895000 0.905000 ;
+        RECT 0.085000 0.905000 0.510000 1.495000 ;
+        RECT 0.085000 1.495000 5.895000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 4.040000 0.255000 4.370000 0.715000 ;
+        RECT 4.040000 1.665000 4.370000 2.465000 ;
+        RECT 4.880000 0.255000 5.210000 0.715000 ;
+        RECT 4.880000 1.665000 5.210000 2.465000 ;
+        RECT 5.545000 0.905000 5.895000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 3.870000 0.545000 ;
+      RECT 3.700000  1.835000 3.870000 2.635000 ;
+      RECT 4.540000  0.085000 4.710000 0.545000 ;
+      RECT 4.540000  1.835000 4.710000 2.635000 ;
+      RECT 5.555000  0.085000 5.895000 0.545000 ;
+      RECT 5.555000  1.835000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_12
+MACRO sky130_fd_sc_hd__inv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.320000 1.075000 0.650000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.720000 0.255000 1.050000 0.885000 ;
+        RECT 0.720000 1.485000 1.050000 2.465000 ;
+        RECT 0.820000 0.885000 1.050000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.320000  0.085000 0.550000 0.905000 ;
+      RECT 0.340000  1.495000 0.550000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_1
+MACRO sky130_fd_sc_hd__inv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 5.525000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 0.255000 0.910000 0.715000 ;
+        RECT 0.580000 0.715000 6.790000 0.905000 ;
+        RECT 0.580000 1.495000 6.790000 1.665000 ;
+        RECT 0.580000 1.665000 0.910000 2.465000 ;
+        RECT 1.420000 0.255000 1.750000 0.715000 ;
+        RECT 1.420000 1.665000 1.750000 2.465000 ;
+        RECT 2.260000 0.255000 2.590000 0.715000 ;
+        RECT 2.260000 1.665000 2.590000 2.465000 ;
+        RECT 3.100000 0.255000 3.430000 0.715000 ;
+        RECT 3.100000 1.665000 3.430000 2.465000 ;
+        RECT 3.940000 0.255000 4.270000 0.715000 ;
+        RECT 3.940000 1.665000 4.270000 2.465000 ;
+        RECT 4.780000 0.255000 5.110000 0.715000 ;
+        RECT 4.780000 1.665000 5.110000 2.465000 ;
+        RECT 5.620000 0.255000 5.950000 0.715000 ;
+        RECT 5.620000 1.665000 5.950000 2.465000 ;
+        RECT 6.460000 0.255000 6.790000 0.715000 ;
+        RECT 6.460000 0.905000 6.790000 1.495000 ;
+        RECT 6.460000 1.665000 6.790000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.180000  0.085000 0.410000 0.885000 ;
+      RECT 0.200000  1.485000 0.410000 2.635000 ;
+      RECT 1.080000  0.085000 1.250000 0.545000 ;
+      RECT 1.080000  1.835000 1.250000 2.635000 ;
+      RECT 1.920000  0.085000 2.090000 0.545000 ;
+      RECT 1.920000  1.835000 2.090000 2.635000 ;
+      RECT 2.760000  0.085000 2.930000 0.545000 ;
+      RECT 2.760000  1.835000 2.930000 2.635000 ;
+      RECT 3.600000  0.085000 3.770000 0.545000 ;
+      RECT 3.600000  1.835000 3.770000 2.635000 ;
+      RECT 4.440000  0.085000 4.610000 0.545000 ;
+      RECT 4.440000  1.835000 4.610000 2.635000 ;
+      RECT 5.280000  0.085000 5.450000 0.545000 ;
+      RECT 5.280000  1.835000 5.450000 2.635000 ;
+      RECT 6.120000  0.085000 6.290000 0.545000 ;
+      RECT 6.120000  1.835000 6.290000 2.635000 ;
+      RECT 6.960000  0.085000 7.170000 0.885000 ;
+      RECT 6.960000  1.835000 7.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_16
+MACRO sky130_fd_sc_hd__inv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 3.535000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 4.055000 0.905000 ;
+        RECT 0.085000 0.905000 0.430000 1.495000 ;
+        RECT 0.085000 1.495000 4.055000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 3.735000 0.905000 4.055000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 4.005000 0.545000 ;
+      RECT 3.700000  1.835000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_8
+MACRO sky130_fd_sc_hd__inv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.435000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.855000 0.885000 ;
+        RECT 0.525000 1.485000 0.855000 2.465000 ;
+        RECT 0.605000 0.885000 0.855000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.125000  0.085000 0.355000 0.905000 ;
+      RECT 0.125000  1.495000 0.355000 2.635000 ;
+      RECT 1.025000  0.085000 1.235000 0.905000 ;
+      RECT 1.025000  1.495000 1.235000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_2
+MACRO sky130_fd_sc_hd__o32a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.175000 1.075000 ;
+        RECT 1.005000 1.075000 1.255000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.810000 1.325000 ;
+        RECT 1.485000 1.325000 1.810000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.255000 1.660000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.995000 3.595000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.795000 1.660000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.504000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.595000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.470000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.445000  0.995000 0.635000 1.075000 ;
+      RECT 0.445000  1.075000 0.810000 1.325000 ;
+      RECT 0.640000  1.325000 0.810000 1.495000 ;
+      RECT 0.640000  1.495000 1.315000 1.665000 ;
+      RECT 0.685000  1.835000 0.975000 2.635000 ;
+      RECT 0.765000  0.085000 0.935000 0.645000 ;
+      RECT 1.140000  0.255000 1.470000 0.655000 ;
+      RECT 1.140000  0.655000 2.540000 0.825000 ;
+      RECT 1.145000  1.665000 1.315000 2.295000 ;
+      RECT 1.145000  2.295000 2.510000 2.465000 ;
+      RECT 1.645000  0.085000 1.975000 0.485000 ;
+      RECT 2.180000  1.835000 3.135000 2.085000 ;
+      RECT 2.180000  2.085000 2.510000 2.295000 ;
+      RECT 2.210000  0.255000 3.595000 0.465000 ;
+      RECT 2.210000  0.465000 2.540000 0.655000 ;
+      RECT 2.710000  0.635000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.835000 ;
+      RECT 3.305000  0.465000 3.595000 0.735000 ;
+      RECT 3.305000  1.495000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_1
+MACRO sky130_fd_sc_hd__o32a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.715000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.160000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.995000 2.635000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 1.075000 4.055000 1.245000 ;
+        RECT 3.725000 1.245000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.995000 3.155000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.995000 1.325000 1.785000 ;
+      RECT 1.015000  1.785000 3.525000 1.955000 ;
+      RECT 1.015000  2.125000 1.525000 2.635000 ;
+      RECT 1.095000  0.085000 1.425000 0.825000 ;
+      RECT 1.695000  0.255000 2.025000 0.655000 ;
+      RECT 1.695000  0.655000 3.025000 0.825000 ;
+      RECT 2.195000  0.085000 2.525000 0.485000 ;
+      RECT 2.695000  0.255000 4.055000 0.425000 ;
+      RECT 2.695000  0.425000 3.025000 0.655000 ;
+      RECT 2.695000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  0.595000 3.525000 0.825000 ;
+      RECT 3.325000  0.825000 3.525000 1.785000 ;
+      RECT 3.695000  0.425000 4.055000 0.905000 ;
+      RECT 3.695000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_2
+MACRO sky130_fd_sc_hd__o32a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.625000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.870000 1.075000 4.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 5.260000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.305000 0.255000 6.635000 0.715000 ;
+        RECT 6.305000 0.715000 8.135000 0.905000 ;
+        RECT 6.305000 1.495000 8.135000 1.665000 ;
+        RECT 6.305000 1.665000 6.635000 2.465000 ;
+        RECT 7.145000 0.255000 7.475000 0.715000 ;
+        RECT 7.145000 1.665000 7.475000 2.465000 ;
+        RECT 7.645000 0.905000 8.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 2.965000 0.885000 ;
+      RECT 0.085000  1.445000 1.265000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.515000  0.085000 2.545000 0.465000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.665000 1.265000 2.295000 ;
+      RECT 0.935000  2.295000 2.105000 2.465000 ;
+      RECT 1.435000  1.445000 2.625000 1.690000 ;
+      RECT 1.435000  1.690000 1.605000 2.045000 ;
+      RECT 1.775000  1.860000 2.105000 2.295000 ;
+      RECT 2.295000  1.690000 2.625000 2.295000 ;
+      RECT 2.295000  2.295000 3.465000 2.465000 ;
+      RECT 2.715000  0.255000 5.695000 0.465000 ;
+      RECT 2.715000  0.465000 2.965000 0.635000 ;
+      RECT 2.795000  1.105000 3.645000 1.275000 ;
+      RECT 2.795000  1.275000 2.965000 2.045000 ;
+      RECT 3.135000  1.445000 3.465000 2.295000 ;
+      RECT 3.455000  0.635000 5.775000 0.805000 ;
+      RECT 3.455000  0.805000 3.645000 1.105000 ;
+      RECT 3.655000  1.445000 3.985000 1.785000 ;
+      RECT 3.655000  1.785000 4.825000 1.955000 ;
+      RECT 3.655000  1.955000 3.985000 2.465000 ;
+      RECT 4.155000  2.125000 4.325000 2.635000 ;
+      RECT 4.400000  0.805000 4.620000 1.445000 ;
+      RECT 4.400000  1.445000 5.195000 1.615000 ;
+      RECT 4.495000  1.955000 4.825000 2.285000 ;
+      RECT 4.495000  2.285000 5.695000 2.465000 ;
+      RECT 5.025000  1.615000 5.195000 2.115000 ;
+      RECT 5.365000  1.445000 5.695000 2.285000 ;
+      RECT 5.520000  0.805000 5.775000 1.075000 ;
+      RECT 5.520000  1.075000 7.475000 1.245000 ;
+      RECT 5.520000  1.245000 6.135000 1.265000 ;
+      RECT 5.965000  0.085000 6.135000 0.885000 ;
+      RECT 5.965000  1.835000 6.135000 2.635000 ;
+      RECT 6.805000  0.085000 6.975000 0.545000 ;
+      RECT 6.805000  1.835000 6.975000 2.635000 ;
+      RECT 7.645000  0.085000 7.900000 0.545000 ;
+      RECT 7.645000  1.835000 7.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_4
+MACRO sky130_fd_sc_hd__tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.375000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.375000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_1
+MACRO sky130_fd_sc_hd__tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.835000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.775000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.835000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_2
+MACRO sky130_fd_sc_hd__dlxbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.660000 ;
+        RECT 5.215000 0.660000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.710000 ;
+        RECT 5.215000 1.710000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.905000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.050000 0.255000 7.305000 0.825000 ;
+        RECT 7.050000 1.445000 7.305000 2.465000 ;
+        RECT 7.095000 0.825000 7.305000 1.055000 ;
+        RECT 7.095000 1.055000 7.735000 1.325000 ;
+        RECT 7.095000 1.325000 7.305000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.905000 0.545000 ;
+      RECT 5.635000  1.835000 5.905000 2.635000 ;
+      RECT 6.075000  0.255000 6.405000 0.995000 ;
+      RECT 6.075000  0.995000 6.925000 1.325000 ;
+      RECT 6.075000  1.325000 6.405000 2.465000 ;
+      RECT 6.585000  0.085000 6.880000 0.545000 ;
+      RECT 6.585000  1.835000 6.880000 2.635000 ;
+      RECT 7.475000  0.085000 7.735000 0.885000 ;
+      RECT 7.475000  1.495000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_2
+MACRO sky130_fd_sc_hd__dlxbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.955000 1.785000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.415000 5.480000 0.745000 ;
+        RECT 5.140000 1.670000 5.480000 2.465000 ;
+        RECT 5.310000 0.745000 5.480000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.505000 6.815000 2.465000 ;
+        RECT 6.625000 0.825000 6.815000 1.505000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.480000  1.495000 2.165000 1.665000 ;
+      RECT 1.480000  1.665000 1.810000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.165000 0.785000 ;
+      RECT 1.875000  0.085000 2.230000 0.445000 ;
+      RECT 1.980000  1.835000 2.295000 2.635000 ;
+      RECT 1.995000  0.785000 2.165000 0.905000 ;
+      RECT 1.995000  0.905000 2.365000 1.235000 ;
+      RECT 1.995000  1.235000 2.165000 1.495000 ;
+      RECT 2.495000  1.355000 2.780000 2.005000 ;
+      RECT 2.565000  0.705000 3.120000 1.035000 ;
+      RECT 2.790000  0.365000 3.525000 0.535000 ;
+      RECT 2.920000  2.105000 3.620000 2.115000 ;
+      RECT 2.920000  2.115000 3.615000 2.130000 ;
+      RECT 2.920000  2.130000 3.610000 2.275000 ;
+      RECT 2.950000  1.035000 3.120000 1.415000 ;
+      RECT 2.950000  1.415000 3.290000 1.910000 ;
+      RECT 3.355000  0.535000 3.525000 0.995000 ;
+      RECT 3.355000  0.995000 4.225000 1.165000 ;
+      RECT 3.360000  2.075000 3.630000 2.090000 ;
+      RECT 3.360000  2.090000 3.625000 2.105000 ;
+      RECT 3.375000  2.060000 3.630000 2.075000 ;
+      RECT 3.420000  2.030000 3.630000 2.060000 ;
+      RECT 3.430000  2.015000 3.630000 2.030000 ;
+      RECT 3.460000  1.165000 4.225000 1.325000 ;
+      RECT 3.460000  1.325000 3.630000 2.015000 ;
+      RECT 3.765000  0.085000 4.095000 0.610000 ;
+      RECT 3.780000  2.175000 3.950000 2.635000 ;
+      RECT 3.800000  1.535000 4.580000 1.620000 ;
+      RECT 3.800000  1.620000 4.550000 1.865000 ;
+      RECT 4.300000  0.415000 4.470000 0.660000 ;
+      RECT 4.300000  0.660000 4.580000 0.840000 ;
+      RECT 4.300000  1.865000 4.550000 2.435000 ;
+      RECT 4.395000  0.840000 4.580000 0.995000 ;
+      RECT 4.395000  0.995000 5.140000 1.325000 ;
+      RECT 4.395000  1.325000 4.580000 1.535000 ;
+      RECT 4.640000  0.085000 4.970000 0.495000 ;
+      RECT 4.720000  1.830000 4.970000 2.635000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.455000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.495000  1.785000 2.665000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.955000  1.445000 3.125000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.185000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.725000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.435000 1.755000 2.725000 1.800000 ;
+      RECT 2.435000 1.940000 2.725000 1.985000 ;
+      RECT 2.895000 1.415000 3.185000 1.460000 ;
+      RECT 2.895000 1.600000 3.185000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_1
+MACRO sky130_fd_sc_hd__buf_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 1.660000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.275000 0.255000 2.445000 0.735000 ;
+        RECT 2.275000 0.735000 6.645000 0.905000 ;
+        RECT 2.275000 1.445000 6.645000 1.615000 ;
+        RECT 2.275000 1.615000 2.445000 2.465000 ;
+        RECT 3.115000 0.255000 3.285000 0.735000 ;
+        RECT 3.115000 1.615000 3.285000 2.465000 ;
+        RECT 3.955000 0.255000 4.125000 0.735000 ;
+        RECT 3.955000 1.615000 4.125000 2.465000 ;
+        RECT 4.710000 0.905000 6.645000 1.445000 ;
+        RECT 4.795000 0.255000 4.965000 0.735000 ;
+        RECT 4.795000 1.615000 4.965000 2.465000 ;
+        RECT 5.635000 0.255000 5.805000 0.735000 ;
+        RECT 5.635000 1.615000 5.805000 2.465000 ;
+        RECT 6.475000 0.255000 6.645000 0.735000 ;
+        RECT 6.475000 1.615000 6.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.570000 -0.085000 0.740000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.565000 ;
+      RECT 0.175000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.445000 2.015000 1.615000 ;
+      RECT 0.515000  1.615000 0.845000 2.465000 ;
+      RECT 0.595000  0.255000 0.765000 0.735000 ;
+      RECT 0.595000  0.735000 2.015000 0.905000 ;
+      RECT 0.935000  0.085000 1.265000 0.565000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  1.615000 1.685000 2.465000 ;
+      RECT 1.435000  0.260000 1.605000 0.735000 ;
+      RECT 1.775000  0.085000 2.105000 0.565000 ;
+      RECT 1.840000  0.905000 2.015000 1.075000 ;
+      RECT 1.840000  1.075000 4.465000 1.245000 ;
+      RECT 1.840000  1.245000 2.015000 1.445000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.565000 ;
+      RECT 2.615000  1.835000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.565000 ;
+      RECT 3.455000  1.835000 3.785000 2.635000 ;
+      RECT 4.295000  0.085000 4.625000 0.565000 ;
+      RECT 4.295000  1.835000 4.625000 2.635000 ;
+      RECT 5.135000  0.085000 5.465000 0.565000 ;
+      RECT 5.135000  1.835000 5.465000 2.635000 ;
+      RECT 5.975000  0.085000 6.305000 0.565000 ;
+      RECT 5.975000  1.835000 6.305000 2.635000 ;
+      RECT 6.815000  0.085000 7.145000 0.885000 ;
+      RECT 6.815000  1.485000 7.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_12
+MACRO sky130_fd_sc_hd__buf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.470000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 0.255000 1.185000 0.735000 ;
+        RECT 1.015000 0.735000 2.025000 0.905000 ;
+        RECT 1.015000 1.445000 2.025000 1.615000 ;
+        RECT 1.015000 1.615000 1.185000 2.465000 ;
+        RECT 1.530000 0.905000 2.025000 1.445000 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.485000 0.810000 1.655000 ;
+      RECT 0.095000  1.655000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 0.810000 0.905000 ;
+      RECT 0.525000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.835000 0.835000 2.635000 ;
+      RECT 0.640000  0.905000 0.810000 1.075000 ;
+      RECT 0.640000  1.075000 1.140000 1.245000 ;
+      RECT 0.640000  1.245000 0.810000 1.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.355000  1.835000 1.685000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.885000 ;
+      RECT 2.195000  1.485000 2.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_4
+MACRO sky130_fd_sc_hd__buf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.985000 0.445000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.560000 1.295000 2.465000 ;
+        RECT 1.035000 0.255000 1.295000 0.760000 ;
+        RECT 1.115000 0.760000 1.295000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.165000  1.535000 0.840000 1.705000 ;
+      RECT 0.165000  1.705000 0.345000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.670000  0.805000 0.840000 1.060000 ;
+      RECT 0.670000  1.060000 0.945000 1.390000 ;
+      RECT 0.670000  1.390000 0.840000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_1
+MACRO sky130_fd_sc_hd__buf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.440000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 0.255000 1.315000 0.830000 ;
+        RECT 1.060000 1.560000 1.315000 2.465000 ;
+        RECT 1.145000 0.830000 1.315000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.890000 0.805000 ;
+      RECT 0.175000  1.535000 0.890000 1.705000 ;
+      RECT 0.175000  1.705000 0.345000 2.465000 ;
+      RECT 0.560000  0.085000 0.890000 0.465000 ;
+      RECT 0.560000  1.875000 0.890000 2.635000 ;
+      RECT 0.720000  0.805000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 0.975000 1.325000 ;
+      RECT 0.720000  1.325000 0.890000 1.535000 ;
+      RECT 1.490000  0.085000 1.750000 0.925000 ;
+      RECT 1.490000  1.485000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_2
+MACRO sky130_fd_sc_hd__buf_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.280000 1.075000 1.185000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.255000 1.865000 0.735000 ;
+        RECT 1.695000 0.735000 3.545000 0.905000 ;
+        RECT 1.695000 1.445000 3.545000 1.615000 ;
+        RECT 1.695000 1.615000 1.865000 2.465000 ;
+        RECT 2.210000 0.905000 3.545000 1.445000 ;
+        RECT 2.535000 0.255000 2.705000 0.735000 ;
+        RECT 2.535000 1.615000 2.705000 2.465000 ;
+        RECT 3.375000 0.255000 3.545000 0.735000 ;
+        RECT 3.375000 1.615000 3.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.435000  0.085000 0.605000 0.565000 ;
+      RECT 0.435000  1.485000 0.605000 2.635000 ;
+      RECT 0.775000  0.255000 1.105000 0.735000 ;
+      RECT 0.775000  0.735000 1.525000 0.905000 ;
+      RECT 0.775000  1.485000 1.525000 1.655000 ;
+      RECT 0.775000  1.655000 1.105000 2.465000 ;
+      RECT 1.275000  0.085000 1.445000 0.565000 ;
+      RECT 1.275000  1.835000 1.515000 2.635000 ;
+      RECT 1.355000  0.905000 1.525000 1.075000 ;
+      RECT 1.355000  1.075000 1.825000 1.245000 ;
+      RECT 1.355000  1.245000 1.525000 1.485000 ;
+      RECT 2.035000  0.085000 2.365000 0.565000 ;
+      RECT 2.035000  1.835000 2.365000 2.635000 ;
+      RECT 2.875000  0.085000 3.205000 0.565000 ;
+      RECT 2.875000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.885000 ;
+      RECT 3.715000  1.485000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_6
+MACRO sky130_fd_sc_hd__buf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 0.735000 4.545000 0.905000 ;
+        RECT 1.855000 1.445000 4.545000 1.615000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+        RECT 2.695000 0.255000 2.865000 0.735000 ;
+        RECT 2.695000 1.615000 2.865000 2.465000 ;
+        RECT 3.535000 0.255000 3.705000 0.735000 ;
+        RECT 3.535000 1.615000 3.705000 2.465000 ;
+        RECT 4.290000 0.905000 4.545000 1.445000 ;
+        RECT 4.375000 0.255000 4.545000 0.735000 ;
+        RECT 4.375000 1.615000 4.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_8
+MACRO sky130_fd_sc_hd__buf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 2.485000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 0.255000  3.285000 0.260000 ;
+        RECT 3.035000 0.260000  3.365000 0.735000 ;
+        RECT 3.035000 0.735000 10.035000 0.905000 ;
+        RECT 3.035000 1.445000 10.035000 1.615000 ;
+        RECT 3.035000 1.615000  3.365000 2.465000 ;
+        RECT 3.875000 0.260000  4.205000 0.735000 ;
+        RECT 3.875000 1.615000  4.205000 2.465000 ;
+        RECT 3.955000 0.255000  4.125000 0.260000 ;
+        RECT 4.715000 0.260000  5.045000 0.735000 ;
+        RECT 4.715000 1.615000  5.045000 2.465000 ;
+        RECT 4.795000 0.255000  4.965000 0.260000 ;
+        RECT 5.555000 0.260000  5.885000 0.735000 ;
+        RECT 5.555000 1.615000  5.885000 2.465000 ;
+        RECT 6.395000 0.260000  6.725000 0.735000 ;
+        RECT 6.395000 1.615000  6.725000 2.465000 ;
+        RECT 7.235000 0.260000  7.565000 0.735000 ;
+        RECT 7.235000 1.615000  7.565000 2.465000 ;
+        RECT 8.075000 0.260000  8.405000 0.735000 ;
+        RECT 8.075000 1.615000  8.405000 2.465000 ;
+        RECT 8.915000 0.260000  9.245000 0.735000 ;
+        RECT 8.915000 1.615000  9.245000 2.465000 ;
+        RECT 9.655000 0.905000 10.035000 1.445000 ;
+        RECT 9.760000 0.365000 10.035000 0.735000 ;
+        RECT 9.760000 1.615000 10.035000 2.360000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.905000 ;
+      RECT 0.175000  1.445000  0.345000 2.635000 ;
+      RECT 0.515000  0.260000  0.845000 0.735000 ;
+      RECT 0.515000  0.735000  2.865000 0.905000 ;
+      RECT 0.515000  1.445000  2.865000 1.615000 ;
+      RECT 0.515000  1.615000  0.845000 2.465000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.355000  0.260000  1.685000 0.735000 ;
+      RECT 1.355000  1.615000  1.685000 2.465000 ;
+      RECT 1.855000  0.085000  2.025000 0.565000 ;
+      RECT 1.855000  1.835000  2.025000 2.635000 ;
+      RECT 2.195000  0.260000  2.525000 0.735000 ;
+      RECT 2.195000  1.615000  2.525000 2.465000 ;
+      RECT 2.690000  0.905000  2.865000 1.075000 ;
+      RECT 2.690000  1.075000  9.410000 1.275000 ;
+      RECT 2.690000  1.275000  2.865000 1.445000 ;
+      RECT 2.695000  0.085000  2.865000 0.565000 ;
+      RECT 2.695000  1.835000  2.865000 2.635000 ;
+      RECT 3.535000  0.085000  3.705000 0.565000 ;
+      RECT 3.535000  1.835000  3.705000 2.635000 ;
+      RECT 4.375000  0.085000  4.545000 0.565000 ;
+      RECT 4.375000  1.835000  4.545000 2.635000 ;
+      RECT 5.215000  0.085000  5.385000 0.565000 ;
+      RECT 5.215000  1.835000  5.385000 2.635000 ;
+      RECT 6.055000  0.085000  6.225000 0.565000 ;
+      RECT 6.055000  1.835000  6.225000 2.635000 ;
+      RECT 6.895000  0.085000  7.065000 0.565000 ;
+      RECT 6.895000  1.835000  7.065000 2.635000 ;
+      RECT 7.735000  0.085000  7.905000 0.565000 ;
+      RECT 7.735000  1.835000  7.905000 2.635000 ;
+      RECT 8.575000  0.085000  8.745000 0.565000 ;
+      RECT 8.575000  1.835000  8.745000 2.635000 ;
+      RECT 9.415000  0.085000  9.585000 0.565000 ;
+      RECT 9.415000  1.835000  9.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_16
+MACRO sky130_fd_sc_hd__o21ba_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.100000 1.075000 3.595000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 2.930000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+        RECT 0.595000 1.325000 0.775000 1.695000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.255000 1.240000 0.595000 ;
+        RECT 0.945000 0.595000 1.115000 1.495000 ;
+        RECT 0.945000 1.495000 1.350000 1.695000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.430000 0.345000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 0.395000 1.865000 ;
+      RECT 0.085000  1.865000 1.935000 2.035000 ;
+      RECT 0.520000  2.205000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.775000 0.825000 ;
+      RECT 1.285000  0.890000 1.595000 1.060000 ;
+      RECT 1.285000  1.060000 1.455000 1.325000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.415000  2.205000 2.230000 2.635000 ;
+      RECT 1.425000  0.655000 2.275000 0.825000 ;
+      RECT 1.425000  0.825000 1.595000 0.890000 ;
+      RECT 1.765000  0.995000 1.935000 1.865000 ;
+      RECT 1.940000  0.255000 2.275000 0.655000 ;
+      RECT 2.105000  0.825000 2.275000 1.455000 ;
+      RECT 2.105000  1.455000 2.725000 2.035000 ;
+      RECT 2.400000  2.035000 2.725000 2.465000 ;
+      RECT 2.445000  0.365000 2.745000 0.735000 ;
+      RECT 2.445000  0.735000 3.590000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.200000  1.875000 3.530000 2.635000 ;
+      RECT 3.255000  0.270000 3.590000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_2
+MACRO sky130_fd_sc_hd__o21ba_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.990000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.820000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.885000 1.285000 ;
+        RECT 0.605000 1.285000 0.885000 1.705000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.255000 1.385000 0.725000 ;
+        RECT 1.055000 0.725000 2.225000 0.905000 ;
+        RECT 1.055000 0.905000 1.455000 1.445000 ;
+        RECT 1.055000 1.445000 2.225000 1.705000 ;
+        RECT 1.895000 0.255000 2.225000 0.725000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.265000 0.545000 0.855000 ;
+      RECT 0.085000  0.855000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.435000 1.875000 ;
+      RECT 0.085000  1.875000 2.565000 2.045000 ;
+      RECT 0.085000  2.045000 0.435000 2.465000 ;
+      RECT 0.635000  2.215000 0.965000 2.635000 ;
+      RECT 0.715000  0.085000 0.885000 0.905000 ;
+      RECT 1.475000  2.215000 1.805000 2.635000 ;
+      RECT 1.555000  0.085000 1.725000 0.555000 ;
+      RECT 1.625000  1.075000 2.565000 1.275000 ;
+      RECT 2.315000  2.215000 2.645000 2.635000 ;
+      RECT 2.395000  0.085000 2.565000 0.555000 ;
+      RECT 2.395000  0.725000 3.585000 0.895000 ;
+      RECT 2.395000  0.895000 2.565000 1.075000 ;
+      RECT 2.395000  1.445000 2.905000 1.615000 ;
+      RECT 2.395000  1.615000 2.565000 1.875000 ;
+      RECT 2.735000  1.075000 3.135000 1.245000 ;
+      RECT 2.735000  1.245000 2.905000 1.445000 ;
+      RECT 2.805000  0.255000 4.005000 0.475000 ;
+      RECT 2.815000  1.795000 4.380000 1.965000 ;
+      RECT 2.815000  1.965000 2.985000 2.465000 ;
+      RECT 3.200000  2.135000 3.450000 2.635000 ;
+      RECT 3.235000  0.645000 3.585000 0.725000 ;
+      RECT 3.395000  0.895000 3.585000 1.795000 ;
+      RECT 3.685000  2.135000 3.925000 2.295000 ;
+      RECT 3.685000  2.295000 4.765000 2.465000 ;
+      RECT 3.755000  0.475000 4.005000 0.725000 ;
+      RECT 3.755000  0.725000 5.710000 0.905000 ;
+      RECT 4.135000  1.445000 4.380000 1.795000 ;
+      RECT 4.135000  1.965000 4.380000 2.125000 ;
+      RECT 4.175000  0.085000 4.345000 0.555000 ;
+      RECT 4.515000  0.255000 4.845000 0.725000 ;
+      RECT 4.595000  1.455000 5.710000 1.665000 ;
+      RECT 4.595000  1.665000 4.765000 2.295000 ;
+      RECT 4.935000  1.835000 5.265000 2.635000 ;
+      RECT 5.015000  0.085000 5.185000 0.555000 ;
+      RECT 5.355000  0.265000 5.710000 0.725000 ;
+      RECT 5.435000  1.665000 5.710000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_4
+MACRO sky130_fd_sc_hd__o21ba_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.950000 1.075000 3.595000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.780000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.030000 0.995000 1.360000 1.325000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.450000 0.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.340000 1.480000 ;
+        RECT 0.085000 1.480000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.510000  0.995000 0.860000 1.325000 ;
+      RECT 0.595000  1.325000 0.860000 1.865000 ;
+      RECT 0.595000  1.865000 2.575000 2.035000 ;
+      RECT 0.595000  2.205000 1.005000 2.635000 ;
+      RECT 0.710000  0.085000 0.880000 0.825000 ;
+      RECT 1.075000  1.525000 1.700000 1.695000 ;
+      RECT 1.160000  0.450000 1.330000 0.655000 ;
+      RECT 1.160000  0.655000 1.700000 0.825000 ;
+      RECT 1.530000  0.825000 1.700000 1.525000 ;
+      RECT 1.750000  2.215000 2.080000 2.635000 ;
+      RECT 1.870000  0.255000 2.040000 1.455000 ;
+      RECT 1.870000  1.455000 2.575000 1.865000 ;
+      RECT 2.250000  2.035000 2.575000 2.465000 ;
+      RECT 2.270000  0.255000 2.600000 0.735000 ;
+      RECT 2.270000  0.735000 3.440000 0.905000 ;
+      RECT 2.770000  0.085000 2.940000 0.555000 ;
+      RECT 3.050000  1.535000 3.380000 2.635000 ;
+      RECT 3.110000  0.270000 3.440000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.075000 5.245000 0.200000 5.395000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT 4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER nwell ;
+      RECT -0.190000 1.305000 0.650000 4.135000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+MACRO sky130_fd_sc_hd__dlymetal6s2s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.255000 1.670000 0.825000 ;
+        RECT 1.245000 1.495000 2.150000 1.675000 ;
+        RECT 1.245000 1.675000 1.670000 2.465000 ;
+        RECT 1.320000 0.825000 1.670000 0.995000 ;
+        RECT 1.320000 0.995000 2.150000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.840000  1.845000 2.670000 2.040000 ;
+      RECT 1.840000  2.040000 2.115000 2.465000 ;
+      RECT 1.860000  0.255000 2.115000 0.655000 ;
+      RECT 1.860000  0.655000 2.670000 0.825000 ;
+      RECT 2.285000  0.085000 2.670000 0.485000 ;
+      RECT 2.285000  2.210000 2.670000 2.635000 ;
+      RECT 2.320000  0.825000 2.670000 0.995000 ;
+      RECT 2.320000  0.995000 2.745000 1.325000 ;
+      RECT 2.320000  1.325000 2.670000 1.845000 ;
+      RECT 2.840000  0.255000 3.085000 0.825000 ;
+      RECT 2.840000  1.495000 3.565000 1.675000 ;
+      RECT 2.840000  1.675000 3.085000 2.465000 ;
+      RECT 2.915000  0.825000 3.085000 0.995000 ;
+      RECT 2.915000  0.995000 3.565000 1.495000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s2s_1
+MACRO sky130_fd_sc_hd__o2111ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 1.075000 5.435000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.075000 4.455000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.200000 1.075000 3.185000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.790000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.355000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.302000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.615000 0.935000 0.905000 ;
+        RECT 0.605000 0.905000 0.865000 1.495000 ;
+        RECT 0.605000 1.495000 4.005000 1.665000 ;
+        RECT 0.605000 1.665000 0.865000 2.465000 ;
+        RECT 1.535000 1.665000 1.725000 2.465000 ;
+        RECT 2.395000 1.665000 2.575000 2.465000 ;
+        RECT 3.815000 1.665000 4.005000 2.105000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.260000 1.300000 0.445000 ;
+      RECT 0.175000  0.445000 0.435000 0.865000 ;
+      RECT 0.175000  1.525000 0.425000 2.635000 ;
+      RECT 1.035000  1.835000 1.365000 2.635000 ;
+      RECT 1.115000  0.445000 1.300000 0.735000 ;
+      RECT 1.115000  0.735000 2.275000 0.905000 ;
+      RECT 1.470000  0.255000 3.210000 0.445000 ;
+      RECT 1.470000  0.445000 1.775000 0.530000 ;
+      RECT 1.470000  0.530000 1.760000 0.565000 ;
+      RECT 1.895000  1.840000 2.225000 2.635000 ;
+      RECT 1.925000  0.620000 2.275000 0.735000 ;
+      RECT 2.450000  0.655000 5.435000 0.840000 ;
+      RECT 2.755000  1.835000 3.085000 2.635000 ;
+      RECT 2.880000  0.445000 3.210000 0.485000 ;
+      RECT 3.310000  1.835000 3.570000 2.275000 ;
+      RECT 3.310000  2.275000 4.500000 2.465000 ;
+      RECT 3.380000  0.365000 3.570000 0.655000 ;
+      RECT 3.740000  0.085000 4.070000 0.485000 ;
+      RECT 4.240000  0.365000 4.430000 0.650000 ;
+      RECT 4.240000  0.650000 5.435000 0.655000 ;
+      RECT 4.240000  1.515000 5.360000 1.685000 ;
+      RECT 4.240000  1.685000 4.500000 2.275000 ;
+      RECT 4.600000  0.085000 4.930000 0.480000 ;
+      RECT 4.670000  1.855000 4.930000 2.635000 ;
+      RECT 5.100000  0.365000 5.435000 0.650000 ;
+      RECT 5.100000  1.685000 5.360000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_2
+MACRO sky130_fd_sc_hd__o2111ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.005000 3.115000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.615000 1.615000 ;
+        RECT 2.270000 1.615000 2.615000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.815000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.255000 1.355000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.815000 1.615000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.857250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.690000 0.885000 ;
+        RECT 0.085000 0.885000 0.315000 1.785000 ;
+        RECT 0.085000 1.785000 2.095000 2.025000 ;
+        RECT 0.790000 2.025000 1.025000 2.465000 ;
+        RECT 1.750000 2.025000 2.095000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.290000  2.195000 0.620000 2.635000 ;
+      RECT 1.210000  2.255000 1.540000 2.635000 ;
+      RECT 1.750000  0.255000 2.095000 0.625000 ;
+      RECT 1.750000  0.625000 3.115000 0.825000 ;
+      RECT 2.285000  0.085000 2.615000 0.455000 ;
+      RECT 2.785000  0.255000 3.115000 0.625000 ;
+      RECT 2.785000  1.795000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_1
+MACRO sky130_fd_sc_hd__o2111ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.820000 1.075000 9.575000 1.340000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.110000 1.075000 7.325000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 5.455000 1.345000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.075000 3.550000 1.345000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.755000 1.345000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.984350 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.645000 1.685000 0.815000 ;
+        RECT 0.085000 0.815000 0.375000 1.515000 ;
+        RECT 0.085000 1.515000 7.390000 1.685000 ;
+        RECT 0.085000 1.685000 0.360000 2.465000 ;
+        RECT 1.015000 1.685000 1.195000 2.465000 ;
+        RECT 1.845000 1.685000 2.035000 2.465000 ;
+        RECT 2.685000 1.685000 2.875000 2.465000 ;
+        RECT 3.525000 1.685000 3.715000 2.465000 ;
+        RECT 4.570000 1.685000 4.760000 2.465000 ;
+        RECT 5.410000 1.685000 5.600000 2.465000 ;
+        RECT 6.285000 1.685000 6.480000 2.100000 ;
+        RECT 7.045000 1.685000 7.390000 1.720000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  0.285000 2.025000 0.475000 ;
+      RECT 0.530000  1.855000 0.845000 2.635000 ;
+      RECT 1.390000  1.855000 1.675000 2.635000 ;
+      RECT 1.855000  0.475000 2.025000 0.615000 ;
+      RECT 1.855000  0.615000 3.785000 0.825000 ;
+      RECT 2.195000  0.255000 5.565000 0.445000 ;
+      RECT 2.205000  1.855000 2.515000 2.635000 ;
+      RECT 3.045000  1.855000 3.355000 2.635000 ;
+      RECT 3.975000  0.655000 9.440000 0.905000 ;
+      RECT 4.075000  1.855000 4.400000 2.635000 ;
+      RECT 4.930000  1.855000 5.220000 2.635000 ;
+      RECT 5.785000  1.855000 6.115000 2.270000 ;
+      RECT 5.785000  2.270000 7.005000 2.465000 ;
+      RECT 6.100000  0.085000 6.430000 0.485000 ;
+      RECT 6.705000  1.890000 8.235000 2.060000 ;
+      RECT 6.705000  2.060000 7.005000 2.270000 ;
+      RECT 6.960000  0.085000 7.290000 0.485000 ;
+      RECT 7.555000  2.230000 7.885000 2.635000 ;
+      RECT 7.825000  0.085000 8.155000 0.485000 ;
+      RECT 8.045000  1.515000 9.080000 1.685000 ;
+      RECT 8.045000  1.685000 8.235000 1.890000 ;
+      RECT 8.055000  2.060000 8.235000 2.465000 ;
+      RECT 8.410000  1.855000 8.720000 2.635000 ;
+      RECT 8.665000  0.085000 8.995000 0.485000 ;
+      RECT 8.890000  1.685000 9.080000 2.465000 ;
+      RECT 9.265000  1.535000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_4
+MACRO sky130_fd_sc_hd__nor4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.395000 1.075000 1.805000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 3.750000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 5.685000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.810000 1.075000 8.655000 1.285000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 7.245000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.195000 0.255000 2.525000 0.725000 ;
+        RECT 3.035000 0.255000 3.365000 0.725000 ;
+        RECT 4.395000 0.255000 4.725000 0.725000 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 0.905000 6.465000 1.455000 ;
+        RECT 6.115000 1.455000 7.205000 1.625000 ;
+        RECT 6.115000 1.625000 6.365000 2.125000 ;
+        RECT 6.915000 0.255000 7.245000 0.725000 ;
+        RECT 6.955000 1.625000 7.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.095000  1.455000 2.065000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.645000 2.635000 ;
+      RECT 1.815000  1.625000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 3.745000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 2.235000  1.455000 5.525000 1.625000 ;
+      RECT 2.235000  1.625000 2.485000 2.125000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 2.865000 0.555000 ;
+      RECT 3.075000  1.625000 3.325000 2.125000 ;
+      RECT 3.495000  1.795000 3.745000 2.295000 ;
+      RECT 3.535000  0.085000 4.225000 0.555000 ;
+      RECT 4.015000  1.795000 4.265000 2.295000 ;
+      RECT 4.015000  2.295000 7.625000 2.465000 ;
+      RECT 4.435000  1.625000 4.685000 2.125000 ;
+      RECT 4.855000  1.795000 5.105000 2.295000 ;
+      RECT 4.895000  0.085000 5.065000 0.555000 ;
+      RECT 5.275000  1.625000 5.525000 2.125000 ;
+      RECT 5.695000  1.455000 5.945000 2.295000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.295000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.635000  1.075000 7.640000 1.285000 ;
+      RECT 7.375000  1.795000 7.625000 2.295000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.470000  0.735000 8.185000 0.905000 ;
+      RECT 7.470000  0.905000 7.640000 1.075000 ;
+      RECT 7.470000  1.285000 7.640000 1.455000 ;
+      RECT 7.470000  1.455000 8.185000 1.625000 ;
+      RECT 7.810000  0.255000 8.185000 0.735000 ;
+      RECT 7.850000  1.625000 8.185000 2.465000 ;
+      RECT 8.355000  0.085000 8.585000 0.905000 ;
+      RECT 8.355000  1.455000 8.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_4
+MACRO sky130_fd_sc_hd__nor4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.240000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.075000 2.635000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 1.075000 3.535000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.805000 1.075000 5.435000 1.285000 ;
+        RECT 5.185000 1.285000 5.435000 1.955000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 3.920000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.750000 0.255000 3.080000 0.725000 ;
+        RECT 3.590000 0.255000 3.920000 0.725000 ;
+        RECT 3.630000 1.455000 4.035000 1.625000 ;
+        RECT 3.630000 1.625000 3.880000 2.125000 ;
+        RECT 3.715000 0.905000 3.920000 1.075000 ;
+        RECT 3.715000 1.075000 4.035000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.455000 2.105000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.605000 2.295000 ;
+      RECT 1.395000  2.295000 3.040000 2.465000 ;
+      RECT 1.775000  1.625000 2.105000 2.125000 ;
+      RECT 1.855000  0.085000 2.580000 0.555000 ;
+      RECT 2.275000  1.455000 3.460000 1.625000 ;
+      RECT 2.275000  1.625000 2.660000 2.125000 ;
+      RECT 2.830000  1.795000 3.040000 2.295000 ;
+      RECT 3.210000  1.625000 3.460000 2.295000 ;
+      RECT 3.210000  2.295000 4.295000 2.465000 ;
+      RECT 3.250000  0.085000 3.420000 0.555000 ;
+      RECT 4.050000  1.795000 4.295000 2.295000 ;
+      RECT 4.090000  0.085000 4.295000 0.895000 ;
+      RECT 4.320000  1.075000 4.635000 1.245000 ;
+      RECT 4.465000  0.380000 4.820000 0.905000 ;
+      RECT 4.465000  0.905000 4.635000 1.075000 ;
+      RECT 4.465000  1.245000 4.635000 2.035000 ;
+      RECT 4.465000  2.035000 4.820000 2.450000 ;
+      RECT 4.990000  0.085000 5.240000 0.825000 ;
+      RECT 4.990000  2.135000 5.240000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_2
+MACRO sky130_fd_sc_hd__nor4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.995000 1.785000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.985000 0.995000 1.285000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.795000 1.615000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.871000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.655000 1.925000 0.825000 ;
+        RECT 0.085000 0.825000 0.345000 2.450000 ;
+        RECT 0.855000 0.300000 1.055000 0.655000 ;
+        RECT 1.725000 0.310000 1.925000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.355000  0.085000 0.685000 0.480000 ;
+      RECT 0.525000  0.995000 0.745000 1.795000 ;
+      RECT 0.525000  1.795000 3.135000 2.005000 ;
+      RECT 1.225000  0.085000 1.555000 0.485000 ;
+      RECT 2.095000  0.085000 2.425000 0.825000 ;
+      RECT 2.095000  2.185000 2.425000 2.635000 ;
+      RECT 2.660000  0.405000 2.830000 0.655000 ;
+      RECT 2.660000  0.655000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+MACRO sky130_fd_sc_hd__o22ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.215000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.220000 1.075000 1.585000 1.245000 ;
+        RECT 1.405000 1.245000 1.585000 1.445000 ;
+        RECT 1.405000 1.445000 1.725000 1.615000 ;
+        RECT 1.525000 1.615000 1.725000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.665000 0.325000 1.990000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.995000 1.005000 1.415000 ;
+        RECT 0.835000 1.415000 1.235000 1.665000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.650250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 0.645000 0.845000 0.825000 ;
+        RECT 0.495000 0.825000 0.665000 1.835000 ;
+        RECT 0.495000 1.835000 1.335000 2.045000 ;
+        RECT 0.835000 2.045000 1.335000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 1.345000 0.475000 ;
+      RECT 0.135000  2.175000 0.345000 2.635000 ;
+      RECT 1.015000  0.475000 1.345000 0.695000 ;
+      RECT 1.015000  0.695000 2.215000 0.825000 ;
+      RECT 1.185000  0.825000 2.215000 0.865000 ;
+      RECT 1.535000  0.085000 1.705000 0.525000 ;
+      RECT 1.875000  0.280000 2.215000 0.695000 ;
+      RECT 1.895000  1.455000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_1
+MACRO sky130_fd_sc_hd__o22ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.165000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.555000 1.075000 3.225000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.985000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.155000 1.075000 1.925000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 2.340000 0.905000 ;
+        RECT 1.375000 0.645000 1.705000 0.725000 ;
+        RECT 1.415000 1.445000 3.065000 1.625000 ;
+        RECT 1.415000 1.625000 1.665000 2.125000 ;
+        RECT 2.095000 0.905000 2.340000 1.445000 ;
+        RECT 2.815000 1.625000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.305000 2.680000 0.475000 ;
+      RECT 0.090000  0.475000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 1.245000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.085000 2.465000 ;
+      RECT 1.835000  1.795000 2.085000 2.295000 ;
+      RECT 2.395000  1.795000 2.645000 2.295000 ;
+      RECT 2.395000  2.295000 3.485000 2.465000 ;
+      RECT 2.510000  0.475000 2.680000 0.725000 ;
+      RECT 2.510000  0.725000 4.365000 0.905000 ;
+      RECT 2.855000  0.085000 3.025000 0.555000 ;
+      RECT 3.195000  0.255000 3.525000 0.725000 ;
+      RECT 3.235000  1.455000 4.330000 1.625000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.655000  1.795000 3.905000 2.635000 ;
+      RECT 3.695000  0.085000 3.865000 0.555000 ;
+      RECT 4.035000  0.255000 4.365000 0.725000 ;
+      RECT 4.075000  1.625000 4.330000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_2
+MACRO sky130_fd_sc_hd__o22ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 1.415000 1.275000 ;
+        RECT 1.150000 1.275000 1.415000 1.445000 ;
+        RECT 1.150000 1.445000 3.575000 1.615000 ;
+        RECT 3.275000 1.075000 3.605000 1.245000 ;
+        RECT 3.275000 1.245000 3.575000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.685000 1.075000 3.095000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 0.995000 4.940000 1.445000 ;
+        RECT 4.295000 1.445000 6.935000 1.615000 ;
+        RECT 6.715000 0.995000 6.935000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.110000 1.075000 6.460000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 1.785000 3.915000 1.955000 ;
+        RECT 1.845000 1.955000 2.095000 2.125000 ;
+        RECT 2.685000 1.955000 2.935000 2.125000 ;
+        RECT 3.745000 1.445000 4.125000 1.615000 ;
+        RECT 3.745000 1.615000 3.915000 1.785000 ;
+        RECT 3.955000 0.645000 7.275000 0.820000 ;
+        RECT 3.955000 0.820000 4.125000 1.445000 ;
+        RECT 5.255000 1.785000 7.275000 1.955000 ;
+        RECT 5.255000 1.955000 5.505000 2.125000 ;
+        RECT 6.095000 1.955000 6.345000 2.125000 ;
+        RECT 7.105000 0.820000 7.275000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.125000  0.255000 0.455000 0.725000 ;
+      RECT 0.125000  0.725000 1.295000 0.735000 ;
+      RECT 0.125000  0.735000 3.785000 0.905000 ;
+      RECT 0.165000  1.445000 0.415000 2.635000 ;
+      RECT 0.585000  1.445000 0.835000 1.785000 ;
+      RECT 0.585000  1.785000 1.675000 1.955000 ;
+      RECT 0.585000  1.955000 0.835000 2.465000 ;
+      RECT 0.625000  0.085000 0.795000 0.555000 ;
+      RECT 0.965000  0.255000 1.295000 0.725000 ;
+      RECT 1.005000  2.125000 1.255000 2.635000 ;
+      RECT 1.425000  1.955000 1.675000 2.295000 ;
+      RECT 1.425000  2.295000 3.395000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.555000 ;
+      RECT 1.805000  0.255000 2.135000 0.725000 ;
+      RECT 1.805000  0.725000 2.975000 0.735000 ;
+      RECT 2.265000  2.125000 2.515000 2.295000 ;
+      RECT 2.305000  0.085000 2.475000 0.555000 ;
+      RECT 2.645000  0.255000 2.975000 0.725000 ;
+      RECT 3.105000  2.125000 3.395000 2.295000 ;
+      RECT 3.145000  0.085000 3.315000 0.555000 ;
+      RECT 3.485000  0.255000 7.245000 0.475000 ;
+      RECT 3.485000  0.475000 3.785000 0.735000 ;
+      RECT 3.565000  2.125000 3.785000 2.635000 ;
+      RECT 3.955000  2.125000 4.255000 2.465000 ;
+      RECT 4.085000  1.785000 5.085000 1.955000 ;
+      RECT 4.085000  1.955000 4.255000 2.125000 ;
+      RECT 4.425000  2.125000 4.665000 2.635000 ;
+      RECT 4.835000  1.955000 5.085000 2.295000 ;
+      RECT 4.835000  2.295000 6.765000 2.465000 ;
+      RECT 5.675000  2.125000 5.925000 2.295000 ;
+      RECT 6.515000  2.135000 6.765000 2.295000 ;
+      RECT 6.935000  2.125000 7.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_4
+MACRO sky130_fd_sc_hd__edfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.465000 0.305000 10.795000 2.420000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.810000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.810000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.810000 0.995000 ;
+      RECT  8.640000  0.995000  9.510000 1.325000 ;
+      RECT  8.640000  1.325000  8.810000 2.165000 ;
+      RECT  8.980000  1.530000  9.880000 1.905000 ;
+      RECT  8.980000  2.135000  9.240000 2.635000 ;
+      RECT  9.050000  0.085000  9.365000 0.615000 ;
+      RECT  9.540000  1.905000  9.880000 2.465000 ;
+      RECT  9.550000  0.300000  9.880000 0.825000 ;
+      RECT  9.690000  0.825000  9.880000 1.530000 ;
+      RECT 10.050000  0.085000 10.295000 0.900000 ;
+      RECT 10.050000  1.465000 10.295000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.700000  0.765000  9.870000 0.935000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.575000 1.755000 0.865000 1.800000 ;
+      RECT 0.575000 1.800000 8.030000 1.940000 ;
+      RECT 0.575000 1.940000 0.865000 1.985000 ;
+      RECT 0.955000 1.415000 1.245000 1.460000 ;
+      RECT 0.955000 1.460000 8.450000 1.600000 ;
+      RECT 0.955000 1.600000 1.245000 1.645000 ;
+      RECT 1.295000 0.395000 4.415000 0.580000 ;
+      RECT 1.295000 0.580000 1.585000 0.625000 ;
+      RECT 3.745000 0.735000 4.035000 0.780000 ;
+      RECT 3.745000 0.780000 9.930000 0.920000 ;
+      RECT 3.745000 0.920000 4.035000 0.965000 ;
+      RECT 4.125000 0.580000 4.415000 0.625000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.150000 1.755000 5.440000 1.800000 ;
+      RECT 5.150000 1.940000 5.440000 1.985000 ;
+      RECT 7.740000 1.755000 8.030000 1.800000 ;
+      RECT 7.740000 1.940000 8.030000 1.985000 ;
+      RECT 8.160000 1.415000 8.450000 1.460000 ;
+      RECT 8.160000 1.600000 8.450000 1.645000 ;
+      RECT 9.640000 0.735000 9.930000 0.780000 ;
+      RECT 9.640000 0.920000 9.930000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxtp_1
+MACRO sky130_fd_sc_hd__ebufn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 0.620000 1.305000 0.995000 ;
+        RECT 0.970000 0.995000 1.430000 1.325000 ;
+        RECT 0.970000 1.325000 1.305000 1.695000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.995000 1.445000 9.575000 1.725000 ;
+        RECT 6.275000 0.615000 9.575000 0.855000 ;
+        RECT 9.325000 0.855000 9.575000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.445000 0.825000 ;
+      RECT 0.085000  1.785000 0.445000 2.635000 ;
+      RECT 0.600000  0.995000 0.800000 1.615000 ;
+      RECT 0.615000  0.280000 0.800000 0.995000 ;
+      RECT 0.615000  1.615000 0.800000 2.465000 ;
+      RECT 0.970000  0.085000 1.305000 0.445000 ;
+      RECT 0.970000  1.865000 1.305000 2.635000 ;
+      RECT 1.475000  0.255000 1.985000 0.825000 ;
+      RECT 1.475000  1.495000 1.825000 2.465000 ;
+      RECT 1.600000  0.825000 1.985000 1.025000 ;
+      RECT 1.600000  1.025000 5.925000 1.275000 ;
+      RECT 1.600000  1.275000 1.825000 1.495000 ;
+      RECT 1.995000  1.895000 9.575000 2.065000 ;
+      RECT 1.995000  2.065000 2.245000 2.465000 ;
+      RECT 2.155000  0.255000 2.485000 0.655000 ;
+      RECT 2.155000  0.655000 6.105000 0.855000 ;
+      RECT 2.415000  2.235000 2.745000 2.635000 ;
+      RECT 2.655000  0.085000 2.985000 0.485000 ;
+      RECT 2.915000  2.065000 3.085000 2.465000 ;
+      RECT 3.155000  0.275000 3.325000 0.655000 ;
+      RECT 3.255000  2.235000 3.585000 2.635000 ;
+      RECT 3.495000  0.085000 3.825000 0.485000 ;
+      RECT 3.755000  2.065000 3.925000 2.465000 ;
+      RECT 3.995000  0.255000 4.165000 0.655000 ;
+      RECT 4.095000  2.235000 4.425000 2.635000 ;
+      RECT 4.335000  0.085000 4.665000 0.485000 ;
+      RECT 4.595000  2.065000 4.765000 2.465000 ;
+      RECT 4.835000  0.275000 5.005000 0.655000 ;
+      RECT 4.935000  2.235000 5.265000 2.635000 ;
+      RECT 5.175000  0.085000 5.505000 0.485000 ;
+      RECT 5.435000  2.065000 9.575000 2.465000 ;
+      RECT 5.675000  0.255000 9.575000 0.445000 ;
+      RECT 5.675000  0.445000 6.105000 0.655000 ;
+      RECT 6.175000  1.025000 9.155000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.105000 0.775000 1.275000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.580000  1.105000 6.750000 1.275000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.075000 0.835000 1.120000 ;
+      RECT 0.545000 1.120000 6.810000 1.260000 ;
+      RECT 0.545000 1.260000 0.835000 1.305000 ;
+      RECT 6.520000 1.075000 6.810000 1.120000 ;
+      RECT 6.520000 1.260000 6.810000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_8
+MACRO sky130_fd_sc_hd__ebufn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.355000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 1.075000 1.240000 1.630000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.601000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 1.495000 3.595000 2.465000 ;
+        RECT 3.125000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 0.825000 3.595000 1.495000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.185000 0.825000 ;
+      RECT 0.085000  1.785000 0.740000 2.005000 ;
+      RECT 0.085000  2.005000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 0.525000  0.825000 0.740000 1.785000 ;
+      RECT 1.015000  0.255000 2.025000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.615000 ;
+      RECT 1.015000  1.800000 1.805000 2.005000 ;
+      RECT 1.015000  2.005000 1.270000 2.460000 ;
+      RECT 1.355000  0.635000 1.685000 0.885000 ;
+      RECT 1.410000  0.885000 1.685000 1.075000 ;
+      RECT 1.410000  1.075000 2.535000 1.325000 ;
+      RECT 1.410000  1.325000 1.805000 1.800000 ;
+      RECT 1.440000  2.175000 1.805000 2.635000 ;
+      RECT 1.855000  0.465000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 2.955000 0.905000 ;
+      RECT 2.195000  0.085000 2.955000 0.565000 ;
+      RECT 2.705000  0.905000 2.955000 0.995000 ;
+      RECT 2.705000  0.995000 3.085000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ebufn_1
+MACRO sky130_fd_sc_hd__ebufn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.425000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 1.445000 5.895000 1.725000 ;
+        RECT 4.145000 0.615000 5.895000 0.855000 ;
+        RECT 5.675000 0.855000 5.895000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.665000 ;
+      RECT 0.085000  0.665000 0.320000 1.765000 ;
+      RECT 0.085000  1.765000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.930000 0.595000 ;
+      RECT 0.515000  1.845000 0.930000 2.635000 ;
+      RECT 1.100000  0.255000 1.725000 0.595000 ;
+      RECT 1.100000  1.595000 1.725000 1.765000 ;
+      RECT 1.100000  1.765000 1.355000 2.465000 ;
+      RECT 1.450000  0.595000 1.725000 1.025000 ;
+      RECT 1.450000  1.025000 3.810000 1.275000 ;
+      RECT 1.450000  1.275000 1.725000 1.595000 ;
+      RECT 1.565000  1.935000 5.895000 2.105000 ;
+      RECT 1.565000  2.105000 1.810000 2.465000 ;
+      RECT 1.895000  0.255000 2.175000 0.655000 ;
+      RECT 1.895000  0.655000 3.975000 0.855000 ;
+      RECT 1.895000  1.895000 5.895000 1.935000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.345000  0.085000 2.675000 0.485000 ;
+      RECT 2.480000  2.105000 2.650000 2.465000 ;
+      RECT 2.820000  2.275000 3.150000 2.635000 ;
+      RECT 2.845000  0.275000 3.015000 0.655000 ;
+      RECT 3.185000  0.085000 3.515000 0.485000 ;
+      RECT 3.320000  2.105000 5.895000 2.465000 ;
+      RECT 3.685000  0.255000 5.735000 0.445000 ;
+      RECT 3.685000  0.445000 3.975000 0.655000 ;
+      RECT 3.980000  1.025000 5.505000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.105000 4.480000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 4.540000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 4.250000 1.075000 4.540000 1.120000 ;
+      RECT 4.250000 1.260000 4.540000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_4
+MACRO sky130_fd_sc_hd__ebufn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.275000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 1.445000 4.055000 1.625000 ;
+        RECT 1.905000 1.625000 3.625000 1.765000 ;
+        RECT 3.295000 0.635000 4.055000 0.855000 ;
+        RECT 3.295000 1.765000 3.625000 2.125000 ;
+        RECT 3.825000 0.855000 4.055000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 0.320000 1.845000 ;
+      RECT 0.085000  1.845000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.850000 0.595000 ;
+      RECT 0.515000  1.845000 0.950000 2.635000 ;
+      RECT 1.020000  0.255000 1.730000 0.595000 ;
+      RECT 1.120000  1.445000 1.735000 1.765000 ;
+      RECT 1.120000  1.765000 1.410000 2.465000 ;
+      RECT 1.450000  0.595000 1.730000 1.025000 ;
+      RECT 1.450000  1.025000 2.965000 1.275000 ;
+      RECT 1.450000  1.275000 1.735000 1.445000 ;
+      RECT 1.600000  1.935000 3.125000 2.105000 ;
+      RECT 1.600000  2.105000 1.810000 2.465000 ;
+      RECT 1.900000  0.255000 2.170000 0.655000 ;
+      RECT 1.900000  0.655000 3.125000 0.855000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.340000  0.085000 2.670000 0.485000 ;
+      RECT 2.480000  2.105000 3.125000 2.295000 ;
+      RECT 2.480000  2.295000 4.055000 2.465000 ;
+      RECT 2.840000  0.275000 4.050000 0.465000 ;
+      RECT 2.840000  0.465000 3.125000 0.655000 ;
+      RECT 3.245000  1.025000 3.655000 1.275000 ;
+      RECT 3.795000  1.795000 4.055000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.380000  1.105000 3.550000 1.275000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 3.610000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 3.320000 1.075000 3.610000 1.120000 ;
+      RECT 3.320000 1.260000 3.610000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_2
+MACRO sky130_fd_sc_hd__nor3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.075000 2.690000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 1.075000 4.300000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.285000 0.725000 ;
+        RECT 0.955000 0.725000 6.760000 0.905000 ;
+        RECT 1.795000 0.255000 2.125000 0.725000 ;
+        RECT 3.155000 0.255000 3.485000 0.725000 ;
+        RECT 3.995000 0.255000 4.325000 0.725000 ;
+        RECT 4.835000 0.255000 5.165000 0.725000 ;
+        RECT 4.875000 1.455000 6.760000 1.625000 ;
+        RECT 4.875000 1.625000 5.125000 2.125000 ;
+        RECT 5.675000 0.255000 6.005000 0.725000 ;
+        RECT 5.715000 1.625000 5.965000 2.125000 ;
+        RECT 6.420000 0.905000 6.760000 1.455000 ;
+        RECT 6.515000 0.315000 6.760000 0.725000 ;
+        RECT 6.555000 1.625000 6.760000 2.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.110000  0.255000 0.445000 0.735000 ;
+      RECT 0.110000  0.735000 0.785000 0.905000 ;
+      RECT 0.110000  1.455000 4.705000 1.625000 ;
+      RECT 0.110000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.905000 0.785000 1.455000 ;
+      RECT 0.995000  1.795000 4.285000 1.965000 ;
+      RECT 0.995000  1.965000 1.245000 2.465000 ;
+      RECT 1.415000  2.135000 1.665000 2.635000 ;
+      RECT 1.455000  0.085000 1.625000 0.555000 ;
+      RECT 1.835000  1.965000 2.085000 2.465000 ;
+      RECT 2.255000  2.135000 2.505000 2.635000 ;
+      RECT 2.295000  0.085000 2.985000 0.555000 ;
+      RECT 2.775000  2.135000 3.025000 2.295000 ;
+      RECT 2.775000  2.295000 6.385000 2.465000 ;
+      RECT 3.195000  1.965000 3.445000 2.125000 ;
+      RECT 3.615000  2.135000 3.865000 2.295000 ;
+      RECT 3.655000  0.085000 3.825000 0.555000 ;
+      RECT 4.035000  1.965000 4.285000 2.125000 ;
+      RECT 4.455000  1.795000 4.705000 2.295000 ;
+      RECT 4.495000  0.085000 4.665000 0.555000 ;
+      RECT 4.535000  1.075000 6.125000 1.285000 ;
+      RECT 4.535000  1.285000 4.705000 1.455000 ;
+      RECT 5.295000  1.795000 5.545000 2.295000 ;
+      RECT 5.335000  0.085000 5.505000 0.555000 ;
+      RECT 6.135000  1.795000 6.385000 2.295000 ;
+      RECT 6.175000  0.085000 6.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_4
+MACRO sky130_fd_sc_hd__nor3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.640000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.030000 1.075000 4.515000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.105000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 2.815000 0.905000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.480000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.375000  1.455000 2.645000 2.295000 ;
+      RECT 3.235000  1.075000 3.860000 1.285000 ;
+      RECT 3.235000  1.455000 3.480000 2.295000 ;
+      RECT 3.275000  0.085000 3.480000 0.895000 ;
+      RECT 3.690000  0.380000 4.045000 0.905000 ;
+      RECT 3.690000  0.905000 3.860000 1.075000 ;
+      RECT 3.690000  1.285000 3.860000 1.455000 ;
+      RECT 3.690000  1.455000 4.045000 1.870000 ;
+      RECT 4.215000  0.085000 4.505000 0.825000 ;
+      RECT 4.215000  1.540000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_2
+MACRO sky130_fd_sc_hd__nor3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.475000 0.995000 1.815000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.995000 1.305000 1.615000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.335000 1.615000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.716500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 0.655000 ;
+        RECT 0.085000 0.655000 1.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.255000 1.445000 ;
+        RECT 0.085000 1.445000 0.545000 2.455000 ;
+        RECT 1.275000 0.310000 1.445000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.425000  1.075000 0.885000 1.245000 ;
+      RECT 0.715000  1.245000 0.885000 1.785000 ;
+      RECT 0.715000  1.785000 2.675000 1.955000 ;
+      RECT 0.775000  0.085000 1.105000 0.485000 ;
+      RECT 1.615000  0.085000 1.945000 0.825000 ;
+      RECT 1.615000  2.125000 1.945000 2.635000 ;
+      RECT 2.180000  0.405000 2.350000 0.655000 ;
+      RECT 2.180000  0.655000 2.675000 0.825000 ;
+      RECT 2.505000  0.825000 2.675000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_1
+MACRO sky130_fd_sc_hd__einvn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.275000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.325000 1.385000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.694800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.445000 3.135000 1.695000 ;
+        RECT 2.365000 0.595000 2.695000 0.845000 ;
+        RECT 2.365000 0.845000 2.615000 1.445000 ;
+        RECT 2.785000 1.695000 3.135000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.840000 0.825000 ;
+      RECT 0.085000  1.555000 0.895000 1.725000 ;
+      RECT 0.085000  1.725000 0.345000 2.465000 ;
+      RECT 0.495000  0.825000 0.840000 0.995000 ;
+      RECT 0.495000  0.995000 2.035000 1.275000 ;
+      RECT 0.495000  1.275000 0.895000 1.555000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  1.895000 0.895000 2.635000 ;
+      RECT 1.015000  0.255000 1.280000 0.655000 ;
+      RECT 1.015000  0.655000 2.195000 0.825000 ;
+      RECT 1.070000  1.445000 1.775000 1.865000 ;
+      RECT 1.070000  1.865000 2.615000 2.085000 ;
+      RECT 1.070000  2.085000 1.240000 2.465000 ;
+      RECT 1.410000  2.255000 2.275000 2.635000 ;
+      RECT 1.450000  0.085000 1.780000 0.485000 ;
+      RECT 1.950000  0.255000 3.135000 0.425000 ;
+      RECT 1.950000  0.425000 2.195000 0.655000 ;
+      RECT 2.445000  2.085000 2.615000 2.465000 ;
+      RECT 2.865000  0.425000 3.135000 0.775000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_2
+MACRO sky130_fd_sc_hd__einvn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.530000 0.620000 4.975000 1.325000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.620000 4.360000 1.480000 ;
+        RECT 3.190000 1.480000 3.520000 2.075000 ;
+        RECT 4.030000 1.480000 4.360000 2.075000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.845000 0.825000 ;
+      RECT 0.085000  1.495000 0.845000 1.665000 ;
+      RECT 0.085000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 3.020000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 2.995000 0.825000 ;
+      RECT 1.015000  1.495000 3.020000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.640000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.810000  1.665000 3.020000 2.295000 ;
+      RECT 2.810000  2.295000 4.975000 2.465000 ;
+      RECT 2.825000  0.255000 4.975000 0.450000 ;
+      RECT 2.825000  0.450000 2.995000 0.655000 ;
+      RECT 3.690000  1.650000 3.860000 2.295000 ;
+      RECT 4.530000  1.650000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_4
+MACRO sky130_fd_sc_hd__einvn_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.765000 1.755000 1.955000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.650000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.275600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.160000 0.255000 1.755000 0.595000 ;
+        RECT 1.160000 0.595000 1.330000 2.125000 ;
+        RECT 1.160000 2.125000 1.755000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.360000 0.655000 ;
+      RECT 0.085000  0.655000 0.990000 0.825000 ;
+      RECT 0.085000  1.895000 0.990000 2.065000 ;
+      RECT 0.085000  2.065000 0.400000 2.465000 ;
+      RECT 0.530000  0.085000 0.990000 0.485000 ;
+      RECT 0.570000  2.235000 0.990000 2.635000 ;
+      RECT 0.820000  0.825000 0.990000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_0
+MACRO sky130_fd_sc_hd__einvn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.765000 2.215000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.510000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 1.785000 2.215000 2.465000 ;
+        RECT 1.620000 0.255000 2.215000 0.595000 ;
+        RECT 1.620000 0.595000 1.800000 1.785000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.370000 0.615000 ;
+      RECT 0.085000  0.615000 1.450000 0.785000 ;
+      RECT 0.085000  1.895000 0.870000 2.065000 ;
+      RECT 0.085000  2.065000 0.370000 2.465000 ;
+      RECT 0.540000  0.085000 1.440000 0.445000 ;
+      RECT 0.540000  2.235000 0.870000 2.635000 ;
+      RECT 0.685000  0.785000 1.450000 1.615000 ;
+      RECT 0.685000  1.615000 0.870000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_1
+MACRO sky130_fd_sc_hd__einvn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 0.995000 7.800000 1.285000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.620000 8.195000 0.825000 ;
+        RECT 4.870000 1.455000 8.195000 1.625000 ;
+        RECT 4.870000 1.625000 5.200000 2.125000 ;
+        RECT 5.710000 1.625000 6.040000 2.125000 ;
+        RECT 6.550000 1.625000 6.880000 2.125000 ;
+        RECT 7.390000 1.625000 7.720000 2.125000 ;
+        RECT 7.970000 0.825000 8.195000 1.455000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 0.845000 0.825000 ;
+      RECT 0.090000  1.495000 0.845000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 4.475000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 4.700000 0.825000 ;
+      RECT 1.015000  1.495000 4.700000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.580000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.750000  1.665000 2.920000 2.465000 ;
+      RECT 2.795000  0.255000 2.965000 0.655000 ;
+      RECT 3.090000  1.835000 3.420000 2.635000 ;
+      RECT 3.135000  0.085000 3.465000 0.485000 ;
+      RECT 3.590000  1.665000 3.760000 2.465000 ;
+      RECT 3.635000  0.255000 3.805000 0.655000 ;
+      RECT 3.930000  1.835000 4.280000 2.635000 ;
+      RECT 3.975000  0.085000 4.315000 0.485000 ;
+      RECT 4.450000  1.665000 4.700000 2.295000 ;
+      RECT 4.450000  2.295000 8.195000 2.465000 ;
+      RECT 4.485000  0.255000 8.195000 0.450000 ;
+      RECT 4.485000  0.450000 4.700000 0.655000 ;
+      RECT 5.370000  1.795000 5.540000 2.295000 ;
+      RECT 6.210000  1.795000 6.380000 2.295000 ;
+      RECT 7.050000  1.795000 7.220000 2.295000 ;
+      RECT 7.890000  1.795000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_8
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+MACRO sky130_fd_sc_hd__a41o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.995000 1.915000 1.325000 ;
+        RECT 1.535000 1.325000 1.835000 1.620000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.700000 0.415000 2.650000 0.600000 ;
+        RECT 2.225000 0.600000 2.445000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.995000 3.085000 1.625000 ;
+        RECT 2.880000 0.395000 3.085000 0.995000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 0.995000 3.570000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.635000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.300000 0.425000 0.560000 ;
+        RECT 0.085000 0.560000 0.345000 2.165000 ;
+        RECT 0.085000 2.165000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  0.735000 1.530000 0.810000 ;
+      RECT 0.515000  0.810000 1.335000 0.905000 ;
+      RECT 0.515000  0.905000 0.685000 1.825000 ;
+      RECT 0.515000  1.825000 1.365000 1.995000 ;
+      RECT 0.595000  0.085000 0.925000 0.565000 ;
+      RECT 0.595000  2.175000 0.845000 2.635000 ;
+      RECT 1.035000  1.995000 1.365000 2.425000 ;
+      RECT 1.115000  0.300000 1.530000 0.735000 ;
+      RECT 1.535000  1.795000 3.505000 1.965000 ;
+      RECT 1.535000  1.965000 1.705000 2.465000 ;
+      RECT 1.915000  2.175000 2.165000 2.635000 ;
+      RECT 2.375000  1.965000 2.545000 2.465000 ;
+      RECT 2.845000  2.175000 3.095000 2.635000 ;
+      RECT 3.255000  0.085000 3.595000 0.810000 ;
+      RECT 3.335000  1.965000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_1
+MACRO sky130_fd_sc_hd__a41o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.785000 0.730000 4.005000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.085000 1.075000 3.550000 1.245000 ;
+        RECT 3.335000 0.745000 3.550000 1.075000 ;
+        RECT 3.335000 1.245000 3.550000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 2.855000 1.435000 ;
+        RECT 2.685000 1.435000 3.090000 1.625000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 2.335000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.730000 1.295000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.295000 0.765000 0.755000 ;
+        RECT 0.595000 0.755000 0.785000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.980000  0.635000 2.545000 0.805000 ;
+      RECT 0.980000  0.805000 1.150000 1.495000 ;
+      RECT 0.980000  1.495000 1.785000 1.665000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  1.665000 1.785000 2.425000 ;
+      RECT 1.495000  0.255000 1.705000 0.635000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 1.955000  1.795000 3.965000 1.965000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.335000  2.175000 2.585000 2.635000 ;
+      RECT 2.375000  0.295000 4.045000 0.465000 ;
+      RECT 2.375000  0.465000 2.545000 0.635000 ;
+      RECT 2.795000  1.965000 2.965000 2.465000 ;
+      RECT 3.335000  2.175000 3.585000 2.635000 ;
+      RECT 3.795000  1.965000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_2
+MACRO sky130_fd_sc_hd__a41o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.065000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 4.975000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.075000 6.185000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.495000 1.075000 7.505000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.075000 3.145000 1.280000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.635000 1.605000 0.805000 ;
+        RECT 0.150000 0.805000 0.320000 1.575000 ;
+        RECT 0.150000 1.575000 1.605000 1.745000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.490000  1.075000 1.945000 1.245000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.125000 0.465000 ;
+      RECT 1.775000  0.645000 3.905000 0.815000 ;
+      RECT 1.775000  0.815000 1.945000 1.075000 ;
+      RECT 1.775000  1.245000 1.945000 1.455000 ;
+      RECT 1.775000  1.455000 2.965000 1.625000 ;
+      RECT 1.775000  1.915000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 2.465000 0.645000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.375000  2.295000 3.405000 2.465000 ;
+      RECT 2.635000  0.085000 2.965000 0.465000 ;
+      RECT 2.715000  1.955000 3.045000 2.125000 ;
+      RECT 2.795000  1.625000 2.965000 1.955000 ;
+      RECT 3.155000  0.295000 4.245000 0.465000 ;
+      RECT 3.235000  1.535000 7.370000 1.705000 ;
+      RECT 3.235000  1.705000 3.405000 2.295000 ;
+      RECT 3.575000  1.915000 3.905000 2.635000 ;
+      RECT 4.075000  0.465000 4.245000 0.645000 ;
+      RECT 4.075000  0.645000 5.165000 0.815000 ;
+      RECT 4.075000  1.705000 4.245000 2.465000 ;
+      RECT 4.415000  0.295000 6.105000 0.465000 ;
+      RECT 4.415000  1.915000 4.745000 2.635000 ;
+      RECT 4.935000  1.705000 5.105000 2.465000 ;
+      RECT 5.345000  1.915000 6.035000 2.635000 ;
+      RECT 5.355000  0.645000 7.285000 0.815000 ;
+      RECT 6.275000  1.705000 6.445000 2.465000 ;
+      RECT 6.615000  0.085000 6.945000 0.465000 ;
+      RECT 6.615000  1.915000 6.945000 2.635000 ;
+      RECT 7.115000  0.255000 7.285000 0.645000 ;
+      RECT 7.115000  1.705000 7.285000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_4
+MACRO sky130_fd_sc_hd__a21boi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.765000 2.170000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.340000 0.765000 2.615000 1.435000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.470000 1.200000 0.895000 1.955000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.392200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.200000 1.610000 1.655000 ;
+        RECT 1.065000 1.655000 1.305000 2.465000 ;
+        RECT 1.315000 0.255000 1.610000 1.200000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.280000 0.380000 0.780000 ;
+      RECT 0.095000  0.780000 1.145000 1.030000 ;
+      RECT 0.095000  1.030000 0.300000 2.085000 ;
+      RECT 0.095000  2.085000 0.355000 2.465000 ;
+      RECT 0.525000  2.175000 0.855000 2.635000 ;
+      RECT 0.550000  0.085000 1.145000 0.610000 ;
+      RECT 1.475000  1.825000 2.665000 2.005000 ;
+      RECT 1.475000  2.005000 1.805000 2.465000 ;
+      RECT 1.975000  2.175000 2.165000 2.635000 ;
+      RECT 2.335000  0.085000 2.665000 0.595000 ;
+      RECT 2.335000  2.005000 2.665000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_0
+MACRO sky130_fd_sc_hd__a21boi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.065000 4.970000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.030000 1.065000 3.375000 1.480000 ;
+        RECT 3.030000 1.480000 6.450000 1.705000 ;
+        RECT 5.205000 1.075000 6.450000 1.480000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.650000 1.615000 ;
+        RECT 0.480000 0.995000 0.650000 1.075000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 0.370000 1.465000 0.615000 ;
+        RECT 1.275000 0.615000 2.325000 0.695000 ;
+        RECT 1.275000 0.695000 4.885000 0.865000 ;
+        RECT 1.560000 1.585000 2.860000 1.705000 ;
+        RECT 1.560000 1.705000 2.725000 2.035000 ;
+        RECT 2.135000 0.255000 2.325000 0.615000 ;
+        RECT 2.570000 0.865000 4.885000 0.895000 ;
+        RECT 2.570000 0.895000 2.860000 1.585000 ;
+        RECT 3.255000 0.675000 4.885000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.615000 ;
+      RECT 0.090000  0.615000 1.105000 0.795000 ;
+      RECT 0.125000  1.785000 0.990000 2.005000 ;
+      RECT 0.125000  2.005000 0.455000 2.465000 ;
+      RECT 0.625000  2.175000 0.885000 2.635000 ;
+      RECT 0.720000  0.085000 1.105000 0.445000 ;
+      RECT 0.820000  0.795000 1.105000 1.035000 ;
+      RECT 0.820000  1.035000 2.400000 1.345000 ;
+      RECT 0.820000  1.345000 0.990000 1.785000 ;
+      RECT 1.160000  1.795000 1.355000 2.215000 ;
+      RECT 1.160000  2.215000 3.095000 2.465000 ;
+      RECT 1.635000  0.085000 1.965000 0.445000 ;
+      RECT 1.935000  2.205000 3.095000 2.215000 ;
+      RECT 2.495000  0.085000 3.085000 0.525000 ;
+      RECT 2.895000  1.875000 6.605000 2.105000 ;
+      RECT 2.895000  2.105000 3.095000 2.205000 ;
+      RECT 3.265000  0.255000 5.315000 0.505000 ;
+      RECT 3.265000  2.275000 3.595000 2.635000 ;
+      RECT 4.125000  2.275000 4.455000 2.635000 ;
+      RECT 4.625000  2.105000 4.815000 2.465000 ;
+      RECT 4.985000  2.275000 5.315000 2.635000 ;
+      RECT 5.055000  0.505000 5.315000 0.735000 ;
+      RECT 5.055000  0.735000 6.175000 0.905000 ;
+      RECT 5.485000  0.085000 5.675000 0.565000 ;
+      RECT 5.485000  2.105000 5.665000 2.465000 ;
+      RECT 5.845000  0.255000 6.175000 0.735000 ;
+      RECT 5.845000  2.275000 6.175000 2.635000 ;
+      RECT 6.345000  0.085000 6.605000 0.885000 ;
+      RECT 6.345000  2.105000 6.605000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_4
+MACRO sky130_fd_sc_hd__a21boi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.995000 2.155000 1.345000 ;
+        RECT 1.945000 0.375000 2.155000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.995000 2.640000 1.345000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.975000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.045000 1.580000 1.345000 ;
+        RECT 1.045000 1.345000 1.375000 2.455000 ;
+        RECT 1.335000 0.265000 1.765000 0.795000 ;
+        RECT 1.335000 0.795000 1.580000 1.045000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.845000 0.855000 2.045000 ;
+      RECT 0.095000  2.045000 0.355000 2.435000 ;
+      RECT 0.365000  0.265000 0.745000 0.715000 ;
+      RECT 0.515000  0.715000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.525000  2.225000 0.855000 2.635000 ;
+      RECT 0.925000  0.085000 1.155000 0.865000 ;
+      RECT 1.545000  1.525000 2.585000 1.725000 ;
+      RECT 1.545000  1.725000 1.735000 2.455000 ;
+      RECT 1.905000  1.905000 2.235000 2.635000 ;
+      RECT 2.325000  0.085000 2.655000 0.815000 ;
+      RECT 2.415000  1.725000 2.585000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_1
+MACRO sky130_fd_sc_hd__a21boi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.605000 0.995000 3.215000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 2.425000 1.245000 ;
+        RECT 2.100000 1.245000 2.425000 1.495000 ;
+        RECT 2.100000 1.495000 3.675000 1.675000 ;
+        RECT 3.385000 1.035000 3.795000 1.295000 ;
+        RECT 3.385000 1.295000 3.675000 1.495000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.765000 0.425000 1.805000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.255000 1.720000 0.615000 ;
+        RECT 1.520000 0.615000 3.060000 0.785000 ;
+        RECT 1.520000 0.785000 1.715000 2.115000 ;
+        RECT 2.730000 0.255000 3.060000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  2.080000 0.425000 2.635000 ;
+      RECT 0.265000  0.360000 0.795000 0.530000 ;
+      RECT 0.595000  0.530000 0.795000 1.070000 ;
+      RECT 0.595000  1.070000 1.325000 1.285000 ;
+      RECT 0.595000  1.285000 0.855000 2.265000 ;
+      RECT 0.985000  0.085000 1.225000 0.885000 ;
+      RECT 1.045000  1.795000 1.350000 2.285000 ;
+      RECT 1.045000  2.285000 2.215000 2.465000 ;
+      RECT 1.885000  1.855000 3.920000 2.025000 ;
+      RECT 1.885000  2.025000 2.215000 2.285000 ;
+      RECT 1.940000  0.085000 2.270000 0.445000 ;
+      RECT 2.385000  2.195000 2.555000 2.635000 ;
+      RECT 2.810000  2.025000 3.920000 2.105000 ;
+      RECT 2.810000  2.105000 2.980000 2.465000 ;
+      RECT 3.160000  2.275000 3.490000 2.635000 ;
+      RECT 3.635000  0.085000 3.930000 0.865000 ;
+      RECT 3.660000  2.105000 3.920000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_2
+MACRO sky130_fd_sc_hd__a22oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 3.100000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 1.075000 4.500000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.485000 2.160000 1.655000 ;
+        RECT 0.095000 1.655000 0.345000 2.465000 ;
+        RECT 0.935000 1.655000 1.265000 2.125000 ;
+        RECT 1.355000 0.675000 3.045000 0.845000 ;
+        RECT 1.775000 1.655000 2.160000 2.125000 ;
+        RECT 1.870000 0.845000 2.160000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 0.345000 0.680000 ;
+      RECT 0.095000  0.680000 1.185000 0.850000 ;
+      RECT 0.515000  0.085000 0.845000 0.510000 ;
+      RECT 0.515000  1.825000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 2.625000 2.465000 ;
+      RECT 1.015000  0.255000 2.105000 0.505000 ;
+      RECT 1.015000  0.505000 1.185000 0.680000 ;
+      RECT 1.435000  1.825000 1.605000 2.295000 ;
+      RECT 2.295000  0.255000 3.385000 0.505000 ;
+      RECT 2.375000  1.485000 4.305000 1.655000 ;
+      RECT 2.375000  1.655000 2.625000 2.295000 ;
+      RECT 2.795000  1.825000 2.965000 2.635000 ;
+      RECT 3.135000  1.655000 3.465000 2.465000 ;
+      RECT 3.215000  0.505000 3.385000 0.680000 ;
+      RECT 3.215000  0.680000 4.375000 0.850000 ;
+      RECT 3.555000  0.085000 3.885000 0.510000 ;
+      RECT 3.635000  1.825000 3.805000 2.635000 ;
+      RECT 3.975000  1.655000 4.305000 2.465000 ;
+      RECT 4.055000  0.255000 4.375000 0.680000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_2
+MACRO sky130_fd_sc_hd__a22oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 5.685000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.910000 1.075000 7.735000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.040000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.895000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.445000 3.325000 1.625000 ;
+        RECT 0.595000 1.625000 0.805000 2.125000 ;
+        RECT 1.395000 1.625000 1.645000 2.125000 ;
+        RECT 2.195000 0.645000 5.565000 0.885000 ;
+        RECT 2.195000 0.885000 2.445000 1.445000 ;
+        RECT 2.235000 1.625000 2.485000 2.125000 ;
+        RECT 3.075000 1.625000 3.325000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.455000 0.425000 2.295000 ;
+      RECT 0.090000  2.295000 4.265000 2.465000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 2.025000 0.905000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.795000 1.225000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 3.785000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.725000 ;
+      RECT 1.815000  1.795000 2.065000 2.295000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 3.495000  1.455000 7.625000 1.625000 ;
+      RECT 3.495000  1.625000 4.265000 2.295000 ;
+      RECT 3.975000  0.255000 5.985000 0.475000 ;
+      RECT 4.435000  1.795000 4.685000 2.635000 ;
+      RECT 4.855000  1.625000 5.105000 2.465000 ;
+      RECT 5.275000  1.795000 5.525000 2.635000 ;
+      RECT 5.695000  1.625000 5.945000 2.465000 ;
+      RECT 5.735000  0.475000 5.985000 0.725000 ;
+      RECT 5.735000  0.725000 7.665000 0.905000 ;
+      RECT 6.115000  1.795000 6.365000 2.635000 ;
+      RECT 6.155000  0.085000 6.325000 0.555000 ;
+      RECT 6.495000  0.255000 6.825000 0.725000 ;
+      RECT 6.535000  1.625000 6.785000 2.465000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 6.995000  0.085000 7.165000 0.555000 ;
+      RECT 7.335000  0.255000 7.665000 0.725000 ;
+      RECT 7.375000  1.625000 7.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_4
+MACRO sky130_fd_sc_hd__a22oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.675000 1.700000 1.075000 ;
+        RECT 1.490000 1.075000 1.840000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.995000 2.335000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.275000 ;
+        RECT 0.990000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.858000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.445000 1.840000 1.495000 ;
+        RECT 0.095000 1.495000 2.675000 1.625000 ;
+        RECT 0.095000 1.625000 0.425000 2.295000 ;
+        RECT 0.095000 2.295000 1.265000 2.465000 ;
+        RECT 0.820000 0.255000 2.125000 0.505000 ;
+        RECT 0.935000 2.255000 1.265000 2.295000 ;
+        RECT 1.615000 1.625000 2.675000 1.665000 ;
+        RECT 1.945000 0.505000 2.125000 0.655000 ;
+        RECT 1.945000 0.655000 2.675000 0.825000 ;
+        RECT 2.505000 0.825000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.595000 ;
+      RECT 0.595000  1.795000 1.475000 1.835000 ;
+      RECT 0.595000  1.835000 2.125000 2.035000 ;
+      RECT 0.595000  2.035000 1.210000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 1.435000  2.255000 1.810000 2.635000 ;
+      RECT 1.955000  2.035000 2.125000 2.165000 ;
+      RECT 2.305000  0.085000 2.635000 0.485000 ;
+      RECT 2.360000  1.855000 2.625000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_1
+MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvpwrvgnd_1
+MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0n_1
+MACRO sky130_fd_sc_hd__or4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.640000 0.995000 3.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.505000 2.125000 3.145000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.415000 4.080000 0.760000 ;
+        RECT 3.805000 1.495000 4.080000 2.465000 ;
+        RECT 3.910000 0.760000 4.080000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.865000 ;
+      RECT 0.085000  1.865000 1.940000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.660000  0.085000 0.830000 0.825000 ;
+      RECT 0.995000  1.525000 1.600000 1.695000 ;
+      RECT 1.080000  0.450000 1.250000 0.655000 ;
+      RECT 1.080000  0.655000 1.600000 0.825000 ;
+      RECT 1.410000  0.825000 1.600000 1.075000 ;
+      RECT 1.410000  1.075000 1.855000 1.245000 ;
+      RECT 1.410000  1.245000 1.600000 1.525000 ;
+      RECT 1.495000  0.085000 1.850000 0.485000 ;
+      RECT 1.535000  2.205000 2.280000 2.375000 ;
+      RECT 1.770000  1.415000 2.420000 1.585000 ;
+      RECT 1.770000  1.585000 1.940000 1.865000 ;
+      RECT 2.025000  0.305000 2.195000 0.655000 ;
+      RECT 2.025000  0.655000 3.635000 0.825000 ;
+      RECT 2.110000  1.785000 3.145000 1.955000 ;
+      RECT 2.110000  1.955000 2.280000 2.205000 ;
+      RECT 2.250000  0.995000 2.420000 1.415000 ;
+      RECT 2.395000  0.085000 2.725000 0.485000 ;
+      RECT 2.895000  0.305000 3.065000 0.655000 ;
+      RECT 2.975000  1.495000 3.635000 1.665000 ;
+      RECT 2.975000  1.665000 3.145000 1.785000 ;
+      RECT 3.235000  0.085000 3.615000 0.485000 ;
+      RECT 3.315000  1.835000 3.595000 2.635000 ;
+      RECT 3.465000  0.825000 3.635000 0.995000 ;
+      RECT 3.465000  0.995000 3.740000 1.325000 ;
+      RECT 3.465000  1.325000 3.635000 1.495000 ;
+      RECT 4.250000  0.085000 4.420000 1.025000 ;
+      RECT 4.250000  1.440000 4.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_2
+MACRO sky130_fd_sc_hd__or4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.235000 0.995000 3.405000 1.445000 ;
+        RECT 3.235000 1.445000 3.670000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 0.995000 3.005000 1.450000 ;
+        RECT 2.795000 1.450000 3.005000 1.785000 ;
+        RECT 2.795000 1.785000 3.115000 2.375000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.875000 1.455000 5.435000 1.625000 ;
+        RECT 3.875000 1.625000 4.125000 2.465000 ;
+        RECT 3.915000 0.255000 4.165000 0.725000 ;
+        RECT 3.915000 0.725000 5.435000 0.905000 ;
+        RECT 4.675000 0.255000 5.005000 0.725000 ;
+        RECT 4.715000 1.625000 4.965000 2.465000 ;
+        RECT 5.205000 0.905000 5.435000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.295000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.595000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.595000 0.825000 ;
+      RECT 1.125000  2.035000 1.295000 2.295000 ;
+      RECT 1.125000  2.295000 2.445000 2.465000 ;
+      RECT 1.405000  0.825000 1.595000 0.995000 ;
+      RECT 1.405000  0.995000 1.695000 1.325000 ;
+      RECT 1.405000  1.325000 1.595000 1.525000 ;
+      RECT 1.510000  1.955000 2.105000 2.125000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 1.935000  0.655000 3.745000 0.825000 ;
+      RECT 1.935000  0.825000 2.105000 1.955000 ;
+      RECT 2.095000  0.305000 2.265000 0.655000 ;
+      RECT 2.275000  0.995000 2.445000 2.295000 ;
+      RECT 2.465000  0.085000 2.795000 0.485000 ;
+      RECT 2.965000  0.305000 3.135000 0.655000 ;
+      RECT 3.355000  0.085000 3.735000 0.485000 ;
+      RECT 3.400000  1.795000 3.650000 2.635000 ;
+      RECT 3.575000  0.825000 3.745000 1.075000 ;
+      RECT 3.575000  1.075000 5.035000 1.245000 ;
+      RECT 4.295000  1.795000 4.545000 2.635000 ;
+      RECT 4.335000  0.085000 4.505000 0.555000 ;
+      RECT 5.135000  1.795000 5.385000 2.635000 ;
+      RECT 5.175000  0.085000 5.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_4
+MACRO sky130_fd_sc_hd__or4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 3.270000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 2.125000 3.120000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 0.415000 4.055000 0.760000 ;
+        RECT 3.780000 1.495000 4.055000 2.465000 ;
+        RECT 3.885000 0.760000 4.055000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.915000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.575000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 1.830000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.470000  0.085000 1.845000 0.485000 ;
+      RECT 1.510000  2.205000 2.255000 2.375000 ;
+      RECT 1.745000  1.415000 2.395000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.865000 ;
+      RECT 2.015000  0.305000 2.185000 0.655000 ;
+      RECT 2.015000  0.655000 3.610000 0.825000 ;
+      RECT 2.085000  1.785000 3.120000 1.955000 ;
+      RECT 2.085000  1.955000 2.255000 2.205000 ;
+      RECT 2.225000  0.995000 2.395000 1.415000 ;
+      RECT 2.370000  0.085000 2.700000 0.485000 ;
+      RECT 2.870000  0.305000 3.040000 0.655000 ;
+      RECT 2.950000  1.495000 3.610000 1.665000 ;
+      RECT 2.950000  1.665000 3.120000 1.785000 ;
+      RECT 3.210000  0.085000 3.590000 0.485000 ;
+      RECT 3.290000  1.835000 3.570000 2.635000 ;
+      RECT 3.440000  0.825000 3.610000 0.995000 ;
+      RECT 3.440000  0.995000 3.715000 1.325000 ;
+      RECT 3.440000  1.325000 3.610000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_1
+MACRO sky130_fd_sc_hd__mux2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 0.765000 2.445000 1.280000 ;
+        RECT 2.275000 1.280000 2.445000 1.315000 ;
+        RECT 2.275000 1.315000 3.090000 1.625000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.625000 0.735000 3.090000 1.025000 ;
+        RECT 2.900000 0.420000 3.090000 0.735000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 0.755000 3.550000 1.625000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.765000 0.750000 ;
+        RECT 0.515000 0.750000 0.685000 1.595000 ;
+        RECT 0.515000 1.595000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.855000  0.995000 1.165000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.995000  0.635000 1.605000 0.805000 ;
+      RECT 0.995000  0.805000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.835000 ;
+      RECT 0.995000  1.835000 1.655000 2.005000 ;
+      RECT 1.025000  2.175000 1.315000 2.635000 ;
+      RECT 1.335000  0.995000 1.505000 1.495000 ;
+      RECT 1.335000  1.495000 1.995000 1.665000 ;
+      RECT 1.435000  0.295000 2.730000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.635000 ;
+      RECT 1.485000  2.005000 1.655000 2.255000 ;
+      RECT 1.485000  2.255000 2.795000 2.425000 ;
+      RECT 1.825000  1.665000 1.995000 1.835000 ;
+      RECT 1.825000  1.835000 4.050000 2.005000 ;
+      RECT 3.325000  2.175000 3.545000 2.635000 ;
+      RECT 3.350000  0.085000 3.550000 0.585000 ;
+      RECT 3.715000  2.005000 4.050000 2.465000 ;
+      RECT 3.720000  0.255000 4.050000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_2
+MACRO sky130_fd_sc_hd__mux2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.180000 0.645000 6.895000 0.815000 ;
+        RECT 5.180000 0.815000 5.350000 1.325000 ;
+        RECT 5.305000 0.425000 5.890000 0.645000 ;
+        RECT 6.725000 0.815000 6.895000 0.995000 ;
+        RECT 6.725000 0.995000 7.195000 1.165000 ;
+        RECT 7.025000 1.165000 7.195000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.105000 4.475000 1.275000 ;
+        RECT 4.305000 0.995000 4.475000 1.105000 ;
+        RECT 4.305000 1.275000 4.475000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.290000 1.105000 4.460000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 0.995000 8.245000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.960000 1.105000 8.130000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.230000 1.075000 4.520000 1.120000 ;
+        RECT 4.230000 1.120000 8.190000 1.260000 ;
+        RECT 4.230000 1.260000 4.520000 1.305000 ;
+        RECT 7.900000 1.075000 8.190000 1.120000 ;
+        RECT 7.900000 1.260000 8.190000 1.305000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.739500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.795000 0.995000 3.965000 1.495000 ;
+        RECT 3.795000 1.495000 6.035000 1.665000 ;
+        RECT 5.670000 0.995000 6.035000 1.495000 ;
+      LAYER mcon ;
+        RECT 5.670000 1.445000 5.840000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.215000 0.995000 9.510000 1.615000 ;
+      LAYER mcon ;
+        RECT 9.340000 1.445000 9.510000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.610000 1.415000 5.900000 1.460000 ;
+        RECT 5.610000 1.460000 9.570000 1.600000 ;
+        RECT 5.610000 1.600000 5.900000 1.645000 ;
+        RECT 9.280000 1.415000 9.570000 1.460000 ;
+        RECT 9.280000 1.600000 9.570000 1.645000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 3.285000 0.805000 ;
+        RECT 0.595000 0.805000 0.815000 1.575000 ;
+        RECT 0.595000 1.575000 3.285000 1.745000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.295000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+        RECT 2.275000 0.255000 2.445000 0.635000 ;
+        RECT 2.275000 1.745000 2.445000 2.465000 ;
+        RECT 3.115000 0.295000 3.285000 0.635000 ;
+        RECT 3.115000 1.745000 3.285000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.090000  1.915000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 0.985000  1.075000 3.625000 1.245000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.465000 ;
+      RECT 3.455000  0.635000 4.920000 0.805000 ;
+      RECT 3.455000  0.805000 3.625000 1.075000 ;
+      RECT 3.455000  1.245000 3.625000 1.835000 ;
+      RECT 3.455000  1.835000 8.225000 2.005000 ;
+      RECT 3.455000  2.255000 3.785000 2.635000 ;
+      RECT 3.955000  0.295000 5.125000 0.465000 ;
+      RECT 3.955000  2.255000 5.905000 2.425000 ;
+      RECT 4.750000  0.805000 4.920000 0.935000 ;
+      RECT 6.060000  0.085000 6.390000 0.465000 ;
+      RECT 6.075000  2.175000 6.245000 2.635000 ;
+      RECT 6.345000  0.995000 6.515000 1.495000 ;
+      RECT 6.345000  1.495000 8.855000 1.665000 ;
+      RECT 6.480000  2.255000 8.645000 2.425000 ;
+      RECT 6.575000  0.295000 7.865000 0.465000 ;
+      RECT 7.115000  0.635000 7.670000 0.805000 ;
+      RECT 7.500000  0.805000 7.670000 0.935000 ;
+      RECT 8.685000  0.645000 9.485000 0.815000 ;
+      RECT 8.685000  0.815000 8.855000 1.495000 ;
+      RECT 8.685000  1.665000 8.855000 1.915000 ;
+      RECT 8.685000  1.915000 9.485000 2.085000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 9.315000  0.295000 9.485000 0.645000 ;
+      RECT 9.315000  1.795000 9.485000 1.915000 ;
+      RECT 9.315000  2.085000 9.485000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 4.750000  0.765000 4.920000 0.935000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.500000  0.765000 7.670000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.690000 0.735000 4.980000 0.780000 ;
+      RECT 4.690000 0.780000 7.730000 0.920000 ;
+      RECT 4.690000 0.920000 4.980000 0.965000 ;
+      RECT 7.440000 0.735000 7.730000 0.780000 ;
+      RECT 7.440000 0.920000 7.730000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2_8
+MACRO sky130_fd_sc_hd__mux2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.255000 2.265000 1.415000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 0.815000 1.785000 1.615000 ;
+        RECT 1.615000 1.615000 2.625000 1.785000 ;
+        RECT 2.435000 0.255000 2.625000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.105000 1.325000 ;
+        RECT 0.935000 1.325000 1.105000 2.295000 ;
+        RECT 0.935000 2.295000 2.965000 2.465000 ;
+        RECT 2.795000 1.440000 3.545000 1.630000 ;
+        RECT 2.795000 1.630000 2.965000 2.295000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.255000 0.345000 0.825000 ;
+        RECT 0.090000 0.825000 0.260000 1.495000 ;
+        RECT 0.090000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.420000 -0.085000 0.590000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.655000 1.445000 0.825000 ;
+      RECT 0.515000  0.825000 0.685000 0.995000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 1.270000  0.255000 1.800000 0.620000 ;
+      RECT 1.270000  0.620000 1.445000 0.655000 ;
+      RECT 1.275000  0.825000 1.445000 1.955000 ;
+      RECT 1.275000  1.955000 2.400000 2.125000 ;
+      RECT 2.805000  0.085000 3.315000 0.620000 ;
+      RECT 2.825000  0.895000 4.055000 1.065000 ;
+      RECT 3.135000  1.875000 3.305000 2.635000 ;
+      RECT 3.535000  0.290000 3.780000 0.895000 ;
+      RECT 3.540000  1.875000 4.055000 2.285000 ;
+      RECT 3.715000  1.065000 4.055000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_1
+MACRO sky130_fd_sc_hd__mux2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.750000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.435000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.740000 1.325000 ;
+        RECT 0.570000 0.635000 2.850000 0.805000 ;
+        RECT 0.570000 0.805000 0.740000 0.995000 ;
+        RECT 2.680000 0.805000 2.850000 0.995000 ;
+        RECT 2.680000 0.995000 3.395000 1.325000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.915000 0.255000 4.085000 0.635000 ;
+        RECT 3.915000 0.635000 5.430000 0.805000 ;
+        RECT 3.915000 1.575000 5.430000 1.745000 ;
+        RECT 3.915000 1.745000 4.085000 2.465000 ;
+        RECT 4.755000 0.255000 4.925000 0.635000 ;
+        RECT 4.755000 1.745000 4.925000 2.465000 ;
+        RECT 5.200000 0.805000 5.430000 1.575000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.295000 0.345000 0.625000 ;
+      RECT 0.090000  0.625000 0.260000 1.495000 ;
+      RECT 0.090000  1.495000 1.080000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.835000 0.820000 2.635000 ;
+      RECT 0.910000  0.995000 1.080000 1.495000 ;
+      RECT 0.990000  1.935000 1.340000 2.275000 ;
+      RECT 0.990000  2.275000 2.770000 2.445000 ;
+      RECT 1.530000  1.935000 3.245000 2.105000 ;
+      RECT 1.975000  0.295000 3.230000 0.465000 ;
+      RECT 1.980000  1.595000 3.735000 1.765000 ;
+      RECT 3.060000  0.465000 3.230000 0.655000 ;
+      RECT 3.060000  0.655000 3.735000 0.825000 ;
+      RECT 3.075000  2.105000 3.245000 2.465000 ;
+      RECT 3.415000  0.085000 3.745000 0.465000 ;
+      RECT 3.415000  2.255000 3.745000 2.635000 ;
+      RECT 3.565000  0.825000 3.735000 1.075000 ;
+      RECT 3.565000  1.075000 5.030000 1.245000 ;
+      RECT 3.565000  1.245000 3.735000 1.595000 ;
+      RECT 3.565000  1.765000 3.735000 1.785000 ;
+      RECT 4.255000  0.085000 4.585000 0.465000 ;
+      RECT 4.255000  1.915000 4.585000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.465000 ;
+      RECT 5.095000  1.915000 5.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_4
+MACRO sky130_fd_sc_hd__sdfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.510000 1.560000 12.780000 2.465000 ;
+        RECT 12.520000 0.255000 12.780000 0.760000 ;
+        RECT 12.600000 0.760000 12.780000 1.560000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.650000  1.535000 12.325000 1.705000 ;
+      RECT 11.650000  1.705000 11.830000 2.465000 ;
+      RECT 11.660000  0.255000 11.830000 0.635000 ;
+      RECT 11.660000  0.635000 12.325000 0.805000 ;
+      RECT 12.010000  0.085000 12.340000 0.465000 ;
+      RECT 12.010000  1.875000 12.340000 2.635000 ;
+      RECT 12.155000  0.805000 12.325000 1.060000 ;
+      RECT 12.155000  1.060000 12.430000 1.390000 ;
+      RECT 12.155000  1.390000 12.325000 1.535000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_1
+MACRO sky130_fd_sc_hd__sdfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.575000 0.265000 11.925000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.435000 1.535000 12.825000 2.080000 ;
+        RECT 12.445000 0.310000 12.825000 0.825000 ;
+        RECT 12.525000 2.080000 12.825000 2.465000 ;
+        RECT 12.655000 0.825000 12.825000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.530000 2.910000 ;
+        RECT  4.405000 1.305000 13.530000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 1.055000 ;
+      RECT 10.345000  1.055000 11.060000 1.295000 ;
+      RECT 10.375000  1.295000 11.060000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.715000  0.345000 10.885000 0.715000 ;
+      RECT 10.715000  0.715000 11.405000 0.885000 ;
+      RECT 10.715000  1.795000 11.405000 1.865000 ;
+      RECT 10.715000  1.865000 12.265000 2.035000 ;
+      RECT 10.715000  2.035000 10.890000 2.465000 ;
+      RECT 11.090000  0.085000 11.365000 0.545000 ;
+      RECT 11.090000  2.205000 11.420000 2.635000 ;
+      RECT 11.230000  0.885000 11.405000 1.795000 ;
+      RECT 11.550000  2.035000 12.265000 2.085000 ;
+      RECT 12.025000  2.255000 12.355000 2.635000 ;
+      RECT 12.095000  0.995000 12.485000 1.325000 ;
+      RECT 12.095000  1.325000 12.265000 1.865000 ;
+      RECT 12.105000  0.085000 12.275000 0.825000 ;
+      RECT 12.995000  0.085000 13.165000 0.930000 ;
+      RECT 12.995000  1.495000 13.245000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_2
+MACRO sky130_fd_sc_hd__a211oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.035000 3.080000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.035000 4.500000 1.285000 ;
+        RECT 4.175000 1.285000 4.500000 1.655000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.035000 1.785000 1.285000 ;
+        RECT 1.035000 1.285000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.405000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.826000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.575000 0.255000 0.835000 0.655000 ;
+        RECT 0.575000 0.655000 3.145000 0.855000 ;
+        RECT 0.575000 0.855000 0.855000 1.785000 ;
+        RECT 0.575000 1.785000 0.905000 2.105000 ;
+        RECT 1.505000 0.285000 1.695000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.145000  0.085000 0.395000 0.815000 ;
+      RECT 0.145000  1.785000 0.405000 2.285000 ;
+      RECT 0.145000  2.285000 2.215000 2.455000 ;
+      RECT 1.005000  0.085000 1.335000 0.475000 ;
+      RECT 1.075000  1.785000 1.265000 2.255000 ;
+      RECT 1.075000  2.255000 2.215000 2.285000 ;
+      RECT 1.435000  1.455000 3.975000 1.655000 ;
+      RECT 1.435000  1.655000 1.765000 2.075000 ;
+      RECT 1.865000  0.085000 2.195000 0.475000 ;
+      RECT 1.935000  1.835000 2.215000 2.255000 ;
+      RECT 2.385000  0.265000 3.495000 0.475000 ;
+      RECT 2.435000  1.835000 2.665000 2.635000 ;
+      RECT 2.845000  1.655000 3.115000 2.465000 ;
+      RECT 3.295000  1.835000 3.525000 2.635000 ;
+      RECT 3.325000  0.475000 3.495000 0.635000 ;
+      RECT 3.325000  0.635000 4.435000 0.855000 ;
+      RECT 3.675000  0.085000 4.005000 0.455000 ;
+      RECT 3.705000  1.655000 3.975000 2.465000 ;
+      RECT 4.155000  1.835000 4.385000 2.635000 ;
+      RECT 4.185000  0.265000 4.435000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_2
+MACRO sky130_fd_sc_hd__a211oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.075000 3.005000 1.245000 ;
+        RECT 1.660000 1.035000 3.005000 1.075000 ;
+        RECT 1.660000 1.245000 3.005000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.035000 1.385000 1.445000 ;
+        RECT 0.100000 1.445000 3.575000 1.625000 ;
+        RECT 3.245000 1.035000 3.575000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.745000 1.035000 4.755000 1.275000 ;
+        RECT 3.745000 1.275000 4.460000 1.615000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.445000 4.000000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.590000 0.995000 6.935000 1.325000 ;
+        RECT 6.590000 1.325000 6.760000 1.615000 ;
+      LAYER mcon ;
+        RECT 6.590000 1.445000 6.760000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 1.415000 4.060000 1.460000 ;
+        RECT 3.770000 1.460000 6.820000 1.600000 ;
+        RECT 3.770000 1.600000 4.060000 1.645000 ;
+        RECT 6.530000 1.415000 6.820000 1.460000 ;
+        RECT 6.530000 1.600000 6.820000 1.645000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.000000 1.035000 6.350000 1.275000 ;
+        RECT 6.130000 1.275000 6.350000 1.695000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.685000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.675000 3.330000 0.695000 ;
+        RECT 1.775000 0.695000 7.275000 0.825000 ;
+        RECT 1.775000 0.825000 6.355000 0.865000 ;
+        RECT 3.875000 0.255000 4.195000 0.615000 ;
+        RECT 3.875000 0.615000 5.045000 0.625000 ;
+        RECT 3.875000 0.625000 7.275000 0.695000 ;
+        RECT 4.875000 0.255000 5.045000 0.615000 ;
+        RECT 5.170000 1.865000 7.275000 2.085000 ;
+        RECT 5.715000 0.255000 5.885000 0.615000 ;
+        RECT 5.715000 0.615000 7.275000 0.625000 ;
+        RECT 6.930000 1.495000 7.275000 1.865000 ;
+        RECT 7.105000 0.825000 7.275000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.395000 0.585000 ;
+      RECT 0.095000  1.795000 3.705000 2.085000 ;
+      RECT 0.095000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.565000  0.530000 0.775000 0.695000 ;
+      RECT 0.565000  0.695000 1.605000 0.865000 ;
+      RECT 0.950000  0.085000 1.185000 0.525000 ;
+      RECT 1.015000  2.085000 3.705000 2.105000 ;
+      RECT 1.015000  2.105000 1.185000 2.465000 ;
+      RECT 1.355000  0.255000 3.365000 0.505000 ;
+      RECT 1.355000  0.505000 1.605000 0.695000 ;
+      RECT 1.355000  2.275000 1.685000 2.635000 ;
+      RECT 1.855000  2.105000 2.025000 2.465000 ;
+      RECT 2.195000  2.275000 2.525000 2.635000 ;
+      RECT 2.695000  2.105000 2.865000 2.465000 ;
+      RECT 3.035000  2.275000 3.365000 2.635000 ;
+      RECT 3.535000  0.085000 3.705000 0.525000 ;
+      RECT 3.535000  2.105000 3.705000 2.255000 ;
+      RECT 3.535000  2.255000 7.270000 2.465000 ;
+      RECT 3.875000  1.785000 4.910000 2.085000 ;
+      RECT 4.365000  0.085000 4.695000 0.445000 ;
+      RECT 4.630000  1.445000 5.960000 1.695000 ;
+      RECT 4.630000  1.695000 4.910000 1.785000 ;
+      RECT 5.215000  0.085000 5.545000 0.445000 ;
+      RECT 6.055000  0.085000 6.385000 0.445000 ;
+      RECT 6.915000  0.085000 7.270000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_4
+MACRO sky130_fd_sc_hd__a211oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.265000 0.855000 0.995000 ;
+        RECT 0.605000 0.995000 1.245000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.765000 0.435000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+        RECT 1.525000 1.325000 1.755000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 2.235000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.619250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.180000 0.265000 1.365000 0.625000 ;
+        RECT 1.180000 0.625000 2.660000 0.815000 ;
+        RECT 1.935000 1.785000 2.660000 2.455000 ;
+        RECT 2.055000 0.265000 2.280000 0.625000 ;
+        RECT 2.445000 0.815000 2.660000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.595000 ;
+      RECT 0.250000  1.525000 1.355000 1.725000 ;
+      RECT 0.250000  1.725000 0.500000 2.455000 ;
+      RECT 0.670000  1.905000 1.000000 2.635000 ;
+      RECT 1.170000  1.725000 1.355000 2.455000 ;
+      RECT 1.545000  0.085000 1.875000 0.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_1
+MACRO sky130_fd_sc_hd__o31ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+        RECT 1.460000 1.325000 1.700000 2.405000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.330000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.006000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.260000 2.675000 0.825000 ;
+        RECT 1.945000 0.825000 2.160000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.440000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.515000  0.255000 0.845000 0.735000 ;
+      RECT 0.515000  0.735000 1.700000 0.905000 ;
+      RECT 1.015000  0.085000 1.185000 0.565000 ;
+      RECT 1.370000  0.255000 1.700000 0.735000 ;
+      RECT 2.330000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_1
+MACRO sky130_fd_sc_hd__o31ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.240000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 1.055000 2.220000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.055000 3.205000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.755000 4.515000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.063500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.495000 4.515000 1.665000 ;
+        RECT 2.335000 1.665000 2.665000 2.125000 ;
+        RECT 3.175000 1.665000 3.505000 2.465000 ;
+        RECT 3.675000 0.595000 4.005000 1.495000 ;
+        RECT 4.175000 1.665000 4.515000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 3.505000 0.885000 ;
+      RECT 0.090000  1.495000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  1.835000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.665000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.965000 0.545000 ;
+      RECT 1.455000  1.835000 1.625000 2.295000 ;
+      RECT 1.455000  2.295000 3.005000 2.465000 ;
+      RECT 1.795000  1.665000 2.125000 2.125000 ;
+      RECT 2.175000  0.255000 2.505000 0.715000 ;
+      RECT 2.675000  0.085000 3.005000 0.545000 ;
+      RECT 2.835000  1.835000 3.005000 2.295000 ;
+      RECT 3.175000  0.255000 4.515000 0.425000 ;
+      RECT 3.175000  0.425000 3.505000 0.715000 ;
+      RECT 3.675000  1.835000 4.005000 2.635000 ;
+      RECT 4.175000  0.425000 4.515000 0.585000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_2
+MACRO sky130_fd_sc_hd__o31ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.780000 1.425000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.055000 3.605000 1.425000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.055000 5.940000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.055000 7.735000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.683800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.445000 7.735000 1.695000 ;
+        RECT 5.770000 1.695000 5.940000 2.465000 ;
+        RECT 6.110000 0.645000 7.280000 0.885000 ;
+        RECT 6.110000 0.885000 6.295000 1.445000 ;
+        RECT 6.610000 1.695000 6.780000 2.465000 ;
+        RECT 7.450000 1.695000 7.735000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 5.940000 0.885000 ;
+      RECT 0.090000  1.595000 2.125000 1.895000 ;
+      RECT 0.090000  1.895000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  2.065000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.895000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.625000 0.545000 ;
+      RECT 1.455000  2.065000 1.625000 2.635000 ;
+      RECT 1.795000  0.255000 2.125000 0.715000 ;
+      RECT 1.795000  1.895000 2.125000 2.205000 ;
+      RECT 1.795000  2.205000 3.885000 2.465000 ;
+      RECT 2.295000  0.085000 2.465000 0.545000 ;
+      RECT 2.295000  1.595000 3.605000 1.765000 ;
+      RECT 2.295000  1.765000 2.465000 2.035000 ;
+      RECT 2.635000  0.255000 2.965000 0.715000 ;
+      RECT 2.635000  1.935000 2.965000 2.205000 ;
+      RECT 3.135000  0.085000 3.305000 0.545000 ;
+      RECT 3.135000  1.765000 3.605000 1.865000 ;
+      RECT 3.135000  1.865000 5.600000 2.035000 ;
+      RECT 3.475000  0.255000 3.805000 0.715000 ;
+      RECT 3.995000  0.085000 4.640000 0.545000 ;
+      RECT 4.080000  2.035000 5.600000 2.465000 ;
+      RECT 4.810000  0.395000 4.980000 0.715000 ;
+      RECT 5.150000  0.085000 5.600000 0.545000 ;
+      RECT 5.770000  0.255000 7.735000 0.475000 ;
+      RECT 5.770000  0.475000 5.940000 0.715000 ;
+      RECT 6.110000  1.890000 6.440000 2.635000 ;
+      RECT 6.950000  1.890000 7.280000 2.635000 ;
+      RECT 7.450000  0.475000 7.735000 0.885000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_4
+MACRO sky130_ef_sc_hd__fakediode_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_ef_sc_hd__fakediode_2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 0.920 BY 2.720 ;
+  PIN DIODE
+    PORT
+      LAYER li1 ;
+        RECT 0.085 0.255 0.835 2.465 ;
+    END
+  END DIODE
+  PIN VGND
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 -0.085 0.920 0.085 ;
+      LAYER mcon ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
+      LAYER met1 ;
+        RECT 0.000 -0.240 0.920 0.240 ;
+    END
+  END VGND
+  PIN VPWR
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 2.635 0.920 2.805 ;
+      LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
+        RECT 0.605 2.635 0.775 2.805 ;
+      LAYER met1 ;
+        RECT 0.000 2.480 0.920 2.960 ;
+    END
+  END VPWR
+  PIN VPB
+    PORT
+      LAYER nwell ;
+        RECT -0.190 1.305 1.110 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
+      LAYER pwell ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+    END
+  END VNB
+END sky130_ef_sc_hd__fakediode_2
+MACRO user_proj_example
+  CLASS BLOCK ;
+  FOREIGN user_proj_example ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 598.760 BY 600.000 ;
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1.860 597.600 2.140 600.000 ;
+    END
+  END io_in[0]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 159.640 597.600 159.920 600.000 ;
+    END
+  END io_in[10]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 175.280 597.600 175.560 600.000 ;
+    END
+  END io_in[11]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 190.920 597.600 191.200 600.000 ;
+    END
+  END io_in[12]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 207.020 597.600 207.300 600.000 ;
+    END
+  END io_in[13]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 222.660 597.600 222.940 600.000 ;
+    END
+  END io_in[14]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 238.300 597.600 238.580 600.000 ;
+    END
+  END io_in[15]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 254.400 597.600 254.680 600.000 ;
+    END
+  END io_in[16]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 270.040 597.600 270.320 600.000 ;
+    END
+  END io_in[17]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 285.680 597.600 285.960 600.000 ;
+    END
+  END io_in[18]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 301.780 597.600 302.060 600.000 ;
+    END
+  END io_in[19]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.500 597.600 17.780 600.000 ;
+    END
+  END io_in[1]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 317.420 597.600 317.700 600.000 ;
+    END
+  END io_in[20]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 333.060 597.600 333.340 600.000 ;
+    END
+  END io_in[21]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 348.700 597.600 348.980 600.000 ;
+    END
+  END io_in[22]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 364.800 597.600 365.080 600.000 ;
+    END
+  END io_in[23]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 380.440 597.600 380.720 600.000 ;
+    END
+  END io_in[24]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 396.080 597.600 396.360 600.000 ;
+    END
+  END io_in[25]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 412.180 597.600 412.460 600.000 ;
+    END
+  END io_in[26]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 427.820 597.600 428.100 600.000 ;
+    END
+  END io_in[27]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 443.460 597.600 443.740 600.000 ;
+    END
+  END io_in[28]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 459.560 597.600 459.840 600.000 ;
+    END
+  END io_in[29]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.140 597.600 33.420 600.000 ;
+    END
+  END io_in[2]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 475.200 597.600 475.480 600.000 ;
+    END
+  END io_in[30]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 490.840 597.600 491.120 600.000 ;
+    END
+  END io_in[31]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 506.940 597.600 507.220 600.000 ;
+    END
+  END io_in[32]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 522.580 597.600 522.860 600.000 ;
+    END
+  END io_in[33]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 538.220 597.600 538.500 600.000 ;
+    END
+  END io_in[34]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 554.320 597.600 554.600 600.000 ;
+    END
+  END io_in[35]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 569.960 597.600 570.240 600.000 ;
+    END
+  END io_in[36]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 585.600 597.600 585.880 600.000 ;
+    END
+  END io_in[37]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 48.780 597.600 49.060 600.000 ;
+    END
+  END io_in[3]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.880 597.600 65.160 600.000 ;
+    END
+  END io_in[4]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 80.520 597.600 80.800 600.000 ;
+    END
+  END io_in[5]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 96.160 597.600 96.440 600.000 ;
+    END
+  END io_in[6]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 112.260 597.600 112.540 600.000 ;
+    END
+  END io_in[7]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 127.900 597.600 128.180 600.000 ;
+    END
+  END io_in[8]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 143.540 597.600 143.820 600.000 ;
+    END
+  END io_in[9]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 6.920 597.600 7.200 600.000 ;
+    END
+  END io_oeb[0]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 164.700 597.600 164.980 600.000 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 180.340 597.600 180.620 600.000 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 196.440 597.600 196.720 600.000 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 212.080 597.600 212.360 600.000 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 227.720 597.600 228.000 600.000 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 243.820 597.600 244.100 600.000 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 259.460 597.600 259.740 600.000 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 275.100 597.600 275.380 600.000 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 291.200 597.600 291.480 600.000 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 306.840 597.600 307.120 600.000 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 22.560 597.600 22.840 600.000 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 322.480 597.600 322.760 600.000 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 338.580 597.600 338.860 600.000 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 354.220 597.600 354.500 600.000 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 369.860 597.600 370.140 600.000 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 385.960 597.600 386.240 600.000 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 401.600 597.600 401.880 600.000 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 417.240 597.600 417.520 600.000 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 432.880 597.600 433.160 600.000 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 448.980 597.600 449.260 600.000 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 464.620 597.600 464.900 600.000 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 38.660 597.600 38.940 600.000 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 480.260 597.600 480.540 600.000 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 496.360 597.600 496.640 600.000 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 512.000 597.600 512.280 600.000 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 527.640 597.600 527.920 600.000 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 543.740 597.600 544.020 600.000 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 559.380 597.600 559.660 600.000 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 575.020 597.600 575.300 600.000 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 591.120 597.600 591.400 600.000 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 54.300 597.600 54.580 600.000 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 69.940 597.600 70.220 600.000 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 86.040 597.600 86.320 600.000 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 101.680 597.600 101.960 600.000 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 117.320 597.600 117.600 600.000 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 132.960 597.600 133.240 600.000 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 149.060 597.600 149.340 600.000 ;
+    END
+  END io_oeb[9]
+  PIN io_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 11.980 597.600 12.260 600.000 ;
+    END
+  END io_out[0]
+  PIN io_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 170.220 597.600 170.500 600.000 ;
+    END
+  END io_out[10]
+  PIN io_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 185.860 597.600 186.140 600.000 ;
+    END
+  END io_out[11]
+  PIN io_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 201.500 597.600 201.780 600.000 ;
+    END
+  END io_out[12]
+  PIN io_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 217.140 597.600 217.420 600.000 ;
+    END
+  END io_out[13]
+  PIN io_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 233.240 597.600 233.520 600.000 ;
+    END
+  END io_out[14]
+  PIN io_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 248.880 597.600 249.160 600.000 ;
+    END
+  END io_out[15]
+  PIN io_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 264.520 597.600 264.800 600.000 ;
+    END
+  END io_out[16]
+  PIN io_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 280.620 597.600 280.900 600.000 ;
+    END
+  END io_out[17]
+  PIN io_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 296.260 597.600 296.540 600.000 ;
+    END
+  END io_out[18]
+  PIN io_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 311.900 597.600 312.180 600.000 ;
+    END
+  END io_out[19]
+  PIN io_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 28.080 597.600 28.360 600.000 ;
+    END
+  END io_out[1]
+  PIN io_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 328.000 597.600 328.280 600.000 ;
+    END
+  END io_out[20]
+  PIN io_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 343.640 597.600 343.920 600.000 ;
+    END
+  END io_out[21]
+  PIN io_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 359.280 597.600 359.560 600.000 ;
+    END
+  END io_out[22]
+  PIN io_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 375.380 597.600 375.660 600.000 ;
+    END
+  END io_out[23]
+  PIN io_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 391.020 597.600 391.300 600.000 ;
+    END
+  END io_out[24]
+  PIN io_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 406.660 597.600 406.940 600.000 ;
+    END
+  END io_out[25]
+  PIN io_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 422.760 597.600 423.040 600.000 ;
+    END
+  END io_out[26]
+  PIN io_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 438.400 597.600 438.680 600.000 ;
+    END
+  END io_out[27]
+  PIN io_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 454.040 597.600 454.320 600.000 ;
+    END
+  END io_out[28]
+  PIN io_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 470.140 597.600 470.420 600.000 ;
+    END
+  END io_out[29]
+  PIN io_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.720 597.600 44.000 600.000 ;
+    END
+  END io_out[2]
+  PIN io_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 485.780 597.600 486.060 600.000 ;
+    END
+  END io_out[30]
+  PIN io_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 501.420 597.600 501.700 600.000 ;
+    END
+  END io_out[31]
+  PIN io_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 517.060 597.600 517.340 600.000 ;
+    END
+  END io_out[32]
+  PIN io_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 533.160 597.600 533.440 600.000 ;
+    END
+  END io_out[33]
+  PIN io_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 548.800 597.600 549.080 600.000 ;
+    END
+  END io_out[34]
+  PIN io_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 564.440 597.600 564.720 600.000 ;
+    END
+  END io_out[35]
+  PIN io_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 580.540 597.600 580.820 600.000 ;
+    END
+  END io_out[36]
+  PIN io_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 596.180 597.600 596.460 600.000 ;
+    END
+  END io_out[37]
+  PIN io_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 59.360 597.600 59.640 600.000 ;
+    END
+  END io_out[3]
+  PIN io_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 75.460 597.600 75.740 600.000 ;
+    END
+  END io_out[4]
+  PIN io_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 91.100 597.600 91.380 600.000 ;
+    END
+  END io_out[5]
+  PIN io_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 106.740 597.600 107.020 600.000 ;
+    END
+  END io_out[6]
+  PIN io_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 122.840 597.600 123.120 600.000 ;
+    END
+  END io_out[7]
+  PIN io_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 138.480 597.600 138.760 600.000 ;
+    END
+  END io_out[8]
+  PIN io_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 154.120 597.600 154.400 600.000 ;
+    END
+  END io_out[9]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 129.740 0.000 130.020 2.400 ;
+    END
+  END la_data_in[0]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 496.820 0.000 497.100 2.400 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 500.500 0.000 500.780 2.400 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 504.180 0.000 504.460 2.400 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 507.860 0.000 508.140 2.400 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 511.540 0.000 511.820 2.400 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 515.220 0.000 515.500 2.400 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 518.900 0.000 519.180 2.400 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 522.580 0.000 522.860 2.400 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 526.260 0.000 526.540 2.400 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 529.940 0.000 530.220 2.400 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 166.080 0.000 166.360 2.400 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 533.620 0.000 533.900 2.400 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 537.300 0.000 537.580 2.400 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 540.980 0.000 541.260 2.400 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 544.660 0.000 544.940 2.400 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 548.340 0.000 548.620 2.400 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 552.020 0.000 552.300 2.400 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 555.700 0.000 555.980 2.400 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 559.380 0.000 559.660 2.400 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 563.060 0.000 563.340 2.400 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 566.740 0.000 567.020 2.400 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 169.760 0.000 170.040 2.400 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 570.420 0.000 570.700 2.400 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 574.100 0.000 574.380 2.400 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 577.780 0.000 578.060 2.400 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 581.460 0.000 581.740 2.400 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 585.140 0.000 585.420 2.400 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 588.820 0.000 589.100 2.400 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 592.500 0.000 592.780 2.400 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 596.180 0.000 596.460 2.400 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 173.440 0.000 173.720 2.400 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 177.120 0.000 177.400 2.400 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 180.800 0.000 181.080 2.400 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 184.480 0.000 184.760 2.400 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 188.160 0.000 188.440 2.400 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 191.840 0.000 192.120 2.400 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 195.520 0.000 195.800 2.400 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 199.200 0.000 199.480 2.400 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 133.420 0.000 133.700 2.400 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 202.880 0.000 203.160 2.400 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 206.560 0.000 206.840 2.400 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 210.240 0.000 210.520 2.400 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 213.920 0.000 214.200 2.400 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 217.600 0.000 217.880 2.400 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 221.280 0.000 221.560 2.400 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.960 0.000 225.240 2.400 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 228.640 0.000 228.920 2.400 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 232.320 0.000 232.600 2.400 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 236.000 0.000 236.280 2.400 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 137.100 0.000 137.380 2.400 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 239.680 0.000 239.960 2.400 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 243.360 0.000 243.640 2.400 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 247.040 0.000 247.320 2.400 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 250.720 0.000 251.000 2.400 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 254.400 0.000 254.680 2.400 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 258.080 0.000 258.360 2.400 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 261.760 0.000 262.040 2.400 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 265.440 0.000 265.720 2.400 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 269.120 0.000 269.400 2.400 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 272.800 0.000 273.080 2.400 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 140.780 0.000 141.060 2.400 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 276.480 0.000 276.760 2.400 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 280.160 0.000 280.440 2.400 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 283.840 0.000 284.120 2.400 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 287.520 0.000 287.800 2.400 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 291.200 0.000 291.480 2.400 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 294.880 0.000 295.160 2.400 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 298.560 0.000 298.840 2.400 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 302.240 0.000 302.520 2.400 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 305.920 0.000 306.200 2.400 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 309.600 0.000 309.880 2.400 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 144.460 0.000 144.740 2.400 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 313.280 0.000 313.560 2.400 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 316.960 0.000 317.240 2.400 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 320.640 0.000 320.920 2.400 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 324.320 0.000 324.600 2.400 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 328.000 0.000 328.280 2.400 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 331.680 0.000 331.960 2.400 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 335.360 0.000 335.640 2.400 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 339.040 0.000 339.320 2.400 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 342.720 0.000 343.000 2.400 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 346.400 0.000 346.680 2.400 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 148.140 0.000 148.420 2.400 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 350.080 0.000 350.360 2.400 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 353.760 0.000 354.040 2.400 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 357.440 0.000 357.720 2.400 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 361.120 0.000 361.400 2.400 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 364.800 0.000 365.080 2.400 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 368.480 0.000 368.760 2.400 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 372.160 0.000 372.440 2.400 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 375.380 0.000 375.660 2.400 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 379.060 0.000 379.340 2.400 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 382.740 0.000 383.020 2.400 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 151.360 0.000 151.640 2.400 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 386.420 0.000 386.700 2.400 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 390.100 0.000 390.380 2.400 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 393.780 0.000 394.060 2.400 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 397.460 0.000 397.740 2.400 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 401.140 0.000 401.420 2.400 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 404.820 0.000 405.100 2.400 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 408.500 0.000 408.780 2.400 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 412.180 0.000 412.460 2.400 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 415.860 0.000 416.140 2.400 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 419.540 0.000 419.820 2.400 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 155.040 0.000 155.320 2.400 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 423.220 0.000 423.500 2.400 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 426.900 0.000 427.180 2.400 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 430.580 0.000 430.860 2.400 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 434.260 0.000 434.540 2.400 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 437.940 0.000 438.220 2.400 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 441.620 0.000 441.900 2.400 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 445.300 0.000 445.580 2.400 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 448.980 0.000 449.260 2.400 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 452.660 0.000 452.940 2.400 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 456.340 0.000 456.620 2.400 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 158.720 0.000 159.000 2.400 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 460.020 0.000 460.300 2.400 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 463.700 0.000 463.980 2.400 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 467.380 0.000 467.660 2.400 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 471.060 0.000 471.340 2.400 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 474.740 0.000 475.020 2.400 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 478.420 0.000 478.700 2.400 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 482.100 0.000 482.380 2.400 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 485.780 0.000 486.060 2.400 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 489.460 0.000 489.740 2.400 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 493.140 0.000 493.420 2.400 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 162.400 0.000 162.680 2.400 ;
+    END
+  END la_data_in[9]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 130.660 0.000 130.940 2.400 ;
+    END
+  END la_data_out[0]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 498.200 0.000 498.480 2.400 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 501.880 0.000 502.160 2.400 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 505.560 0.000 505.840 2.400 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 509.240 0.000 509.520 2.400 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 512.920 0.000 513.200 2.400 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 516.600 0.000 516.880 2.400 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 520.280 0.000 520.560 2.400 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 523.960 0.000 524.240 2.400 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 527.180 0.000 527.460 2.400 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 530.860 0.000 531.140 2.400 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 167.460 0.000 167.740 2.400 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 534.540 0.000 534.820 2.400 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 538.220 0.000 538.500 2.400 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 541.900 0.000 542.180 2.400 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 545.580 0.000 545.860 2.400 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 549.260 0.000 549.540 2.400 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 552.940 0.000 553.220 2.400 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 556.620 0.000 556.900 2.400 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 560.300 0.000 560.580 2.400 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 563.980 0.000 564.260 2.400 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 567.660 0.000 567.940 2.400 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 171.140 0.000 171.420 2.400 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 571.340 0.000 571.620 2.400 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 575.020 0.000 575.300 2.400 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 578.700 0.000 578.980 2.400 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 582.380 0.000 582.660 2.400 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 586.060 0.000 586.340 2.400 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 589.740 0.000 590.020 2.400 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 593.420 0.000 593.700 2.400 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 597.100 0.000 597.380 2.400 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 174.820 0.000 175.100 2.400 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 178.500 0.000 178.780 2.400 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 182.180 0.000 182.460 2.400 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 185.860 0.000 186.140 2.400 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 189.540 0.000 189.820 2.400 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 193.220 0.000 193.500 2.400 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 196.900 0.000 197.180 2.400 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 200.580 0.000 200.860 2.400 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 134.340 0.000 134.620 2.400 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 204.260 0.000 204.540 2.400 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 207.940 0.000 208.220 2.400 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 211.620 0.000 211.900 2.400 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 215.300 0.000 215.580 2.400 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 218.980 0.000 219.260 2.400 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 222.660 0.000 222.940 2.400 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 226.340 0.000 226.620 2.400 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 230.020 0.000 230.300 2.400 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 233.700 0.000 233.980 2.400 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 237.380 0.000 237.660 2.400 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 138.020 0.000 138.300 2.400 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 241.060 0.000 241.340 2.400 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 244.740 0.000 245.020 2.400 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 248.420 0.000 248.700 2.400 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 252.100 0.000 252.380 2.400 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 255.780 0.000 256.060 2.400 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 259.460 0.000 259.740 2.400 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 263.140 0.000 263.420 2.400 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 266.820 0.000 267.100 2.400 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 270.500 0.000 270.780 2.400 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 274.180 0.000 274.460 2.400 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 141.700 0.000 141.980 2.400 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 277.860 0.000 278.140 2.400 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 281.540 0.000 281.820 2.400 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 285.220 0.000 285.500 2.400 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 288.900 0.000 289.180 2.400 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 292.580 0.000 292.860 2.400 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 296.260 0.000 296.540 2.400 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 299.940 0.000 300.220 2.400 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 303.160 0.000 303.440 2.400 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 306.840 0.000 307.120 2.400 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 310.520 0.000 310.800 2.400 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 145.380 0.000 145.660 2.400 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 314.200 0.000 314.480 2.400 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 317.880 0.000 318.160 2.400 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 321.560 0.000 321.840 2.400 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 325.240 0.000 325.520 2.400 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 328.920 0.000 329.200 2.400 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 332.600 0.000 332.880 2.400 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 336.280 0.000 336.560 2.400 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 339.960 0.000 340.240 2.400 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 343.640 0.000 343.920 2.400 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 347.320 0.000 347.600 2.400 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 149.060 0.000 149.340 2.400 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 351.000 0.000 351.280 2.400 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 354.680 0.000 354.960 2.400 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 358.360 0.000 358.640 2.400 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 362.040 0.000 362.320 2.400 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 365.720 0.000 366.000 2.400 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 369.400 0.000 369.680 2.400 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 373.080 0.000 373.360 2.400 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 376.760 0.000 377.040 2.400 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 380.440 0.000 380.720 2.400 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 384.120 0.000 384.400 2.400 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 152.740 0.000 153.020 2.400 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 387.800 0.000 388.080 2.400 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 391.480 0.000 391.760 2.400 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 395.160 0.000 395.440 2.400 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 398.840 0.000 399.120 2.400 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 402.520 0.000 402.800 2.400 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 406.200 0.000 406.480 2.400 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 409.880 0.000 410.160 2.400 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 413.560 0.000 413.840 2.400 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 417.240 0.000 417.520 2.400 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 420.920 0.000 421.200 2.400 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 156.420 0.000 156.700 2.400 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 424.600 0.000 424.880 2.400 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 428.280 0.000 428.560 2.400 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 431.960 0.000 432.240 2.400 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 435.640 0.000 435.920 2.400 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 439.320 0.000 439.600 2.400 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 443.000 0.000 443.280 2.400 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 446.680 0.000 446.960 2.400 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 450.360 0.000 450.640 2.400 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 454.040 0.000 454.320 2.400 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 457.720 0.000 458.000 2.400 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 160.100 0.000 160.380 2.400 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 461.400 0.000 461.680 2.400 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 465.080 0.000 465.360 2.400 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 468.760 0.000 469.040 2.400 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 472.440 0.000 472.720 2.400 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 476.120 0.000 476.400 2.400 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 479.800 0.000 480.080 2.400 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 483.480 0.000 483.760 2.400 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 487.160 0.000 487.440 2.400 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 490.840 0.000 491.120 2.400 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 494.520 0.000 494.800 2.400 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 163.780 0.000 164.060 2.400 ;
+    END
+  END la_data_out[9]
+  PIN la_oen[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 132.040 0.000 132.320 2.400 ;
+    END
+  END la_oen[0]
+  PIN la_oen[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 499.120 0.000 499.400 2.400 ;
+    END
+  END la_oen[100]
+  PIN la_oen[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 502.800 0.000 503.080 2.400 ;
+    END
+  END la_oen[101]
+  PIN la_oen[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 506.480 0.000 506.760 2.400 ;
+    END
+  END la_oen[102]
+  PIN la_oen[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 510.160 0.000 510.440 2.400 ;
+    END
+  END la_oen[103]
+  PIN la_oen[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 513.840 0.000 514.120 2.400 ;
+    END
+  END la_oen[104]
+  PIN la_oen[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 517.520 0.000 517.800 2.400 ;
+    END
+  END la_oen[105]
+  PIN la_oen[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 521.200 0.000 521.480 2.400 ;
+    END
+  END la_oen[106]
+  PIN la_oen[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 524.880 0.000 525.160 2.400 ;
+    END
+  END la_oen[107]
+  PIN la_oen[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 528.560 0.000 528.840 2.400 ;
+    END
+  END la_oen[108]
+  PIN la_oen[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 532.240 0.000 532.520 2.400 ;
+    END
+  END la_oen[109]
+  PIN la_oen[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 168.840 0.000 169.120 2.400 ;
+    END
+  END la_oen[10]
+  PIN la_oen[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 535.920 0.000 536.200 2.400 ;
+    END
+  END la_oen[110]
+  PIN la_oen[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 539.600 0.000 539.880 2.400 ;
+    END
+  END la_oen[111]
+  PIN la_oen[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 543.280 0.000 543.560 2.400 ;
+    END
+  END la_oen[112]
+  PIN la_oen[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 546.960 0.000 547.240 2.400 ;
+    END
+  END la_oen[113]
+  PIN la_oen[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 550.640 0.000 550.920 2.400 ;
+    END
+  END la_oen[114]
+  PIN la_oen[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 554.320 0.000 554.600 2.400 ;
+    END
+  END la_oen[115]
+  PIN la_oen[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 558.000 0.000 558.280 2.400 ;
+    END
+  END la_oen[116]
+  PIN la_oen[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 561.680 0.000 561.960 2.400 ;
+    END
+  END la_oen[117]
+  PIN la_oen[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 565.360 0.000 565.640 2.400 ;
+    END
+  END la_oen[118]
+  PIN la_oen[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 569.040 0.000 569.320 2.400 ;
+    END
+  END la_oen[119]
+  PIN la_oen[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 172.520 0.000 172.800 2.400 ;
+    END
+  END la_oen[11]
+  PIN la_oen[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 572.720 0.000 573.000 2.400 ;
+    END
+  END la_oen[120]
+  PIN la_oen[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 576.400 0.000 576.680 2.400 ;
+    END
+  END la_oen[121]
+  PIN la_oen[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 580.080 0.000 580.360 2.400 ;
+    END
+  END la_oen[122]
+  PIN la_oen[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 583.760 0.000 584.040 2.400 ;
+    END
+  END la_oen[123]
+  PIN la_oen[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 587.440 0.000 587.720 2.400 ;
+    END
+  END la_oen[124]
+  PIN la_oen[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 591.120 0.000 591.400 2.400 ;
+    END
+  END la_oen[125]
+  PIN la_oen[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 594.800 0.000 595.080 2.400 ;
+    END
+  END la_oen[126]
+  PIN la_oen[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 598.480 0.000 598.760 2.400 ;
+    END
+  END la_oen[127]
+  PIN la_oen[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 176.200 0.000 176.480 2.400 ;
+    END
+  END la_oen[12]
+  PIN la_oen[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 179.880 0.000 180.160 2.400 ;
+    END
+  END la_oen[13]
+  PIN la_oen[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 183.560 0.000 183.840 2.400 ;
+    END
+  END la_oen[14]
+  PIN la_oen[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 187.240 0.000 187.520 2.400 ;
+    END
+  END la_oen[15]
+  PIN la_oen[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 190.920 0.000 191.200 2.400 ;
+    END
+  END la_oen[16]
+  PIN la_oen[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 194.600 0.000 194.880 2.400 ;
+    END
+  END la_oen[17]
+  PIN la_oen[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 198.280 0.000 198.560 2.400 ;
+    END
+  END la_oen[18]
+  PIN la_oen[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 201.960 0.000 202.240 2.400 ;
+    END
+  END la_oen[19]
+  PIN la_oen[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 135.720 0.000 136.000 2.400 ;
+    END
+  END la_oen[1]
+  PIN la_oen[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 205.640 0.000 205.920 2.400 ;
+    END
+  END la_oen[20]
+  PIN la_oen[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 209.320 0.000 209.600 2.400 ;
+    END
+  END la_oen[21]
+  PIN la_oen[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 213.000 0.000 213.280 2.400 ;
+    END
+  END la_oen[22]
+  PIN la_oen[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 216.680 0.000 216.960 2.400 ;
+    END
+  END la_oen[23]
+  PIN la_oen[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 220.360 0.000 220.640 2.400 ;
+    END
+  END la_oen[24]
+  PIN la_oen[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.040 0.000 224.320 2.400 ;
+    END
+  END la_oen[25]
+  PIN la_oen[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 227.260 0.000 227.540 2.400 ;
+    END
+  END la_oen[26]
+  PIN la_oen[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 230.940 0.000 231.220 2.400 ;
+    END
+  END la_oen[27]
+  PIN la_oen[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 234.620 0.000 234.900 2.400 ;
+    END
+  END la_oen[28]
+  PIN la_oen[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 238.300 0.000 238.580 2.400 ;
+    END
+  END la_oen[29]
+  PIN la_oen[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 139.400 0.000 139.680 2.400 ;
+    END
+  END la_oen[2]
+  PIN la_oen[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 241.980 0.000 242.260 2.400 ;
+    END
+  END la_oen[30]
+  PIN la_oen[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 245.660 0.000 245.940 2.400 ;
+    END
+  END la_oen[31]
+  PIN la_oen[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 249.340 0.000 249.620 2.400 ;
+    END
+  END la_oen[32]
+  PIN la_oen[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 253.020 0.000 253.300 2.400 ;
+    END
+  END la_oen[33]
+  PIN la_oen[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 256.700 0.000 256.980 2.400 ;
+    END
+  END la_oen[34]
+  PIN la_oen[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 260.380 0.000 260.660 2.400 ;
+    END
+  END la_oen[35]
+  PIN la_oen[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 264.060 0.000 264.340 2.400 ;
+    END
+  END la_oen[36]
+  PIN la_oen[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 267.740 0.000 268.020 2.400 ;
+    END
+  END la_oen[37]
+  PIN la_oen[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 271.420 0.000 271.700 2.400 ;
+    END
+  END la_oen[38]
+  PIN la_oen[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 275.100 0.000 275.380 2.400 ;
+    END
+  END la_oen[39]
+  PIN la_oen[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 143.080 0.000 143.360 2.400 ;
+    END
+  END la_oen[3]
+  PIN la_oen[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 278.780 0.000 279.060 2.400 ;
+    END
+  END la_oen[40]
+  PIN la_oen[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 282.460 0.000 282.740 2.400 ;
+    END
+  END la_oen[41]
+  PIN la_oen[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 286.140 0.000 286.420 2.400 ;
+    END
+  END la_oen[42]
+  PIN la_oen[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 289.820 0.000 290.100 2.400 ;
+    END
+  END la_oen[43]
+  PIN la_oen[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 293.500 0.000 293.780 2.400 ;
+    END
+  END la_oen[44]
+  PIN la_oen[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 297.180 0.000 297.460 2.400 ;
+    END
+  END la_oen[45]
+  PIN la_oen[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 300.860 0.000 301.140 2.400 ;
+    END
+  END la_oen[46]
+  PIN la_oen[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 304.540 0.000 304.820 2.400 ;
+    END
+  END la_oen[47]
+  PIN la_oen[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 308.220 0.000 308.500 2.400 ;
+    END
+  END la_oen[48]
+  PIN la_oen[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 311.900 0.000 312.180 2.400 ;
+    END
+  END la_oen[49]
+  PIN la_oen[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 146.760 0.000 147.040 2.400 ;
+    END
+  END la_oen[4]
+  PIN la_oen[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 315.580 0.000 315.860 2.400 ;
+    END
+  END la_oen[50]
+  PIN la_oen[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 319.260 0.000 319.540 2.400 ;
+    END
+  END la_oen[51]
+  PIN la_oen[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 322.940 0.000 323.220 2.400 ;
+    END
+  END la_oen[52]
+  PIN la_oen[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 326.620 0.000 326.900 2.400 ;
+    END
+  END la_oen[53]
+  PIN la_oen[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 330.300 0.000 330.580 2.400 ;
+    END
+  END la_oen[54]
+  PIN la_oen[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 333.980 0.000 334.260 2.400 ;
+    END
+  END la_oen[55]
+  PIN la_oen[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 337.660 0.000 337.940 2.400 ;
+    END
+  END la_oen[56]
+  PIN la_oen[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 341.340 0.000 341.620 2.400 ;
+    END
+  END la_oen[57]
+  PIN la_oen[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 345.020 0.000 345.300 2.400 ;
+    END
+  END la_oen[58]
+  PIN la_oen[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 348.700 0.000 348.980 2.400 ;
+    END
+  END la_oen[59]
+  PIN la_oen[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 150.440 0.000 150.720 2.400 ;
+    END
+  END la_oen[5]
+  PIN la_oen[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 352.380 0.000 352.660 2.400 ;
+    END
+  END la_oen[60]
+  PIN la_oen[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 356.060 0.000 356.340 2.400 ;
+    END
+  END la_oen[61]
+  PIN la_oen[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 359.740 0.000 360.020 2.400 ;
+    END
+  END la_oen[62]
+  PIN la_oen[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 363.420 0.000 363.700 2.400 ;
+    END
+  END la_oen[63]
+  PIN la_oen[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 367.100 0.000 367.380 2.400 ;
+    END
+  END la_oen[64]
+  PIN la_oen[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 370.780 0.000 371.060 2.400 ;
+    END
+  END la_oen[65]
+  PIN la_oen[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 374.460 0.000 374.740 2.400 ;
+    END
+  END la_oen[66]
+  PIN la_oen[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 378.140 0.000 378.420 2.400 ;
+    END
+  END la_oen[67]
+  PIN la_oen[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 381.820 0.000 382.100 2.400 ;
+    END
+  END la_oen[68]
+  PIN la_oen[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 385.500 0.000 385.780 2.400 ;
+    END
+  END la_oen[69]
+  PIN la_oen[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 154.120 0.000 154.400 2.400 ;
+    END
+  END la_oen[6]
+  PIN la_oen[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 389.180 0.000 389.460 2.400 ;
+    END
+  END la_oen[70]
+  PIN la_oen[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 392.860 0.000 393.140 2.400 ;
+    END
+  END la_oen[71]
+  PIN la_oen[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 396.540 0.000 396.820 2.400 ;
+    END
+  END la_oen[72]
+  PIN la_oen[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 400.220 0.000 400.500 2.400 ;
+    END
+  END la_oen[73]
+  PIN la_oen[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 403.900 0.000 404.180 2.400 ;
+    END
+  END la_oen[74]
+  PIN la_oen[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 407.580 0.000 407.860 2.400 ;
+    END
+  END la_oen[75]
+  PIN la_oen[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 411.260 0.000 411.540 2.400 ;
+    END
+  END la_oen[76]
+  PIN la_oen[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 414.940 0.000 415.220 2.400 ;
+    END
+  END la_oen[77]
+  PIN la_oen[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 418.620 0.000 418.900 2.400 ;
+    END
+  END la_oen[78]
+  PIN la_oen[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 422.300 0.000 422.580 2.400 ;
+    END
+  END la_oen[79]
+  PIN la_oen[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 157.800 0.000 158.080 2.400 ;
+    END
+  END la_oen[7]
+  PIN la_oen[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 425.980 0.000 426.260 2.400 ;
+    END
+  END la_oen[80]
+  PIN la_oen[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 429.660 0.000 429.940 2.400 ;
+    END
+  END la_oen[81]
+  PIN la_oen[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 433.340 0.000 433.620 2.400 ;
+    END
+  END la_oen[82]
+  PIN la_oen[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 437.020 0.000 437.300 2.400 ;
+    END
+  END la_oen[83]
+  PIN la_oen[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 440.700 0.000 440.980 2.400 ;
+    END
+  END la_oen[84]
+  PIN la_oen[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 444.380 0.000 444.660 2.400 ;
+    END
+  END la_oen[85]
+  PIN la_oen[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 448.060 0.000 448.340 2.400 ;
+    END
+  END la_oen[86]
+  PIN la_oen[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 451.280 0.000 451.560 2.400 ;
+    END
+  END la_oen[87]
+  PIN la_oen[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 454.960 0.000 455.240 2.400 ;
+    END
+  END la_oen[88]
+  PIN la_oen[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 458.640 0.000 458.920 2.400 ;
+    END
+  END la_oen[89]
+  PIN la_oen[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 161.480 0.000 161.760 2.400 ;
+    END
+  END la_oen[8]
+  PIN la_oen[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 462.320 0.000 462.600 2.400 ;
+    END
+  END la_oen[90]
+  PIN la_oen[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 466.000 0.000 466.280 2.400 ;
+    END
+  END la_oen[91]
+  PIN la_oen[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 469.680 0.000 469.960 2.400 ;
+    END
+  END la_oen[92]
+  PIN la_oen[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 473.360 0.000 473.640 2.400 ;
+    END
+  END la_oen[93]
+  PIN la_oen[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 477.040 0.000 477.320 2.400 ;
+    END
+  END la_oen[94]
+  PIN la_oen[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 480.720 0.000 481.000 2.400 ;
+    END
+  END la_oen[95]
+  PIN la_oen[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 484.400 0.000 484.680 2.400 ;
+    END
+  END la_oen[96]
+  PIN la_oen[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 488.080 0.000 488.360 2.400 ;
+    END
+  END la_oen[97]
+  PIN la_oen[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 491.760 0.000 492.040 2.400 ;
+    END
+  END la_oen[98]
+  PIN la_oen[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 495.440 0.000 495.720 2.400 ;
+    END
+  END la_oen[99]
+  PIN la_oen[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 165.160 0.000 165.440 2.400 ;
+    END
+  END la_oen[9]
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 0.020 0.000 0.300 2.400 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 0.940 0.000 1.220 2.400 ;
+    END
+  END wb_rst_i
+  PIN wbs_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2.320 0.000 2.600 2.400 ;
+    END
+  END wbs_ack_o
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 6.920 0.000 7.200 2.400 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 48.780 0.000 49.060 2.400 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 52.460 0.000 52.740 2.400 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 56.140 0.000 56.420 2.400 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 59.820 0.000 60.100 2.400 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 63.500 0.000 63.780 2.400 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 67.180 0.000 67.460 2.400 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 70.860 0.000 71.140 2.400 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 74.540 0.000 74.820 2.400 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 78.220 0.000 78.500 2.400 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 81.900 0.000 82.180 2.400 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 11.980 0.000 12.260 2.400 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 85.580 0.000 85.860 2.400 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 89.260 0.000 89.540 2.400 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 92.940 0.000 93.220 2.400 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 96.620 0.000 96.900 2.400 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 100.300 0.000 100.580 2.400 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 103.980 0.000 104.260 2.400 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 107.660 0.000 107.940 2.400 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 111.340 0.000 111.620 2.400 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 115.020 0.000 115.300 2.400 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 118.700 0.000 118.980 2.400 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.040 0.000 17.320 2.400 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 122.380 0.000 122.660 2.400 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 126.060 0.000 126.340 2.400 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 21.640 0.000 21.920 2.400 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 26.700 0.000 26.980 2.400 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 30.380 0.000 30.660 2.400 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 34.060 0.000 34.340 2.400 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 37.740 0.000 38.020 2.400 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 41.420 0.000 41.700 2.400 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 45.100 0.000 45.380 2.400 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 3.240 0.000 3.520 2.400 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 8.300 0.000 8.580 2.400 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 50.160 0.000 50.440 2.400 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 53.840 0.000 54.120 2.400 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 57.520 0.000 57.800 2.400 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 61.200 0.000 61.480 2.400 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.880 0.000 65.160 2.400 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 68.560 0.000 68.840 2.400 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 72.240 0.000 72.520 2.400 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 75.460 0.000 75.740 2.400 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 79.140 0.000 79.420 2.400 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 82.820 0.000 83.100 2.400 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 13.360 0.000 13.640 2.400 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 86.500 0.000 86.780 2.400 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 90.180 0.000 90.460 2.400 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 93.860 0.000 94.140 2.400 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 97.540 0.000 97.820 2.400 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 101.220 0.000 101.500 2.400 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 104.900 0.000 105.180 2.400 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 108.580 0.000 108.860 2.400 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 112.260 0.000 112.540 2.400 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 115.940 0.000 116.220 2.400 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 119.620 0.000 119.900 2.400 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.960 0.000 18.240 2.400 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 123.300 0.000 123.580 2.400 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 126.980 0.000 127.260 2.400 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 23.020 0.000 23.300 2.400 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 28.080 0.000 28.360 2.400 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 31.760 0.000 32.040 2.400 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 35.440 0.000 35.720 2.400 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 39.120 0.000 39.400 2.400 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 42.800 0.000 43.080 2.400 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 46.480 0.000 46.760 2.400 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 9.680 0.000 9.960 2.400 ;
+    END
+  END wbs_dat_o[0]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 51.080 0.000 51.360 2.400 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 54.760 0.000 55.040 2.400 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 58.440 0.000 58.720 2.400 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 62.120 0.000 62.400 2.400 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 65.800 0.000 66.080 2.400 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 69.480 0.000 69.760 2.400 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 73.160 0.000 73.440 2.400 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 76.840 0.000 77.120 2.400 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 80.520 0.000 80.800 2.400 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 84.200 0.000 84.480 2.400 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 14.280 0.000 14.560 2.400 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 87.880 0.000 88.160 2.400 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 91.560 0.000 91.840 2.400 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 95.240 0.000 95.520 2.400 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 98.920 0.000 99.200 2.400 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 102.600 0.000 102.880 2.400 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 106.280 0.000 106.560 2.400 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 109.960 0.000 110.240 2.400 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 113.640 0.000 113.920 2.400 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 117.320 0.000 117.600 2.400 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 121.000 0.000 121.280 2.400 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 19.340 0.000 19.620 2.400 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 124.680 0.000 124.960 2.400 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 128.360 0.000 128.640 2.400 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 24.400 0.000 24.680 2.400 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 29.000 0.000 29.280 2.400 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 32.680 0.000 32.960 2.400 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 36.360 0.000 36.640 2.400 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 40.040 0.000 40.320 2.400 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.720 0.000 44.000 2.400 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 47.400 0.000 47.680 2.400 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 10.600 0.000 10.880 2.400 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 15.660 0.000 15.940 2.400 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 20.720 0.000 21.000 2.400 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 25.320 0.000 25.600 2.400 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 4.620 0.000 4.900 2.400 ;
+    END
+  END wbs_stb_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 6.000 0.000 6.280 2.400 ;
+    END
+  END wbs_we_i
+  PIN VPWR
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 20.510 10.640 22.110 587.760 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 97.310 10.640 98.910 587.760 ;
+    END
+  END VGND
+  OBS
+      LAYER li1 ;
+        RECT 4.990 6.545 593.790 587.605 ;
+      LAYER met1 ;
+        RECT 0.000 5.140 597.400 587.760 ;
+      LAYER met2 ;
+        RECT 0.030 597.320 1.580 597.600 ;
+        RECT 2.420 597.320 6.640 597.600 ;
+        RECT 7.480 597.320 11.700 597.600 ;
+        RECT 12.540 597.320 17.220 597.600 ;
+        RECT 18.060 597.320 22.280 597.600 ;
+        RECT 23.120 597.320 27.800 597.600 ;
+        RECT 28.640 597.320 32.860 597.600 ;
+        RECT 33.700 597.320 38.380 597.600 ;
+        RECT 39.220 597.320 43.440 597.600 ;
+        RECT 44.280 597.320 48.500 597.600 ;
+        RECT 49.340 597.320 54.020 597.600 ;
+        RECT 54.860 597.320 59.080 597.600 ;
+        RECT 59.920 597.320 64.600 597.600 ;
+        RECT 65.440 597.320 69.660 597.600 ;
+        RECT 70.500 597.320 75.180 597.600 ;
+        RECT 76.020 597.320 80.240 597.600 ;
+        RECT 81.080 597.320 85.760 597.600 ;
+        RECT 86.600 597.320 90.820 597.600 ;
+        RECT 91.660 597.320 95.880 597.600 ;
+        RECT 96.720 597.320 101.400 597.600 ;
+        RECT 102.240 597.320 106.460 597.600 ;
+        RECT 107.300 597.320 111.980 597.600 ;
+        RECT 112.820 597.320 117.040 597.600 ;
+        RECT 117.880 597.320 122.560 597.600 ;
+        RECT 123.400 597.320 127.620 597.600 ;
+        RECT 128.460 597.320 132.680 597.600 ;
+        RECT 133.520 597.320 138.200 597.600 ;
+        RECT 139.040 597.320 143.260 597.600 ;
+        RECT 144.100 597.320 148.780 597.600 ;
+        RECT 149.620 597.320 153.840 597.600 ;
+        RECT 154.680 597.320 159.360 597.600 ;
+        RECT 160.200 597.320 164.420 597.600 ;
+        RECT 165.260 597.320 169.940 597.600 ;
+        RECT 170.780 597.320 175.000 597.600 ;
+        RECT 175.840 597.320 180.060 597.600 ;
+        RECT 180.900 597.320 185.580 597.600 ;
+        RECT 186.420 597.320 190.640 597.600 ;
+        RECT 191.480 597.320 196.160 597.600 ;
+        RECT 197.000 597.320 201.220 597.600 ;
+        RECT 202.060 597.320 206.740 597.600 ;
+        RECT 207.580 597.320 211.800 597.600 ;
+        RECT 212.640 597.320 216.860 597.600 ;
+        RECT 217.700 597.320 222.380 597.600 ;
+        RECT 223.220 597.320 227.440 597.600 ;
+        RECT 228.280 597.320 232.960 597.600 ;
+        RECT 233.800 597.320 238.020 597.600 ;
+        RECT 238.860 597.320 243.540 597.600 ;
+        RECT 244.380 597.320 248.600 597.600 ;
+        RECT 249.440 597.320 254.120 597.600 ;
+        RECT 254.960 597.320 259.180 597.600 ;
+        RECT 260.020 597.320 264.240 597.600 ;
+        RECT 265.080 597.320 269.760 597.600 ;
+        RECT 270.600 597.320 274.820 597.600 ;
+        RECT 275.660 597.320 280.340 597.600 ;
+        RECT 281.180 597.320 285.400 597.600 ;
+        RECT 286.240 597.320 290.920 597.600 ;
+        RECT 291.760 597.320 295.980 597.600 ;
+        RECT 296.820 597.320 301.500 597.600 ;
+        RECT 302.340 597.320 306.560 597.600 ;
+        RECT 307.400 597.320 311.620 597.600 ;
+        RECT 312.460 597.320 317.140 597.600 ;
+        RECT 317.980 597.320 322.200 597.600 ;
+        RECT 323.040 597.320 327.720 597.600 ;
+        RECT 328.560 597.320 332.780 597.600 ;
+        RECT 333.620 597.320 338.300 597.600 ;
+        RECT 339.140 597.320 343.360 597.600 ;
+        RECT 344.200 597.320 348.420 597.600 ;
+        RECT 349.260 597.320 353.940 597.600 ;
+        RECT 354.780 597.320 359.000 597.600 ;
+        RECT 359.840 597.320 364.520 597.600 ;
+        RECT 365.360 597.320 369.580 597.600 ;
+        RECT 370.420 597.320 375.100 597.600 ;
+        RECT 375.940 597.320 380.160 597.600 ;
+        RECT 381.000 597.320 385.680 597.600 ;
+        RECT 386.520 597.320 390.740 597.600 ;
+        RECT 391.580 597.320 395.800 597.600 ;
+        RECT 396.640 597.320 401.320 597.600 ;
+        RECT 402.160 597.320 406.380 597.600 ;
+        RECT 407.220 597.320 411.900 597.600 ;
+        RECT 412.740 597.320 416.960 597.600 ;
+        RECT 417.800 597.320 422.480 597.600 ;
+        RECT 423.320 597.320 427.540 597.600 ;
+        RECT 428.380 597.320 432.600 597.600 ;
+        RECT 433.440 597.320 438.120 597.600 ;
+        RECT 438.960 597.320 443.180 597.600 ;
+        RECT 444.020 597.320 448.700 597.600 ;
+        RECT 449.540 597.320 453.760 597.600 ;
+        RECT 454.600 597.320 459.280 597.600 ;
+        RECT 460.120 597.320 464.340 597.600 ;
+        RECT 465.180 597.320 469.860 597.600 ;
+        RECT 470.700 597.320 474.920 597.600 ;
+        RECT 475.760 597.320 479.980 597.600 ;
+        RECT 480.820 597.320 485.500 597.600 ;
+        RECT 486.340 597.320 490.560 597.600 ;
+        RECT 491.400 597.320 496.080 597.600 ;
+        RECT 496.920 597.320 501.140 597.600 ;
+        RECT 501.980 597.320 506.660 597.600 ;
+        RECT 507.500 597.320 511.720 597.600 ;
+        RECT 512.560 597.320 516.780 597.600 ;
+        RECT 517.620 597.320 522.300 597.600 ;
+        RECT 523.140 597.320 527.360 597.600 ;
+        RECT 528.200 597.320 532.880 597.600 ;
+        RECT 533.720 597.320 537.940 597.600 ;
+        RECT 538.780 597.320 543.460 597.600 ;
+        RECT 544.300 597.320 548.520 597.600 ;
+        RECT 549.360 597.320 554.040 597.600 ;
+        RECT 554.880 597.320 559.100 597.600 ;
+        RECT 559.940 597.320 564.160 597.600 ;
+        RECT 565.000 597.320 569.680 597.600 ;
+        RECT 570.520 597.320 574.740 597.600 ;
+        RECT 575.580 597.320 580.260 597.600 ;
+        RECT 581.100 597.320 585.320 597.600 ;
+        RECT 586.160 597.320 590.840 597.600 ;
+        RECT 591.680 597.320 595.900 597.600 ;
+        RECT 596.740 597.320 597.370 597.600 ;
+        RECT 0.030 2.680 597.370 597.320 ;
+        RECT 0.580 2.400 0.660 2.680 ;
+        RECT 1.500 2.400 2.040 2.680 ;
+        RECT 2.880 2.400 2.960 2.680 ;
+        RECT 3.800 2.400 4.340 2.680 ;
+        RECT 5.180 2.400 5.720 2.680 ;
+        RECT 6.560 2.400 6.640 2.680 ;
+        RECT 7.480 2.400 8.020 2.680 ;
+        RECT 8.860 2.400 9.400 2.680 ;
+        RECT 10.240 2.400 10.320 2.680 ;
+        RECT 11.160 2.400 11.700 2.680 ;
+        RECT 12.540 2.400 13.080 2.680 ;
+        RECT 13.920 2.400 14.000 2.680 ;
+        RECT 14.840 2.400 15.380 2.680 ;
+        RECT 16.220 2.400 16.760 2.680 ;
+        RECT 17.600 2.400 17.680 2.680 ;
+        RECT 18.520 2.400 19.060 2.680 ;
+        RECT 19.900 2.400 20.440 2.680 ;
+        RECT 21.280 2.400 21.360 2.680 ;
+        RECT 22.200 2.400 22.740 2.680 ;
+        RECT 23.580 2.400 24.120 2.680 ;
+        RECT 24.960 2.400 25.040 2.680 ;
+        RECT 25.880 2.400 26.420 2.680 ;
+        RECT 27.260 2.400 27.800 2.680 ;
+        RECT 28.640 2.400 28.720 2.680 ;
+        RECT 29.560 2.400 30.100 2.680 ;
+        RECT 30.940 2.400 31.480 2.680 ;
+        RECT 32.320 2.400 32.400 2.680 ;
+        RECT 33.240 2.400 33.780 2.680 ;
+        RECT 34.620 2.400 35.160 2.680 ;
+        RECT 36.000 2.400 36.080 2.680 ;
+        RECT 36.920 2.400 37.460 2.680 ;
+        RECT 38.300 2.400 38.840 2.680 ;
+        RECT 39.680 2.400 39.760 2.680 ;
+        RECT 40.600 2.400 41.140 2.680 ;
+        RECT 41.980 2.400 42.520 2.680 ;
+        RECT 43.360 2.400 43.440 2.680 ;
+        RECT 44.280 2.400 44.820 2.680 ;
+        RECT 45.660 2.400 46.200 2.680 ;
+        RECT 47.040 2.400 47.120 2.680 ;
+        RECT 47.960 2.400 48.500 2.680 ;
+        RECT 49.340 2.400 49.880 2.680 ;
+        RECT 50.720 2.400 50.800 2.680 ;
+        RECT 51.640 2.400 52.180 2.680 ;
+        RECT 53.020 2.400 53.560 2.680 ;
+        RECT 54.400 2.400 54.480 2.680 ;
+        RECT 55.320 2.400 55.860 2.680 ;
+        RECT 56.700 2.400 57.240 2.680 ;
+        RECT 58.080 2.400 58.160 2.680 ;
+        RECT 59.000 2.400 59.540 2.680 ;
+        RECT 60.380 2.400 60.920 2.680 ;
+        RECT 61.760 2.400 61.840 2.680 ;
+        RECT 62.680 2.400 63.220 2.680 ;
+        RECT 64.060 2.400 64.600 2.680 ;
+        RECT 65.440 2.400 65.520 2.680 ;
+        RECT 66.360 2.400 66.900 2.680 ;
+        RECT 67.740 2.400 68.280 2.680 ;
+        RECT 69.120 2.400 69.200 2.680 ;
+        RECT 70.040 2.400 70.580 2.680 ;
+        RECT 71.420 2.400 71.960 2.680 ;
+        RECT 72.800 2.400 72.880 2.680 ;
+        RECT 73.720 2.400 74.260 2.680 ;
+        RECT 75.100 2.400 75.180 2.680 ;
+        RECT 76.020 2.400 76.560 2.680 ;
+        RECT 77.400 2.400 77.940 2.680 ;
+        RECT 78.780 2.400 78.860 2.680 ;
+        RECT 79.700 2.400 80.240 2.680 ;
+        RECT 81.080 2.400 81.620 2.680 ;
+        RECT 82.460 2.400 82.540 2.680 ;
+        RECT 83.380 2.400 83.920 2.680 ;
+        RECT 84.760 2.400 85.300 2.680 ;
+        RECT 86.140 2.400 86.220 2.680 ;
+        RECT 87.060 2.400 87.600 2.680 ;
+        RECT 88.440 2.400 88.980 2.680 ;
+        RECT 89.820 2.400 89.900 2.680 ;
+        RECT 90.740 2.400 91.280 2.680 ;
+        RECT 92.120 2.400 92.660 2.680 ;
+        RECT 93.500 2.400 93.580 2.680 ;
+        RECT 94.420 2.400 94.960 2.680 ;
+        RECT 95.800 2.400 96.340 2.680 ;
+        RECT 97.180 2.400 97.260 2.680 ;
+        RECT 98.100 2.400 98.640 2.680 ;
+        RECT 99.480 2.400 100.020 2.680 ;
+        RECT 100.860 2.400 100.940 2.680 ;
+        RECT 101.780 2.400 102.320 2.680 ;
+        RECT 103.160 2.400 103.700 2.680 ;
+        RECT 104.540 2.400 104.620 2.680 ;
+        RECT 105.460 2.400 106.000 2.680 ;
+        RECT 106.840 2.400 107.380 2.680 ;
+        RECT 108.220 2.400 108.300 2.680 ;
+        RECT 109.140 2.400 109.680 2.680 ;
+        RECT 110.520 2.400 111.060 2.680 ;
+        RECT 111.900 2.400 111.980 2.680 ;
+        RECT 112.820 2.400 113.360 2.680 ;
+        RECT 114.200 2.400 114.740 2.680 ;
+        RECT 115.580 2.400 115.660 2.680 ;
+        RECT 116.500 2.400 117.040 2.680 ;
+        RECT 117.880 2.400 118.420 2.680 ;
+        RECT 119.260 2.400 119.340 2.680 ;
+        RECT 120.180 2.400 120.720 2.680 ;
+        RECT 121.560 2.400 122.100 2.680 ;
+        RECT 122.940 2.400 123.020 2.680 ;
+        RECT 123.860 2.400 124.400 2.680 ;
+        RECT 125.240 2.400 125.780 2.680 ;
+        RECT 126.620 2.400 126.700 2.680 ;
+        RECT 127.540 2.400 128.080 2.680 ;
+        RECT 128.920 2.400 129.460 2.680 ;
+        RECT 130.300 2.400 130.380 2.680 ;
+        RECT 131.220 2.400 131.760 2.680 ;
+        RECT 132.600 2.400 133.140 2.680 ;
+        RECT 133.980 2.400 134.060 2.680 ;
+        RECT 134.900 2.400 135.440 2.680 ;
+        RECT 136.280 2.400 136.820 2.680 ;
+        RECT 137.660 2.400 137.740 2.680 ;
+        RECT 138.580 2.400 139.120 2.680 ;
+        RECT 139.960 2.400 140.500 2.680 ;
+        RECT 141.340 2.400 141.420 2.680 ;
+        RECT 142.260 2.400 142.800 2.680 ;
+        RECT 143.640 2.400 144.180 2.680 ;
+        RECT 145.020 2.400 145.100 2.680 ;
+        RECT 145.940 2.400 146.480 2.680 ;
+        RECT 147.320 2.400 147.860 2.680 ;
+        RECT 148.700 2.400 148.780 2.680 ;
+        RECT 149.620 2.400 150.160 2.680 ;
+        RECT 151.000 2.400 151.080 2.680 ;
+        RECT 151.920 2.400 152.460 2.680 ;
+        RECT 153.300 2.400 153.840 2.680 ;
+        RECT 154.680 2.400 154.760 2.680 ;
+        RECT 155.600 2.400 156.140 2.680 ;
+        RECT 156.980 2.400 157.520 2.680 ;
+        RECT 158.360 2.400 158.440 2.680 ;
+        RECT 159.280 2.400 159.820 2.680 ;
+        RECT 160.660 2.400 161.200 2.680 ;
+        RECT 162.040 2.400 162.120 2.680 ;
+        RECT 162.960 2.400 163.500 2.680 ;
+        RECT 164.340 2.400 164.880 2.680 ;
+        RECT 165.720 2.400 165.800 2.680 ;
+        RECT 166.640 2.400 167.180 2.680 ;
+        RECT 168.020 2.400 168.560 2.680 ;
+        RECT 169.400 2.400 169.480 2.680 ;
+        RECT 170.320 2.400 170.860 2.680 ;
+        RECT 171.700 2.400 172.240 2.680 ;
+        RECT 173.080 2.400 173.160 2.680 ;
+        RECT 174.000 2.400 174.540 2.680 ;
+        RECT 175.380 2.400 175.920 2.680 ;
+        RECT 176.760 2.400 176.840 2.680 ;
+        RECT 177.680 2.400 178.220 2.680 ;
+        RECT 179.060 2.400 179.600 2.680 ;
+        RECT 180.440 2.400 180.520 2.680 ;
+        RECT 181.360 2.400 181.900 2.680 ;
+        RECT 182.740 2.400 183.280 2.680 ;
+        RECT 184.120 2.400 184.200 2.680 ;
+        RECT 185.040 2.400 185.580 2.680 ;
+        RECT 186.420 2.400 186.960 2.680 ;
+        RECT 187.800 2.400 187.880 2.680 ;
+        RECT 188.720 2.400 189.260 2.680 ;
+        RECT 190.100 2.400 190.640 2.680 ;
+        RECT 191.480 2.400 191.560 2.680 ;
+        RECT 192.400 2.400 192.940 2.680 ;
+        RECT 193.780 2.400 194.320 2.680 ;
+        RECT 195.160 2.400 195.240 2.680 ;
+        RECT 196.080 2.400 196.620 2.680 ;
+        RECT 197.460 2.400 198.000 2.680 ;
+        RECT 198.840 2.400 198.920 2.680 ;
+        RECT 199.760 2.400 200.300 2.680 ;
+        RECT 201.140 2.400 201.680 2.680 ;
+        RECT 202.520 2.400 202.600 2.680 ;
+        RECT 203.440 2.400 203.980 2.680 ;
+        RECT 204.820 2.400 205.360 2.680 ;
+        RECT 206.200 2.400 206.280 2.680 ;
+        RECT 207.120 2.400 207.660 2.680 ;
+        RECT 208.500 2.400 209.040 2.680 ;
+        RECT 209.880 2.400 209.960 2.680 ;
+        RECT 210.800 2.400 211.340 2.680 ;
+        RECT 212.180 2.400 212.720 2.680 ;
+        RECT 213.560 2.400 213.640 2.680 ;
+        RECT 214.480 2.400 215.020 2.680 ;
+        RECT 215.860 2.400 216.400 2.680 ;
+        RECT 217.240 2.400 217.320 2.680 ;
+        RECT 218.160 2.400 218.700 2.680 ;
+        RECT 219.540 2.400 220.080 2.680 ;
+        RECT 220.920 2.400 221.000 2.680 ;
+        RECT 221.840 2.400 222.380 2.680 ;
+        RECT 223.220 2.400 223.760 2.680 ;
+        RECT 224.600 2.400 224.680 2.680 ;
+        RECT 225.520 2.400 226.060 2.680 ;
+        RECT 226.900 2.400 226.980 2.680 ;
+        RECT 227.820 2.400 228.360 2.680 ;
+        RECT 229.200 2.400 229.740 2.680 ;
+        RECT 230.580 2.400 230.660 2.680 ;
+        RECT 231.500 2.400 232.040 2.680 ;
+        RECT 232.880 2.400 233.420 2.680 ;
+        RECT 234.260 2.400 234.340 2.680 ;
+        RECT 235.180 2.400 235.720 2.680 ;
+        RECT 236.560 2.400 237.100 2.680 ;
+        RECT 237.940 2.400 238.020 2.680 ;
+        RECT 238.860 2.400 239.400 2.680 ;
+        RECT 240.240 2.400 240.780 2.680 ;
+        RECT 241.620 2.400 241.700 2.680 ;
+        RECT 242.540 2.400 243.080 2.680 ;
+        RECT 243.920 2.400 244.460 2.680 ;
+        RECT 245.300 2.400 245.380 2.680 ;
+        RECT 246.220 2.400 246.760 2.680 ;
+        RECT 247.600 2.400 248.140 2.680 ;
+        RECT 248.980 2.400 249.060 2.680 ;
+        RECT 249.900 2.400 250.440 2.680 ;
+        RECT 251.280 2.400 251.820 2.680 ;
+        RECT 252.660 2.400 252.740 2.680 ;
+        RECT 253.580 2.400 254.120 2.680 ;
+        RECT 254.960 2.400 255.500 2.680 ;
+        RECT 256.340 2.400 256.420 2.680 ;
+        RECT 257.260 2.400 257.800 2.680 ;
+        RECT 258.640 2.400 259.180 2.680 ;
+        RECT 260.020 2.400 260.100 2.680 ;
+        RECT 260.940 2.400 261.480 2.680 ;
+        RECT 262.320 2.400 262.860 2.680 ;
+        RECT 263.700 2.400 263.780 2.680 ;
+        RECT 264.620 2.400 265.160 2.680 ;
+        RECT 266.000 2.400 266.540 2.680 ;
+        RECT 267.380 2.400 267.460 2.680 ;
+        RECT 268.300 2.400 268.840 2.680 ;
+        RECT 269.680 2.400 270.220 2.680 ;
+        RECT 271.060 2.400 271.140 2.680 ;
+        RECT 271.980 2.400 272.520 2.680 ;
+        RECT 273.360 2.400 273.900 2.680 ;
+        RECT 274.740 2.400 274.820 2.680 ;
+        RECT 275.660 2.400 276.200 2.680 ;
+        RECT 277.040 2.400 277.580 2.680 ;
+        RECT 278.420 2.400 278.500 2.680 ;
+        RECT 279.340 2.400 279.880 2.680 ;
+        RECT 280.720 2.400 281.260 2.680 ;
+        RECT 282.100 2.400 282.180 2.680 ;
+        RECT 283.020 2.400 283.560 2.680 ;
+        RECT 284.400 2.400 284.940 2.680 ;
+        RECT 285.780 2.400 285.860 2.680 ;
+        RECT 286.700 2.400 287.240 2.680 ;
+        RECT 288.080 2.400 288.620 2.680 ;
+        RECT 289.460 2.400 289.540 2.680 ;
+        RECT 290.380 2.400 290.920 2.680 ;
+        RECT 291.760 2.400 292.300 2.680 ;
+        RECT 293.140 2.400 293.220 2.680 ;
+        RECT 294.060 2.400 294.600 2.680 ;
+        RECT 295.440 2.400 295.980 2.680 ;
+        RECT 296.820 2.400 296.900 2.680 ;
+        RECT 297.740 2.400 298.280 2.680 ;
+        RECT 299.120 2.400 299.660 2.680 ;
+        RECT 300.500 2.400 300.580 2.680 ;
+        RECT 301.420 2.400 301.960 2.680 ;
+        RECT 302.800 2.400 302.880 2.680 ;
+        RECT 303.720 2.400 304.260 2.680 ;
+        RECT 305.100 2.400 305.640 2.680 ;
+        RECT 306.480 2.400 306.560 2.680 ;
+        RECT 307.400 2.400 307.940 2.680 ;
+        RECT 308.780 2.400 309.320 2.680 ;
+        RECT 310.160 2.400 310.240 2.680 ;
+        RECT 311.080 2.400 311.620 2.680 ;
+        RECT 312.460 2.400 313.000 2.680 ;
+        RECT 313.840 2.400 313.920 2.680 ;
+        RECT 314.760 2.400 315.300 2.680 ;
+        RECT 316.140 2.400 316.680 2.680 ;
+        RECT 317.520 2.400 317.600 2.680 ;
+        RECT 318.440 2.400 318.980 2.680 ;
+        RECT 319.820 2.400 320.360 2.680 ;
+        RECT 321.200 2.400 321.280 2.680 ;
+        RECT 322.120 2.400 322.660 2.680 ;
+        RECT 323.500 2.400 324.040 2.680 ;
+        RECT 324.880 2.400 324.960 2.680 ;
+        RECT 325.800 2.400 326.340 2.680 ;
+        RECT 327.180 2.400 327.720 2.680 ;
+        RECT 328.560 2.400 328.640 2.680 ;
+        RECT 329.480 2.400 330.020 2.680 ;
+        RECT 330.860 2.400 331.400 2.680 ;
+        RECT 332.240 2.400 332.320 2.680 ;
+        RECT 333.160 2.400 333.700 2.680 ;
+        RECT 334.540 2.400 335.080 2.680 ;
+        RECT 335.920 2.400 336.000 2.680 ;
+        RECT 336.840 2.400 337.380 2.680 ;
+        RECT 338.220 2.400 338.760 2.680 ;
+        RECT 339.600 2.400 339.680 2.680 ;
+        RECT 340.520 2.400 341.060 2.680 ;
+        RECT 341.900 2.400 342.440 2.680 ;
+        RECT 343.280 2.400 343.360 2.680 ;
+        RECT 344.200 2.400 344.740 2.680 ;
+        RECT 345.580 2.400 346.120 2.680 ;
+        RECT 346.960 2.400 347.040 2.680 ;
+        RECT 347.880 2.400 348.420 2.680 ;
+        RECT 349.260 2.400 349.800 2.680 ;
+        RECT 350.640 2.400 350.720 2.680 ;
+        RECT 351.560 2.400 352.100 2.680 ;
+        RECT 352.940 2.400 353.480 2.680 ;
+        RECT 354.320 2.400 354.400 2.680 ;
+        RECT 355.240 2.400 355.780 2.680 ;
+        RECT 356.620 2.400 357.160 2.680 ;
+        RECT 358.000 2.400 358.080 2.680 ;
+        RECT 358.920 2.400 359.460 2.680 ;
+        RECT 360.300 2.400 360.840 2.680 ;
+        RECT 361.680 2.400 361.760 2.680 ;
+        RECT 362.600 2.400 363.140 2.680 ;
+        RECT 363.980 2.400 364.520 2.680 ;
+        RECT 365.360 2.400 365.440 2.680 ;
+        RECT 366.280 2.400 366.820 2.680 ;
+        RECT 367.660 2.400 368.200 2.680 ;
+        RECT 369.040 2.400 369.120 2.680 ;
+        RECT 369.960 2.400 370.500 2.680 ;
+        RECT 371.340 2.400 371.880 2.680 ;
+        RECT 372.720 2.400 372.800 2.680 ;
+        RECT 373.640 2.400 374.180 2.680 ;
+        RECT 375.020 2.400 375.100 2.680 ;
+        RECT 375.940 2.400 376.480 2.680 ;
+        RECT 377.320 2.400 377.860 2.680 ;
+        RECT 378.700 2.400 378.780 2.680 ;
+        RECT 379.620 2.400 380.160 2.680 ;
+        RECT 381.000 2.400 381.540 2.680 ;
+        RECT 382.380 2.400 382.460 2.680 ;
+        RECT 383.300 2.400 383.840 2.680 ;
+        RECT 384.680 2.400 385.220 2.680 ;
+        RECT 386.060 2.400 386.140 2.680 ;
+        RECT 386.980 2.400 387.520 2.680 ;
+        RECT 388.360 2.400 388.900 2.680 ;
+        RECT 389.740 2.400 389.820 2.680 ;
+        RECT 390.660 2.400 391.200 2.680 ;
+        RECT 392.040 2.400 392.580 2.680 ;
+        RECT 393.420 2.400 393.500 2.680 ;
+        RECT 394.340 2.400 394.880 2.680 ;
+        RECT 395.720 2.400 396.260 2.680 ;
+        RECT 397.100 2.400 397.180 2.680 ;
+        RECT 398.020 2.400 398.560 2.680 ;
+        RECT 399.400 2.400 399.940 2.680 ;
+        RECT 400.780 2.400 400.860 2.680 ;
+        RECT 401.700 2.400 402.240 2.680 ;
+        RECT 403.080 2.400 403.620 2.680 ;
+        RECT 404.460 2.400 404.540 2.680 ;
+        RECT 405.380 2.400 405.920 2.680 ;
+        RECT 406.760 2.400 407.300 2.680 ;
+        RECT 408.140 2.400 408.220 2.680 ;
+        RECT 409.060 2.400 409.600 2.680 ;
+        RECT 410.440 2.400 410.980 2.680 ;
+        RECT 411.820 2.400 411.900 2.680 ;
+        RECT 412.740 2.400 413.280 2.680 ;
+        RECT 414.120 2.400 414.660 2.680 ;
+        RECT 415.500 2.400 415.580 2.680 ;
+        RECT 416.420 2.400 416.960 2.680 ;
+        RECT 417.800 2.400 418.340 2.680 ;
+        RECT 419.180 2.400 419.260 2.680 ;
+        RECT 420.100 2.400 420.640 2.680 ;
+        RECT 421.480 2.400 422.020 2.680 ;
+        RECT 422.860 2.400 422.940 2.680 ;
+        RECT 423.780 2.400 424.320 2.680 ;
+        RECT 425.160 2.400 425.700 2.680 ;
+        RECT 426.540 2.400 426.620 2.680 ;
+        RECT 427.460 2.400 428.000 2.680 ;
+        RECT 428.840 2.400 429.380 2.680 ;
+        RECT 430.220 2.400 430.300 2.680 ;
+        RECT 431.140 2.400 431.680 2.680 ;
+        RECT 432.520 2.400 433.060 2.680 ;
+        RECT 433.900 2.400 433.980 2.680 ;
+        RECT 434.820 2.400 435.360 2.680 ;
+        RECT 436.200 2.400 436.740 2.680 ;
+        RECT 437.580 2.400 437.660 2.680 ;
+        RECT 438.500 2.400 439.040 2.680 ;
+        RECT 439.880 2.400 440.420 2.680 ;
+        RECT 441.260 2.400 441.340 2.680 ;
+        RECT 442.180 2.400 442.720 2.680 ;
+        RECT 443.560 2.400 444.100 2.680 ;
+        RECT 444.940 2.400 445.020 2.680 ;
+        RECT 445.860 2.400 446.400 2.680 ;
+        RECT 447.240 2.400 447.780 2.680 ;
+        RECT 448.620 2.400 448.700 2.680 ;
+        RECT 449.540 2.400 450.080 2.680 ;
+        RECT 450.920 2.400 451.000 2.680 ;
+        RECT 451.840 2.400 452.380 2.680 ;
+        RECT 453.220 2.400 453.760 2.680 ;
+        RECT 454.600 2.400 454.680 2.680 ;
+        RECT 455.520 2.400 456.060 2.680 ;
+        RECT 456.900 2.400 457.440 2.680 ;
+        RECT 458.280 2.400 458.360 2.680 ;
+        RECT 459.200 2.400 459.740 2.680 ;
+        RECT 460.580 2.400 461.120 2.680 ;
+        RECT 461.960 2.400 462.040 2.680 ;
+        RECT 462.880 2.400 463.420 2.680 ;
+        RECT 464.260 2.400 464.800 2.680 ;
+        RECT 465.640 2.400 465.720 2.680 ;
+        RECT 466.560 2.400 467.100 2.680 ;
+        RECT 467.940 2.400 468.480 2.680 ;
+        RECT 469.320 2.400 469.400 2.680 ;
+        RECT 470.240 2.400 470.780 2.680 ;
+        RECT 471.620 2.400 472.160 2.680 ;
+        RECT 473.000 2.400 473.080 2.680 ;
+        RECT 473.920 2.400 474.460 2.680 ;
+        RECT 475.300 2.400 475.840 2.680 ;
+        RECT 476.680 2.400 476.760 2.680 ;
+        RECT 477.600 2.400 478.140 2.680 ;
+        RECT 478.980 2.400 479.520 2.680 ;
+        RECT 480.360 2.400 480.440 2.680 ;
+        RECT 481.280 2.400 481.820 2.680 ;
+        RECT 482.660 2.400 483.200 2.680 ;
+        RECT 484.040 2.400 484.120 2.680 ;
+        RECT 484.960 2.400 485.500 2.680 ;
+        RECT 486.340 2.400 486.880 2.680 ;
+        RECT 487.720 2.400 487.800 2.680 ;
+        RECT 488.640 2.400 489.180 2.680 ;
+        RECT 490.020 2.400 490.560 2.680 ;
+        RECT 491.400 2.400 491.480 2.680 ;
+        RECT 492.320 2.400 492.860 2.680 ;
+        RECT 493.700 2.400 494.240 2.680 ;
+        RECT 495.080 2.400 495.160 2.680 ;
+        RECT 496.000 2.400 496.540 2.680 ;
+        RECT 497.380 2.400 497.920 2.680 ;
+        RECT 498.760 2.400 498.840 2.680 ;
+        RECT 499.680 2.400 500.220 2.680 ;
+        RECT 501.060 2.400 501.600 2.680 ;
+        RECT 502.440 2.400 502.520 2.680 ;
+        RECT 503.360 2.400 503.900 2.680 ;
+        RECT 504.740 2.400 505.280 2.680 ;
+        RECT 506.120 2.400 506.200 2.680 ;
+        RECT 507.040 2.400 507.580 2.680 ;
+        RECT 508.420 2.400 508.960 2.680 ;
+        RECT 509.800 2.400 509.880 2.680 ;
+        RECT 510.720 2.400 511.260 2.680 ;
+        RECT 512.100 2.400 512.640 2.680 ;
+        RECT 513.480 2.400 513.560 2.680 ;
+        RECT 514.400 2.400 514.940 2.680 ;
+        RECT 515.780 2.400 516.320 2.680 ;
+        RECT 517.160 2.400 517.240 2.680 ;
+        RECT 518.080 2.400 518.620 2.680 ;
+        RECT 519.460 2.400 520.000 2.680 ;
+        RECT 520.840 2.400 520.920 2.680 ;
+        RECT 521.760 2.400 522.300 2.680 ;
+        RECT 523.140 2.400 523.680 2.680 ;
+        RECT 524.520 2.400 524.600 2.680 ;
+        RECT 525.440 2.400 525.980 2.680 ;
+        RECT 526.820 2.400 526.900 2.680 ;
+        RECT 527.740 2.400 528.280 2.680 ;
+        RECT 529.120 2.400 529.660 2.680 ;
+        RECT 530.500 2.400 530.580 2.680 ;
+        RECT 531.420 2.400 531.960 2.680 ;
+        RECT 532.800 2.400 533.340 2.680 ;
+        RECT 534.180 2.400 534.260 2.680 ;
+        RECT 535.100 2.400 535.640 2.680 ;
+        RECT 536.480 2.400 537.020 2.680 ;
+        RECT 537.860 2.400 537.940 2.680 ;
+        RECT 538.780 2.400 539.320 2.680 ;
+        RECT 540.160 2.400 540.700 2.680 ;
+        RECT 541.540 2.400 541.620 2.680 ;
+        RECT 542.460 2.400 543.000 2.680 ;
+        RECT 543.840 2.400 544.380 2.680 ;
+        RECT 545.220 2.400 545.300 2.680 ;
+        RECT 546.140 2.400 546.680 2.680 ;
+        RECT 547.520 2.400 548.060 2.680 ;
+        RECT 548.900 2.400 548.980 2.680 ;
+        RECT 549.820 2.400 550.360 2.680 ;
+        RECT 551.200 2.400 551.740 2.680 ;
+        RECT 552.580 2.400 552.660 2.680 ;
+        RECT 553.500 2.400 554.040 2.680 ;
+        RECT 554.880 2.400 555.420 2.680 ;
+        RECT 556.260 2.400 556.340 2.680 ;
+        RECT 557.180 2.400 557.720 2.680 ;
+        RECT 558.560 2.400 559.100 2.680 ;
+        RECT 559.940 2.400 560.020 2.680 ;
+        RECT 560.860 2.400 561.400 2.680 ;
+        RECT 562.240 2.400 562.780 2.680 ;
+        RECT 563.620 2.400 563.700 2.680 ;
+        RECT 564.540 2.400 565.080 2.680 ;
+        RECT 565.920 2.400 566.460 2.680 ;
+        RECT 567.300 2.400 567.380 2.680 ;
+        RECT 568.220 2.400 568.760 2.680 ;
+        RECT 569.600 2.400 570.140 2.680 ;
+        RECT 570.980 2.400 571.060 2.680 ;
+        RECT 571.900 2.400 572.440 2.680 ;
+        RECT 573.280 2.400 573.820 2.680 ;
+        RECT 574.660 2.400 574.740 2.680 ;
+        RECT 575.580 2.400 576.120 2.680 ;
+        RECT 576.960 2.400 577.500 2.680 ;
+        RECT 578.340 2.400 578.420 2.680 ;
+        RECT 579.260 2.400 579.800 2.680 ;
+        RECT 580.640 2.400 581.180 2.680 ;
+        RECT 582.020 2.400 582.100 2.680 ;
+        RECT 582.940 2.400 583.480 2.680 ;
+        RECT 584.320 2.400 584.860 2.680 ;
+        RECT 585.700 2.400 585.780 2.680 ;
+        RECT 586.620 2.400 587.160 2.680 ;
+        RECT 588.000 2.400 588.540 2.680 ;
+        RECT 589.380 2.400 589.460 2.680 ;
+        RECT 590.300 2.400 590.840 2.680 ;
+        RECT 591.680 2.400 592.220 2.680 ;
+        RECT 593.060 2.400 593.140 2.680 ;
+        RECT 593.980 2.400 594.520 2.680 ;
+        RECT 595.360 2.400 595.900 2.680 ;
+        RECT 596.740 2.400 596.820 2.680 ;
+      LAYER met3 ;
+        RECT 0.915 9.695 559.710 587.685 ;
+      LAYER met4 ;
+        RECT 134.085 9.695 559.710 587.760 ;
+  END
+END user_proj_example
+END LIBRARY
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
new file mode 100644
index 0000000..51626f7
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
@@ -0,0 +1,72986 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  TIME NANOSECONDS 1 ;
+  CAPACITANCE PICOFARADS 1 ;
+  RESISTANCE OHMS 1 ;
+  DATABASE MICRONS 1000 ;
+END UNITS
+
+MANUFACTURINGGRID 0.005 ;
+
+PROPERTYDEFINITIONS
+  LAYER LEF58_TYPE STRING ;
+END PROPERTYDEFINITIONS
+
+# High density, single height
+SITE unithd
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 2.72 ;
+END unithd
+
+# High density, double height
+SITE unithddbl
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 5.44 ;
+END unithddbl
+
+LAYER nwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
+END nwell
+
+LAYER pwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
+END pwell
+
+LAYER li1
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 0.34 ;
+  OFFSET 0.23 0.17 ;
+
+  WIDTH 0.17 ;          # LI 1
+  # SPACING  0.17 ;     # LI 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.17 ;
+  AREA 0.0561 ;         # LI 6
+  THICKNESS 0.1 ;
+  EDGECAPACITANCE 40.697E-6 ;
+  CAPACITANCE CPERSQDIST 36.9866E-6 ;
+  RESISTANCE RPERSQ 12.2 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
+END li1
+
+LAYER mcon
+  TYPE CUT ;
+
+  WIDTH 0.17 ;                # Mcon 1
+  SPACING 0.19 ;              # Mcon 2
+  ENCLOSURE BELOW 0 0 ;       # Mcon 4
+  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
+
+END mcon
+
+LAYER met1
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.34 ;
+  OFFSET 0.17 ;
+
+  WIDTH 0.14 ;                     # Met1 1
+  # SPACING 0.14 ;                 # Met1 2
+  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.083 ;                     # Met1 6
+  THICKNESS 0.35 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  EDGECAPACITANCE 40.567E-6 ;
+  CAPACITANCE CPERSQDIST 25.7784E-6 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+
+  RESISTANCE RPERSQ 0.125 ;
+END met1
+
+LAYER via
+  TYPE CUT ;
+  WIDTH 0.15 ;                  # Via 1a
+  SPACING 0.17 ;                # Via 2
+  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
+  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
+END via
+
+LAYER met2
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 ;
+  OFFSET 0.23 ;
+
+  WIDTH 0.14 ;                        # Met2 1
+  # SPACING  0.14 ;                   # Met2 2
+  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.0676 ;                       # Met2 6
+  THICKNESS 0.35 ;
+
+  EDGECAPACITANCE 37.759E-6 ;
+  CAPACITANCE CPERSQDIST 16.9423E-6 ;
+  RESISTANCE RPERSQ 0.125 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met2
+
+# ******** Layer via2, type routing, number 44 **************
+LAYER via2
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via2 1
+  SPACING 0.2 ;                 # Via2 2
+  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via2
+
+LAYER met3
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.68 ;
+  OFFSET 0.34 ;
+
+  WIDTH 0.3 ;              # Met3 1
+  # SPACING 0.3 ;          # Met3 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;              # Met3 6
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 40.989E-6 ;
+  CAPACITANCE CPERSQDIST 12.3729E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met3
+
+LAYER via3
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via3 1
+  SPACING 0.2 ;                 # Via3 2
+  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via3
+
+LAYER met4
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.92 ;
+  OFFSET 0.46 ;
+
+  WIDTH 0.3 ;             # Met4 1
+  # SPACING  0.3 ;             # Met4 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;            # Met4 4a
+
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 36.676E-6 ;
+  CAPACITANCE CPERSQDIST 8.41537E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met4
+
+LAYER via4
+  TYPE CUT ;
+
+  WIDTH 0.8 ;                 # Via4 1
+  SPACING 0.8 ;               # Via4 2
+  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
+  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
+END via4
+
+LAYER met5
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 3.4 ;
+  OFFSET 1.7 ;
+
+  WIDTH 1.6 ;            # Met5 1
+  #SPACING  1.6 ;        # Met5 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 1.6 ;
+  AREA 4 ;               # Met5 4
+
+  THICKNESS 1.2 ;
+
+  EDGECAPACITANCE 38.851E-6 ;
+  CAPACITANCE CPERSQDIST 6.32063E-6 ;
+  RESISTANCE RPERSQ 0.0285 ;
+  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+END met5
+
+
+### Routing via cells section   ###
+# Plus via rule, metals are along the prefered direction
+VIA L1M1_PR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR
+
+VIARULE L1M1_PR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA L1M1_PR_R DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_R
+
+VIARULE L1M1_PR_R GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA L1M1_PR_M DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_M
+
+VIARULE L1M1_PR_M GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA L1M1_PR_MR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR_MR
+
+VIARULE L1M1_PR_MR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA L1M1_PR_C DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.145 0.145 0.145 ;
+END L1M1_PR_C
+
+VIARULE L1M1_PR_C GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M1M2_PR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR
+
+VIARULE M1M2_PR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M1M2_PR_R DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_R
+
+VIARULE M1M2_PR_R GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M1M2_PR_M DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_M
+
+VIARULE M1M2_PR_M GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M1M2_PR_MR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR_MR
+
+VIARULE M1M2_PR_MR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M1M2_PR_C DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+END M1M2_PR_C
+
+VIARULE M1M2_PR_C GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M2M3_PR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR
+
+VIARULE M2M3_PR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M2M3_PR_R DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_R
+
+VIARULE M2M3_PR_R GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M2M3_PR_M DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_M
+
+VIARULE M2M3_PR_M GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M2M3_PR_MR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_MR
+
+VIARULE M2M3_PR_MR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M2M3_PR_C DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.185 0.185 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_C
+
+VIARULE M2M3_PR_C GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M3M4_PR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR
+
+VIARULE M3M4_PR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M3M4_PR_R DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_R
+
+VIARULE M3M4_PR_R GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M3M4_PR_M DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_M
+
+VIARULE M3M4_PR_M GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M3M4_PR_MR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_MR
+
+VIARULE M3M4_PR_MR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M3M4_PR_C DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.19 0.19 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_C
+
+VIARULE M3M4_PR_C GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M4M5_PR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR
+
+VIARULE M4M5_PR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M4M5_PR_R DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_R
+
+VIARULE M4M5_PR_R GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M4M5_PR_M DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_M
+
+VIARULE M4M5_PR_M GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M4M5_PR_MR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_MR
+
+VIARULE M4M5_PR_MR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M4M5_PR_C DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_C
+
+VIARULE M4M5_PR_C GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_C
+###  end of single via cells   ###
+
+
+MACRO sky130_fd_sc_hd__bufbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.230000 0.260000 3.560000 0.735000 ;
+        RECT 3.230000 0.735000 6.815000 0.905000 ;
+        RECT 3.230000 1.445000 6.815000 1.615000 ;
+        RECT 3.230000 1.615000 3.560000 2.465000 ;
+        RECT 4.070000 0.260000 4.400000 0.735000 ;
+        RECT 4.070000 1.615000 4.400000 2.465000 ;
+        RECT 4.910000 0.260000 5.240000 0.735000 ;
+        RECT 4.910000 1.615000 5.240000 2.465000 ;
+        RECT 5.750000 0.260000 6.080000 0.735000 ;
+        RECT 5.750000 1.615000 6.080000 2.465000 ;
+        RECT 6.435000 0.905000 6.815000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.095000  0.260000 0.425000 0.735000 ;
+      RECT 0.095000  0.735000 0.780000 0.905000 ;
+      RECT 0.095000  1.445000 0.780000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.160000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.785000 0.765000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 0.995000 ;
+      RECT 0.610000  0.995000 1.040000 1.325000 ;
+      RECT 0.610000  1.325000 0.780000 1.445000 ;
+      RECT 1.000000  0.260000 1.380000 0.825000 ;
+      RECT 1.000000  1.545000 1.380000 2.465000 ;
+      RECT 1.210000  0.825000 1.380000 1.075000 ;
+      RECT 1.210000  1.075000 2.720000 1.275000 ;
+      RECT 1.210000  1.275000 1.380000 1.545000 ;
+      RECT 1.550000  0.260000 1.880000 0.735000 ;
+      RECT 1.550000  0.735000 3.060000 0.905000 ;
+      RECT 1.550000  1.445000 3.060000 1.615000 ;
+      RECT 1.550000  1.615000 1.880000 2.465000 ;
+      RECT 2.050000  0.085000 2.220000 0.565000 ;
+      RECT 2.050000  1.785000 2.220000 2.635000 ;
+      RECT 2.390000  0.260000 2.720000 0.735000 ;
+      RECT 2.390000  1.615000 2.720000 2.465000 ;
+      RECT 2.890000  0.085000 3.060000 0.565000 ;
+      RECT 2.890000  0.905000 3.060000 1.075000 ;
+      RECT 2.890000  1.075000 5.360000 1.275000 ;
+      RECT 2.890000  1.275000 3.060000 1.445000 ;
+      RECT 2.890000  1.785000 3.060000 2.635000 ;
+      RECT 3.730000  0.085000 3.900000 0.565000 ;
+      RECT 3.730000  1.835000 3.900000 2.635000 ;
+      RECT 4.570000  0.085000 4.740000 0.565000 ;
+      RECT 4.570000  1.835000 4.740000 2.635000 ;
+      RECT 5.410000  0.085000 5.580000 0.565000 ;
+      RECT 5.410000  1.835000 5.580000 2.635000 ;
+      RECT 6.250000  0.085000 6.420000 0.565000 ;
+      RECT 6.250000  1.835000 6.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_8
+MACRO sky130_fd_sc_hd__bufbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  5.235000 0.255000  5.485000 0.260000 ;
+        RECT  5.235000 0.260000  5.565000 0.735000 ;
+        RECT  5.235000 0.735000 11.875000 0.905000 ;
+        RECT  5.235000 1.445000 11.875000 1.615000 ;
+        RECT  5.235000 1.615000  5.565000 2.465000 ;
+        RECT  6.075000 0.260000  6.405000 0.735000 ;
+        RECT  6.075000 1.615000  6.405000 2.465000 ;
+        RECT  6.155000 0.255000  6.325000 0.260000 ;
+        RECT  6.915000 0.260000  7.245000 0.735000 ;
+        RECT  6.915000 1.615000  7.245000 2.465000 ;
+        RECT  6.995000 0.255000  7.165000 0.260000 ;
+        RECT  7.755000 0.260000  8.085000 0.735000 ;
+        RECT  7.755000 1.615000  8.085000 2.465000 ;
+        RECT  8.595000 0.260000  8.925000 0.735000 ;
+        RECT  8.595000 1.615000  8.925000 2.465000 ;
+        RECT  9.435000 0.260000  9.765000 0.735000 ;
+        RECT  9.435000 1.615000  9.765000 2.465000 ;
+        RECT 10.275000 0.260000 10.605000 0.735000 ;
+        RECT 10.275000 1.615000 10.605000 2.465000 ;
+        RECT 11.115000 0.260000 11.445000 0.735000 ;
+        RECT 11.115000 1.615000 11.445000 2.465000 ;
+        RECT 11.620000 0.905000 11.875000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.085000  0.345000 0.905000 ;
+      RECT  0.175000  1.445000  0.345000 2.635000 ;
+      RECT  0.515000  0.260000  0.845000 0.905000 ;
+      RECT  0.515000  1.445000  0.845000 2.465000 ;
+      RECT  0.610000  0.905000  0.845000 1.075000 ;
+      RECT  0.610000  1.075000  2.205000 1.275000 ;
+      RECT  0.610000  1.275000  0.845000 1.445000 ;
+      RECT  1.035000  0.260000  1.365000 0.735000 ;
+      RECT  1.035000  0.735000  2.545000 0.905000 ;
+      RECT  1.035000  1.445000  2.545000 1.615000 ;
+      RECT  1.035000  1.615000  1.365000 2.465000 ;
+      RECT  1.535000  0.085000  1.705000 0.565000 ;
+      RECT  1.535000  1.785000  1.705000 2.635000 ;
+      RECT  1.875000  0.260000  2.205000 0.735000 ;
+      RECT  1.875000  1.615000  2.205000 2.465000 ;
+      RECT  2.375000  0.085000  2.545000 0.565000 ;
+      RECT  2.375000  0.905000  2.545000 1.075000 ;
+      RECT  2.375000  1.075000  4.685000 1.275000 ;
+      RECT  2.375000  1.275000  2.545000 1.445000 ;
+      RECT  2.375000  1.785000  2.545000 2.635000 ;
+      RECT  2.715000  0.260000  3.045000 0.735000 ;
+      RECT  2.715000  0.735000  5.065000 0.905000 ;
+      RECT  2.715000  1.445000  5.065000 1.615000 ;
+      RECT  2.715000  1.615000  3.045000 2.465000 ;
+      RECT  3.215000  0.085000  3.385000 0.565000 ;
+      RECT  3.215000  1.835000  3.385000 2.635000 ;
+      RECT  3.555000  0.260000  3.885000 0.735000 ;
+      RECT  3.555000  1.615000  3.885000 2.465000 ;
+      RECT  4.055000  0.085000  4.225000 0.565000 ;
+      RECT  4.055000  1.835000  4.225000 2.635000 ;
+      RECT  4.395000  0.260000  4.725000 0.735000 ;
+      RECT  4.395000  1.615000  4.725000 2.465000 ;
+      RECT  4.890000  0.905000  5.065000 1.075000 ;
+      RECT  4.890000  1.075000 11.450000 1.275000 ;
+      RECT  4.890000  1.275000  5.065000 1.445000 ;
+      RECT  4.895000  0.085000  5.065000 0.565000 ;
+      RECT  4.895000  1.835000  5.065000 2.635000 ;
+      RECT  5.735000  0.085000  5.905000 0.565000 ;
+      RECT  5.735000  1.835000  5.905000 2.635000 ;
+      RECT  6.575000  0.085000  6.745000 0.565000 ;
+      RECT  6.575000  1.835000  6.745000 2.635000 ;
+      RECT  7.415000  0.085000  7.585000 0.565000 ;
+      RECT  7.415000  1.835000  7.585000 2.635000 ;
+      RECT  8.255000  0.085000  8.425000 0.565000 ;
+      RECT  8.255000  1.835000  8.425000 2.635000 ;
+      RECT  9.095000  0.085000  9.265000 0.565000 ;
+      RECT  9.095000  1.835000  9.265000 2.635000 ;
+      RECT  9.935000  0.085000 10.105000 0.565000 ;
+      RECT  9.935000  1.835000 10.105000 2.635000 ;
+      RECT 10.775000  0.085000 10.945000 0.565000 ;
+      RECT 10.775000  1.835000 10.945000 2.635000 ;
+      RECT 11.615000  0.085000 11.785000 0.565000 ;
+      RECT 11.615000  1.835000 11.785000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_16
+MACRO sky130_fd_sc_hd__o311ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.105000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.055000 2.155000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.055000 3.075000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.055000 4.385000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.085000 1.055000 5.895000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.485000 5.895000 1.725000 ;
+        RECT 2.415000 1.725000 2.665000 2.125000 ;
+        RECT 3.335000 1.725000 3.505000 2.465000 ;
+        RECT 4.515000 1.725000 4.825000 2.465000 ;
+        RECT 4.555000 0.655000 5.895000 0.885000 ;
+        RECT 4.555000 0.885000 4.915000 1.485000 ;
+        RECT 5.495000 1.725000 5.895000 2.465000 ;
+        RECT 5.515000 0.255000 5.895000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.655000 ;
+      RECT 0.085000  0.655000 4.385000 0.885000 ;
+      RECT 0.085000  1.485000 2.225000 1.725000 ;
+      RECT 0.085000  1.725000 0.465000 2.465000 ;
+      RECT 0.635000  1.895000 0.965000 2.635000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 1.135000  1.725000 1.305000 2.465000 ;
+      RECT 1.155000  0.255000 1.325000 0.655000 ;
+      RECT 1.475000  1.895000 1.805000 2.295000 ;
+      RECT 1.475000  2.295000 3.165000 2.465000 ;
+      RECT 1.495000  0.085000 1.825000 0.485000 ;
+      RECT 1.975000  1.725000 2.225000 2.125000 ;
+      RECT 1.995000  0.255000 2.165000 0.655000 ;
+      RECT 2.335000  0.085000 3.105000 0.485000 ;
+      RECT 2.835000  1.895000 3.165000 2.295000 ;
+      RECT 3.275000  0.255000 3.445000 0.655000 ;
+      RECT 3.615000  0.255000 5.345000 0.485000 ;
+      RECT 3.675000  1.895000 4.345000 2.635000 ;
+      RECT 4.995000  1.895000 5.325000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_2
+MACRO sky130_fd_sc_hd__o311ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.775000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.055000 3.615000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 1.055000 5.885000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 1.055000 7.695000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.865000 1.055000 9.090000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.241000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.055000 1.485000 9.575000 1.725000 ;
+        RECT 4.055000 1.725000 4.305000 2.115000 ;
+        RECT 4.975000 1.725000 5.145000 2.115000 ;
+        RECT 5.815000 1.725000 6.005000 2.465000 ;
+        RECT 6.675000 1.725000 6.845000 2.465000 ;
+        RECT 7.515000 1.725000 7.685000 2.465000 ;
+        RECT 7.895000 0.655000 9.575000 0.885000 ;
+        RECT 8.355000 1.725000 8.525000 2.465000 ;
+        RECT 9.195000 1.725000 9.575000 2.465000 ;
+        RECT 9.260000 0.885000 9.575000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.505000 0.885000 ;
+      RECT 0.085000  1.485000 3.865000 1.725000 ;
+      RECT 0.085000  1.725000 0.405000 2.465000 ;
+      RECT 0.595000  1.895000 0.925000 2.635000 ;
+      RECT 0.675000  0.255000 0.845000 0.655000 ;
+      RECT 0.675000  0.655000 7.385000 0.885000 ;
+      RECT 1.015000  0.085000 1.345000 0.485000 ;
+      RECT 1.095000  1.725000 1.265000 2.465000 ;
+      RECT 1.435000  1.895000 1.765000 2.635000 ;
+      RECT 1.515000  0.255000 1.685000 0.655000 ;
+      RECT 1.855000  0.085000 2.185000 0.485000 ;
+      RECT 1.935000  1.725000 2.105000 2.465000 ;
+      RECT 2.275000  1.895000 2.605000 2.295000 ;
+      RECT 2.275000  2.295000 5.645000 2.465000 ;
+      RECT 2.355000  0.255000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.025000 0.485000 ;
+      RECT 2.775000  1.725000 2.945000 2.115000 ;
+      RECT 3.115000  1.895000 3.445000 2.295000 ;
+      RECT 3.195000  0.255000 3.365000 0.655000 ;
+      RECT 3.535000  0.085000 3.885000 0.485000 ;
+      RECT 3.615000  1.725000 3.865000 2.115000 ;
+      RECT 4.055000  0.255000 4.225000 0.655000 ;
+      RECT 4.395000  0.085000 4.725000 0.485000 ;
+      RECT 4.475000  1.895000 4.805000 2.295000 ;
+      RECT 4.895000  0.255000 5.065000 0.655000 ;
+      RECT 5.235000  0.085000 5.585000 0.485000 ;
+      RECT 5.315000  1.895000 5.645000 2.295000 ;
+      RECT 5.755000  0.255000 9.575000 0.485000 ;
+      RECT 6.175000  1.895000 6.505000 2.635000 ;
+      RECT 7.015000  1.895000 7.345000 2.635000 ;
+      RECT 7.555000  0.485000 7.725000 0.885000 ;
+      RECT 7.855000  1.895000 8.185000 2.635000 ;
+      RECT 8.695000  1.895000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_4
+MACRO sky130_fd_sc_hd__o311ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.780000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.320000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.995000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.942000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.825000 ;
+        RECT 2.445000 0.825000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.825000 ;
+      RECT 0.085000  1.495000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_1
+MACRO sky130_fd_sc_hd__o311ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.570000 0.995000 ;
+        RECT 0.085000 0.995000 0.780000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.260000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.765000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.604000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.595000 ;
+        RECT 2.445000 0.595000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.595000 ;
+      RECT 0.085000  1.795000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_0
+MACRO sky130_fd_sc_hd__dfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.150000 1.495000 10.915000 1.665000 ;
+        RECT 10.150000 1.665000 10.480000 2.465000 ;
+        RECT 10.230000 0.255000 10.480000 0.720000 ;
+        RECT 10.230000 0.720000 10.915000 0.825000 ;
+        RECT 10.345000 0.825000 10.915000 0.845000 ;
+        RECT 10.360000 0.845000 10.915000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.900000  0.725000  6.150000 0.895000 ;
+      RECT  4.900000  0.895000  5.070000 1.655000 ;
+      RECT  4.900000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.760000 2.415000 ;
+      RECT  5.240000  1.065000  5.420000 1.475000 ;
+      RECT  5.590000  1.235000  7.470000 1.405000 ;
+      RECT  5.590000  1.405000  5.760000 1.915000 ;
+      RECT  5.590000  1.915000  6.780000 2.085000 ;
+      RECT  5.590000  2.085000  5.760000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.930000  1.575000  7.830000 1.745000 ;
+      RECT  5.930000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.830000 0.680000 ;
+      RECT  7.510000  1.745000  7.830000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.830000 1.575000 ;
+      RECT  8.020000  0.085000  8.200000 0.905000 ;
+      RECT  8.020000  1.480000  8.200000 2.635000 ;
+      RECT  8.870000  0.085000  9.120000 0.905000 ;
+      RECT  8.870000  1.480000  9.120000 2.635000 ;
+      RECT  9.310000  0.255000  9.560000 0.995000 ;
+      RECT  9.310000  0.995000 10.190000 1.325000 ;
+      RECT  9.310000  1.325000  9.640000 2.465000 ;
+      RECT  9.730000  0.085000 10.060000 0.825000 ;
+      RECT  9.810000  1.495000  9.980000 2.635000 ;
+      RECT 10.650000  0.085000 10.915000 0.550000 ;
+      RECT 10.650000  1.835000 10.915000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_2
+MACRO sky130_fd_sc_hd__dfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.865000 0.255000 10.125000 0.825000 ;
+        RECT 9.865000 1.445000 10.125000 2.465000 ;
+        RECT 9.910000 0.825000 10.125000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.840000 0.805000 ;
+      RECT 0.175000  1.795000  0.840000 1.965000 ;
+      RECT 0.175000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.240000 2.465000 ;
+      RECT 1.430000  0.635000  2.125000 0.825000 ;
+      RECT 1.430000  0.825000  1.600000 1.795000 ;
+      RECT 1.430000  1.795000  2.125000 1.965000 ;
+      RECT 1.455000  0.085000  1.785000 0.465000 ;
+      RECT 1.455000  2.135000  1.785000 2.635000 ;
+      RECT 1.955000  0.305000  2.125000 0.635000 ;
+      RECT 1.955000  1.965000  2.125000 2.465000 ;
+      RECT 2.350000  0.705000  2.570000 1.575000 ;
+      RECT 2.350000  1.575000  2.850000 1.955000 ;
+      RECT 2.360000  2.250000  3.190000 2.420000 ;
+      RECT 2.425000  0.265000  3.440000 0.465000 ;
+      RECT 2.750000  0.645000  3.100000 1.015000 ;
+      RECT 3.020000  1.195000  3.440000 1.235000 ;
+      RECT 3.020000  1.235000  4.370000 1.405000 ;
+      RECT 3.020000  1.405000  3.190000 2.250000 ;
+      RECT 3.270000  0.465000  3.440000 1.195000 ;
+      RECT 3.360000  1.575000  3.610000 1.835000 ;
+      RECT 3.360000  1.835000  4.710000 2.085000 ;
+      RECT 3.430000  2.255000  3.810000 2.635000 ;
+      RECT 3.610000  0.085000  4.020000 0.525000 ;
+      RECT 3.990000  2.085000  4.160000 2.375000 ;
+      RECT 4.120000  1.405000  4.370000 1.565000 ;
+      RECT 4.310000  0.295000  4.560000 0.725000 ;
+      RECT 4.310000  0.725000  4.710000 1.065000 ;
+      RECT 4.330000  2.255000  4.660000 2.635000 ;
+      RECT 4.540000  1.065000  4.710000 1.835000 ;
+      RECT 4.740000  0.085000  5.080000 0.545000 ;
+      RECT 4.900000  0.725000  6.150000 0.895000 ;
+      RECT 4.900000  0.895000  5.070000 1.655000 ;
+      RECT 4.900000  1.655000  5.400000 1.965000 ;
+      RECT 5.110000  2.165000  5.760000 2.415000 ;
+      RECT 5.240000  1.065000  5.420000 1.475000 ;
+      RECT 5.590000  1.235000  7.470000 1.405000 ;
+      RECT 5.590000  1.405000  5.760000 1.915000 ;
+      RECT 5.590000  1.915000  6.780000 2.085000 ;
+      RECT 5.590000  2.085000  5.760000 2.165000 ;
+      RECT 5.640000  0.305000  6.490000 0.475000 ;
+      RECT 5.820000  0.895000  6.150000 1.015000 ;
+      RECT 5.930000  1.575000  7.830000 1.745000 ;
+      RECT 5.930000  2.255000  6.340000 2.635000 ;
+      RECT 6.320000  0.475000  6.490000 1.235000 ;
+      RECT 6.540000  2.085000  6.780000 2.375000 ;
+      RECT 6.670000  0.085000  7.330000 0.565000 ;
+      RECT 7.010000  1.945000  7.340000 2.635000 ;
+      RECT 7.140000  1.175000  7.470000 1.235000 ;
+      RECT 7.510000  0.350000  7.830000 0.680000 ;
+      RECT 7.510000  1.745000  7.830000 1.765000 ;
+      RECT 7.510000  1.765000  7.680000 2.375000 ;
+      RECT 7.640000  0.680000  7.830000 1.575000 ;
+      RECT 8.020000  0.085000  8.200000 0.905000 ;
+      RECT 8.020000  1.480000  8.200000 2.635000 ;
+      RECT 8.890000  0.255000  9.220000 0.995000 ;
+      RECT 8.890000  0.995000  9.740000 1.325000 ;
+      RECT 8.890000  1.325000  9.220000 2.465000 ;
+      RECT 9.445000  0.085000  9.615000 0.585000 ;
+      RECT 9.445000  1.825000  9.615000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_1
+MACRO sky130_fd_sc_hd__dfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_1
+MACRO sky130_fd_sc_hd__dfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.675000 0.255000  9.005000 0.735000 ;
+        RECT  8.675000 0.735000 10.440000 0.905000 ;
+        RECT  8.715000 1.455000 10.440000 1.625000 ;
+        RECT  8.715000 1.625000  9.005000 2.465000 ;
+        RECT  9.515000 0.255000  9.845000 0.735000 ;
+        RECT  9.555000 1.625000  9.805000 2.465000 ;
+        RECT 10.030000 0.905000 10.440000 1.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 10.580000 0.085000 ;
+      RECT  0.000000  2.635000 10.580000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  8.135000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.040000 2.635000 ;
+      RECT  7.815000  0.465000  8.135000 0.820000 ;
+      RECT  7.815000  0.820000  8.140000 1.075000 ;
+      RECT  7.815000  1.075000  9.845000 1.285000 ;
+      RECT  7.815000  1.285000  8.160000 1.295000 ;
+      RECT  7.990000  1.295000  8.160000 1.915000 ;
+      RECT  8.335000  0.085000  8.505000 0.895000 ;
+      RECT  8.335000  1.575000  8.505000 2.635000 ;
+      RECT  9.175000  0.085000  9.345000 0.555000 ;
+      RECT  9.175000  1.795000  9.345000 2.635000 ;
+      RECT 10.015000  0.085000 10.185000 0.555000 ;
+      RECT 10.015000  1.795000 10.185000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_4
+MACRO sky130_fd_sc_hd__dfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.105000 0.795000 ;
+        RECT 8.855000 1.445000 9.105000 2.325000 ;
+        RECT 8.900000 0.795000 9.105000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+      RECT 9.275000  0.085000 9.525000 0.840000 ;
+      RECT 9.275000  1.495000 9.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_2
+MACRO sky130_fd_sc_hd__sedfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.990000 2.910000 ;
+        RECT  7.200000 1.305000 13.990000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.515000 0.900000 ;
+      RECT 13.255000  1.465000 13.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_2
+MACRO sky130_fd_sc_hd__sedfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.72000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 1.070000 ;
+        RECT 12.755000 1.070000 13.925000 1.295000 ;
+        RECT 12.755000 1.295000 13.085000 2.420000 ;
+        RECT 13.595000 0.305000 13.925000 1.070000 ;
+        RECT 13.595000 1.295000 13.925000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.720000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.910000 2.910000 ;
+        RECT  7.200000 1.305000 14.910000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.720000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.720000 0.085000 ;
+      RECT  0.000000  2.635000 14.720000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.425000 0.900000 ;
+      RECT 13.255000  1.465000 13.425000 2.635000 ;
+      RECT 14.095000  0.085000 14.355000 1.280000 ;
+      RECT 14.095000  1.465000 14.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_4
+MACRO sky130_fd_sc_hd__sedfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.765000 0.305000 13.095000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.530000 2.910000 ;
+        RECT  7.200000 1.305000 13.530000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.595000 0.900000 ;
+      RECT 12.350000  1.465000 12.595000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_1
+MACRO sky130_fd_sc_hd__fahcon_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.937500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.710000 1.780000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 0.645000 4.515000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.310000 0.765000 4.480000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.540000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.250000 0.735000 4.540000 0.780000 ;
+        RECT 4.250000 0.920000 4.540000 0.965000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.530000 1.075000 10.975000 1.275000 ;
+    END
+  END CI
+  PIN COUT_N
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.610000 0.755000 6.935000 0.925000 ;
+        RECT 6.610000 0.925000 6.880000 1.675000 ;
+        RECT 6.710000 1.675000 6.880000 1.785000 ;
+        RECT 6.765000 0.595000 6.935000 0.755000 ;
+    END
+  END COUT_N
+  PIN SUM
+    ANTENNADIFFAREA  0.463750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.010000 1.785000 12.335000 2.465000 ;
+        RECT 12.135000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  2.545000 2.295000 ;
+      RECT  0.940000  2.295000  3.540000 2.385000 ;
+      RECT  0.995000  0.255000  3.410000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.875000 ;
+      RECT  1.280000  1.875000  2.920000 2.045000 ;
+      RECT  1.965000  0.635000  2.470000 1.705000 ;
+      RECT  2.375000  2.385000  3.540000 2.465000 ;
+      RECT  2.640000  0.655000  3.025000 0.735000 ;
+      RECT  2.640000  0.735000  3.160000 0.755000 ;
+      RECT  2.640000  0.755000  3.750000 0.905000 ;
+      RECT  2.640000  1.075000  2.975000 1.160000 ;
+      RECT  2.640000  1.160000  3.100000 1.615000 ;
+      RECT  3.055000  0.905000  3.750000 0.925000 ;
+      RECT  3.240000  0.465000  3.410000 0.585000 ;
+      RECT  3.270000  0.925000  3.440000 2.295000 ;
+      RECT  3.580000  0.255000  4.595000 0.425000 ;
+      RECT  3.580000  0.425000  3.750000 0.755000 ;
+      RECT  3.725000  1.150000  4.095000 1.320000 ;
+      RECT  3.725000  1.320000  3.895000 2.295000 ;
+      RECT  3.725000  2.295000  5.100000 2.465000 ;
+      RECT  3.925000  0.595000  4.095000 1.150000 ;
+      RECT  4.210000  1.755000  4.380000 2.095000 ;
+      RECT  4.265000  0.425000  4.595000 0.475000 ;
+      RECT  4.700000  1.385000  5.180000 1.725000 ;
+      RECT  4.840000  0.510000  5.030000 0.995000 ;
+      RECT  4.840000  0.995000  5.180000 1.385000 ;
+      RECT  4.875000  1.895000  6.005000 2.065000 ;
+      RECT  4.875000  2.065000  5.100000 2.295000 ;
+      RECT  5.200000  0.085000  5.530000 0.805000 ;
+      RECT  5.270000  2.235000  5.600000 2.635000 ;
+      RECT  5.645000  1.555000  6.380000 1.725000 ;
+      RECT  5.700000  0.380000  5.980000 0.815000 ;
+      RECT  5.810000  0.815000  5.980000 1.555000 ;
+      RECT  5.835000  2.065000  6.005000 2.295000 ;
+      RECT  5.835000  2.295000  7.960000 2.465000 ;
+      RECT  6.150000  0.740000  6.435000 1.325000 ;
+      RECT  6.210000  1.725000  6.380000 1.895000 ;
+      RECT  6.210000  1.895000  6.540000 1.955000 ;
+      RECT  6.210000  1.955000  7.220000 2.125000 ;
+      RECT  6.265000  0.255000  7.700000 0.425000 ;
+      RECT  6.265000  0.425000  6.595000 0.570000 ;
+      RECT  7.050000  1.060000  7.280000 1.230000 ;
+      RECT  7.050000  1.230000  7.220000 1.955000 ;
+      RECT  7.110000  0.595000  7.360000 0.925000 ;
+      RECT  7.110000  0.925000  7.280000 1.060000 ;
+      RECT  7.390000  1.360000  7.620000 1.530000 ;
+      RECT  7.390000  1.530000  7.560000 2.125000 ;
+      RECT  7.450000  1.105000  7.700000 1.290000 ;
+      RECT  7.450000  1.290000  7.620000 1.360000 ;
+      RECT  7.530000  0.425000  7.700000 1.105000 ;
+      RECT  7.790000  1.550000  8.045000 1.720000 ;
+      RECT  7.790000  1.720000  7.960000 2.295000 ;
+      RECT  7.875000  0.995000  8.045000 1.550000 ;
+      RECT  7.935000  0.255000  9.450000 0.425000 ;
+      RECT  7.935000  0.425000  8.270000 0.825000 ;
+      RECT  8.230000  1.785000  8.400000 2.295000 ;
+      RECT  8.230000  2.295000  9.950000 2.465000 ;
+      RECT  8.440000  0.595000  8.900000 0.765000 ;
+      RECT  8.440000  0.765000  8.610000 1.445000 ;
+      RECT  8.440000  1.445000  8.740000 1.530000 ;
+      RECT  8.440000  1.530000  8.900000 1.615000 ;
+      RECT  8.570000  1.615000  8.900000 2.125000 ;
+      RECT  8.780000  0.995000  9.110000 1.275000 ;
+      RECT  9.070000  1.530000  9.450000 2.045000 ;
+      RECT  9.070000  2.045000  9.420000 2.125000 ;
+      RECT  9.280000  0.425000  9.450000 1.530000 ;
+      RECT  9.620000  2.215000  9.950000 2.295000 ;
+      RECT  9.650000  0.255000 10.020000 0.825000 ;
+      RECT  9.650000  0.825000  9.820000 1.535000 ;
+      RECT  9.650000  1.535000  9.950000 2.215000 ;
+      RECT  9.990000  0.995000 10.360000 1.325000 ;
+      RECT 10.120000  2.275000 10.455000 2.635000 ;
+      RECT 10.190000  0.735000 10.920000 0.905000 ;
+      RECT 10.190000  0.905000 10.360000 0.995000 ;
+      RECT 10.190000  1.325000 10.360000 1.455000 ;
+      RECT 10.190000  1.455000 10.835000 2.045000 ;
+      RECT 10.200000  0.085000 10.370000 0.565000 ;
+      RECT 10.540000  0.285000 10.920000 0.735000 ;
+      RECT 10.625000  2.045000 10.835000 2.465000 ;
+      RECT 11.085000  1.455000 11.415000 2.465000 ;
+      RECT 11.165000  0.270000 11.335000 0.680000 ;
+      RECT 11.165000  0.680000 11.415000 1.455000 ;
+      RECT 11.535000  0.085000 11.825000 0.555000 ;
+      RECT 11.585000  1.785000 11.840000 2.635000 ;
+      RECT 11.655000  0.995000 11.965000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.280000  1.785000  1.450000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.930000  1.445000  3.100000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.210000  1.785000  4.380000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.770000  1.445000  4.940000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  1.105000  6.320000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.530000  0.765000  7.700000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.450000  1.445000  8.620000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.910000  1.105000  9.080000 1.275000 ;
+      RECT  9.280000  1.785000  9.450000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.190000  1.785000 10.360000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.230000  0.765000 11.400000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.690000  1.445000 11.860000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.195000 1.755000  1.510000 1.800000 ;
+      RECT  1.195000 1.800000  4.440000 1.940000 ;
+      RECT  1.195000 1.940000  1.510000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.140000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.845000 1.415000  3.160000 1.460000 ;
+      RECT  2.845000 1.460000  5.000000 1.600000 ;
+      RECT  2.845000 1.600000  3.160000 1.645000 ;
+      RECT  4.150000 1.755000  4.440000 1.800000 ;
+      RECT  4.150000 1.940000  4.440000 1.985000 ;
+      RECT  4.710000 1.415000  5.000000 1.460000 ;
+      RECT  4.710000 1.600000  5.000000 1.645000 ;
+      RECT  6.090000 1.075000  6.380000 1.120000 ;
+      RECT  6.090000 1.260000  6.380000 1.305000 ;
+      RECT  7.470000 0.735000  7.760000 0.780000 ;
+      RECT  7.470000 0.780000 11.460000 0.920000 ;
+      RECT  7.470000 0.920000  7.760000 0.965000 ;
+      RECT  8.390000 1.415000  8.680000 1.460000 ;
+      RECT  8.390000 1.460000 11.920000 1.600000 ;
+      RECT  8.390000 1.600000  8.680000 1.645000 ;
+      RECT  8.850000 1.075000  9.140000 1.120000 ;
+      RECT  8.850000 1.260000  9.140000 1.305000 ;
+      RECT  9.195000 1.755000  9.510000 1.800000 ;
+      RECT  9.195000 1.800000 10.420000 1.940000 ;
+      RECT  9.195000 1.940000  9.510000 1.985000 ;
+      RECT 10.130000 1.755000 10.420000 1.800000 ;
+      RECT 10.130000 1.940000 10.420000 1.985000 ;
+      RECT 11.170000 0.735000 11.460000 0.780000 ;
+      RECT 11.170000 0.920000 11.460000 0.965000 ;
+      RECT 11.630000 1.415000 11.920000 1.460000 ;
+      RECT 11.630000 1.600000 11.920000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcon_1
+MACRO sky130_fd_sc_hd__diode_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__diode_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN DIODE
+    ANTENNADIFFAREA  0.434700 ;
+    ANTENNAGATEAREA  0.434700 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.835000 2.465000 ;
+    END
+  END DIODE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__diode_2
+MACRO sky130_fd_sc_hd__nand4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.710000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 7.305000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.110000 0.655000 7.305000 0.905000 ;
+        RECT 6.110000 0.905000 6.290000 1.445000 ;
+        RECT 6.135000 1.665000 6.465000 2.465000 ;
+        RECT 6.975000 1.665000 7.305000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 2.025000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.855000  0.255000 3.785000 0.485000 ;
+      RECT 1.855000  0.485000 2.025000 0.655000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.655000 5.565000 0.905000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 3.975000  0.255000 7.730000 0.485000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.770000  0.485000 5.940000 0.905000 ;
+      RECT 5.770000  1.835000 5.940000 2.635000 ;
+      RECT 6.635000  1.835000 6.805000 2.635000 ;
+      RECT 7.475000  0.485000 7.730000 0.905000 ;
+      RECT 7.475000  1.445000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_4
+MACRO sky130_fd_sc_hd__nand4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.495000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.235000 1.075000 3.080000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.845000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 3.925000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.355000 1.665000 2.685000 2.465000 ;
+        RECT 3.370000 1.055000 3.925000 1.445000 ;
+        RECT 3.595000 0.635000 3.925000 1.055000 ;
+        RECT 3.595000 1.665000 3.925000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 1.185000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.125000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.085000 0.905000 ;
+      RECT 1.855000  1.835000 2.185000 2.635000 ;
+      RECT 2.315000  0.255000 4.425000 0.465000 ;
+      RECT 2.995000  1.835000 3.325000 2.635000 ;
+      RECT 3.255000  0.465000 3.425000 0.885000 ;
+      RECT 4.095000  0.465000 4.425000 0.905000 ;
+      RECT 4.095000  1.445000 4.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_2
+MACRO sky130_fd_sc_hd__nand4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.995000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.300000 1.350000 0.825000 ;
+        RECT 1.145000 0.825000 1.350000 0.995000 ;
+        RECT 1.145000 0.995000 1.455000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.300000 0.810000 0.995000 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.995000 0.395000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.795000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 1.795000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.385000 1.665000 1.715000 2.465000 ;
+        RECT 1.520000 0.255000 2.215000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.090000  0.085000 0.425000 0.825000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_1
+MACRO sky130_fd_sc_hd__clkinvlp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.330000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.425000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.714000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 1.215000 0.680000 ;
+        RECT 0.595000 0.680000 0.955000 1.015000 ;
+        RECT 0.595000 1.015000 2.015000 1.295000 ;
+        RECT 0.595000 1.295000 0.955000 2.465000 ;
+        RECT 1.685000 1.295000 2.015000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.575000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 1.155000  1.465000 1.485000 2.635000 ;
+      RECT 1.675000  0.085000 2.005000 0.775000 ;
+      RECT 2.215000  1.465000 2.545000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_4
+MACRO sky130_fd_sc_hd__clkinvlp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.665000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.600000 1.665000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.436750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.810000 0.315000 1.445000 0.750000 ;
+        RECT 0.810000 0.750000 1.235000 2.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.225000  1.835000 0.555000 2.625000 ;
+      RECT 0.225000  2.625000 1.740000 2.635000 ;
+      RECT 0.295000  0.085000 0.625000 0.745000 ;
+      RECT 1.440000  1.455000 1.740000 2.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_2
+MACRO sky130_fd_sc_hd__or3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 2.350000 1.325000 ;
+        RECT 1.525000 1.325000 1.770000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.585000 2.125000 2.200000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 0.415000 3.135000 0.760000 ;
+        RECT 2.860000 1.495000 3.135000 2.465000 ;
+        RECT 2.965000 0.760000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.310000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 1.025000  0.255000 1.285000 0.655000 ;
+      RECT 1.025000  0.655000 2.690000 0.825000 ;
+      RECT 1.025000  1.495000 1.355000 1.785000 ;
+      RECT 1.025000  1.785000 2.200000 1.955000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.955000  0.305000 2.125000 0.655000 ;
+      RECT 2.030000  1.495000 2.690000 1.665000 ;
+      RECT 2.030000  1.665000 2.200000 1.785000 ;
+      RECT 2.295000  0.085000 2.670000 0.485000 ;
+      RECT 2.370000  1.835000 2.650000 2.635000 ;
+      RECT 2.520000  0.825000 2.690000 0.995000 ;
+      RECT 2.520000  0.995000 2.795000 1.325000 ;
+      RECT 2.520000  1.325000 2.690000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_1
+MACRO sky130_fd_sc_hd__or3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 1.075000 2.230000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 2.125000 3.135000 2.365000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.265000 1.285000 0.595000 ;
+        RECT 0.935000 0.595000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.330000 1.700000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 2.660000 1.955000 ;
+      RECT 0.085000  1.955000 1.720000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 1.275000  0.765000 3.135000 0.825000 ;
+      RECT 1.275000  0.825000 2.160000 0.905000 ;
+      RECT 1.275000  0.905000 1.595000 0.935000 ;
+      RECT 1.275000  0.935000 1.445000 1.325000 ;
+      RECT 1.425000  0.735000 3.135000 0.765000 ;
+      RECT 1.425000  2.210000 1.755000 2.635000 ;
+      RECT 1.520000  0.085000 1.690000 0.565000 ;
+      RECT 1.550000  1.785000 2.660000 1.870000 ;
+      RECT 1.990000  0.305000 2.160000 0.655000 ;
+      RECT 1.990000  0.655000 3.135000 0.735000 ;
+      RECT 2.330000  0.085000 2.660000 0.485000 ;
+      RECT 2.490000  0.995000 2.790000 1.325000 ;
+      RECT 2.490000  1.325000 2.660000 1.785000 ;
+      RECT 2.830000  0.305000 3.085000 0.605000 ;
+      RECT 2.830000  0.605000 3.135000 0.655000 ;
+      RECT 2.830000  1.495000 3.135000 1.925000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_2
+MACRO sky130_fd_sc_hd__or3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 1.415000 2.720000 1.700000 ;
+        RECT 2.535000 0.995000 2.720000 1.415000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.890000 0.995000 3.200000 1.700000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.735000 2.025000 0.905000 ;
+        RECT 0.935000 0.905000 1.105000 1.415000 ;
+        RECT 0.935000 1.415000 2.220000 1.700000 ;
+        RECT 1.000000 0.285000 1.330000 0.735000 ;
+        RECT 1.855000 0.255000 2.090000 0.585000 ;
+        RECT 1.855000 0.585000 2.025000 0.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 3.620000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 0.620000  0.085000 0.790000 0.565000 ;
+      RECT 1.275000  1.075000 2.365000 1.245000 ;
+      RECT 1.420000  2.210000 1.750000 2.635000 ;
+      RECT 1.500000  0.085000 1.670000 0.565000 ;
+      RECT 2.195000  0.720000 4.055000 0.825000 ;
+      RECT 2.195000  0.825000 2.400000 0.890000 ;
+      RECT 2.195000  0.890000 2.365000 1.075000 ;
+      RECT 2.250000  0.655000 4.055000 0.720000 ;
+      RECT 2.255000  2.210000 2.595000 2.635000 ;
+      RECT 2.260000  0.085000 2.590000 0.485000 ;
+      RECT 2.760000  0.305000 2.930000 0.655000 ;
+      RECT 3.100000  0.085000 3.490000 0.485000 ;
+      RECT 3.390000  0.995000 3.680000 1.325000 ;
+      RECT 3.390000  1.325000 3.620000 1.870000 ;
+      RECT 3.520000  2.210000 4.055000 2.425000 ;
+      RECT 3.660000  0.305000 3.915000 0.605000 ;
+      RECT 3.660000  0.605000 4.055000 0.655000 ;
+      RECT 3.850000  0.825000 4.055000 2.210000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_4
+MACRO sky130_fd_sc_hd__o2bb2ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.625000 1.445000 ;
+        RECT 0.090000 1.445000 1.945000 1.615000 ;
+        RECT 1.615000 1.075000 1.945000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.795000 1.075000 1.400000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 3.740000 1.445000 ;
+        RECT 3.410000 1.445000 5.435000 1.615000 ;
+        RECT 4.730000 1.075000 5.435000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.960000 1.075000 4.500000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.745000 0.645000 3.075000 1.075000 ;
+        RECT 2.745000 1.075000 3.215000 1.785000 ;
+        RECT 2.745000 1.785000 4.330000 1.955000 ;
+        RECT 2.745000 1.955000 3.035000 2.465000 ;
+        RECT 4.080000 1.955000 4.330000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.150000  1.795000 0.400000 2.635000 ;
+      RECT 0.195000  0.085000 0.365000 0.895000 ;
+      RECT 0.535000  0.305000 1.705000 0.475000 ;
+      RECT 0.535000  0.475000 0.785000 0.895000 ;
+      RECT 0.575000  1.785000 2.285000 1.965000 ;
+      RECT 0.575000  1.965000 0.825000 2.465000 ;
+      RECT 0.955000  0.645000 1.285000 0.725000 ;
+      RECT 0.955000  0.725000 2.285000 0.905000 ;
+      RECT 0.995000  2.135000 1.245000 2.635000 ;
+      RECT 1.415000  1.965000 1.665000 2.125000 ;
+      RECT 1.835000  2.135000 2.575000 2.635000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.115000  0.905000 2.285000 0.995000 ;
+      RECT 2.115000  0.995000 2.575000 1.325000 ;
+      RECT 2.115000  1.325000 2.285000 1.785000 ;
+      RECT 2.325000  0.255000 3.530000 0.475000 ;
+      RECT 2.325000  0.475000 2.575000 0.555000 ;
+      RECT 3.205000  2.125000 3.490000 2.635000 ;
+      RECT 3.245000  0.475000 3.530000 0.735000 ;
+      RECT 3.245000  0.735000 5.210000 0.905000 ;
+      RECT 3.660000  2.125000 3.910000 2.295000 ;
+      RECT 3.660000  2.295000 4.750000 2.465000 ;
+      RECT 3.700000  0.085000 3.870000 0.555000 ;
+      RECT 4.040000  0.255000 4.370000 0.725000 ;
+      RECT 4.040000  0.725000 5.210000 0.735000 ;
+      RECT 4.500000  1.785000 4.750000 2.295000 ;
+      RECT 4.540000  0.085000 4.710000 0.555000 ;
+      RECT 4.880000  0.255000 5.210000 0.725000 ;
+      RECT 4.965000  1.795000 5.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_2
+MACRO sky130_fd_sc_hd__o2bb2ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.435000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.280000 0.825000 0.995000 ;
+        RECT 0.605000 0.995000 1.000000 1.325000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.030000 1.075000 2.615000 1.325000 ;
+        RECT 2.445000 1.325000 2.615000 2.425000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.430000 1.810000 0.790000 ;
+        RECT 1.640000 0.790000 1.810000 1.495000 ;
+        RECT 1.640000 1.495000 2.270000 1.665000 ;
+        RECT 1.940000 1.665000 2.270000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.815000 ;
+      RECT 0.150000  1.455000 0.400000 2.635000 ;
+      RECT 0.570000  1.495000 1.340000 1.665000 ;
+      RECT 0.570000  1.665000 0.820000 2.465000 ;
+      RECT 0.990000  1.835000 1.770000 2.635000 ;
+      RECT 1.000000  0.280000 1.340000 0.825000 ;
+      RECT 1.170000  0.825000 1.340000 0.995000 ;
+      RECT 1.170000  0.995000 1.470000 1.325000 ;
+      RECT 1.170000  1.325000 1.340000 1.495000 ;
+      RECT 1.980000  0.425000 2.270000 0.725000 ;
+      RECT 1.980000  0.725000 3.110000 0.905000 ;
+      RECT 2.440000  0.085000 2.610000 0.555000 ;
+      RECT 2.780000  0.275000 3.110000 0.725000 ;
+      RECT 2.820000  1.455000 3.070000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_1
+MACRO sky130_fd_sc_hd__o2bb2ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.505000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 1.825000 1.285000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.045000 1.075000 10.005000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.875000 1.285000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.415000 0.645000 6.155000 0.905000 ;
+        RECT 4.425000 1.455000 7.715000 1.625000 ;
+        RECT 4.425000 1.625000 4.675000 2.465000 ;
+        RECT 5.265000 1.625000 5.515000 2.465000 ;
+        RECT 5.875000 0.905000 6.155000 1.455000 ;
+        RECT 6.625000 1.625000 6.875000 2.125000 ;
+        RECT 7.465000 1.625000 7.715000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.705000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.455000 ;
+      RECT 0.085000  1.455000  3.915000 1.625000 ;
+      RECT 0.100000  0.255000  2.125000 0.475000 ;
+      RECT 0.155000  1.795000  0.405000 2.635000 ;
+      RECT 0.575000  1.625000  0.825000 2.465000 ;
+      RECT 0.995000  1.795000  1.245000 2.635000 ;
+      RECT 1.415000  1.625000  1.665000 2.465000 ;
+      RECT 1.835000  1.795000  2.085000 2.635000 ;
+      RECT 1.875000  0.475000  2.125000 0.725000 ;
+      RECT 1.875000  0.725000  3.805000 0.905000 ;
+      RECT 2.255000  1.625000  2.505000 2.465000 ;
+      RECT 2.295000  0.085000  2.465000 0.555000 ;
+      RECT 2.635000  0.255000  2.965000 0.725000 ;
+      RECT 2.675000  1.795000  2.925000 2.635000 ;
+      RECT 3.095000  1.625000  3.345000 2.465000 ;
+      RECT 3.135000  0.085000  3.305000 0.555000 ;
+      RECT 3.475000  0.255000  3.805000 0.725000 ;
+      RECT 3.515000  1.795000  4.255000 2.635000 ;
+      RECT 3.745000  1.075000  5.705000 1.285000 ;
+      RECT 3.745000  1.285000  3.915000 1.455000 ;
+      RECT 4.060000  0.255000  6.495000 0.475000 ;
+      RECT 4.060000  0.475000  4.245000 0.835000 ;
+      RECT 4.845000  1.795000  5.095000 2.635000 ;
+      RECT 5.685000  1.795000  5.935000 2.635000 ;
+      RECT 6.175000  1.795000  6.455000 2.295000 ;
+      RECT 6.175000  2.295000  8.135000 2.465000 ;
+      RECT 6.325000  0.475000  6.495000 0.735000 ;
+      RECT 6.325000  0.735000  9.855000 0.905000 ;
+      RECT 6.665000  0.085000  6.835000 0.555000 ;
+      RECT 7.005000  0.255000  7.335000 0.725000 ;
+      RECT 7.005000  0.725000  9.855000 0.735000 ;
+      RECT 7.045000  1.795000  7.295000 2.295000 ;
+      RECT 7.505000  0.085000  7.675000 0.555000 ;
+      RECT 7.845000  0.255000  8.175000 0.725000 ;
+      RECT 7.885000  1.455000  9.875000 1.625000 ;
+      RECT 7.885000  1.625000  8.135000 2.295000 ;
+      RECT 8.305000  1.795000  8.555000 2.635000 ;
+      RECT 8.345000  0.085000  8.515000 0.555000 ;
+      RECT 8.685000  0.255000  9.015000 0.725000 ;
+      RECT 8.725000  1.625000  8.975000 2.465000 ;
+      RECT 9.145000  1.795000  9.395000 2.635000 ;
+      RECT 9.185000  0.085000  9.355000 0.555000 ;
+      RECT 9.525000  0.255000  9.855000 0.725000 ;
+      RECT 9.565000  1.625000  9.875000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_4
+MACRO sky130_fd_sc_hd__o41ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.540000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 3.580000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 2.325000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 0.885000 ;
+        RECT 0.515000 1.505000 2.205000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 0.610000 0.885000 0.845000 1.445000 ;
+        RECT 0.610000 1.445000 2.205000 1.505000 ;
+        RECT 1.875000 1.665000 2.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 1.265000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.465000 1.265000 0.735000 ;
+      RECT 1.015000  0.735000 5.705000 0.905000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.455000  1.835000 1.705000 2.295000 ;
+      RECT 1.455000  2.295000 2.545000 2.465000 ;
+      RECT 1.875000  0.255000 2.205000 0.735000 ;
+      RECT 2.375000  0.085000 2.545000 0.545000 ;
+      RECT 2.375000  1.445000 3.465000 1.615000 ;
+      RECT 2.375000  1.615000 2.545000 2.295000 ;
+      RECT 2.715000  0.255000 3.045000 0.735000 ;
+      RECT 2.715000  1.835000 3.045000 2.295000 ;
+      RECT 2.715000  2.295000 4.445000 2.465000 ;
+      RECT 3.215000  0.085000 3.450000 0.545000 ;
+      RECT 3.215000  1.615000 3.465000 2.125000 ;
+      RECT 3.695000  0.255000 4.025000 0.735000 ;
+      RECT 3.695000  1.445000 5.705000 1.615000 ;
+      RECT 3.695000  1.615000 3.945000 2.125000 ;
+      RECT 4.115000  1.835000 4.445000 2.295000 ;
+      RECT 4.195000  0.085000 4.365000 0.545000 ;
+      RECT 4.535000  0.255000 4.865000 0.735000 ;
+      RECT 4.615000  1.615000 4.785000 2.465000 ;
+      RECT 4.955000  1.785000 5.285000 2.635000 ;
+      RECT 5.035000  0.085000 5.205000 0.545000 ;
+      RECT 5.375000  0.255000 5.705000 0.735000 ;
+      RECT 5.455000  1.615000 5.705000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_2
+MACRO sky130_fd_sc_hd__o41ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.500000 1.075000 3.080000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.415000 2.330000 2.355000 ;
+        RECT 2.000000 1.075000 2.330000 1.415000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 1.830000 1.245000 ;
+        RECT 1.500000 1.245000 1.820000 2.355000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.075000 1.320000 1.245000 ;
+        RECT 1.015000 1.245000 1.320000 2.355000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.735000 ;
+        RECT 0.085000 0.735000 0.780000 0.905000 ;
+        RECT 0.515000 1.485000 0.845000 2.465000 ;
+        RECT 0.610000 0.905000 0.780000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.445000 0.345000 2.635000 ;
+      RECT 0.790000  0.255000 1.120000 0.565000 ;
+      RECT 0.950000  0.565000 1.120000 0.735000 ;
+      RECT 0.950000  0.735000 2.960000 0.905000 ;
+      RECT 1.290000  0.085000 1.540000 0.565000 ;
+      RECT 1.710000  0.255000 2.040000 0.735000 ;
+      RECT 2.210000  0.085000 2.460000 0.565000 ;
+      RECT 2.630000  0.255000 2.960000 0.735000 ;
+      RECT 2.630000  1.495000 2.960000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_1
+MACRO sky130_fd_sc_hd__o41ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.155000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.170000 1.075000 7.940000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 1.075000 5.980000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.075000 4.020000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 2.160000 0.905000 ;
+        RECT 0.515000 1.445000 3.885000 1.615000 ;
+        RECT 0.515000 1.615000 0.845000 2.465000 ;
+        RECT 1.355000 1.615000 1.685000 2.465000 ;
+        RECT 1.870000 0.905000 2.160000 1.445000 ;
+        RECT 2.715000 1.615000 3.045000 2.125000 ;
+        RECT 3.555000 1.615000 3.885000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  2.625000 0.465000 ;
+      RECT 0.085000  0.465000  0.345000 0.905000 ;
+      RECT 0.085000  1.445000  0.345000 2.635000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.855000  1.835000  2.105000 2.635000 ;
+      RECT 2.295000  1.785000  2.545000 2.295000 ;
+      RECT 2.295000  2.295000  4.225000 2.465000 ;
+      RECT 2.350000  0.465000  2.625000 0.735000 ;
+      RECT 2.350000  0.735000  9.865000 0.905000 ;
+      RECT 2.795000  0.085000  2.965000 0.545000 ;
+      RECT 3.135000  0.255000  3.465000 0.735000 ;
+      RECT 3.215000  1.785000  3.385000 2.295000 ;
+      RECT 3.635000  0.085000  3.805000 0.545000 ;
+      RECT 3.975000  0.255000  4.305000 0.735000 ;
+      RECT 4.055000  1.445000  5.985000 1.615000 ;
+      RECT 4.055000  1.615000  4.225000 2.295000 ;
+      RECT 4.395000  1.785000  4.645000 2.295000 ;
+      RECT 4.395000  2.295000  7.685000 2.465000 ;
+      RECT 4.475000  0.085000  4.645000 0.545000 ;
+      RECT 4.815000  0.255000  5.145000 0.735000 ;
+      RECT 4.815000  1.615000  5.145000 2.125000 ;
+      RECT 5.315000  0.085000  5.485000 0.545000 ;
+      RECT 5.315000  1.785000  5.485000 2.295000 ;
+      RECT 5.655000  0.255000  5.985000 0.735000 ;
+      RECT 5.655000  1.615000  5.985000 2.125000 ;
+      RECT 6.175000  0.260000  6.505000 0.735000 ;
+      RECT 6.175000  1.445000  9.865000 1.615000 ;
+      RECT 6.175000  1.615000  6.505000 2.125000 ;
+      RECT 6.675000  0.085000  6.845000 0.545000 ;
+      RECT 6.675000  1.785000  6.845000 2.295000 ;
+      RECT 7.015000  0.260000  7.345000 0.735000 ;
+      RECT 7.015000  1.615000  7.345000 2.125000 ;
+      RECT 7.515000  0.085000  7.685000 0.545000 ;
+      RECT 7.515000  1.785000  7.685000 2.295000 ;
+      RECT 7.855000  0.260000  8.185000 0.735000 ;
+      RECT 7.855000  1.615000  8.185000 2.465000 ;
+      RECT 8.355000  0.085000  8.525000 0.545000 ;
+      RECT 8.355000  1.835000  8.525000 2.635000 ;
+      RECT 8.695000  0.260000  9.025000 0.735000 ;
+      RECT 8.695000  1.615000  9.025000 2.465000 ;
+      RECT 9.195000  0.085000  9.365000 0.545000 ;
+      RECT 9.195000  1.835000  9.365000 2.635000 ;
+      RECT 9.535000  0.260000  9.865000 0.735000 ;
+      RECT 9.535000  1.615000  9.865000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_4
+MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1p_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.485000 1.320000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.702900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.015000 0.255000 3.595000 0.640000 ;
+        RECT 3.035000 1.565000 3.595000 2.465000 ;
+        RECT 3.230000 0.640000 3.595000 1.565000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.410000 0.735000 ;
+      RECT 0.085000  0.735000 1.005000 0.905000 ;
+      RECT 0.085000  1.490000 1.005000 1.660000 ;
+      RECT 0.085000  1.660000 0.430000 2.465000 ;
+      RECT 0.580000  0.085000 0.910000 0.565000 ;
+      RECT 0.600000  1.830000 0.925000 2.635000 ;
+      RECT 0.655000  0.905000 1.005000 1.025000 ;
+      RECT 0.655000  1.025000 1.105000 1.295000 ;
+      RECT 0.655000  1.295000 1.005000 1.490000 ;
+      RECT 1.175000  0.255000 1.645000 0.855000 ;
+      RECT 1.195000  1.790000 1.645000 2.465000 ;
+      RECT 1.470000  0.855000 1.645000 1.075000 ;
+      RECT 1.470000  1.075000 2.420000 1.250000 ;
+      RECT 1.470000  1.250000 1.645000 1.790000 ;
+      RECT 1.815000  0.255000 2.065000 0.735000 ;
+      RECT 1.815000  0.735000 2.765000 0.905000 ;
+      RECT 1.815000  1.495000 2.765000 1.665000 ;
+      RECT 1.815000  1.665000 2.065000 2.465000 ;
+      RECT 2.235000  1.835000 2.845000 2.635000 ;
+      RECT 2.240000  0.085000 2.845000 0.565000 ;
+      RECT 2.595000  0.905000 2.765000 0.990000 ;
+      RECT 2.595000  0.990000 3.050000 1.325000 ;
+      RECT 2.595000  1.325000 2.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.495000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.497000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.770000 0.285000 3.095000 0.615000 ;
+        RECT 2.770000 1.625000 3.095000 2.460000 ;
+        RECT 2.865000 0.615000 3.095000 0.765000 ;
+        RECT 2.865000 0.765000 3.595000 1.275000 ;
+        RECT 2.865000 1.275000 3.095000 1.625000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.305000 0.345000 0.640000 ;
+      RECT 0.095000  0.640000 0.840000 0.810000 ;
+      RECT 0.095000  1.785000 0.835000 1.955000 ;
+      RECT 0.095000  1.955000 0.345000 2.465000 ;
+      RECT 0.575000  0.085000 0.905000 0.470000 ;
+      RECT 0.575000  2.125000 0.905000 2.635000 ;
+      RECT 0.665000  0.810000 0.840000 0.995000 ;
+      RECT 0.665000  0.995000 1.035000 1.325000 ;
+      RECT 0.665000  1.325000 1.005000 1.750000 ;
+      RECT 0.665000  1.750000 0.835000 1.785000 ;
+      RECT 1.095000  0.255000 1.425000 0.780000 ;
+      RECT 1.175000  1.425000 1.440000 2.465000 ;
+      RECT 1.205000  0.780000 1.425000 0.995000 ;
+      RECT 1.205000  0.995000 2.165000 1.325000 ;
+      RECT 1.205000  1.325000 1.440000 1.425000 ;
+      RECT 1.615000  0.255000 1.945000 0.635000 ;
+      RECT 1.615000  0.635000 2.595000 0.805000 ;
+      RECT 1.695000  1.500000 2.595000 1.745000 ;
+      RECT 1.695000  1.745000 1.945000 2.465000 ;
+      RECT 2.135000  0.085000 2.465000 0.465000 ;
+      RECT 2.135000  1.915000 2.465000 2.635000 ;
+      RECT 2.335000  0.805000 2.595000 1.500000 ;
+      RECT 3.265000  0.085000 3.595000 0.550000 ;
+      RECT 3.265000  1.635000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_2
+MACRO sky130_fd_sc_hd__a311oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.935000 0.995000 9.530000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.898500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 9.485000 0.805000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+        RECT 7.415000 0.805000 7.735000 1.545000 ;
+        RECT 7.415000 1.545000 9.145000 1.715000 ;
+        RECT 7.415000 1.715000 7.735000 1.975000 ;
+        RECT 7.975000 1.530000 8.305000 1.545000 ;
+        RECT 7.975000 1.715000 8.305000 2.085000 ;
+        RECT 8.475000 0.255000 8.645000 0.635000 ;
+        RECT 8.815000 1.715000 9.145000 2.085000 ;
+        RECT 9.315000 0.255000 9.485000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.495000 4.965000 1.665000 ;
+      RECT 0.595000  1.665000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.435000  1.665000 1.605000 2.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.275000  1.665000 2.445000 2.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.115000  1.665000 3.285000 2.465000 ;
+      RECT 3.455000  1.915000 3.785000 2.635000 ;
+      RECT 3.955000  1.665000 4.125000 2.465000 ;
+      RECT 4.295000  1.915000 4.625000 2.635000 ;
+      RECT 4.795000  1.665000 4.965000 1.915000 ;
+      RECT 4.795000  1.915000 7.245000 2.085000 ;
+      RECT 4.795000  2.085000 4.965000 2.465000 ;
+      RECT 5.135000  2.255000 5.465000 2.635000 ;
+      RECT 5.655000  2.255000 9.565000 2.425000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.975000  0.085000 8.305000 0.465000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 9.315000  1.835000 9.565000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_4
+MACRO sky130_fd_sc_hd__a311oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 3.115000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.995000 1.805000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.995000 0.800000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 0.995000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.730000 1.075000 5.410000 1.295000 ;
+        RECT 5.175000 1.295000 5.410000 1.625000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 5.345000 0.825000 ;
+        RECT 3.235000 0.255000 3.405000 0.655000 ;
+        RECT 4.085000 0.255000 4.255000 0.655000 ;
+        RECT 4.260000 0.825000 4.475000 1.510000 ;
+        RECT 4.260000 1.510000 4.990000 1.575000 ;
+        RECT 4.260000 1.575000 5.005000 1.680000 ;
+        RECT 4.660000 1.680000 5.005000 1.745000 ;
+        RECT 4.660000 1.745000 4.990000 1.915000 ;
+        RECT 4.660000 1.915000 5.005000 2.085000 ;
+        RECT 5.175000 0.255000 5.345000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.495000 0.345000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.655000 ;
+      RECT 0.175000  0.655000 2.105000 0.825000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.575000 3.915000 1.745000 ;
+      RECT 0.595000  1.745000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.355000  0.305000 3.045000 0.475000 ;
+      RECT 1.435000  1.745000 1.605000 2.465000 ;
+      RECT 1.785000  1.915000 2.135000 2.635000 ;
+      RECT 2.305000  1.745000 2.475000 2.465000 ;
+      RECT 2.645000  1.915000 2.975000 2.635000 ;
+      RECT 3.145000  2.255000 5.345000 2.425000 ;
+      RECT 3.585000  0.085000 3.915000 0.465000 ;
+      RECT 3.585000  1.745000 3.915000 2.085000 ;
+      RECT 4.110000  1.915000 4.440000 2.255000 ;
+      RECT 4.110000  2.425000 4.440000 2.465000 ;
+      RECT 4.675000  0.085000 5.005000 0.465000 ;
+      RECT 5.175000  1.795000 5.345000 2.255000 ;
+      RECT 5.175000  2.425000 5.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_2
+MACRO sky130_fd_sc_hd__a311oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.265000 1.365000 0.660000 ;
+        RECT 1.195000 0.660000 1.365000 0.995000 ;
+        RECT 1.195000 0.995000 1.455000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.265000 0.795000 0.995000 ;
+        RECT 0.600000 0.995000 1.025000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.420000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.710000 0.995000 1.935000 1.835000 ;
+        RECT 1.710000 1.835000 2.230000 2.005000 ;
+        RECT 1.950000 2.005000 2.230000 2.355000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.685000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.659750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.255000 1.705000 0.655000 ;
+        RECT 1.535000 0.655000 2.650000 0.825000 ;
+        RECT 2.105000 0.825000 2.275000 1.495000 ;
+        RECT 2.105000 1.495000 2.650000 1.665000 ;
+        RECT 2.405000 0.295000 2.650000 0.655000 ;
+        RECT 2.410000 1.665000 2.650000 2.335000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.600000  1.575000 1.540000 1.745000 ;
+      RECT 0.600000  1.745000 0.770000 2.305000 ;
+      RECT 0.940000  1.915000 1.200000 2.635000 ;
+      RECT 1.370000  1.745000 1.540000 2.175000 ;
+      RECT 1.370000  2.175000 1.700000 2.345000 ;
+      RECT 1.905000  0.085000 2.235000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_1
+MACRO sky130_fd_sc_hd__sdfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.405000 4.105000 1.575000 ;
+        RECT 3.775000 1.575000 4.060000 1.675000 ;
+        RECT 3.825000 1.675000 4.060000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.785000 ;
+        RECT 13.915000 1.470000 14.175000 2.465000 ;
+        RECT 13.965000 0.785000 14.175000 1.470000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.155000 0.815000 ;
+        RECT 1.935000 0.815000 2.315000 1.150000 ;
+        RECT 1.935000 1.150000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.870000 0.735000 6.295000 0.965000 ;
+        RECT 5.870000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.095000  1.795000  0.835000 1.965000 ;
+      RECT  0.095000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.325000  0.265000  2.655000 0.595000 ;
+      RECT  2.405000  1.295000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.670000 1.430000 ;
+      RECT  2.405000  1.430000  2.630000 1.465000 ;
+      RECT  2.405000  1.465000  2.605000 1.505000 ;
+      RECT  2.405000  1.505000  2.575000 1.875000 ;
+      RECT  2.460000  1.255000  3.075000 1.295000 ;
+      RECT  2.485000  0.595000  2.655000 1.075000 ;
+      RECT  2.485000  1.075000  3.075000 1.255000 ;
+      RECT  2.760000  1.575000  3.605000 1.745000 ;
+      RECT  2.760000  1.745000  3.140000 1.905000 ;
+      RECT  2.870000  0.305000  3.040000 0.625000 ;
+      RECT  2.870000  0.625000  3.645000 0.765000 ;
+      RECT  2.870000  0.765000  3.770000 0.795000 ;
+      RECT  2.970000  1.905000  3.140000 2.465000 ;
+      RECT  3.225000  0.085000  3.555000 0.445000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.430000  0.795000  3.770000 1.095000 ;
+      RECT  3.430000  1.095000  3.605000 1.575000 ;
+      RECT  3.950000  0.425000  4.330000 0.595000 ;
+      RECT  3.950000  0.595000  4.120000 1.065000 ;
+      RECT  3.950000  1.065000  4.400000 1.105000 ;
+      RECT  3.950000  1.105000  4.410000 1.175000 ;
+      RECT  3.950000  1.175000  4.445000 1.235000 ;
+      RECT  4.160000  0.265000  4.330000 0.425000 ;
+      RECT  4.225000  1.235000  4.445000 1.275000 ;
+      RECT  4.230000  2.135000  4.445000 2.465000 ;
+      RECT  4.245000  1.275000  4.445000 1.305000 ;
+      RECT  4.275000  1.305000  4.445000 2.135000 ;
+      RECT  4.555000  0.265000  5.655000 0.465000 ;
+      RECT  4.570000  0.705000  4.790000 1.035000 ;
+      RECT  4.615000  1.035000  4.790000 1.575000 ;
+      RECT  4.615000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  5.000000  0.735000  5.330000 1.015000 ;
+      RECT  5.295000  1.195000  5.670000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.485000  0.465000  5.655000 0.585000 ;
+      RECT  5.485000  0.585000  5.670000 0.655000 ;
+      RECT  5.500000  0.655000  5.670000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.835000  0.085000  6.005000 0.525000 ;
+      RECT  6.260000  0.255000  7.350000 0.425000 ;
+      RECT  6.260000  0.425000  6.590000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.680000  0.610000  7.010000 0.780000 ;
+      RECT  6.810000  0.780000  7.010000 0.895000 ;
+      RECT  6.810000  0.895000  8.125000 1.060000 ;
+      RECT  6.815000  1.060000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.180000  0.425000  7.350000 0.715000 ;
+      RECT  7.620000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.595000 10.905000 0.780000 ;
+      RECT 10.730000  0.780000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.775000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.775000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+      RECT 13.455000  1.765000 13.740000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.230000  1.105000  4.400000 1.275000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.155000  0.765000  5.325000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.385000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.460000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.170000 1.075000  4.460000 1.120000 ;
+      RECT  4.170000 1.260000  4.460000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.095000 0.735000  5.385000 0.780000 ;
+      RECT  5.095000 0.920000  5.385000 0.965000 ;
+      RECT  5.170000 0.965000  5.385000 1.120000 ;
+      RECT  5.170000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_1
+MACRO sky130_fd_sc_hd__sdfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 14.415000 0.255000 14.665000 0.825000 ;
+        RECT 14.415000 1.445000 14.665000 2.465000 ;
+        RECT 14.460000 0.825000 14.665000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.580000 0.255000 12.830000 0.715000 ;
+        RECT 12.580000 1.630000 12.830000 2.465000 ;
+        RECT 12.660000 0.715000 12.830000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.590000 1.095000 12.070000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.145000 0.765000 ;
+        RECT 1.935000 0.765000 2.335000 1.095000 ;
+        RECT 1.935000 1.095000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 15.370000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.315000  0.265000  2.730000 0.595000 ;
+      RECT  2.405000  1.250000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.575000 1.875000 ;
+      RECT  2.435000  1.235000  3.075000 1.250000 ;
+      RECT  2.560000  0.595000  2.730000 1.075000 ;
+      RECT  2.560000  1.075000  3.075000 1.235000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.955000  0.305000  3.125000 0.625000 ;
+      RECT  2.955000  0.625000  3.645000 0.765000 ;
+      RECT  2.955000  0.765000  3.770000 0.795000 ;
+      RECT  3.295000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.375000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.505000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.705000  0.595000  7.035000 0.765000 ;
+      RECT  6.815000  0.765000  7.035000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.205000  0.425000  7.375000 0.715000 ;
+      RECT  7.645000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.410000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.580000  0.595000 10.910000 0.780000 ;
+      RECT 10.730000  0.780000 10.910000 1.915000 ;
+      RECT 10.940000  2.255000 12.410000 2.635000 ;
+      RECT 11.080000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.845000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.925000 1.745000 ;
+      RECT 11.620000  0.265000 11.845000 0.755000 ;
+      RECT 12.080000  0.085000 12.410000 0.805000 ;
+      RECT 12.240000  0.995000 12.480000 1.325000 ;
+      RECT 12.240000  1.325000 12.410000 1.915000 ;
+      RECT 13.000000  0.085000 13.235000 0.885000 ;
+      RECT 13.000000  1.495000 13.235000 2.635000 ;
+      RECT 13.455000  0.255000 13.770000 0.995000 ;
+      RECT 13.455000  0.995000 14.290000 1.325000 ;
+      RECT 13.455000  1.325000 13.770000 2.415000 ;
+      RECT 13.950000  0.085000 14.245000 0.545000 ;
+      RECT 13.950000  1.765000 14.245000 2.635000 ;
+      RECT 14.835000  0.085000 15.075000 0.885000 ;
+      RECT 14.835000  1.495000 15.075000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.435000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.555000 1.625000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 0.255000 3.550000 0.640000 ;
+        RECT 3.070000 1.485000 3.550000 2.465000 ;
+        RECT 3.355000 0.640000 3.550000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.720000 ;
+      RECT 0.085000  0.720000 1.060000 0.890000 ;
+      RECT 0.085000  1.795000 1.060000 1.965000 ;
+      RECT 0.085000  1.965000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.550000 ;
+      RECT 0.600000  2.135000 0.930000 2.635000 ;
+      RECT 0.890000  0.890000 1.060000 1.075000 ;
+      RECT 0.890000  1.075000 1.320000 1.245000 ;
+      RECT 0.890000  1.245000 1.060000 1.795000 ;
+      RECT 1.230000  1.785000 1.660000 2.465000 ;
+      RECT 1.280000  0.255000 1.660000 0.905000 ;
+      RECT 1.490000  0.905000 1.660000 1.075000 ;
+      RECT 1.490000  1.075000 2.415000 1.485000 ;
+      RECT 1.490000  1.485000 1.660000 1.785000 ;
+      RECT 1.830000  0.255000 2.100000 0.735000 ;
+      RECT 1.830000  0.735000 2.900000 0.905000 ;
+      RECT 1.830000  1.790000 2.900000 1.965000 ;
+      RECT 1.830000  1.965000 2.100000 2.465000 ;
+      RECT 2.550000  0.085000 2.880000 0.565000 ;
+      RECT 2.550000  2.135000 2.880000 2.635000 ;
+      RECT 2.730000  0.905000 2.900000 1.075000 ;
+      RECT 2.730000  1.075000 3.185000 1.245000 ;
+      RECT 2.730000  1.245000 2.900000 1.790000 ;
+      RECT 3.720000  0.085000 4.055000 0.645000 ;
+      RECT 3.720000  1.485000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.560000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.285000 3.595000 0.545000 ;
+        RECT 3.210000 1.760000 3.595000 2.465000 ;
+        RECT 3.365000 0.545000 3.595000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.215000 0.885000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.730000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.410000 2.465000 ;
+      RECT 2.640000  1.760000 3.040000 2.635000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_1
+MACRO sky130_fd_sc_hd__clkbuf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.045000 1.835000 2.165000 2.005000 ;
+        RECT 1.045000 2.005000 1.305000 2.465000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.835000 ;
+        RECT 1.905000 2.005000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.395000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.565000  1.835000 0.875000 2.635000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 1.475000  2.175000 1.730000 2.635000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+      RECT 2.335000  1.765000 2.620000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_4
+MACRO sky130_fd_sc_hd__clkbuf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.855000 1.725000 2.030000 ;
+        RECT 1.060000 2.030000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.855000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.435000 ;
+      RECT 0.525000  1.855000 0.855000 2.635000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.495000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+      RECT 1.415000  2.210000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_2
+MACRO sky130_fd_sc_hd__clkbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.095000  1.525000 0.390000 2.635000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.525000 1.250000 2.635000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 1.850000  1.905000 2.110000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.635000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.635000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+      RECT 4.430000  1.905000 4.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_8
+MACRO sky130_fd_sc_hd__clkbuf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_1
+MACRO sky130_fd_sc_hd__clkbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.280000 1.495000 9.025000 1.720000 ;
+        RECT 2.280000 1.720000 7.685000 1.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.095000  1.825000 0.390000 2.635000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 7.690000 1.325000 ;
+      RECT 0.570000  1.325000 0.815000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.825000 1.250000 2.635000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.430000  1.325000 1.680000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 1.850000  1.835000 2.110000 2.630000 ;
+      RECT 1.850000  2.630000 8.125000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.630000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.630000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 4.430000  1.905000 4.690000 2.630000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 5.290000  1.905000 5.535000 2.630000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 6.150000  1.905000 6.395000 2.630000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.010000  1.905000 7.255000 2.630000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 7.870000  1.905000 8.125000 2.630000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+      RECT 8.755000  1.890000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_16
+MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1n_1
+MACRO sky130_fd_sc_hd__a41oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.385000 0.995000 4.205000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.405000 1.075000 6.315000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.560000 1.075000 7.955000 1.300000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.285000 1.075000 9.975000 1.280000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.745000 1.305000 ;
+        RECT 0.105000 1.305000 0.325000 1.965000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 2.155000 1.685000 ;
+        RECT 0.515000 1.685000 1.685000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 4.015000 0.805000 ;
+        RECT 1.350000 1.495000 2.155000 1.575000 ;
+        RECT 1.350000 1.745000 1.685000 2.085000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.935000 0.805000 2.155000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.085000  0.425000 0.465000 ;
+      RECT 0.090000  2.255000  2.335000 2.425000 ;
+      RECT 0.935000  0.085000  1.265000 0.465000 ;
+      RECT 1.775000  0.085000  2.105000 0.465000 ;
+      RECT 2.165000  1.905000  3.515000 2.075000 ;
+      RECT 2.165000  2.075000  2.335000 2.255000 ;
+      RECT 2.165000  2.425000  2.335000 2.465000 ;
+      RECT 2.425000  0.295000  6.115000 0.465000 ;
+      RECT 2.505000  2.255000  3.175000 2.635000 ;
+      RECT 3.345000  1.575000  9.945000 1.745000 ;
+      RECT 3.345000  1.745000  3.515000 1.905000 ;
+      RECT 3.345000  2.075000  3.515000 2.465000 ;
+      RECT 3.685000  1.915000  4.015000 2.635000 ;
+      RECT 4.185000  1.745000  4.355000 2.425000 ;
+      RECT 4.525000  0.635000  7.895000 0.805000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  1.745000  5.290000 2.465000 ;
+      RECT 5.495000  1.915000  6.165000 2.635000 ;
+      RECT 6.305000  0.295000  8.235000 0.465000 ;
+      RECT 6.385000  1.745000  6.555000 2.465000 ;
+      RECT 6.725000  1.915000  7.055000 2.635000 ;
+      RECT 7.225000  1.745000  7.395000 2.465000 ;
+      RECT 7.565000  1.915000  7.895000 2.635000 ;
+      RECT 8.065000  0.255000  8.235000 0.295000 ;
+      RECT 8.065000  0.465000  8.235000 0.635000 ;
+      RECT 8.065000  0.635000  9.915000 0.805000 ;
+      RECT 8.065000  1.745000  8.235000 2.465000 ;
+      RECT 8.405000  0.085000  8.735000 0.465000 ;
+      RECT 8.405000  1.915000  8.735000 2.635000 ;
+      RECT 8.905000  0.255000  9.075000 0.635000 ;
+      RECT 8.905000  1.745000  9.075000 2.465000 ;
+      RECT 9.245000  0.085000  9.575000 0.465000 ;
+      RECT 9.245000  1.915000  9.575000 2.635000 ;
+      RECT 9.745000  0.255000  9.915000 0.635000 ;
+      RECT 9.775000  1.745000  9.945000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_4
+MACRO sky130_fd_sc_hd__a41oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.785000 1.075000 2.455000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.665000 1.075000 3.365000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.075000 4.575000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.755000 1.075000 5.895000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.555000 1.280000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.645000 2.295000 0.815000 ;
+        RECT 0.145000 0.815000 0.315000 1.455000 ;
+        RECT 0.145000 1.455000 1.455000 1.625000 ;
+        RECT 0.685000 0.255000 0.855000 0.645000 ;
+        RECT 1.125000 1.625000 1.455000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.185000  0.085000 0.515000 0.465000 ;
+      RECT 0.785000  1.795000 0.955000 2.295000 ;
+      RECT 0.785000  2.295000 1.795000 2.465000 ;
+      RECT 1.025000  0.085000 1.375000 0.465000 ;
+      RECT 1.545000  0.295000 2.635000 0.465000 ;
+      RECT 1.625000  1.535000 5.760000 1.705000 ;
+      RECT 1.625000  1.705000 1.795000 2.295000 ;
+      RECT 1.965000  1.915000 2.295000 2.635000 ;
+      RECT 2.465000  0.465000 2.635000 0.645000 ;
+      RECT 2.465000  0.645000 3.555000 0.815000 ;
+      RECT 2.465000  1.705000 2.635000 2.465000 ;
+      RECT 2.805000  0.295000 4.495000 0.465000 ;
+      RECT 2.805000  1.915000 3.135000 2.635000 ;
+      RECT 3.325000  1.705000 3.495000 2.465000 ;
+      RECT 3.745000  0.645000 5.675000 0.815000 ;
+      RECT 3.755000  1.915000 4.425000 2.635000 ;
+      RECT 4.665000  1.705000 4.835000 2.465000 ;
+      RECT 5.005000  0.085000 5.335000 0.465000 ;
+      RECT 5.005000  1.915000 5.335000 2.635000 ;
+      RECT 5.505000  0.255000 5.675000 0.645000 ;
+      RECT 5.505000  1.705000 5.675000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_2
+MACRO sky130_fd_sc_hd__a41oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.085000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 0.755000 2.210000 1.665000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 0.755000 1.710000 1.665000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.965000 1.250000 1.665000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.965000 0.780000 1.665000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.669500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.285000 0.345000 0.615000 ;
+        RECT 0.090000 0.615000 1.290000 0.785000 ;
+        RECT 0.090000 0.785000 0.360000 1.845000 ;
+        RECT 0.090000 1.845000 0.425000 2.425000 ;
+        RECT 1.120000 0.295000 3.015000 0.465000 ;
+        RECT 1.120000 0.465000 1.290000 0.615000 ;
+        RECT 2.685000 0.465000 3.015000 0.805000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.595000  1.845000 3.015000 2.015000 ;
+      RECT 0.595000  2.015000 0.845000 2.465000 ;
+      RECT 0.620000  0.085000 0.950000 0.445000 ;
+      RECT 1.120000  2.195000 1.450000 2.635000 ;
+      RECT 1.760000  2.015000 1.930000 2.465000 ;
+      RECT 2.215000  2.195000 2.545000 2.635000 ;
+      RECT 2.765000  2.015000 3.015000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_1
+MACRO sky130_fd_sc_hd__nor4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.655000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 1.075000 1.695000 1.245000 ;
+        RECT 1.455000 1.245000 1.695000 2.450000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.845000 0.995000 1.075000 1.415000 ;
+        RECT 0.845000 1.415000 1.285000 1.615000 ;
+        RECT 1.030000 1.615000 1.285000 2.450000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.335000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.672750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.495000 0.675000 1.665000 ;
+        RECT 0.090000 1.665000 0.425000 2.450000 ;
+        RECT 0.505000 0.645000 0.860000 0.655000 ;
+        RECT 0.505000 0.655000 1.705000 0.825000 ;
+        RECT 0.505000 0.825000 0.675000 1.495000 ;
+        RECT 0.595000 0.385000 0.860000 0.645000 ;
+        RECT 1.535000 0.385000 1.705000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.575000 ;
+      RECT 1.035000  0.085000 1.365000 0.485000 ;
+      RECT 1.875000  0.085000 2.205000 0.485000 ;
+      RECT 1.955000  1.835000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_1
+MACRO sky130_fd_sc_hd__nor4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 1.940000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.340000 1.075000 3.925000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.515000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 3.655000 1.455000 4.515000 1.625000 ;
+        RECT 3.655000 1.625000 3.905000 2.125000 ;
+        RECT 4.180000 0.905000 4.515000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.065000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.395000  1.455000 3.485000 1.625000 ;
+      RECT 2.395000  1.625000 2.645000 2.125000 ;
+      RECT 2.815000  1.795000 3.065000 2.295000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.235000  2.295000 4.325000 2.465000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_2
+MACRO sky130_fd_sc_hd__nor4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.180000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 4.070000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 1.075000 5.705000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.875000 1.075000 7.295000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.735000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 4.415000 0.255000 4.745000 0.725000 ;
+        RECT 5.255000 0.255000 5.585000 0.725000 ;
+        RECT 6.095000 0.255000 6.425000 0.725000 ;
+        RECT 6.135000 1.455000 7.735000 1.625000 ;
+        RECT 6.135000 1.625000 6.385000 2.125000 ;
+        RECT 6.935000 0.255000 7.265000 0.725000 ;
+        RECT 6.975000 1.625000 7.225000 2.125000 ;
+        RECT 7.465000 0.905000 7.735000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.295000 ;
+      RECT 1.835000  2.295000 3.820000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 5.545000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 2.125000 ;
+      RECT 2.675000  1.795000 2.925000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.125000 ;
+      RECT 3.515000  1.795000 3.820000 2.295000 ;
+      RECT 3.555000  0.085000 4.245000 0.555000 ;
+      RECT 4.005000  1.795000 4.285000 2.295000 ;
+      RECT 4.005000  2.295000 7.645000 2.465000 ;
+      RECT 4.455000  1.625000 4.705000 2.125000 ;
+      RECT 4.875000  1.795000 5.125000 2.295000 ;
+      RECT 4.915000  0.085000 5.085000 0.555000 ;
+      RECT 5.295000  1.625000 5.545000 2.125000 ;
+      RECT 5.715000  1.795000 5.965000 2.295000 ;
+      RECT 5.755000  0.085000 5.925000 0.555000 ;
+      RECT 6.555000  1.795000 6.805000 2.295000 ;
+      RECT 6.595000  0.085000 6.765000 0.555000 ;
+      RECT 7.395000  1.795000 7.645000 2.295000 ;
+      RECT 7.435000  0.085000 7.605000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_4
+MACRO sky130_fd_sc_hd__tapvgnd2_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.755000 0.375000 1.985000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  1.785000 0.315000 1.955000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd2_1
+MACRO sky130_fd_sc_hd__and3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.715000 0.615000 3.995000 1.705000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 0.725000 1.235000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.715000 1.340000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.225000 1.535000 3.535000 1.705000 ;
+        RECT 2.285000 0.515000 2.475000 0.615000 ;
+        RECT 2.285000 0.615000 3.535000 0.845000 ;
+        RECT 3.145000 0.255000 3.335000 0.615000 ;
+        RECT 3.270000 0.845000 3.535000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.150000  0.255000 0.635000 0.355000 ;
+      RECT 0.150000  0.355000 1.600000 0.545000 ;
+      RECT 0.150000  0.545000 0.635000 0.805000 ;
+      RECT 0.150000  0.805000 0.370000 1.495000 ;
+      RECT 0.150000  1.495000 0.510000 2.165000 ;
+      RECT 0.540000  0.995000 0.850000 1.325000 ;
+      RECT 0.680000  1.325000 0.850000 1.875000 ;
+      RECT 0.680000  1.875000 4.445000 2.105000 ;
+      RECT 0.730000  2.275000 1.180000 2.635000 ;
+      RECT 1.280000  1.525000 2.055000 1.695000 ;
+      RECT 1.420000  0.545000 1.600000 0.615000 ;
+      RECT 1.420000  0.615000 2.115000 0.805000 ;
+      RECT 1.745000  2.275000 2.075000 2.635000 ;
+      RECT 1.780000  0.085000 2.110000 0.445000 ;
+      RECT 1.885000  0.805000 2.115000 1.020000 ;
+      RECT 1.885000  1.020000 3.100000 1.355000 ;
+      RECT 1.885000  1.355000 2.055000 1.525000 ;
+      RECT 2.645000  0.085000 2.975000 0.445000 ;
+      RECT 2.645000  2.275000 2.980000 2.635000 ;
+      RECT 3.505000  0.085000 3.835000 0.445000 ;
+      RECT 3.505000  2.275000 3.835000 2.635000 ;
+      RECT 4.165000  0.425000 4.445000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_4
+MACRO sky130_fd_sc_hd__and3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.955000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.790000 2.125000 2.265000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.305000 2.185000 0.725000 ;
+        RECT 1.985000 0.725000 2.395000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 1.765000 3.135000 2.465000 ;
+        RECT 2.875000 0.255000 3.135000 0.735000 ;
+        RECT 2.965000 0.735000 3.135000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  2.125000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.390000 1.245000 ;
+      RECT 0.595000  1.245000 0.765000 2.465000 ;
+      RECT 1.005000  1.425000 2.795000 1.595000 ;
+      RECT 1.005000  1.595000 1.255000 1.960000 ;
+      RECT 1.005000  2.130000 1.620000 2.635000 ;
+      RECT 1.025000  0.305000 1.815000 0.570000 ;
+      RECT 1.425000  1.765000 1.755000 1.955000 ;
+      RECT 1.425000  1.955000 1.620000 2.130000 ;
+      RECT 1.560000  0.570000 1.815000 1.425000 ;
+      RECT 1.975000  1.595000 2.690000 1.890000 ;
+      RECT 2.375000  0.085000 2.705000 0.545000 ;
+      RECT 2.435000  2.090000 2.650000 2.635000 ;
+      RECT 2.565000  0.995000 2.795000 1.425000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_1
+MACRO sky130_fd_sc_hd__and3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.745000 0.410000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 2.125000 2.290000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.305000 2.220000 0.765000 ;
+        RECT 2.010000 0.765000 2.420000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 1.795000 3.160000 2.465000 ;
+        RECT 2.915000 0.255000 3.160000 0.715000 ;
+        RECT 2.990000 0.715000 3.160000 0.925000 ;
+        RECT 2.990000 0.925000 3.595000 1.445000 ;
+        RECT 2.990000 1.445000 3.160000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.355000 0.575000 ;
+      RECT 0.085000  1.575000 0.400000 2.635000 ;
+      RECT 0.580000  0.305000 0.855000 1.015000 ;
+      RECT 0.580000  1.015000 1.415000 1.245000 ;
+      RECT 0.580000  1.245000 0.855000 1.905000 ;
+      RECT 1.030000  2.130000 1.645000 2.635000 ;
+      RECT 1.050000  1.425000 2.820000 1.595000 ;
+      RECT 1.050000  1.595000 1.285000 1.960000 ;
+      RECT 1.055000  0.305000 1.840000 0.570000 ;
+      RECT 1.455000  1.765000 1.785000 1.955000 ;
+      RECT 1.455000  1.955000 1.645000 2.130000 ;
+      RECT 1.585000  0.570000 1.840000 1.425000 ;
+      RECT 2.010000  1.595000 2.200000 1.890000 ;
+      RECT 2.410000  0.085000 2.740000 0.580000 ;
+      RECT 2.460000  1.790000 2.675000 2.635000 ;
+      RECT 2.590000  0.995000 2.820000 1.425000 ;
+      RECT 3.330000  0.085000 3.595000 0.745000 ;
+      RECT 3.330000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_2
+MACRO sky130_fd_sc_hd__nor2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 3.530000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 1.075000 6.540000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.275000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 3.935000 1.445000 7.275000 1.615000 ;
+        RECT 3.935000 1.615000 4.185000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 4.775000 1.615000 5.025000 2.125000 ;
+        RECT 5.575000 0.255000 5.905000 0.725000 ;
+        RECT 5.615000 1.615000 5.865000 2.125000 ;
+        RECT 6.415000 0.255000 6.745000 0.725000 ;
+        RECT 6.455000 1.615000 6.705000 2.125000 ;
+        RECT 6.710000 0.905000 7.275000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 3.765000 1.665000 ;
+      RECT 0.090000  1.665000 0.405000 2.465000 ;
+      RECT 0.575000  1.835000 0.825000 2.635000 ;
+      RECT 0.995000  1.665000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.835000 1.665000 2.635000 ;
+      RECT 1.835000  1.665000 2.085000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.835000 2.505000 2.635000 ;
+      RECT 2.675000  1.665000 2.925000 2.465000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.835000 3.345000 2.635000 ;
+      RECT 3.515000  1.665000 3.765000 2.295000 ;
+      RECT 3.515000  2.295000 7.125000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 4.355000  1.785000 4.605000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 5.195000  1.785000 5.445000 2.295000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+      RECT 6.035000  1.785000 6.285000 2.295000 ;
+      RECT 6.075000  0.085000 6.245000 0.555000 ;
+      RECT 6.875000  1.785000 7.125000 2.295000 ;
+      RECT 6.915000  0.085000 7.205000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_8
+MACRO sky130_fd_sc_hd__nor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.120000 1.075000 3.485000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.055000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 1.445000 4.055000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+        RECT 3.655000 0.905000 4.055000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.890000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.475000  1.915000 3.890000 2.295000 ;
+      RECT 3.555000  0.085000 3.840000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_4
+MACRO sky130_fd_sc_hd__nor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.810000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.750000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.735000 ;
+        RECT 0.535000 0.735000 2.135000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.375000 1.445000 2.135000 1.665000 ;
+        RECT 1.375000 1.665000 1.705000 2.125000 ;
+        RECT 1.920000 0.905000 2.135000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 1.205000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.175000 2.465000 ;
+      RECT 1.875000  0.085000 2.165000 0.555000 ;
+      RECT 1.875000  1.835000 2.175000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_2
+MACRO sky130_fd_sc_hd__nor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.075000 1.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.775000 1.665000 ;
+        RECT 0.095000 1.665000 0.425000 2.450000 ;
+        RECT 0.515000 0.255000 0.845000 0.895000 ;
+        RECT 0.605000 0.895000 0.775000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.895000 ;
+      RECT 0.955000  1.495000 1.285000 2.635000 ;
+      RECT 1.015000  0.085000 1.285000 0.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_1
+MACRO sky130_fd_sc_hd__macro_sparecell
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN LO
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.215000 1.075000 4.965000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.775000 1.105000 4.945000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.135000 1.075000 5.895000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.705000 1.105000 5.875000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.755000 0.915000 7.275000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.765000 1.105000 6.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.445000 1.075000 8.205000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.625000 1.105000 7.795000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.375000 1.075000 9.125000 1.325000 ;
+      LAYER mcon ;
+        RECT 8.485000 1.105000 8.655000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.715000 1.075000 5.005000 1.120000 ;
+        RECT 4.715000 1.120000 8.715000 1.260000 ;
+        RECT 4.715000 1.260000 5.005000 1.305000 ;
+        RECT 5.645000 1.075000 5.935000 1.120000 ;
+        RECT 5.645000 1.260000 5.935000 1.305000 ;
+        RECT 6.705000 1.075000 6.995000 1.120000 ;
+        RECT 6.705000 1.260000 6.995000 1.305000 ;
+        RECT 7.565000 1.075000 7.855000 1.120000 ;
+        RECT 7.565000 1.260000 7.855000 1.305000 ;
+        RECT 8.425000 1.075000 8.715000 1.120000 ;
+        RECT 8.425000 1.260000 8.715000 1.305000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 -0.085000 13.340000 0.085000 ;
+        RECT  0.145000  0.085000  0.355000 0.905000 ;
+        RECT  1.025000  0.085000  1.255000 0.905000 ;
+        RECT  1.515000  0.085000  1.805000 0.555000 ;
+        RECT  2.475000  0.085000  2.645000 0.555000 ;
+        RECT  3.315000  0.085000  3.590000 0.905000 ;
+        RECT  5.215000  0.085000  5.385000 0.545000 ;
+        RECT  6.755000  0.085000  7.095000 0.745000 ;
+        RECT  7.955000  0.085000  8.125000 0.545000 ;
+        RECT  9.750000  0.085000 10.025000 0.905000 ;
+        RECT 10.695000  0.085000 10.865000 0.555000 ;
+        RECT 11.535000  0.085000 11.825000 0.555000 ;
+        RECT 12.085000  0.085000 12.315000 0.905000 ;
+        RECT 12.985000  0.085000 13.195000 0.905000 ;
+      LAYER mcon ;
+        RECT  0.145000 -0.085000  0.315000 0.085000 ;
+        RECT  0.605000 -0.085000  0.775000 0.085000 ;
+        RECT  1.065000 -0.085000  1.235000 0.085000 ;
+        RECT  1.525000 -0.085000  1.695000 0.085000 ;
+        RECT  1.985000 -0.085000  2.155000 0.085000 ;
+        RECT  2.445000 -0.085000  2.615000 0.085000 ;
+        RECT  2.905000 -0.085000  3.075000 0.085000 ;
+        RECT  3.365000 -0.085000  3.535000 0.085000 ;
+        RECT  3.825000 -0.085000  3.995000 0.085000 ;
+        RECT  4.285000 -0.085000  4.455000 0.085000 ;
+        RECT  4.745000 -0.085000  4.915000 0.085000 ;
+        RECT  5.205000 -0.085000  5.375000 0.085000 ;
+        RECT  5.665000 -0.085000  5.835000 0.085000 ;
+        RECT  6.125000 -0.085000  6.295000 0.085000 ;
+        RECT  6.585000 -0.085000  6.755000 0.085000 ;
+        RECT  7.045000 -0.085000  7.215000 0.085000 ;
+        RECT  7.505000 -0.085000  7.675000 0.085000 ;
+        RECT  7.965000 -0.085000  8.135000 0.085000 ;
+        RECT  8.425000 -0.085000  8.595000 0.085000 ;
+        RECT  8.885000 -0.085000  9.055000 0.085000 ;
+        RECT  9.345000 -0.085000  9.515000 0.085000 ;
+        RECT  9.805000 -0.085000  9.975000 0.085000 ;
+        RECT 10.265000 -0.085000 10.435000 0.085000 ;
+        RECT 10.725000 -0.085000 10.895000 0.085000 ;
+        RECT 11.185000 -0.085000 11.355000 0.085000 ;
+        RECT 11.645000 -0.085000 11.815000 0.085000 ;
+        RECT 12.105000 -0.085000 12.275000 0.085000 ;
+        RECT 12.565000 -0.085000 12.735000 0.085000 ;
+        RECT 13.025000 -0.085000 13.195000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 2.635000 13.340000 2.805000 ;
+        RECT  0.145000 1.495000  0.355000 2.635000 ;
+        RECT  1.025000 1.495000  1.255000 2.635000 ;
+        RECT  2.815000 1.835000  3.145000 2.635000 ;
+        RECT  3.870000 1.835000  4.125000 2.635000 ;
+        RECT  4.795000 1.835000  4.965000 2.635000 ;
+        RECT  5.635000 1.495000  5.895000 2.635000 ;
+        RECT  6.255000 1.910000  6.585000 2.635000 ;
+        RECT  7.445000 1.495000  7.705000 2.635000 ;
+        RECT  8.375000 1.835000  8.545000 2.635000 ;
+        RECT  9.215000 1.835000  9.470000 2.635000 ;
+        RECT 10.195000 1.835000 10.525000 2.635000 ;
+        RECT 12.085000 1.495000 12.315000 2.635000 ;
+        RECT 12.985000 1.495000 13.195000 2.635000 ;
+      LAYER mcon ;
+        RECT  0.145000 2.635000  0.315000 2.805000 ;
+        RECT  0.605000 2.635000  0.775000 2.805000 ;
+        RECT  1.065000 2.635000  1.235000 2.805000 ;
+        RECT  1.525000 2.635000  1.695000 2.805000 ;
+        RECT  1.985000 2.635000  2.155000 2.805000 ;
+        RECT  2.445000 2.635000  2.615000 2.805000 ;
+        RECT  2.905000 2.635000  3.075000 2.805000 ;
+        RECT  3.365000 2.635000  3.535000 2.805000 ;
+        RECT  3.825000 2.635000  3.995000 2.805000 ;
+        RECT  4.285000 2.635000  4.455000 2.805000 ;
+        RECT  4.745000 2.635000  4.915000 2.805000 ;
+        RECT  5.205000 2.635000  5.375000 2.805000 ;
+        RECT  5.665000 2.635000  5.835000 2.805000 ;
+        RECT  6.125000 2.635000  6.295000 2.805000 ;
+        RECT  6.585000 2.635000  6.755000 2.805000 ;
+        RECT  7.045000 2.635000  7.215000 2.805000 ;
+        RECT  7.505000 2.635000  7.675000 2.805000 ;
+        RECT  7.965000 2.635000  8.135000 2.805000 ;
+        RECT  8.425000 2.635000  8.595000 2.805000 ;
+        RECT  8.885000 2.635000  9.055000 2.805000 ;
+        RECT  9.345000 2.635000  9.515000 2.805000 ;
+        RECT  9.805000 2.635000  9.975000 2.805000 ;
+        RECT 10.265000 2.635000 10.435000 2.805000 ;
+        RECT 10.725000 2.635000 10.895000 2.805000 ;
+        RECT 11.185000 2.635000 11.355000 2.805000 ;
+        RECT 11.645000 2.635000 11.815000 2.805000 ;
+        RECT 12.105000 2.635000 12.275000 2.805000 ;
+        RECT 12.565000 2.635000 12.735000 2.805000 ;
+        RECT 13.025000 2.635000 13.195000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.525000 0.255000  0.855000 0.885000 ;
+      RECT  0.525000 0.885000  0.775000 1.485000 ;
+      RECT  0.525000 1.485000  0.855000 2.465000 ;
+      RECT  0.945000 1.075000  1.275000 1.325000 ;
+      RECT  1.505000 1.835000  1.805000 2.295000 ;
+      RECT  1.505000 2.295000  2.645000 2.465000 ;
+      RECT  1.545000 0.735000  3.145000 0.905000 ;
+      RECT  1.545000 0.905000  1.760000 1.445000 ;
+      RECT  1.545000 1.445000  2.305000 1.665000 ;
+      RECT  1.930000 1.075000  2.700000 1.275000 ;
+      RECT  1.975000 0.255000  2.305000 0.725000 ;
+      RECT  1.975000 0.725000  3.145000 0.735000 ;
+      RECT  1.975000 1.665000  2.305000 2.125000 ;
+      RECT  2.475000 1.455000  3.590000 1.665000 ;
+      RECT  2.475000 1.665000  2.645000 2.295000 ;
+      RECT  2.815000 0.255000  3.145000 0.725000 ;
+      RECT  2.870000 1.075000  3.590000 1.275000 ;
+      RECT  3.315000 1.665000  3.590000 2.465000 ;
+      RECT  3.765000 0.655000  4.625000 0.905000 ;
+      RECT  3.765000 0.905000  4.045000 1.495000 ;
+      RECT  3.765000 1.495000  5.465000 1.665000 ;
+      RECT  3.875000 0.255000  5.045000 0.465000 ;
+      RECT  3.875000 0.465000  4.205000 0.485000 ;
+      RECT  4.295000 1.665000  4.625000 2.465000 ;
+      RECT  4.795000 0.465000  5.045000 0.715000 ;
+      RECT  4.795000 0.715000  5.895000 0.885000 ;
+      RECT  5.135000 1.665000  5.465000 2.465000 ;
+      RECT  5.555000 0.255000  5.895000 0.715000 ;
+      RECT  6.065000 0.255000  6.585000 1.740000 ;
+      RECT  7.445000 0.255000  7.785000 0.715000 ;
+      RECT  7.445000 0.715000  8.545000 0.885000 ;
+      RECT  7.875000 1.495000  9.575000 1.665000 ;
+      RECT  7.875000 1.665000  8.205000 2.465000 ;
+      RECT  8.295000 0.255000  9.465000 0.465000 ;
+      RECT  8.295000 0.465000  8.545000 0.715000 ;
+      RECT  8.715000 0.655000  9.575000 0.905000 ;
+      RECT  8.715000 1.665000  9.045000 2.465000 ;
+      RECT  9.135000 0.465000  9.465000 0.485000 ;
+      RECT  9.295000 0.905000  9.575000 1.495000 ;
+      RECT  9.750000 1.075000 10.470000 1.275000 ;
+      RECT  9.750000 1.455000 10.865000 1.665000 ;
+      RECT  9.750000 1.665000 10.025000 2.465000 ;
+      RECT 10.195000 0.255000 10.525000 0.725000 ;
+      RECT 10.195000 0.725000 11.365000 0.735000 ;
+      RECT 10.195000 0.735000 11.795000 0.905000 ;
+      RECT 10.640000 1.075000 11.410000 1.275000 ;
+      RECT 10.695000 1.665000 10.865000 2.295000 ;
+      RECT 10.695000 2.295000 11.835000 2.465000 ;
+      RECT 11.035000 0.255000 11.365000 0.725000 ;
+      RECT 11.035000 1.445000 11.795000 1.665000 ;
+      RECT 11.035000 1.665000 11.365000 2.125000 ;
+      RECT 11.535000 1.835000 11.835000 2.295000 ;
+      RECT 11.580000 0.905000 11.795000 1.445000 ;
+      RECT 12.065000 1.075000 12.395000 1.325000 ;
+      RECT 12.485000 0.255000 12.815000 0.885000 ;
+      RECT 12.485000 1.485000 12.815000 2.465000 ;
+      RECT 12.565000 0.885000 12.815000 1.485000 ;
+    LAYER mcon ;
+      RECT  0.565000 1.105000  0.735000 1.275000 ;
+      RECT  1.085000 1.105000  1.255000 1.275000 ;
+      RECT  1.570000 1.105000  1.740000 1.275000 ;
+      RECT  2.100000 1.105000  2.270000 1.275000 ;
+      RECT  2.960000 1.105000  3.130000 1.275000 ;
+      RECT  3.820000 1.105000  3.990000 1.275000 ;
+      RECT  9.345000 1.105000  9.515000 1.275000 ;
+      RECT 10.205000 1.105000 10.375000 1.275000 ;
+      RECT 11.065000 1.105000 11.235000 1.275000 ;
+      RECT 11.605000 1.105000 11.775000 1.275000 ;
+      RECT 12.090000 1.105000 12.260000 1.275000 ;
+      RECT 12.605000 1.105000 12.775000 1.275000 ;
+    LAYER met1 ;
+      RECT  0.505000 1.075000  0.875000 1.305000 ;
+      RECT  1.025000 1.075000  1.315000 1.120000 ;
+      RECT  1.025000 1.120000  1.800000 1.260000 ;
+      RECT  1.025000 1.260000  1.315000 1.305000 ;
+      RECT  1.510000 1.075000  1.800000 1.120000 ;
+      RECT  1.510000 1.260000  1.800000 1.305000 ;
+      RECT  2.040000 1.075000  2.330000 1.120000 ;
+      RECT  2.040000 1.120000  4.050000 1.260000 ;
+      RECT  2.040000 1.260000  2.330000 1.305000 ;
+      RECT  2.900000 1.075000  3.190000 1.120000 ;
+      RECT  2.900000 1.260000  3.190000 1.305000 ;
+      RECT  3.760000 1.075000  4.050000 1.120000 ;
+      RECT  3.760000 1.260000  4.050000 1.305000 ;
+      RECT  9.285000 1.075000  9.575000 1.120000 ;
+      RECT  9.285000 1.120000 11.295000 1.260000 ;
+      RECT  9.285000 1.260000  9.575000 1.305000 ;
+      RECT 10.145000 1.075000 10.435000 1.120000 ;
+      RECT 10.145000 1.260000 10.435000 1.305000 ;
+      RECT 11.005000 1.075000 11.295000 1.120000 ;
+      RECT 11.005000 1.260000 11.295000 1.305000 ;
+      RECT 11.545000 1.075000 11.835000 1.120000 ;
+      RECT 11.545000 1.120000 12.320000 1.260000 ;
+      RECT 11.545000 1.260000 11.835000 1.305000 ;
+      RECT 12.030000 1.075000 12.320000 1.120000 ;
+      RECT 12.030000 1.260000 12.320000 1.305000 ;
+      RECT 12.470000 1.075000 12.835000 1.305000 ;
+    LAYER pwell ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  3.360000 -0.085000  3.530000 0.085000 ;
+      RECT  5.660000 -0.085000  5.830000 0.085000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  7.510000 -0.085000  7.680000 0.085000 ;
+      RECT  9.810000 -0.085000  9.980000 0.085000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+  END
+END sky130_fd_sc_hd__macro_sparecell
+MACRO sky130_fd_sc_hd__conb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__conb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN HI
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 1.740000 ;
+    END
+  END HI
+  PIN LO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.775000 0.915000 1.295000 2.465000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.275000  1.910000 0.605000 2.635000 ;
+      RECT 0.775000  0.085000 1.115000 0.745000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__conb_1
+MACRO sky130_fd_sc_hd__tapvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 2.095000 0.375000 2.325000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.125000 0.315000 2.295000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd_1
+MACRO sky130_fd_sc_hd__dlymetal6s6s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.575000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.080000 0.255000 4.515000 0.825000 ;
+        RECT 4.080000 1.495000 4.515000 2.465000 ;
+        RECT 4.155000 0.825000 4.515000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 1.080000 0.825000 ;
+      RECT 0.085000  1.870000 1.080000 2.040000 ;
+      RECT 0.085000  2.040000 0.525000 2.465000 ;
+      RECT 0.695000  0.085000 1.080000 0.485000 ;
+      RECT 0.695000  2.210000 1.080000 2.635000 ;
+      RECT 0.745000  0.825000 1.080000 0.995000 ;
+      RECT 0.745000  0.995000 1.155000 1.325000 ;
+      RECT 0.745000  1.325000 1.080000 1.870000 ;
+      RECT 1.250000  0.255000 1.520000 0.825000 ;
+      RECT 1.250000  1.495000 1.975000 1.675000 ;
+      RECT 1.250000  1.675000 1.520000 2.465000 ;
+      RECT 1.325000  0.825000 1.520000 0.995000 ;
+      RECT 1.325000  0.995000 1.975000 1.495000 ;
+      RECT 1.690000  0.255000 1.940000 0.655000 ;
+      RECT 1.690000  0.655000 2.495000 0.825000 ;
+      RECT 1.690000  1.845000 2.495000 2.040000 ;
+      RECT 1.690000  2.040000 1.940000 2.465000 ;
+      RECT 2.110000  0.085000 2.495000 0.485000 ;
+      RECT 2.110000  2.210000 2.495000 2.635000 ;
+      RECT 2.145000  0.825000 2.495000 0.995000 ;
+      RECT 2.145000  0.995000 2.570000 1.325000 ;
+      RECT 2.145000  1.325000 2.495000 1.845000 ;
+      RECT 2.665000  0.255000 2.915000 0.825000 ;
+      RECT 2.665000  1.495000 3.390000 1.675000 ;
+      RECT 2.665000  1.675000 2.915000 2.465000 ;
+      RECT 2.740000  0.825000 2.915000 0.995000 ;
+      RECT 2.740000  0.995000 3.390000 1.495000 ;
+      RECT 3.085000  0.255000 3.355000 0.655000 ;
+      RECT 3.085000  0.655000 3.910000 0.825000 ;
+      RECT 3.085000  1.845000 3.910000 2.040000 ;
+      RECT 3.085000  2.040000 3.355000 2.465000 ;
+      RECT 3.525000  0.085000 3.910000 0.485000 ;
+      RECT 3.525000  2.210000 3.910000 2.635000 ;
+      RECT 3.560000  0.825000 3.910000 0.995000 ;
+      RECT 3.560000  0.995000 3.985000 1.325000 ;
+      RECT 3.560000  1.325000 3.910000 1.845000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s6s_1
+MACRO sky130_fd_sc_hd__dfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+      RECT 7.385000  1.720000 7.555000 2.635000 ;
+      RECT 7.395000  0.085000 7.565000 0.600000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_2
+MACRO sky130_fd_sc_hd__dfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.065000 1.720000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.985000 0.305000 7.320000 0.730000 ;
+        RECT 6.985000 0.730000 8.655000 0.900000 ;
+        RECT 6.985000 1.465000 8.655000 1.635000 ;
+        RECT 6.985000 1.635000 7.320000 2.395000 ;
+        RECT 7.840000 0.305000 8.175000 0.730000 ;
+        RECT 7.840000 1.635000 8.170000 2.395000 ;
+        RECT 8.410000 0.900000 8.655000 1.465000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.890000  0.365000 2.220000 0.535000 ;
+      RECT 1.890000  0.535000 2.060000 2.065000 ;
+      RECT 1.890000  2.065000 2.125000 2.440000 ;
+      RECT 2.230000  0.705000 2.810000 1.035000 ;
+      RECT 2.230000  1.035000 2.470000 1.905000 ;
+      RECT 2.370000  2.190000 3.440000 2.360000 ;
+      RECT 2.400000  0.365000 3.150000 0.535000 ;
+      RECT 2.660000  1.655000 3.100000 2.010000 ;
+      RECT 2.980000  0.535000 3.150000 1.315000 ;
+      RECT 2.980000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.320000  0.765000 4.120000 1.065000 ;
+      RECT 3.320000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.410000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  0.705000 4.840000 1.035000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.640000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.840000 1.575000 ;
+      RECT 4.650000  1.575000 4.970000 1.905000 ;
+      RECT 5.140000  0.535000 5.310000 1.075000 ;
+      RECT 5.140000  1.075000 6.230000 1.245000 ;
+      RECT 5.140000  1.245000 5.310000 2.165000 ;
+      RECT 5.480000  1.500000 6.590000 1.670000 ;
+      RECT 5.480000  1.670000 6.340000 1.830000 ;
+      RECT 5.490000  2.135000 5.705000 2.635000 ;
+      RECT 5.625000  0.085000 5.795000 0.615000 ;
+      RECT 6.090000  0.295000 6.450000 0.735000 ;
+      RECT 6.090000  0.735000 6.590000 0.905000 ;
+      RECT 6.170000  1.830000 6.340000 2.455000 ;
+      RECT 6.420000  0.905000 6.590000 1.075000 ;
+      RECT 6.420000  1.075000 8.240000 1.245000 ;
+      RECT 6.420000  1.245000 6.590000 1.500000 ;
+      RECT 6.625000  0.085000 6.795000 0.565000 ;
+      RECT 6.625000  1.855000 6.805000 2.635000 ;
+      RECT 7.495000  0.085000 7.665000 0.560000 ;
+      RECT 7.500000  1.805000 7.670000 2.635000 ;
+      RECT 8.340000  1.805000 8.510000 2.635000 ;
+      RECT 8.345000  0.085000 8.515000 0.560000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  0.765000 2.640000 0.935000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  0.765000 4.480000 0.935000 ;
+      RECT 4.310000  1.785000 4.480000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 4.540000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.540000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 0.735000 2.700000 0.780000 ;
+      RECT 2.410000 0.920000 2.700000 0.965000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+      RECT 4.250000 0.735000 4.540000 0.780000 ;
+      RECT 4.250000 0.920000 4.540000 0.965000 ;
+      RECT 4.250000 1.755000 4.540000 1.800000 ;
+      RECT 4.250000 1.940000 4.540000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_4
+MACRO sky130_fd_sc_hd__dfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_1
+MACRO sky130_fd_sc_hd__or4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.680000 0.760000 ;
+        RECT 2.405000 1.495000 2.680000 2.465000 ;
+        RECT 2.510000 0.760000 2.680000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.410000 1.785000 ;
+      RECT 0.085000  1.785000 1.680000 1.955000 ;
+      RECT 0.090000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.340000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+      RECT 2.850000  0.085000 3.020000 1.000000 ;
+      RECT 2.850000  1.455000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_2
+MACRO sky130_fd_sc_hd__or4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 0.995000 2.010000 1.445000 ;
+        RECT 1.840000 1.445000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.280000 0.995000 1.610000 1.450000 ;
+        RECT 1.400000 1.450000 1.610000 1.785000 ;
+        RECT 1.400000 1.785000 1.720000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.050000 1.620000 ;
+        RECT 0.880000 1.620000 1.230000 2.375000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.370000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.455000 4.055000 1.625000 ;
+        RECT 2.480000 1.625000 2.730000 2.465000 ;
+        RECT 2.520000 0.255000 2.770000 0.725000 ;
+        RECT 2.520000 0.725000 4.055000 0.905000 ;
+        RECT 3.280000 0.255000 3.610000 0.725000 ;
+        RECT 3.320000 1.625000 3.570000 2.465000 ;
+        RECT 3.810000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.115000  1.495000 0.710000 1.665000 ;
+      RECT 0.115000  1.665000 0.450000 2.450000 ;
+      RECT 0.120000  0.085000 0.370000 0.585000 ;
+      RECT 0.540000  0.655000 2.350000 0.825000 ;
+      RECT 0.540000  0.825000 0.710000 1.495000 ;
+      RECT 0.700000  0.305000 0.870000 0.655000 ;
+      RECT 1.070000  0.085000 1.400000 0.485000 ;
+      RECT 1.570000  0.305000 1.740000 0.655000 ;
+      RECT 1.960000  0.085000 2.340000 0.485000 ;
+      RECT 2.005000  1.795000 2.255000 2.635000 ;
+      RECT 2.180000  0.825000 2.350000 1.075000 ;
+      RECT 2.180000  1.075000 3.640000 1.245000 ;
+      RECT 2.900000  1.795000 3.150000 2.635000 ;
+      RECT 2.940000  0.085000 3.110000 0.555000 ;
+      RECT 3.740000  1.795000 3.990000 2.635000 ;
+      RECT 3.780000  0.085000 3.950000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_4
+MACRO sky130_fd_sc_hd__or4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.410000 1.785000 ;
+      RECT 0.090000  1.785000 1.680000 1.955000 ;
+      RECT 0.095000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.335000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.615000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.700000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  7.340000 0.280000  7.600000 0.735000 ;
+        RECT  7.340000 0.735000 14.085000 0.905000 ;
+        RECT  7.375000 1.495000 14.085000 1.720000 ;
+        RECT  7.375000 1.720000 12.745000 1.735000 ;
+        RECT  7.375000 1.735000  7.600000 2.460000 ;
+        RECT  8.200000 0.280000  8.460000 0.735000 ;
+        RECT  8.200000 1.735000  8.460000 2.460000 ;
+        RECT  9.060000 0.280000  9.320000 0.735000 ;
+        RECT  9.060000 1.735000  9.320000 2.460000 ;
+        RECT  9.905000 0.280000 10.180000 0.735000 ;
+        RECT  9.920000 1.735000 10.180000 2.460000 ;
+        RECT 10.765000 0.280000 11.025000 0.735000 ;
+        RECT 10.765000 1.735000 11.025000 2.460000 ;
+        RECT 11.625000 0.280000 11.885000 0.735000 ;
+        RECT 11.625000 1.735000 11.885000 2.460000 ;
+        RECT 12.485000 0.280000 12.745000 0.735000 ;
+        RECT 12.485000 1.735000 12.745000 2.460000 ;
+        RECT 12.920000 0.905000 14.085000 1.495000 ;
+        RECT 13.355000 0.280000 13.615000 0.735000 ;
+        RECT 13.355000 1.720000 13.645000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 10.350000 1.905000 10.595000 2.465000 ;
+      LAYER mcon ;
+        RECT 10.395000 2.125000 10.565000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 11.210000 1.905000 11.455000 2.465000 ;
+      LAYER mcon ;
+        RECT 11.255000 2.125000 11.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.070000 1.905000 12.315000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.110000 2.125000 12.280000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.930000 1.905000 13.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.960000 2.125000 13.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 13.815000 1.890000 14.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 13.840000 2.125000 14.010000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.495000 5.485000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.235000 2.125000 5.405000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.015000 1.495000 6.345000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.095000 2.125000 6.265000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.875000 1.495000 7.205000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.950000 2.125000 7.120000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.770000 1.905000 8.030000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.800000 2.125000 7.970000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.630000 1.905000 8.890000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.680000 2.125000 8.850000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.490000 1.905000 9.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.540000 2.125000 9.710000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.140000 14.190000 2.340000 ;
+        RECT  5.175000 2.080000  5.465000 2.140000 ;
+        RECT  6.035000 2.080000  6.325000 2.140000 ;
+        RECT  6.890000 2.080000  7.180000 2.140000 ;
+        RECT  7.740000 2.080000  8.030000 2.140000 ;
+        RECT  8.620000 2.080000  8.910000 2.140000 ;
+        RECT  9.480000 2.080000  9.770000 2.140000 ;
+        RECT 10.335000 2.080000 10.625000 2.140000 ;
+        RECT 11.195000 2.080000 11.485000 2.140000 ;
+        RECT 12.050000 2.080000 12.340000 2.140000 ;
+        RECT 12.900000 2.080000 13.190000 2.140000 ;
+        RECT 13.780000 2.080000 14.070000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.130000  1.495000  0.535000 2.635000 ;
+      RECT  0.245000  0.085000  0.535000 0.905000 ;
+      RECT  0.705000  0.255000  1.035000 0.815000 ;
+      RECT  0.705000  1.575000  1.035000 2.465000 ;
+      RECT  0.785000  0.815000  1.035000 1.075000 ;
+      RECT  0.785000  1.075000  2.265000 1.275000 ;
+      RECT  0.785000  1.275000  1.035000 1.575000 ;
+      RECT  1.205000  1.575000  1.585000 2.295000 ;
+      RECT  1.205000  2.295000  3.265000 2.465000 ;
+      RECT  1.215000  0.085000  1.505000 0.905000 ;
+      RECT  1.675000  0.255000  2.005000 0.725000 ;
+      RECT  1.675000  0.725000  4.525000 0.905000 ;
+      RECT  1.755000  1.445000  2.765000 1.745000 ;
+      RECT  1.755000  1.745000  1.925000 2.125000 ;
+      RECT  2.095000  1.935000  2.425000 2.295000 ;
+      RECT  2.175000  0.085000  2.345000 0.555000 ;
+      RECT  2.435000  0.905000  3.095000 0.965000 ;
+      RECT  2.435000  0.965000  2.765000 1.445000 ;
+      RECT  2.515000  0.255000  2.845000 0.725000 ;
+      RECT  2.595000  1.745000  2.765000 2.125000 ;
+      RECT  2.935000  1.455000  4.975000 1.665000 ;
+      RECT  2.935000  1.665000  3.265000 2.295000 ;
+      RECT  3.015000  0.085000  3.185000 0.555000 ;
+      RECT  3.355000  0.255000  3.685000 0.725000 ;
+      RECT  3.435000  1.835000  3.685000 2.635000 ;
+      RECT  3.855000  0.085000  4.025000 0.555000 ;
+      RECT  3.855000  1.665000  4.025000 2.465000 ;
+      RECT  4.195000  0.255000  4.525000 0.725000 ;
+      RECT  4.195000  1.835000  4.525000 2.635000 ;
+      RECT  4.695000  0.085000  5.450000 0.565000 ;
+      RECT  4.695000  0.565000  4.975000 0.905000 ;
+      RECT  4.695000  1.665000  4.975000 2.465000 ;
+      RECT  5.145000  0.735000  5.460000 1.325000 ;
+      RECT  5.655000  0.265000  5.880000 1.075000 ;
+      RECT  5.655000  1.075000 12.750000 1.325000 ;
+      RECT  5.655000  1.325000  5.845000 2.465000 ;
+      RECT  6.050000  0.085000  6.310000 0.610000 ;
+      RECT  6.490000  0.265000  6.740000 1.075000 ;
+      RECT  6.515000  1.325000  6.705000 2.460000 ;
+      RECT  6.910000  0.085000  7.170000 0.645000 ;
+      RECT  7.770000  0.085000  8.030000 0.565000 ;
+      RECT  8.630000  0.085000  8.890000 0.565000 ;
+      RECT  9.490000  0.085000  9.735000 0.565000 ;
+      RECT 10.350000  0.085000 10.595000 0.565000 ;
+      RECT 11.205000  0.085000 11.455000 0.565000 ;
+      RECT 12.065000  0.085000 12.315000 0.565000 ;
+      RECT 12.925000  0.085000 13.185000 0.565000 ;
+      RECT 13.785000  0.085000 14.085000 0.565000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.525000  0.765000  2.695000 0.935000 ;
+      RECT  2.885000  0.765000  3.055000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  0.765000  5.380000 0.935000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.465000 0.735000 3.115000 0.780000 ;
+      RECT 2.465000 0.780000 5.440000 0.920000 ;
+      RECT 2.465000 0.920000 3.115000 0.965000 ;
+      RECT 5.150000 0.735000 5.440000 0.780000 ;
+      RECT 5.150000 0.920000 5.440000 0.965000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+MACRO sky130_fd_sc_hd__decap_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+      RECT 0.085000  1.545000 5.430000 2.635000 ;
+      RECT 2.835000  1.025000 5.430000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_12
+MACRO sky130_fd_sc_hd__decap_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+      RECT 0.085000  1.545000 1.295000 2.635000 ;
+      RECT 0.775000  1.005000 1.295000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_3
+MACRO sky130_fd_sc_hd__decap_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+      RECT 0.085000  1.545000 2.675000 2.635000 ;
+      RECT 1.465000  1.025000 2.675000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_6
+MACRO sky130_fd_sc_hd__decap_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+      RECT 0.085000  1.545000 1.755000 2.635000 ;
+      RECT 1.005000  1.025000 1.755000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_4
+MACRO sky130_fd_sc_hd__decap_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+      RECT 0.085000  1.545000 3.595000 2.635000 ;
+      RECT 1.905000  1.025000 3.595000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_8
+MACRO sky130_fd_sc_hd__o22a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.075000 3.590000 1.275000 ;
+        RECT 3.270000 1.275000 3.590000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 2.925000 1.325000 ;
+        RECT 2.745000 1.325000 2.925000 1.445000 ;
+        RECT 2.745000 1.445000 3.100000 1.615000 ;
+        RECT 2.900000 1.615000 3.100000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.790000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.425000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.365000 0.805000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.085000 0.300000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.115000  1.445000 0.365000 2.635000 ;
+      RECT 0.185000  0.085000 0.355000 0.885000 ;
+      RECT 0.975000  0.715000 2.215000 0.895000 ;
+      RECT 0.975000  0.895000 1.255000 1.495000 ;
+      RECT 0.975000  1.495000 2.575000 1.705000 ;
+      RECT 0.995000  1.875000 1.795000 2.635000 ;
+      RECT 1.025000  0.085000 1.205000 0.545000 ;
+      RECT 1.465000  0.295000 2.730000 0.475000 ;
+      RECT 1.850000  0.645000 2.215000 0.715000 ;
+      RECT 2.190000  1.705000 2.575000 2.465000 ;
+      RECT 2.390000  0.475000 2.730000 0.695000 ;
+      RECT 2.390000  0.695000 3.590000 0.825000 ;
+      RECT 2.560000  0.825000 3.590000 0.865000 ;
+      RECT 2.915000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.280000 3.590000 0.695000 ;
+      RECT 3.270000  1.795000 3.590000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_2
+MACRO sky130_fd_sc_hd__o22a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.670000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.495000 1.325000 ;
+        RECT 2.315000 1.325000 2.495000 1.445000 ;
+        RECT 2.315000 1.445000 2.645000 1.615000 ;
+        RECT 2.445000 1.615000 2.645000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.335000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.075000 1.995000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.535000  0.715000 1.785000 0.895000 ;
+      RECT 0.535000  0.895000 0.810000 1.495000 ;
+      RECT 0.535000  1.495000 2.145000 1.705000 ;
+      RECT 0.555000  1.875000 1.340000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 1.035000  0.295000 2.285000 0.475000 ;
+      RECT 1.420000  0.645000 1.785000 0.715000 ;
+      RECT 1.735000  1.705000 2.145000 1.805000 ;
+      RECT 1.735000  1.805000 2.120000 2.465000 ;
+      RECT 1.955000  0.475000 2.285000 0.695000 ;
+      RECT 1.955000  0.695000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.815000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_1
+MACRO sky130_fd_sc_hd__o22a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 5.270000 1.955000 ;
+      RECT 1.900000  2.125000 2.670000 2.635000 ;
+      RECT 1.940000  0.085000 2.110000 0.555000 ;
+      RECT 1.940000  0.735000 3.970000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.380000  0.255000 4.470000 0.475000 ;
+      RECT 2.415000  0.645000 3.970000 0.735000 ;
+      RECT 2.840000  2.125000 3.090000 2.295000 ;
+      RECT 2.840000  2.295000 3.930000 2.465000 ;
+      RECT 3.260000  1.955000 3.510000 2.125000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 4.100000  2.125000 4.430000 2.635000 ;
+      RECT 4.140000  0.475000 4.470000 0.735000 ;
+      RECT 4.140000  0.735000 6.150000 0.905000 ;
+      RECT 4.600000  2.125000 4.850000 2.295000 ;
+      RECT 4.600000  2.295000 5.690000 2.465000 ;
+      RECT 4.640000  0.085000 4.810000 0.555000 ;
+      RECT 4.980000  0.255000 5.310000 0.725000 ;
+      RECT 4.980000  0.725000 6.150000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.125000 ;
+      RECT 5.440000  1.785000 5.690000 2.295000 ;
+      RECT 5.480000  0.085000 5.650000 0.555000 ;
+      RECT 5.820000  0.255000 6.150000 0.725000 ;
+      RECT 5.905000  1.455000 6.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_4
+MACRO sky130_fd_sc_hd__sdfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.410000 0.275000 13.740000 0.825000 ;
+        RECT 13.410000 1.495000 13.740000 2.450000 ;
+        RECT 13.515000 0.825000 13.740000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.460000 0.255000 11.855000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 1.590000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.435000 9.115000 1.525000 ;
+        RECT 8.880000 1.525000 9.935000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.100000 1.970000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.185000 2.075000 ;
+      RECT  0.085000  2.075000  0.345000 2.465000 ;
+      RECT  0.515000  2.275000  0.845000 2.635000 ;
+      RECT  0.870000  0.255000  1.670000 0.595000 ;
+      RECT  1.015000  2.075000  1.185000 2.255000 ;
+      RECT  1.015000  2.255000  2.105000 2.465000 ;
+      RECT  1.355000  1.845000  1.695000 2.085000 ;
+      RECT  1.495000  0.595000  1.670000 0.645000 ;
+      RECT  1.495000  0.645000  1.695000 0.705000 ;
+      RECT  1.500000  0.705000  1.695000 0.720000 ;
+      RECT  1.505000  0.720000  1.695000 1.845000 ;
+      RECT  1.840000  0.085000  2.090000 0.545000 ;
+      RECT  1.980000  0.715000  2.530000 0.905000 ;
+      RECT  1.980000  0.905000  2.235000 1.760000 ;
+      RECT  1.980000  1.760000  2.535000 2.085000 ;
+      RECT  2.260000  0.255000  2.530000 0.715000 ;
+      RECT  2.275000  2.085000  2.535000 2.465000 ;
+      RECT  2.700000  0.085000  3.100000 0.555000 ;
+      RECT  2.705000  2.140000  3.100000 2.635000 ;
+      RECT  3.270000  0.255000  3.470000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.270000  1.830000  3.995000 2.000000 ;
+      RECT  3.270000  2.000000  3.475000 2.325000 ;
+      RECT  3.640000  0.085000  3.940000 0.545000 ;
+      RECT  3.645000  2.275000  3.975000 2.635000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.145000  2.135000  4.440000 2.465000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.885000 0.920000 ;
+      RECT  4.665000  1.590000  4.970000 1.615000 ;
+      RECT  4.665000  1.615000  4.890000 2.465000 ;
+      RECT  4.715000  0.920000  4.885000 1.445000 ;
+      RECT  4.715000  1.445000  4.970000 1.590000 ;
+      RECT  5.055000  0.255000  5.450000 1.225000 ;
+      RECT  5.055000  1.225000  7.705000 1.275000 ;
+      RECT  5.060000  2.135000  5.805000 2.465000 ;
+      RECT  5.140000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.465000 1.955000 ;
+      RECT  5.620000  0.635000  6.550000 0.805000 ;
+      RECT  5.620000  0.805000  6.015000 1.015000 ;
+      RECT  5.635000  1.395000  5.805000 2.135000 ;
+      RECT  5.665000  0.085000  6.165000 0.465000 ;
+      RECT  5.975000  1.575000  6.145000 1.935000 ;
+      RECT  5.975000  1.935000  6.820000 2.105000 ;
+      RECT  6.000000  2.275000  6.330000 2.635000 ;
+      RECT  6.305000  0.975000  7.705000 1.225000 ;
+      RECT  6.335000  0.255000  6.550000 0.635000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.720000  0.085000  7.705000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.355000  1.275000  7.705000 1.325000 ;
+      RECT  7.385000  1.705000  8.055000 1.955000 ;
+      RECT  7.885000  0.695000  9.085000 0.895000 ;
+      RECT  7.885000  0.895000  8.055000 1.705000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.420000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.855000 0.515000 ;
+      RECT  8.820000  1.895000 10.430000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  8.830000  0.895000  9.085000 1.265000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.285000  0.855000  9.515000 1.185000 ;
+      RECT  9.285000  1.185000 10.910000 1.355000 ;
+      RECT  9.660000  2.065000  9.930000 2.450000 ;
+      RECT  9.685000  0.515000  9.855000 0.845000 ;
+      RECT  9.685000  0.845000 10.560000 1.015000 ;
+      RECT 10.035000  0.085000 10.285000 0.545000 ;
+      RECT 10.100000  2.235000 10.430000 2.635000 ;
+      RECT 10.105000  1.525000 10.430000 1.895000 ;
+      RECT 10.465000  0.255000 10.910000 0.585000 ;
+      RECT 10.600000  1.355000 10.845000 2.465000 ;
+      RECT 10.730000  0.585000 10.910000 1.185000 ;
+      RECT 11.080000  1.485000 11.290000 2.635000 ;
+      RECT 11.120000  0.085000 11.290000 0.885000 ;
+      RECT 12.025000  0.085000 12.315000 0.885000 ;
+      RECT 12.025000  1.485000 12.315000 2.635000 ;
+      RECT 12.530000  0.255000 12.715000 0.995000 ;
+      RECT 12.530000  0.995000 13.345000 1.325000 ;
+      RECT 12.530000  1.325000 12.715000 2.465000 ;
+      RECT 12.885000  0.085000 13.240000 0.825000 ;
+      RECT 12.885000  1.635000 13.240000 2.635000 ;
+      RECT 13.910000  0.085000 14.175000 0.885000 ;
+      RECT 13.910000  1.485000 14.175000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.260000  1.785000  5.430000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 5.030000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.200000 1.755000 5.490000 1.800000 ;
+      RECT 5.200000 1.940000 5.490000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_2
+MACRO sky130_fd_sc_hd__sdfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.915000 0.275000 13.255000 0.825000 ;
+        RECT 12.915000 1.495000 13.255000 2.450000 ;
+        RECT 13.070000 0.825000 13.255000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.500000 0.255000 11.830000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.345000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.765000 0.825000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.610000 1.105000 0.780000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.550000 1.075000 0.840000 1.120000 ;
+        RECT 0.550000 1.120000 2.675000 1.260000 ;
+        RECT 0.550000 1.260000 0.840000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.015000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.885000 1.415000  9.110000 1.525000 ;
+        RECT 8.885000 1.525000 10.075000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.885000 1.445000 9.055000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.115000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.825000 1.415000 9.115000 1.460000 ;
+        RECT 8.825000 1.600000 9.115000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.590000 ;
+        RECT 2.905000 1.590000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.085000  0.085000  0.480000 0.595000 ;
+      RECT  0.085000  1.845000  1.105000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.765000 2.635000 ;
+      RECT  0.875000  0.280000  1.655000 0.560000 ;
+      RECT  0.935000  2.025000  1.105000 2.255000 ;
+      RECT  0.935000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.870000  1.695000 2.075000 ;
+      RECT  1.380000  0.560000  1.655000 0.590000 ;
+      RECT  1.380000  0.590000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.670000 0.620000 ;
+      RECT  1.440000  0.620000  1.670000 0.630000 ;
+      RECT  1.445000  0.630000  1.670000 0.635000 ;
+      RECT  1.460000  0.635000  1.670000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.655000 ;
+      RECT  1.475000  0.655000  1.695000 0.665000 ;
+      RECT  1.495000  0.665000  1.695000 0.705000 ;
+      RECT  1.505000  0.705000  1.695000 1.870000 ;
+      RECT  1.825000  0.085000  2.005000 0.545000 ;
+      RECT  1.865000  0.715000  2.515000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.515000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.030000 0.555000 ;
+      RECT  2.690000  2.140000  3.030000 2.635000 ;
+      RECT  3.255000  1.775000  3.995000 1.955000 ;
+      RECT  3.255000  1.955000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.630000  0.085000  3.940000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.775000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.610000  1.590000  4.915000 1.615000 ;
+      RECT  4.610000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.660000 1.275000 ;
+      RECT  5.030000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.435000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.610000  0.635000  6.535000 0.805000 ;
+      RECT  5.610000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.765000 2.105000 ;
+      RECT  5.945000  2.275000  6.275000 2.635000 ;
+      RECT  6.250000  0.975000  7.660000 1.225000 ;
+      RECT  6.275000  0.255000  6.535000 0.635000 ;
+      RECT  6.550000  2.105000  6.765000 2.450000 ;
+      RECT  6.735000  0.085000  7.630000 0.805000 ;
+      RECT  7.005000  2.125000  7.960000 2.635000 ;
+      RECT  7.190000  1.495000  8.005000 1.955000 ;
+      RECT  7.300000  1.275000  7.660000 1.325000 ;
+      RECT  7.835000  0.695000  9.040000 0.895000 ;
+      RECT  7.835000  0.895000  8.005000 1.495000 ;
+      RECT  8.130000  2.125000  8.935000 2.460000 ;
+      RECT  8.365000  1.075000  8.595000 1.905000 ;
+      RECT  8.410000  0.275000  9.825000 0.445000 ;
+      RECT  8.765000  1.895000 10.465000 2.065000 ;
+      RECT  8.765000  2.065000  8.935000 2.125000 ;
+      RECT  8.810000  0.895000  9.040000 1.245000 ;
+      RECT  9.195000  2.235000  9.525000 2.635000 ;
+      RECT  9.290000  0.855000  9.465000 1.185000 ;
+      RECT  9.290000  1.185000 10.895000 1.355000 ;
+      RECT  9.655000  0.445000  9.825000 0.845000 ;
+      RECT  9.655000  0.845000 10.545000 1.015000 ;
+      RECT  9.695000  2.065000  9.910000 2.450000 ;
+      RECT 10.135000  2.235000 10.465000 2.635000 ;
+      RECT 10.220000  0.085000 10.390000 0.545000 ;
+      RECT 10.245000  1.525000 10.465000 1.895000 ;
+      RECT 10.560000  0.255000 10.895000 0.540000 ;
+      RECT 10.635000  1.355000 10.895000 2.465000 ;
+      RECT 10.715000  0.540000 10.895000 1.185000 ;
+      RECT 11.120000  0.085000 11.330000 0.885000 ;
+      RECT 11.120000  1.485000 11.330000 2.635000 ;
+      RECT 12.060000  0.255000 12.270000 0.995000 ;
+      RECT 12.060000  0.995000 12.900000 1.325000 ;
+      RECT 12.060000  1.325000 12.270000 2.465000 ;
+      RECT 12.540000  0.085000 12.745000 0.825000 ;
+      RECT 12.575000  1.575000 12.745000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  1.785000  7.675000 1.955000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.735000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.655000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.445000 1.755000 7.735000 1.800000 ;
+      RECT 7.445000 1.940000 7.735000 1.985000 ;
+      RECT 8.365000 1.075000 8.655000 1.120000 ;
+      RECT 8.365000 1.260000 8.655000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_1
+MACRO sky130_fd_sc_hd__dfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.145000 2.465000 ;
+        RECT 8.890000 0.265000 9.145000 0.885000 ;
+        RECT 8.930000 0.885000 9.145000 1.495000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.390000  1.720000 7.565000 2.635000 ;
+      RECT 7.400000  0.085000 7.570000 0.600000 ;
+      RECT 7.905000  0.345000 8.165000 0.615000 ;
+      RECT 7.905000  1.715000 8.235000 2.445000 ;
+      RECT 7.965000  0.615000 8.165000 0.995000 ;
+      RECT 7.965000  0.995000 8.760000 1.325000 ;
+      RECT 7.965000  1.325000 8.235000 1.715000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.425000  1.495000 8.640000 2.635000 ;
+      RECT 9.315000  0.085000 9.565000 0.905000 ;
+      RECT 9.315000  1.495000 9.565000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_2
+MACRO sky130_fd_sc_hd__dfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.315000 1.480000 8.650000 2.465000 ;
+        RECT 8.395000 0.255000 8.650000 0.910000 ;
+        RECT 8.415000 0.910000 8.650000 1.480000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.410000  1.715000 7.740000 2.445000 ;
+      RECT 7.420000  0.345000 7.670000 0.615000 ;
+      RECT 7.470000  0.615000 7.670000 0.995000 ;
+      RECT 7.470000  0.995000 8.245000 1.325000 ;
+      RECT 7.470000  1.325000 7.740000 1.715000 ;
+      RECT 7.905000  0.085000 8.225000 0.545000 ;
+      RECT 7.930000  1.495000 8.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_1
+MACRO sky130_fd_sc_hd__a2bb2oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.520000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.010000 1.240000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.070000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.995000 2.610000 1.615000 ;
+        RECT 2.440000 0.425000 2.610000 0.995000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.515500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.785000 1.945000 1.955000 ;
+        RECT 1.420000 1.955000 1.785000 2.465000 ;
+        RECT 1.775000 0.255000 2.205000 0.825000 ;
+        RECT 1.775000 0.825000 1.945000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.825000 ;
+      RECT 0.095000  1.805000 0.425000 2.635000 ;
+      RECT 0.595000  0.255000 0.765000 0.660000 ;
+      RECT 0.595000  0.660000 1.580000 0.830000 ;
+      RECT 0.875000  1.445000 1.580000 1.615000 ;
+      RECT 0.875000  1.615000 1.205000 2.465000 ;
+      RECT 0.935000  0.085000 1.605000 0.490000 ;
+      RECT 1.410000  0.830000 1.580000 1.445000 ;
+      RECT 1.955000  2.235000 2.285000 2.465000 ;
+      RECT 2.115000  1.785000 3.130000 1.955000 ;
+      RECT 2.115000  1.955000 2.285000 2.235000 ;
+      RECT 2.455000  2.135000 2.705000 2.635000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+      RECT 2.875000  1.955000 3.130000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_1
+MACRO sky130_fd_sc_hd__a2bb2oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.945000 1.075000 7.320000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 1.075000 9.045000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.555000 1.285000 ;
+        RECT 1.385000 1.285000 1.555000 1.445000 ;
+        RECT 1.385000 1.445000 3.575000 1.615000 ;
+        RECT 3.245000 1.075000 3.575000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.725000 1.075000 3.075000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.645000 2.995000 0.725000 ;
+        RECT 1.775000 0.725000 5.045000 0.905000 ;
+        RECT 3.745000 0.905000 3.915000 1.415000 ;
+        RECT 3.745000 1.415000 4.965000 1.615000 ;
+        RECT 3.875000 0.275000 4.205000 0.725000 ;
+        RECT 3.915000 1.615000 4.165000 2.125000 ;
+        RECT 4.715000 0.275000 5.045000 0.725000 ;
+        RECT 4.745000 1.615000 4.965000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  1.455000 1.215000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 0.845000 0.725000 ;
+      RECT 0.515000  0.725000 1.605000 0.905000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.215000 1.795000 ;
+      RECT 0.975000  1.795000 3.745000 1.965000 ;
+      RECT 0.975000  1.965000 1.215000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.355000  0.255000 3.365000 0.475000 ;
+      RECT 1.355000  0.475000 1.605000 0.725000 ;
+      RECT 1.395000  2.135000 1.645000 2.635000 ;
+      RECT 1.815000  1.965000 2.065000 2.465000 ;
+      RECT 2.235000  2.135000 2.485000 2.635000 ;
+      RECT 2.655000  1.965000 2.905000 2.465000 ;
+      RECT 3.075000  2.135000 3.325000 2.635000 ;
+      RECT 3.495000  1.965000 3.745000 2.295000 ;
+      RECT 3.495000  2.295000 5.465000 2.465000 ;
+      RECT 3.535000  0.085000 3.705000 0.555000 ;
+      RECT 4.085000  1.075000 5.725000 1.245000 ;
+      RECT 4.335000  1.795000 4.575000 2.295000 ;
+      RECT 4.375000  0.085000 4.545000 0.555000 ;
+      RECT 5.135000  1.455000 5.465000 2.295000 ;
+      RECT 5.215000  0.085000 5.905000 0.555000 ;
+      RECT 5.555000  0.735000 9.575000 0.905000 ;
+      RECT 5.555000  0.905000 5.725000 1.075000 ;
+      RECT 5.655000  1.455000 7.625000 1.625000 ;
+      RECT 5.655000  1.625000 5.985000 2.465000 ;
+      RECT 6.075000  0.255000 6.405000 0.725000 ;
+      RECT 6.075000  0.725000 8.925000 0.735000 ;
+      RECT 6.155000  1.795000 6.365000 2.635000 ;
+      RECT 6.540000  1.625000 6.780000 2.465000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.915000  0.255000 7.245000 0.725000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 7.375000  1.625000 7.625000 2.295000 ;
+      RECT 7.375000  2.295000 9.310000 2.465000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.755000  0.255000 8.085000 0.725000 ;
+      RECT 7.795000  1.455000 9.575000 1.625000 ;
+      RECT 7.795000  1.625000 8.045000 2.125000 ;
+      RECT 8.215000  1.795000 8.465000 2.295000 ;
+      RECT 8.255000  0.085000 8.425000 0.555000 ;
+      RECT 8.595000  0.255000 8.925000 0.725000 ;
+      RECT 8.635000  1.625000 8.885000 2.125000 ;
+      RECT 9.060000  1.795000 9.310000 2.295000 ;
+      RECT 9.095000  0.085000 9.265000 0.555000 ;
+      RECT 9.215000  0.905000 9.575000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_4
+MACRO sky130_fd_sc_hd__a2bb2oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.310000 1.075000 4.205000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.455000 1.075000 5.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.710000 1.445000 ;
+        RECT 0.085000 1.445000 2.030000 1.615000 ;
+        RECT 1.700000 1.075000 2.030000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.480000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.645000 1.400000 0.725000 ;
+        RECT 1.070000 0.725000 2.660000 0.905000 ;
+        RECT 2.330000 0.255000 2.660000 0.725000 ;
+        RECT 2.370000 0.905000 2.660000 1.660000 ;
+        RECT 2.370000 1.660000 2.620000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.270000  1.785000 2.200000 1.955000 ;
+      RECT 0.270000  1.955000 0.520000 2.465000 ;
+      RECT 0.310000  0.085000 0.480000 0.895000 ;
+      RECT 0.650000  0.255000 1.820000 0.475000 ;
+      RECT 0.650000  0.475000 0.900000 0.895000 ;
+      RECT 0.690000  2.135000 0.940000 2.635000 ;
+      RECT 1.110000  1.955000 1.360000 2.465000 ;
+      RECT 1.530000  2.135000 1.780000 2.635000 ;
+      RECT 1.950000  1.955000 2.200000 2.295000 ;
+      RECT 1.950000  2.295000 3.040000 2.465000 ;
+      RECT 1.990000  0.085000 2.160000 0.555000 ;
+      RECT 2.790000  1.795000 3.040000 2.295000 ;
+      RECT 2.830000  0.085000 3.520000 0.555000 ;
+      RECT 2.830000  0.995000 3.120000 1.325000 ;
+      RECT 2.950000  0.725000 4.860000 0.905000 ;
+      RECT 2.950000  0.905000 3.120000 0.995000 ;
+      RECT 2.950000  1.325000 3.120000 1.445000 ;
+      RECT 2.950000  1.445000 4.820000 1.615000 ;
+      RECT 3.310000  1.785000 4.400000 1.965000 ;
+      RECT 3.310000  1.965000 3.560000 2.465000 ;
+      RECT 3.690000  0.255000 4.020000 0.725000 ;
+      RECT 3.730000  2.135000 3.980000 2.635000 ;
+      RECT 4.150000  1.965000 4.400000 2.295000 ;
+      RECT 4.150000  2.295000 5.240000 2.465000 ;
+      RECT 4.190000  0.085000 4.360000 0.555000 ;
+      RECT 4.530000  0.255000 4.860000 0.725000 ;
+      RECT 4.570000  1.615000 4.820000 2.125000 ;
+      RECT 4.990000  1.455000 5.240000 2.295000 ;
+      RECT 5.030000  0.085000 5.200000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_2
+MACRO sky130_fd_sc_hd__nor4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.115000 0.995000 3.595000 1.275000 ;
+        RECT 3.295000 1.275000 3.595000 1.705000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 2.945000 1.445000 ;
+        RECT 2.615000 1.445000 3.085000 1.630000 ;
+        RECT 2.825000 1.630000 3.085000 2.410000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.606900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 1.955000 2.055000 2.125000 ;
+        RECT 1.855000 0.655000 3.085000 0.825000 ;
+        RECT 1.855000 0.825000 2.055000 1.955000 ;
+        RECT 2.015000 0.300000 2.215000 0.655000 ;
+        RECT 2.885000 0.310000 3.085000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.885000 ;
+      RECT 0.085000  1.885000 1.205000 2.070000 ;
+      RECT 0.085000  2.070000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.995000  1.525000 1.590000 1.715000 ;
+      RECT 1.035000  2.070000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.395000 2.465000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.590000 0.825000 ;
+      RECT 1.410000  0.825000 1.590000 0.995000 ;
+      RECT 1.410000  0.995000 1.685000 1.325000 ;
+      RECT 1.410000  1.325000 1.590000 1.525000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 2.225000  0.995000 2.395000 2.295000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 3.255000  0.085000 3.585000 0.825000 ;
+      RECT 3.255000  1.875000 3.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_1
+MACRO sky130_fd_sc_hd__nor4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.130000 1.075000 5.895000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.960000 1.275000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.235000 1.325000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.780000 1.695000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.060000 0.255000 2.390000 0.725000 ;
+        RECT 2.060000 0.725000 5.450000 0.905000 ;
+        RECT 2.900000 0.255000 3.230000 0.725000 ;
+        RECT 2.900000 1.445000 3.995000 1.705000 ;
+        RECT 3.575000 0.905000 3.995000 1.445000 ;
+        RECT 4.280000 0.255000 4.610000 0.725000 ;
+        RECT 5.120000 0.255000 5.450000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.450000 0.465000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.885000 ;
+      RECT 0.085000  1.885000 1.915000 2.055000 ;
+      RECT 0.085000  2.055000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.635000  0.085000 0.805000 0.825000 ;
+      RECT 0.995000  1.525000 1.575000 1.715000 ;
+      RECT 1.055000  0.450000 1.250000 0.655000 ;
+      RECT 1.055000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 2.390000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.560000  0.085000 1.890000 0.480000 ;
+      RECT 1.640000  2.225000 1.970000 2.295000 ;
+      RECT 1.640000  2.295000 3.650000 2.465000 ;
+      RECT 1.745000  1.415000 2.730000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.885000 ;
+      RECT 2.140000  1.795000 2.310000 1.875000 ;
+      RECT 2.140000  1.875000 4.610000 2.045000 ;
+      RECT 2.140000  2.045000 2.310000 2.125000 ;
+      RECT 2.480000  2.215000 3.650000 2.295000 ;
+      RECT 2.560000  0.085000 2.730000 0.555000 ;
+      RECT 2.560000  1.075000 3.405000 1.275000 ;
+      RECT 2.560000  1.275000 2.730000 1.415000 ;
+      RECT 3.400000  0.085000 4.110000 0.555000 ;
+      RECT 3.860000  2.215000 4.990000 2.465000 ;
+      RECT 4.320000  1.455000 4.610000 1.875000 ;
+      RECT 4.780000  0.085000 4.950000 0.555000 ;
+      RECT 4.780000  1.455000 5.870000 1.625000 ;
+      RECT 4.780000  1.625000 4.990000 2.215000 ;
+      RECT 5.160000  1.795000 5.370000 2.635000 ;
+      RECT 5.540000  1.625000 5.870000 2.465000 ;
+      RECT 5.620000  0.085000 5.895000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_2
+MACRO sky130_fd_sc_hd__nor4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.375000 1.075000 9.110000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 7.105000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.365000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.075000 1.295000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.415000 3.185000 1.705000 ;
+        RECT 1.935000 0.255000 2.265000 0.725000 ;
+        RECT 1.935000 0.725000 8.665000 0.905000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.015000 0.905000 3.185000 1.415000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 4.455000 0.255000 4.785000 0.725000 ;
+        RECT 5.815000 0.255000 6.145000 0.725000 ;
+        RECT 6.655000 0.255000 6.985000 0.725000 ;
+        RECT 7.495000 0.255000 7.825000 0.725000 ;
+        RECT 8.335000 0.255000 8.665000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.255000 0.445000 0.725000 ;
+      RECT 0.085000  0.725000 0.785000 0.895000 ;
+      RECT 0.085000  1.535000 0.785000 1.875000 ;
+      RECT 0.085000  1.875000 3.525000 2.045000 ;
+      RECT 0.085000  2.045000 0.365000 2.465000 ;
+      RECT 0.535000  2.215000 0.865000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.895000 0.785000 1.535000 ;
+      RECT 0.955000  0.255000 1.285000 0.735000 ;
+      RECT 0.955000  0.735000 1.635000 0.905000 ;
+      RECT 0.955000  1.535000 1.635000 1.705000 ;
+      RECT 1.465000  0.905000 1.635000 1.075000 ;
+      RECT 1.465000  1.075000 2.845000 1.245000 ;
+      RECT 1.465000  1.245000 1.635000 1.535000 ;
+      RECT 1.515000  2.215000 3.525000 2.295000 ;
+      RECT 1.515000  2.295000 5.195000 2.465000 ;
+      RECT 1.595000  0.085000 1.765000 0.555000 ;
+      RECT 2.435000  0.085000 2.605000 0.555000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 3.355000  1.075000 4.905000 1.285000 ;
+      RECT 3.355000  1.285000 3.525000 1.875000 ;
+      RECT 3.695000  1.455000 6.945000 1.625000 ;
+      RECT 3.695000  1.625000 3.905000 2.125000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.285000 0.555000 ;
+      RECT 4.495000  1.625000 4.745000 2.125000 ;
+      RECT 4.915000  1.795000 5.195000 2.295000 ;
+      RECT 4.955000  0.085000 5.645000 0.555000 ;
+      RECT 5.380000  1.795000 5.685000 2.295000 ;
+      RECT 5.380000  2.295000 7.365000 2.465000 ;
+      RECT 5.855000  1.625000 6.105000 2.125000 ;
+      RECT 6.275000  1.795000 6.525000 2.295000 ;
+      RECT 6.315000  0.085000 6.485000 0.555000 ;
+      RECT 6.695000  1.625000 6.945000 2.125000 ;
+      RECT 7.115000  1.455000 9.110000 1.625000 ;
+      RECT 7.115000  1.625000 7.365000 2.295000 ;
+      RECT 7.155000  0.085000 7.325000 0.555000 ;
+      RECT 7.535000  1.795000 7.785000 2.635000 ;
+      RECT 7.955000  1.625000 8.205000 2.465000 ;
+      RECT 7.995000  0.085000 8.165000 0.555000 ;
+      RECT 8.375000  1.795000 8.625000 2.635000 ;
+      RECT 8.795000  1.625000 9.110000 2.465000 ;
+      RECT 8.835000  0.085000 9.110000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_4
+MACRO sky130_fd_sc_hd__ha_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 1.055000 4.045000 1.225000 ;
+        RECT 3.820000 1.225000 4.045000 1.675000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.310000 1.005000 2.615000 1.395000 ;
+        RECT 2.310000 1.395000 3.595000 1.675000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 0.315000 4.965000 0.825000 ;
+        RECT 4.715000 1.545000 4.965000 2.415000 ;
+        RECT 4.790000 0.825000 4.965000 1.545000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.315000 0.885000 0.825000 ;
+        RECT 0.555000 0.825000 0.780000 1.565000 ;
+        RECT 0.555000 1.565000 0.885000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.135000  0.085000 0.375000 0.885000 ;
+      RECT 0.135000  1.495000 0.375000 2.635000 ;
+      RECT 0.950000  1.075000 1.590000 1.245000 ;
+      RECT 1.055000  0.085000 1.250000 0.885000 ;
+      RECT 1.055000  1.515000 1.250000 2.635000 ;
+      RECT 1.420000  0.345000 1.745000 0.675000 ;
+      RECT 1.420000  0.675000 1.590000 1.075000 ;
+      RECT 1.420000  1.245000 1.590000 2.205000 ;
+      RECT 1.420000  2.205000 2.220000 2.375000 ;
+      RECT 1.760000  0.995000 1.930000 1.855000 ;
+      RECT 1.760000  1.855000 4.465000 2.025000 ;
+      RECT 1.995000  0.345000 2.165000 0.635000 ;
+      RECT 1.995000  0.635000 3.005000 0.805000 ;
+      RECT 2.335000  0.085000 2.665000 0.465000 ;
+      RECT 2.835000  0.345000 3.005000 0.635000 ;
+      RECT 2.850000  2.205000 3.640000 2.635000 ;
+      RECT 3.460000  0.345000 3.630000 0.715000 ;
+      RECT 3.460000  0.715000 4.465000 0.885000 ;
+      RECT 3.810000  2.025000 3.980000 2.355000 ;
+      RECT 4.215000  0.085000 4.465000 0.545000 ;
+      RECT 4.215000  2.205000 4.545000 2.635000 ;
+      RECT 4.295000  0.885000 4.465000 0.995000 ;
+      RECT 4.295000  0.995000 4.620000 1.325000 ;
+      RECT 4.295000  1.325000 4.465000 1.855000 ;
+      RECT 5.145000  0.085000 5.385000 0.885000 ;
+      RECT 5.145000  1.495000 5.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_2
+MACRO sky130_fd_sc_hd__ha_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 1.075000 4.380000 1.245000 ;
+        RECT 4.210000 1.245000 4.380000 1.505000 ;
+        RECT 4.210000 1.505000 6.810000 1.675000 ;
+        RECT 5.625000 0.995000 5.795000 1.505000 ;
+        RECT 6.580000 0.995000 7.055000 1.325000 ;
+        RECT 6.580000 1.325000 6.810000 1.505000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.550000 0.995000 5.455000 1.165000 ;
+        RECT 4.550000 1.165000 4.720000 1.325000 ;
+        RECT 5.285000 0.730000 6.315000 0.825000 ;
+        RECT 5.285000 0.825000 5.535000 0.845000 ;
+        RECT 5.285000 0.845000 5.495000 0.875000 ;
+        RECT 5.285000 0.875000 5.455000 0.995000 ;
+        RECT 5.295000 0.720000 6.315000 0.730000 ;
+        RECT 5.310000 0.710000 6.315000 0.720000 ;
+        RECT 5.320000 0.695000 6.315000 0.710000 ;
+        RECT 5.335000 0.675000 6.315000 0.695000 ;
+        RECT 5.345000 0.655000 6.315000 0.675000 ;
+        RECT 6.085000 0.825000 6.315000 1.325000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 0.315000 7.845000 0.735000 ;
+        RECT 7.595000 0.735000 8.685000 0.905000 ;
+        RECT 7.595000 1.415000 8.685000 1.585000 ;
+        RECT 7.595000 1.585000 7.765000 2.415000 ;
+        RECT 8.405000 0.315000 8.685000 0.735000 ;
+        RECT 8.405000 0.905000 8.685000 1.415000 ;
+        RECT 8.405000 1.585000 8.685000 2.415000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.315000 0.845000 1.065000 ;
+        RECT 0.515000 1.065000 1.550000 1.335000 ;
+        RECT 0.515000 1.335000 0.845000 2.415000 ;
+        RECT 1.355000 0.315000 1.685000 0.825000 ;
+        RECT 1.355000 0.825000 1.550000 1.065000 ;
+        RECT 1.355000 1.335000 1.550000 1.565000 ;
+        RECT 1.355000 1.565000 1.685000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.885000 ;
+      RECT 1.015000  1.515000 1.185000 2.635000 ;
+      RECT 1.720000  1.075000 2.750000 1.245000 ;
+      RECT 1.855000  0.085000 2.095000 0.885000 ;
+      RECT 1.855000  1.495000 2.365000 2.635000 ;
+      RECT 2.270000  0.305000 3.385000 0.475000 ;
+      RECT 2.580000  0.645000 3.045000 0.815000 ;
+      RECT 2.580000  0.815000 2.750000 1.075000 ;
+      RECT 2.580000  1.245000 2.750000 1.765000 ;
+      RECT 2.580000  1.765000 3.700000 1.935000 ;
+      RECT 2.770000  1.935000 2.940000 2.355000 ;
+      RECT 2.920000  0.995000 3.090000 1.425000 ;
+      RECT 2.920000  1.425000 4.040000 1.595000 ;
+      RECT 3.190000  2.105000 3.360000 2.635000 ;
+      RECT 3.215000  0.475000 3.385000 0.645000 ;
+      RECT 3.215000  0.645000 5.115000 0.815000 ;
+      RECT 3.530000  1.935000 3.700000 2.205000 ;
+      RECT 3.530000  2.205000 4.330000 2.375000 ;
+      RECT 3.555000  0.085000 3.910000 0.465000 ;
+      RECT 3.870000  1.595000 4.040000 1.855000 ;
+      RECT 3.870000  1.855000 7.395000 2.025000 ;
+      RECT 4.080000  0.345000 4.250000 0.645000 ;
+      RECT 4.420000  0.085000 4.750000 0.465000 ;
+      RECT 4.920000  0.255000 5.190000 0.585000 ;
+      RECT 4.920000  0.585000 5.115000 0.645000 ;
+      RECT 5.240000  2.205000 5.570000 2.635000 ;
+      RECT 5.385000  0.085000 5.715000 0.465000 ;
+      RECT 5.835000  2.025000 6.005000 2.355000 ;
+      RECT 6.175000  0.295000 6.875000 0.465000 ;
+      RECT 6.175000  2.205000 6.505000 2.635000 ;
+      RECT 6.675000  2.025000 6.845000 2.355000 ;
+      RECT 6.705000  0.465000 6.875000 0.645000 ;
+      RECT 6.705000  0.645000 7.395000 0.815000 ;
+      RECT 7.055000  0.085000 7.385000 0.465000 ;
+      RECT 7.055000  2.205000 7.385000 2.635000 ;
+      RECT 7.225000  0.815000 7.395000 1.075000 ;
+      RECT 7.225000  1.075000 8.225000 1.245000 ;
+      RECT 7.225000  1.245000 7.395000 1.855000 ;
+      RECT 7.935000  1.755000 8.225000 2.635000 ;
+      RECT 8.015000  0.085000 8.225000 0.565000 ;
+      RECT 8.855000  0.085000 9.065000 0.885000 ;
+      RECT 8.855000  1.495000 9.065000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_4
+MACRO sky130_fd_sc_hd__ha_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.315000 3.585000 1.485000 ;
+        RECT 3.360000 1.055000 3.585000 1.315000 ;
+        RECT 3.360000 1.485000 3.585000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.345000 2.155000 1.655000 ;
+        RECT 1.850000 1.655000 3.165000 1.825000 ;
+        RECT 1.850000 1.825000 2.155000 2.375000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.315000 4.515000 0.825000 ;
+        RECT 4.175000 1.565000 4.515000 2.415000 ;
+        RECT 4.330000 0.825000 4.515000 1.565000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.315000 0.425000 0.825000 ;
+        RECT 0.090000 0.825000 0.320000 1.565000 ;
+        RECT 0.090000 1.565000 0.425000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.490000  1.075000 1.130000 1.245000 ;
+      RECT 0.595000  0.085000 0.790000 0.885000 ;
+      RECT 0.595000  1.515000 0.790000 2.275000 ;
+      RECT 0.595000  2.275000 1.260000 2.635000 ;
+      RECT 0.960000  0.345000 1.285000 0.675000 ;
+      RECT 0.960000  0.675000 1.130000 1.075000 ;
+      RECT 0.960000  1.245000 1.130000 1.935000 ;
+      RECT 0.960000  1.935000 1.680000 2.105000 ;
+      RECT 1.300000  0.975000 3.170000 1.145000 ;
+      RECT 1.300000  1.145000 1.470000 1.325000 ;
+      RECT 1.510000  2.105000 1.680000 2.355000 ;
+      RECT 1.535000  0.345000 1.705000 0.635000 ;
+      RECT 1.535000  0.635000 2.545000 0.805000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 2.375000  0.345000 2.545000 0.635000 ;
+      RECT 2.450000  2.275000 3.120000 2.635000 ;
+      RECT 3.000000  0.345000 3.170000 0.715000 ;
+      RECT 3.000000  0.715000 4.005000 0.885000 ;
+      RECT 3.000000  0.885000 3.170000 0.975000 ;
+      RECT 3.350000  1.785000 4.005000 1.955000 ;
+      RECT 3.350000  1.955000 3.520000 2.355000 ;
+      RECT 3.755000  0.085000 4.005000 0.545000 ;
+      RECT 3.755000  2.125000 4.005000 2.635000 ;
+      RECT 3.835000  0.885000 4.005000 0.995000 ;
+      RECT 3.835000  0.995000 4.160000 1.325000 ;
+      RECT 3.835000  1.325000 4.005000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_1
+MACRO sky130_fd_sc_hd__dlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.765000 1.950000 1.015000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  1.039500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.040000 0.255000 6.460000 0.545000 ;
+        RECT 6.040000 1.835000 7.300000 2.005000 ;
+        RECT 6.040000 2.005000 6.370000 2.455000 ;
+        RECT 6.290000 0.545000 6.460000 0.715000 ;
+        RECT 6.290000 0.715000 7.300000 0.885000 ;
+        RECT 6.585000 1.785000 7.300000 1.835000 ;
+        RECT 6.750000 0.885000 7.300000 1.785000 ;
+        RECT 6.970000 0.255000 7.300000 0.715000 ;
+        RECT 6.970000 2.005000 7.300000 2.465000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.230000 1.055000 5.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.230000 1.105000 5.400000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.460000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.170000 1.075000 5.460000 1.120000 ;
+        RECT 5.170000 1.260000 5.460000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.280000 1.355000 ;
+      RECT 1.015000  1.355000 2.335000 1.585000 ;
+      RECT 1.015000  1.585000 1.240000 2.465000 ;
+      RECT 1.450000  0.085000 1.785000 0.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.525000  1.785000 1.695000 1.855000 ;
+      RECT 1.525000  1.855000 2.845000 1.905000 ;
+      RECT 1.525000  1.905000 2.735000 2.025000 ;
+      RECT 2.045000  1.585000 2.335000 1.685000 ;
+      RECT 2.290000  0.705000 2.735000 1.035000 ;
+      RECT 2.415000  0.365000 3.075000 0.535000 ;
+      RECT 2.475000  2.195000 3.165000 2.425000 ;
+      RECT 2.505000  1.575000 2.845000 1.855000 ;
+      RECT 2.565000  1.035000 2.735000 1.575000 ;
+      RECT 2.905000  0.535000 3.075000 0.995000 ;
+      RECT 2.905000  0.995000 3.775000 1.165000 ;
+      RECT 2.915000  2.060000 3.185000 2.090000 ;
+      RECT 2.915000  2.090000 3.180000 2.105000 ;
+      RECT 2.915000  2.105000 3.165000 2.195000 ;
+      RECT 2.980000  2.015000 3.185000 2.060000 ;
+      RECT 3.015000  1.165000 3.775000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.015000 ;
+      RECT 3.315000  0.085000 3.650000 0.530000 ;
+      RECT 3.335000  2.175000 3.695000 2.635000 ;
+      RECT 3.355000  1.535000 4.115000 1.865000 ;
+      RECT 3.895000  0.415000 4.115000 0.745000 ;
+      RECT 3.895000  1.865000 4.115000 2.435000 ;
+      RECT 3.945000  0.745000 4.115000 0.995000 ;
+      RECT 3.945000  0.995000 4.720000 1.325000 ;
+      RECT 3.945000  1.325000 4.115000 1.535000 ;
+      RECT 4.295000  0.085000 4.580000 0.715000 ;
+      RECT 4.295000  2.010000 4.580000 2.635000 ;
+      RECT 4.750000  0.290000 5.060000 0.715000 ;
+      RECT 4.750000  0.715000 6.120000 0.825000 ;
+      RECT 4.750000  1.495000 6.140000 1.665000 ;
+      RECT 4.750000  1.665000 5.035000 2.465000 ;
+      RECT 4.890000  0.825000 6.120000 0.885000 ;
+      RECT 4.890000  0.885000 5.060000 1.495000 ;
+      RECT 5.575000  1.835000 5.840000 2.635000 ;
+      RECT 5.590000  0.085000 5.870000 0.545000 ;
+      RECT 5.910000  0.885000 6.120000 1.055000 ;
+      RECT 5.910000  1.055000 6.580000 1.290000 ;
+      RECT 5.910000  1.290000 6.140000 1.495000 ;
+      RECT 6.540000  2.175000 6.800000 2.635000 ;
+      RECT 6.630000  0.085000 6.800000 0.545000 ;
+      RECT 7.470000  0.085000 7.735000 0.885000 ;
+      RECT 7.470000  1.485000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 1.755000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_4
+MACRO sky130_fd_sc_hd__dlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.435000 2.185000 1.685000 ;
+        RECT 1.985000 0.385000 2.185000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.255000 6.355000 0.595000 ;
+        RECT 6.090000 1.495000 6.355000 2.455000 ;
+        RECT 6.170000 0.595000 6.355000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.105000 0.315000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.190000 1.105000 5.510000 1.435000 ;
+      LAYER mcon ;
+        RECT 5.210000 1.105000 5.380000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.075000 0.380000 1.120000 ;
+        RECT 0.085000 1.120000 5.440000 1.260000 ;
+        RECT 0.085000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 6.630000 2.910000 ;
+        RECT  2.620000 1.305000 6.630000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.590000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.480000  0.085000 1.810000 0.905000 ;
+      RECT 2.390000  0.815000 3.220000 0.985000 ;
+      RECT 2.390000  0.985000 2.590000 1.855000 ;
+      RECT 2.475000  2.255000 3.225000 2.425000 ;
+      RECT 2.790000  0.390000 3.725000 0.560000 ;
+      RECT 3.055000  1.155000 4.175000 1.325000 ;
+      RECT 3.055000  1.325000 3.225000 2.255000 ;
+      RECT 3.395000  2.135000 3.695000 2.635000 ;
+      RECT 3.430000  1.535000 4.710000 1.840000 ;
+      RECT 3.430000  1.840000 4.130000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.175000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.910000  1.865000 4.130000 2.435000 ;
+      RECT 4.310000  2.010000 4.595000 2.635000 ;
+      RECT 4.320000  0.255000 4.580000 0.615000 ;
+      RECT 4.345000  0.615000 4.580000 0.995000 ;
+      RECT 4.345000  0.995000 4.740000 1.325000 ;
+      RECT 4.345000  1.325000 4.710000 1.535000 ;
+      RECT 4.840000  0.290000 5.155000 0.620000 ;
+      RECT 4.935000  0.620000 5.155000 0.765000 ;
+      RECT 4.935000  0.765000 6.000000 0.935000 ;
+      RECT 5.005000  1.725000 5.920000 1.895000 ;
+      RECT 5.005000  1.895000 5.335000 2.465000 ;
+      RECT 5.570000  2.130000 5.920000 2.635000 ;
+      RECT 5.670000  0.085000 5.840000 0.545000 ;
+      RECT 5.750000  0.935000 6.000000 1.325000 ;
+      RECT 5.750000  1.325000 5.920000 1.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_1
+MACRO sky130_fd_sc_hd__dlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.435000 2.215000 1.685000 ;
+        RECT 1.985000 0.285000 2.215000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.360000 0.595000 ;
+        RECT 6.095000 1.495000 6.360000 2.455000 ;
+        RECT 6.165000 0.595000 6.360000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.210000 1.105000 5.485000 1.435000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.440000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 7.090000 2.910000 ;
+        RECT  2.625000 1.305000 7.090000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.645000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.455000  2.195000 1.820000 2.635000 ;
+      RECT 1.485000  0.085000 1.815000 0.905000 ;
+      RECT 2.395000  0.815000 3.225000 0.985000 ;
+      RECT 2.395000  0.985000 2.645000 1.855000 ;
+      RECT 2.480000  2.255000 3.230000 2.425000 ;
+      RECT 2.795000  0.390000 3.725000 0.560000 ;
+      RECT 3.060000  1.155000 4.180000 1.325000 ;
+      RECT 3.060000  1.325000 3.230000 2.255000 ;
+      RECT 3.400000  2.135000 3.700000 2.635000 ;
+      RECT 3.435000  1.535000 4.735000 1.840000 ;
+      RECT 3.435000  1.840000 4.135000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.180000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.915000  1.865000 4.135000 2.435000 ;
+      RECT 4.315000  0.255000 4.585000 0.615000 ;
+      RECT 4.315000  2.010000 4.600000 2.635000 ;
+      RECT 4.350000  0.615000 4.585000 0.995000 ;
+      RECT 4.350000  0.995000 4.735000 1.535000 ;
+      RECT 4.835000  0.290000 5.150000 0.620000 ;
+      RECT 4.930000  0.620000 5.150000 0.765000 ;
+      RECT 4.930000  0.765000 5.995000 0.935000 ;
+      RECT 5.010000  1.725000 5.925000 1.895000 ;
+      RECT 5.010000  1.895000 5.340000 2.465000 ;
+      RECT 5.575000  2.130000 5.925000 2.635000 ;
+      RECT 5.675000  0.085000 5.845000 0.545000 ;
+      RECT 5.755000  0.935000 5.995000 1.325000 ;
+      RECT 5.755000  1.325000 5.925000 1.725000 ;
+      RECT 6.530000  0.085000 6.810000 0.885000 ;
+      RECT 6.530000  1.485000 6.810000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_2
+MACRO sky130_fd_sc_hd__or2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_1
+MACRO sky130_fd_sc_hd__or2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.995000 1.240000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.265000 1.770000 0.735000 ;
+        RECT 1.440000 0.735000 3.135000 0.905000 ;
+        RECT 1.440000 1.835000 2.610000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 2.280000 0.265000 2.610000 0.735000 ;
+        RECT 2.280000 1.495000 3.135000 1.665000 ;
+        RECT 2.280000 1.665000 2.610000 1.835000 ;
+        RECT 2.280000 2.005000 2.610000 2.465000 ;
+        RECT 2.790000 0.905000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 2.465000 ;
+      RECT 0.515000  0.290000 0.845000 0.825000 ;
+      RECT 0.515000  0.825000 0.695000 1.495000 ;
+      RECT 1.060000  0.085000 1.230000 0.825000 ;
+      RECT 1.060000  1.835000 1.230000 2.635000 ;
+      RECT 1.410000  1.075000 2.620000 1.245000 ;
+      RECT 1.410000  1.245000 1.615000 1.495000 ;
+      RECT 1.940000  0.085000 2.110000 0.565000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+      RECT 2.780000  0.085000 2.950000 0.565000 ;
+      RECT 2.780000  1.835000 2.950000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_4
+MACRO sky130_fd_sc_hd__or2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.835000 2.215000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 1.520000 0.385000 1.690000 0.655000 ;
+        RECT 1.520000 0.655000 2.215000 0.825000 ;
+        RECT 1.785000 0.825000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 1.840000 ;
+      RECT 0.515000  0.255000 0.805000 0.595000 ;
+      RECT 0.515000  0.595000 0.695000 1.495000 ;
+      RECT 1.035000  0.085000 1.350000 0.595000 ;
+      RECT 1.100000  1.835000 1.270000 2.635000 ;
+      RECT 1.445000  0.995000 1.615000 1.495000 ;
+      RECT 1.860000  0.085000 2.190000 0.485000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_2
+MACRO sky130_fd_sc_hd__or2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.335000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.500000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.326800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.525000 2.180000 0.825000 ;
+        RECT 1.645000 2.135000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 2.135000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.825000 ;
+      RECT 0.270000  1.785000 1.695000 1.955000 ;
+      RECT 0.270000  1.955000 0.660000 2.130000 ;
+      RECT 0.670000  0.425000 0.950000 0.825000 ;
+      RECT 0.670000  0.825000 0.840000 1.785000 ;
+      RECT 1.145000  2.125000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.825000 ;
+      RECT 1.525000  0.995000 1.695000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_0
+MACRO sky130_fd_sc_hd__dlymetal6s4s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.660000 0.255000 3.105000 0.825000 ;
+        RECT 2.660000 1.495000 3.565000 1.675000 ;
+        RECT 2.660000 1.675000 3.105000 2.465000 ;
+        RECT 2.735000 0.825000 3.105000 0.995000 ;
+        RECT 2.735000 0.995000 3.565000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.245000  0.255000 1.515000 0.825000 ;
+      RECT 1.245000  1.495000 1.970000 1.675000 ;
+      RECT 1.245000  1.675000 1.515000 2.465000 ;
+      RECT 1.320000  0.825000 1.515000 0.995000 ;
+      RECT 1.320000  0.995000 1.970000 1.495000 ;
+      RECT 1.685000  0.255000 1.935000 0.655000 ;
+      RECT 1.685000  0.655000 2.490000 0.825000 ;
+      RECT 1.685000  1.845000 2.490000 2.040000 ;
+      RECT 1.685000  2.040000 1.935000 2.465000 ;
+      RECT 2.105000  0.085000 2.490000 0.485000 ;
+      RECT 2.105000  2.210000 2.490000 2.635000 ;
+      RECT 2.140000  0.825000 2.490000 0.995000 ;
+      RECT 2.140000  0.995000 2.565000 1.325000 ;
+      RECT 2.140000  1.325000 2.490000 1.845000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s4s_1
+MACRO sky130_fd_sc_hd__xnor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.425000 1.075000 8.835000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.605000 0.995000 7.775000 1.445000 ;
+        RECT 7.605000 1.445000 8.185000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.995000 1.075000 3.560000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.625000 0.375000 0.875000 0.995000 ;
+        RECT 0.625000 0.995000 1.710000 1.325000 ;
+        RECT 0.625000 1.325000 0.955000 2.425000 ;
+        RECT 1.465000 0.350000 1.725000 0.925000 ;
+        RECT 1.465000 0.925000 1.710000 0.995000 ;
+        RECT 1.465000 1.325000 1.710000 1.440000 ;
+        RECT 1.465000 1.440000 1.745000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.285000  0.085000 0.455000 0.735000 ;
+      RECT 0.285000  1.490000 0.455000 2.635000 ;
+      RECT 1.125000  0.085000 1.295000 0.735000 ;
+      RECT 1.125000  1.495000 1.295000 2.635000 ;
+      RECT 1.880000  0.995000 2.085000 1.325000 ;
+      RECT 1.895000  0.085000 2.145000 0.525000 ;
+      RECT 1.910000  0.695000 2.485000 0.865000 ;
+      RECT 1.910000  0.865000 2.085000 0.995000 ;
+      RECT 1.915000  1.325000 2.085000 1.875000 ;
+      RECT 1.915000  1.875000 2.600000 2.045000 ;
+      RECT 1.915000  2.215000 2.250000 2.635000 ;
+      RECT 2.315000  0.255000 3.885000 0.425000 ;
+      RECT 2.315000  0.425000 2.485000 0.695000 ;
+      RECT 2.315000  1.535000 3.900000 1.705000 ;
+      RECT 2.430000  2.045000 2.600000 2.235000 ;
+      RECT 2.430000  2.235000 3.900000 2.405000 ;
+      RECT 2.655000  0.595000 2.825000 1.535000 ;
+      RECT 2.940000  1.895000 5.440000 2.065000 ;
+      RECT 3.125000  0.625000 4.345000 0.795000 ;
+      RECT 3.125000  0.795000 3.505000 0.905000 ;
+      RECT 3.450000  0.425000 3.885000 0.455000 ;
+      RECT 3.730000  0.995000 4.005000 1.325000 ;
+      RECT 3.730000  1.325000 3.900000 1.535000 ;
+      RECT 4.055000  0.285000 4.685000 0.455000 ;
+      RECT 4.070000  1.525000 4.455000 1.695000 ;
+      RECT 4.175000  0.795000 4.345000 1.375000 ;
+      RECT 4.175000  1.375000 4.455000 1.525000 ;
+      RECT 4.515000  0.455000 4.685000 1.035000 ;
+      RECT 4.515000  1.035000 4.795000 1.205000 ;
+      RECT 4.605000  2.235000 4.935000 2.635000 ;
+      RECT 4.625000  1.205000 4.795000 1.895000 ;
+      RECT 4.855000  0.085000 5.025000 0.865000 ;
+      RECT 5.025000  1.445000 5.445000 1.715000 ;
+      RECT 5.205000  0.415000 5.445000 1.445000 ;
+      RECT 5.270000  2.065000 5.440000 2.275000 ;
+      RECT 5.270000  2.275000 8.365000 2.445000 ;
+      RECT 5.625000  0.265000 6.035000 0.485000 ;
+      RECT 5.625000  0.485000 5.835000 0.595000 ;
+      RECT 5.625000  0.595000 5.795000 2.105000 ;
+      RECT 5.965000  0.720000 6.375000 0.825000 ;
+      RECT 5.965000  0.825000 6.175000 0.890000 ;
+      RECT 5.965000  0.890000 6.135000 2.275000 ;
+      RECT 6.005000  0.655000 6.375000 0.720000 ;
+      RECT 6.205000  0.320000 6.375000 0.655000 ;
+      RECT 6.315000  1.445000 7.095000 1.615000 ;
+      RECT 6.315000  1.615000 6.730000 2.045000 ;
+      RECT 6.330000  0.995000 6.755000 1.270000 ;
+      RECT 6.545000  0.630000 6.755000 0.995000 ;
+      RECT 6.925000  0.255000 8.070000 0.425000 ;
+      RECT 6.925000  0.425000 7.095000 1.445000 ;
+      RECT 7.265000  0.595000 7.435000 1.935000 ;
+      RECT 7.265000  1.935000 9.575000 2.105000 ;
+      RECT 7.605000  0.425000 8.070000 0.465000 ;
+      RECT 7.945000  0.730000 8.150000 0.945000 ;
+      RECT 7.945000  0.945000 8.255000 1.275000 ;
+      RECT 8.355000  1.495000 9.175000 1.705000 ;
+      RECT 8.395000  0.295000 8.685000 0.735000 ;
+      RECT 8.395000  0.735000 9.175000 0.750000 ;
+      RECT 8.435000  0.750000 9.175000 0.905000 ;
+      RECT 8.775000  2.275000 9.110000 2.635000 ;
+      RECT 8.855000  0.085000 9.025000 0.565000 ;
+      RECT 9.005000  0.905000 9.175000 0.995000 ;
+      RECT 9.005000  0.995000 9.235000 1.325000 ;
+      RECT 9.005000  1.325000 9.175000 1.495000 ;
+      RECT 9.090000  1.875000 9.575000 1.935000 ;
+      RECT 9.275000  0.255000 9.575000 0.585000 ;
+      RECT 9.280000  2.105000 9.575000 2.465000 ;
+      RECT 9.405000  0.585000 9.575000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  1.445000 4.455000 1.615000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.425000 5.835000 0.595000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  1.445000 6.755000 1.615000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.765000 8.135000 0.935000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  0.425000 8.595000 0.595000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 1.415000 4.515000 1.460000 ;
+      RECT 4.225000 1.460000 6.815000 1.600000 ;
+      RECT 4.225000 1.600000 4.515000 1.645000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.780000 8.195000 0.920000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.605000 0.395000 5.895000 0.440000 ;
+      RECT 5.605000 0.440000 8.655000 0.580000 ;
+      RECT 5.605000 0.580000 5.895000 0.625000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.525000 1.415000 6.815000 1.460000 ;
+      RECT 6.525000 1.600000 6.815000 1.645000 ;
+      RECT 7.905000 0.735000 8.195000 0.780000 ;
+      RECT 7.905000 0.920000 8.195000 0.965000 ;
+      RECT 8.365000 0.395000 8.655000 0.440000 ;
+      RECT 8.365000 0.580000 8.655000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_4
+MACRO sky130_fd_sc_hd__xnor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.045000 1.075000 7.455000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.225000 0.995000 6.395000 1.445000 ;
+        RECT 6.225000 1.445000 6.805000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 1.075000 2.180000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.345000 0.925000 ;
+        RECT 0.085000 0.925000 0.330000 1.440000 ;
+        RECT 0.085000 1.440000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.500000  0.995000 0.705000 1.325000 ;
+      RECT 0.515000  0.085000 0.765000 0.525000 ;
+      RECT 0.530000  0.695000 1.105000 0.865000 ;
+      RECT 0.530000  0.865000 0.705000 0.995000 ;
+      RECT 0.535000  1.325000 0.705000 1.875000 ;
+      RECT 0.535000  1.875000 1.220000 2.045000 ;
+      RECT 0.535000  2.215000 0.870000 2.635000 ;
+      RECT 0.935000  0.255000 2.505000 0.425000 ;
+      RECT 0.935000  0.425000 1.105000 0.695000 ;
+      RECT 0.935000  1.535000 2.520000 1.705000 ;
+      RECT 1.050000  2.045000 1.220000 2.235000 ;
+      RECT 1.050000  2.235000 2.520000 2.405000 ;
+      RECT 1.275000  0.595000 1.445000 1.535000 ;
+      RECT 1.560000  1.895000 4.060000 2.065000 ;
+      RECT 1.745000  0.625000 2.965000 0.795000 ;
+      RECT 1.745000  0.795000 2.125000 0.905000 ;
+      RECT 2.070000  0.425000 2.505000 0.455000 ;
+      RECT 2.350000  0.995000 2.625000 1.325000 ;
+      RECT 2.350000  1.325000 2.520000 1.535000 ;
+      RECT 2.675000  0.285000 3.305000 0.455000 ;
+      RECT 2.690000  1.525000 3.075000 1.695000 ;
+      RECT 2.795000  0.795000 2.965000 1.375000 ;
+      RECT 2.795000  1.375000 3.075000 1.525000 ;
+      RECT 3.135000  0.455000 3.305000 1.035000 ;
+      RECT 3.135000  1.035000 3.415000 1.205000 ;
+      RECT 3.225000  2.235000 3.555000 2.635000 ;
+      RECT 3.245000  1.205000 3.415000 1.895000 ;
+      RECT 3.475000  0.085000 3.645000 0.865000 ;
+      RECT 3.645000  1.445000 4.065000 1.715000 ;
+      RECT 3.825000  0.415000 4.065000 1.445000 ;
+      RECT 3.890000  2.065000 4.060000 2.275000 ;
+      RECT 3.890000  2.275000 6.985000 2.445000 ;
+      RECT 4.245000  0.265000 4.655000 0.485000 ;
+      RECT 4.245000  0.485000 4.455000 0.595000 ;
+      RECT 4.245000  0.595000 4.415000 2.105000 ;
+      RECT 4.585000  0.720000 4.995000 0.825000 ;
+      RECT 4.585000  0.825000 4.795000 0.890000 ;
+      RECT 4.585000  0.890000 4.755000 2.275000 ;
+      RECT 4.625000  0.655000 4.995000 0.720000 ;
+      RECT 4.825000  0.320000 4.995000 0.655000 ;
+      RECT 4.935000  1.445000 5.715000 1.615000 ;
+      RECT 4.935000  1.615000 5.350000 2.045000 ;
+      RECT 4.950000  0.995000 5.375000 1.270000 ;
+      RECT 5.165000  0.630000 5.375000 0.995000 ;
+      RECT 5.545000  0.255000 6.690000 0.425000 ;
+      RECT 5.545000  0.425000 5.715000 1.445000 ;
+      RECT 5.885000  0.595000 6.055000 1.935000 ;
+      RECT 5.885000  1.935000 8.195000 2.105000 ;
+      RECT 6.225000  0.425000 6.690000 0.465000 ;
+      RECT 6.565000  0.730000 6.770000 0.945000 ;
+      RECT 6.565000  0.945000 6.875000 1.275000 ;
+      RECT 6.975000  1.495000 7.795000 1.705000 ;
+      RECT 7.015000  0.295000 7.305000 0.735000 ;
+      RECT 7.015000  0.735000 7.795000 0.750000 ;
+      RECT 7.055000  0.750000 7.795000 0.905000 ;
+      RECT 7.395000  2.275000 7.730000 2.635000 ;
+      RECT 7.475000  0.085000 7.645000 0.565000 ;
+      RECT 7.625000  0.905000 7.795000 0.995000 ;
+      RECT 7.625000  0.995000 7.855000 1.325000 ;
+      RECT 7.625000  1.325000 7.795000 1.495000 ;
+      RECT 7.710000  1.875000 8.195000 1.935000 ;
+      RECT 7.895000  0.255000 8.195000 0.585000 ;
+      RECT 7.900000  2.105000 8.195000 2.465000 ;
+      RECT 8.025000  0.585000 8.195000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.445000 3.075000 1.615000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  0.765000 3.995000 0.935000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.425000 4.455000 0.595000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  1.445000 5.375000 1.615000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.425000 7.215000 0.595000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.845000 1.415000 3.135000 1.460000 ;
+      RECT 2.845000 1.460000 5.435000 1.600000 ;
+      RECT 2.845000 1.600000 3.135000 1.645000 ;
+      RECT 3.765000 0.735000 4.055000 0.780000 ;
+      RECT 3.765000 0.780000 6.815000 0.920000 ;
+      RECT 3.765000 0.920000 4.055000 0.965000 ;
+      RECT 4.225000 0.395000 4.515000 0.440000 ;
+      RECT 4.225000 0.440000 7.275000 0.580000 ;
+      RECT 4.225000 0.580000 4.515000 0.625000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.145000 1.415000 5.435000 1.460000 ;
+      RECT 5.145000 1.600000 5.435000 1.645000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.985000 0.395000 7.275000 0.440000 ;
+      RECT 6.985000 0.580000 7.275000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_1
+MACRO sky130_fd_sc_hd__xnor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 2.640000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.350000 0.805000 0.925000 ;
+        RECT 0.545000 0.925000 0.790000 1.440000 ;
+        RECT 0.545000 1.440000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.735000 ;
+      RECT 0.085000  1.490000 0.375000 2.635000 ;
+      RECT 0.960000  0.995000 1.165000 1.325000 ;
+      RECT 0.975000  0.085000 1.225000 0.525000 ;
+      RECT 0.990000  0.695000 1.565000 0.865000 ;
+      RECT 0.990000  0.865000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.875000 ;
+      RECT 0.995000  1.875000 1.680000 2.045000 ;
+      RECT 0.995000  2.215000 1.330000 2.635000 ;
+      RECT 1.395000  0.255000 2.965000 0.425000 ;
+      RECT 1.395000  0.425000 1.565000 0.695000 ;
+      RECT 1.395000  1.535000 2.980000 1.705000 ;
+      RECT 1.510000  2.045000 1.680000 2.235000 ;
+      RECT 1.510000  2.235000 2.980000 2.405000 ;
+      RECT 1.735000  0.595000 1.905000 1.535000 ;
+      RECT 2.020000  1.895000 4.520000 2.065000 ;
+      RECT 2.205000  0.625000 3.425000 0.795000 ;
+      RECT 2.205000  0.795000 2.585000 0.905000 ;
+      RECT 2.530000  0.425000 2.965000 0.455000 ;
+      RECT 2.810000  0.995000 3.085000 1.325000 ;
+      RECT 2.810000  1.325000 2.980000 1.535000 ;
+      RECT 3.135000  0.285000 3.765000 0.455000 ;
+      RECT 3.150000  1.525000 3.535000 1.695000 ;
+      RECT 3.255000  0.795000 3.425000 1.375000 ;
+      RECT 3.255000  1.375000 3.535000 1.525000 ;
+      RECT 3.595000  0.455000 3.765000 1.035000 ;
+      RECT 3.595000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.935000  0.085000 4.105000 0.865000 ;
+      RECT 4.105000  1.445000 4.525000 1.715000 ;
+      RECT 4.285000  0.415000 4.525000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.705000  0.265000 5.115000 0.485000 ;
+      RECT 4.705000  0.485000 4.915000 0.595000 ;
+      RECT 4.705000  0.595000 4.875000 2.105000 ;
+      RECT 5.045000  0.720000 5.455000 0.825000 ;
+      RECT 5.045000  0.825000 5.255000 0.890000 ;
+      RECT 5.045000  0.890000 5.215000 2.275000 ;
+      RECT 5.085000  0.655000 5.455000 0.720000 ;
+      RECT 5.285000  0.320000 5.455000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.625000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_2
+MACRO sky130_fd_sc_hd__dfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.745000 1.005000 2.155000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.455000 11.875000 2.465000 ;
+        RECT 11.665000 0.825000 11.875000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.305000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.235000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.585000 0.735000 3.995000 0.965000 ;
+        RECT 3.585000 0.965000 3.915000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.280000 0.735000 7.825000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.575000 0.765000 7.745000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.805000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 7.515000 0.735000 7.805000 0.780000 ;
+        RECT 7.515000 0.920000 7.805000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.405000  0.635000  2.125000 0.825000 ;
+      RECT  1.405000  0.825000  1.575000 1.795000 ;
+      RECT  1.405000  1.795000  2.125000 1.965000 ;
+      RECT  1.430000  0.085000  1.785000 0.465000 ;
+      RECT  1.430000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.325000  0.705000  2.545000 1.575000 ;
+      RECT  2.325000  1.575000  2.825000 1.955000 ;
+      RECT  2.335000  2.250000  3.165000 2.420000 ;
+      RECT  2.400000  0.265000  3.415000 0.465000 ;
+      RECT  2.725000  0.645000  3.075000 1.015000 ;
+      RECT  2.995000  1.195000  3.415000 1.235000 ;
+      RECT  2.995000  1.235000  4.345000 1.405000 ;
+      RECT  2.995000  1.405000  3.165000 2.250000 ;
+      RECT  3.245000  0.465000  3.415000 1.195000 ;
+      RECT  3.335000  1.575000  3.585000 1.785000 ;
+      RECT  3.335000  1.785000  4.685000 2.035000 ;
+      RECT  3.405000  2.205000  3.785000 2.635000 ;
+      RECT  3.585000  0.085000  3.755000 0.525000 ;
+      RECT  3.925000  0.255000  5.075000 0.425000 ;
+      RECT  3.925000  0.425000  4.255000 0.505000 ;
+      RECT  4.085000  2.035000  4.255000 2.375000 ;
+      RECT  4.095000  1.405000  4.345000 1.485000 ;
+      RECT  4.125000  1.155000  4.345000 1.235000 ;
+      RECT  4.405000  0.595000  4.735000 0.765000 ;
+      RECT  4.515000  0.765000  4.735000 0.895000 ;
+      RECT  4.515000  0.895000  5.825000 1.065000 ;
+      RECT  4.515000  1.065000  4.685000 1.785000 ;
+      RECT  4.855000  1.235000  5.185000 1.415000 ;
+      RECT  4.855000  1.415000  5.860000 1.655000 ;
+      RECT  4.875000  1.915000  5.205000 2.635000 ;
+      RECT  4.905000  0.425000  5.075000 0.715000 ;
+      RECT  5.325000  0.085000  5.675000 0.465000 ;
+      RECT  5.495000  1.065000  5.825000 1.235000 ;
+      RECT  6.060000  1.575000  6.295000 1.985000 ;
+      RECT  6.065000  1.060000  6.405000 1.125000 ;
+      RECT  6.065000  1.125000  6.740000 1.305000 ;
+      RECT  6.185000  0.705000  6.405000 1.060000 ;
+      RECT  6.250000  2.250000  7.080000 2.420000 ;
+      RECT  6.300000  0.265000  7.080000 0.465000 ;
+      RECT  6.535000  1.305000  6.740000 1.905000 ;
+      RECT  6.910000  0.465000  7.080000 1.235000 ;
+      RECT  6.910000  1.235000  8.260000 1.405000 ;
+      RECT  6.910000  1.405000  7.080000 2.250000 ;
+      RECT  7.250000  0.085000  7.575000 0.525000 ;
+      RECT  7.250000  1.575000  7.500000 1.915000 ;
+      RECT  7.250000  1.915000 10.030000 2.085000 ;
+      RECT  7.320000  2.255000  7.700000 2.635000 ;
+      RECT  7.745000  0.255000  8.955000 0.425000 ;
+      RECT  7.745000  0.425000  8.075000 0.545000 ;
+      RECT  7.940000  2.085000  8.110000 2.375000 ;
+      RECT  8.040000  1.075000  8.260000 1.235000 ;
+      RECT  8.215000  0.665000  8.615000 0.835000 ;
+      RECT  8.430000  0.835000  8.615000 0.840000 ;
+      RECT  8.430000  0.840000  8.600000 1.915000 ;
+      RECT  8.640000  2.255000 10.030000 2.635000 ;
+      RECT  8.770000  1.110000  9.055000 1.575000 ;
+      RECT  8.770000  1.575000  9.555000 1.745000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.835000  0.755000  9.475000 0.925000 ;
+      RECT  8.835000  0.925000  9.055000 1.110000 ;
+      RECT  9.265000  0.265000  9.475000 0.755000 ;
+      RECT  9.725000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.125000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.660000  0.255000 10.975000 0.995000 ;
+      RECT 10.660000  0.995000 11.495000 1.325000 ;
+      RECT 10.660000  1.325000 10.975000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.155000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  1.445000  5.835000 1.615000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  1.105000  6.295000 1.275000 ;
+      RECT  6.125000  1.785000  6.295000 1.955000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.855000  1.445000  9.025000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.135000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 6.355000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 6.355000 1.260000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.460000 9.085000 1.600000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.065000 1.075000 6.355000 1.120000 ;
+      RECT 6.065000 1.260000 6.355000 1.305000 ;
+      RECT 6.065000 1.755000 6.355000 1.800000 ;
+      RECT 6.065000 1.940000 6.355000 1.985000 ;
+      RECT 8.795000 1.415000 9.085000 1.460000 ;
+      RECT 8.795000 1.600000 9.085000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_1
+MACRO sky130_fd_sc_hd__dfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 1.005000 2.170000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.115000 0.255000 12.345000 0.825000 ;
+        RECT 12.115000 1.445000 12.345000 2.465000 ;
+        RECT 12.160000 0.825000 12.345000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.240000 0.255000 10.500000 0.715000 ;
+        RECT 10.240000 1.630000 10.500000 2.465000 ;
+        RECT 10.320000 0.715000 10.500000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.250000 1.095000 9.730000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.600000 0.735000 4.010000 0.965000 ;
+        RECT 3.600000 0.965000 3.930000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.840000 0.765000 4.010000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.470000 0.735000 7.845000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.520000 0.765000 7.690000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.780000 0.735000 4.070000 0.780000 ;
+        RECT 3.780000 0.780000 7.750000 0.920000 ;
+        RECT 3.780000 0.920000 4.070000 0.965000 ;
+        RECT 7.460000 0.735000 7.750000 0.780000 ;
+        RECT 7.460000 0.920000 7.750000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.420000  0.635000  2.125000 0.825000 ;
+      RECT  1.420000  0.825000  1.590000 1.795000 ;
+      RECT  1.420000  1.795000  2.125000 1.965000 ;
+      RECT  1.445000  0.085000  1.785000 0.465000 ;
+      RECT  1.445000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.340000  0.705000  2.560000 1.575000 ;
+      RECT  2.340000  1.575000  2.840000 1.955000 ;
+      RECT  2.350000  2.250000  3.180000 2.420000 ;
+      RECT  2.415000  0.265000  3.410000 0.465000 ;
+      RECT  2.740000  0.645000  3.070000 1.015000 ;
+      RECT  3.010000  1.195000  3.410000 1.235000 ;
+      RECT  3.010000  1.235000  4.360000 1.405000 ;
+      RECT  3.010000  1.405000  3.180000 2.250000 ;
+      RECT  3.240000  0.465000  3.410000 1.195000 ;
+      RECT  3.350000  1.575000  3.600000 1.785000 ;
+      RECT  3.350000  1.785000  4.700000 2.035000 ;
+      RECT  3.420000  2.205000  3.800000 2.635000 ;
+      RECT  3.580000  0.085000  3.750000 0.525000 ;
+      RECT  3.920000  0.255000  5.170000 0.425000 ;
+      RECT  3.920000  0.425000  4.250000 0.545000 ;
+      RECT  4.100000  2.035000  4.270000 2.375000 ;
+      RECT  4.110000  1.405000  4.360000 1.485000 ;
+      RECT  4.140000  1.155000  4.360000 1.235000 ;
+      RECT  4.420000  0.595000  4.750000 0.765000 ;
+      RECT  4.530000  0.765000  4.750000 0.895000 ;
+      RECT  4.530000  0.895000  5.840000 1.065000 ;
+      RECT  4.530000  1.065000  4.700000 1.785000 ;
+      RECT  4.870000  1.235000  5.200000 1.415000 ;
+      RECT  4.870000  1.415000  5.875000 1.655000 ;
+      RECT  4.890000  1.915000  5.220000 2.635000 ;
+      RECT  4.920000  0.425000  5.170000 0.715000 ;
+      RECT  5.360000  0.085000  5.690000 0.465000 ;
+      RECT  5.510000  1.065000  5.840000 1.235000 ;
+      RECT  6.075000  1.575000  6.310000 1.985000 ;
+      RECT  6.135000  0.705000  6.420000 1.125000 ;
+      RECT  6.135000  1.125000  6.755000 1.305000 ;
+      RECT  6.265000  2.250000  7.095000 2.420000 ;
+      RECT  6.330000  0.265000  7.095000 0.465000 ;
+      RECT  6.550000  1.305000  6.755000 1.905000 ;
+      RECT  6.925000  0.465000  7.095000 1.235000 ;
+      RECT  6.925000  1.235000  8.275000 1.405000 ;
+      RECT  6.925000  1.405000  7.095000 2.250000 ;
+      RECT  7.265000  1.575000  7.515000 1.915000 ;
+      RECT  7.265000  1.915000 10.070000 2.085000 ;
+      RECT  7.275000  0.085000  7.535000 0.525000 ;
+      RECT  7.335000  2.255000  7.715000 2.635000 ;
+      RECT  7.795000  0.255000  8.965000 0.425000 ;
+      RECT  7.795000  0.425000  8.125000 0.545000 ;
+      RECT  7.955000  2.085000  8.125000 2.375000 ;
+      RECT  8.055000  1.075000  8.275000 1.235000 ;
+      RECT  8.295000  0.595000  8.625000 0.780000 ;
+      RECT  8.445000  0.780000  8.625000 1.915000 ;
+      RECT  8.655000  2.255000 10.070000 2.635000 ;
+      RECT  8.795000  0.425000  8.965000 0.585000 ;
+      RECT  8.795000  0.755000  9.500000 0.925000 ;
+      RECT  8.795000  0.925000  9.070000 1.575000 ;
+      RECT  8.795000  1.575000  9.570000 1.745000 ;
+      RECT  9.280000  0.265000  9.500000 0.755000 ;
+      RECT  9.740000  0.085000 10.070000 0.805000 ;
+      RECT  9.900000  0.995000 10.140000 1.325000 ;
+      RECT  9.900000  1.325000 10.070000 1.915000 ;
+      RECT 10.680000  0.085000 10.910000 0.885000 ;
+      RECT 10.680000  1.465000 10.910000 2.635000 ;
+      RECT 11.215000  0.255000 11.470000 0.995000 ;
+      RECT 11.215000  0.995000 11.990000 1.325000 ;
+      RECT 11.215000  1.325000 11.470000 2.415000 ;
+      RECT 11.650000  0.085000 11.945000 0.545000 ;
+      RECT 11.650000  1.765000 11.945000 2.635000 ;
+      RECT 12.515000  0.085000 12.795000 0.885000 ;
+      RECT 12.515000  1.465000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  1.785000  1.240000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.460000  1.785000  2.630000 1.955000 ;
+      RECT  2.900000  0.765000  3.070000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.680000  1.445000  5.850000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.140000  1.785000  6.310000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.445000  9.070000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.130000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 6.370000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.400000 1.755000 2.690000 1.800000 ;
+      RECT 2.400000 1.940000 2.690000 1.985000 ;
+      RECT 2.840000 0.735000 3.130000 0.780000 ;
+      RECT 2.840000 0.920000 3.130000 0.965000 ;
+      RECT 2.935000 0.965000 3.130000 1.120000 ;
+      RECT 2.935000 1.120000 6.370000 1.260000 ;
+      RECT 5.620000 1.415000 5.910000 1.460000 ;
+      RECT 5.620000 1.460000 9.130000 1.600000 ;
+      RECT 5.620000 1.600000 5.910000 1.645000 ;
+      RECT 6.080000 1.075000 6.370000 1.120000 ;
+      RECT 6.080000 1.260000 6.370000 1.305000 ;
+      RECT 6.080000 1.755000 6.370000 1.800000 ;
+      RECT 6.080000 1.940000 6.370000 1.985000 ;
+      RECT 8.840000 1.415000 9.130000 1.460000 ;
+      RECT 8.840000 1.600000 9.130000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_2
+MACRO sky130_fd_sc_hd__dlygate4sd1_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.555000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.410000 0.255000 2.700000 0.825000 ;
+        RECT 2.440000 1.495000 2.700000 2.465000 ;
+        RECT 2.530000 0.825000 2.700000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.785000 0.895000 2.005000 ;
+      RECT 0.085000  2.005000 0.380000 2.465000 ;
+      RECT 0.095000  0.255000 0.380000 0.715000 ;
+      RECT 0.095000  0.715000 0.895000 0.885000 ;
+      RECT 0.550000  0.085000 0.765000 0.545000 ;
+      RECT 0.550000  2.175000 0.765000 2.635000 ;
+      RECT 0.725000  0.885000 0.895000 0.995000 ;
+      RECT 0.725000  0.995000 0.980000 1.325000 ;
+      RECT 0.725000  1.325000 0.895000 1.785000 ;
+      RECT 0.935000  0.255000 1.320000 0.545000 ;
+      RECT 0.935000  2.175000 1.320000 2.465000 ;
+      RECT 1.150000  0.545000 1.320000 1.075000 ;
+      RECT 1.150000  1.075000 1.900000 1.275000 ;
+      RECT 1.150000  1.275000 1.320000 2.175000 ;
+      RECT 1.515000  0.255000 1.740000 0.735000 ;
+      RECT 1.515000  0.735000 2.240000 0.905000 ;
+      RECT 1.515000  1.575000 2.240000 1.745000 ;
+      RECT 1.515000  1.745000 1.740000 2.430000 ;
+      RECT 1.910000  0.085000 2.240000 0.565000 ;
+      RECT 1.910000  1.915000 2.270000 2.635000 ;
+      RECT 2.070000  0.905000 2.240000 0.995000 ;
+      RECT 2.070000  0.995000 2.360000 1.325000 ;
+      RECT 2.070000  1.325000 2.240000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd1_1
+MACRO sky130_fd_sc_hd__and2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_1
+MACRO sky130_fd_sc_hd__and2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.995000 0.435000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.515000 1.720000 0.615000 ;
+        RECT 1.530000 0.615000 3.135000 0.845000 ;
+        RECT 1.530000 1.535000 3.135000 1.760000 ;
+        RECT 1.530000 1.760000 1.720000 2.465000 ;
+        RECT 2.390000 0.255000 2.580000 0.615000 ;
+        RECT 2.390000 1.760000 3.135000 1.765000 ;
+        RECT 2.390000 1.765000 2.580000 2.465000 ;
+        RECT 2.855000 0.845000 3.135000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.360000 0.805000 ;
+      RECT 0.095000  1.880000 0.425000 2.635000 ;
+      RECT 0.605000  1.580000 1.360000 1.750000 ;
+      RECT 0.605000  1.750000 0.785000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  1.935000 1.320000 2.635000 ;
+      RECT 1.150000  0.805000 1.360000 1.020000 ;
+      RECT 1.150000  1.020000 2.685000 1.355000 ;
+      RECT 1.150000  1.355000 1.360000 1.580000 ;
+      RECT 1.890000  0.085000 2.220000 0.445000 ;
+      RECT 1.890000  1.935000 2.220000 2.635000 ;
+      RECT 2.750000  0.085000 3.080000 0.445000 ;
+      RECT 2.750000  1.935000 3.080000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_4
+MACRO sky130_fd_sc_hd__and2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.185000 0.430000 1.955000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.080000 1.270000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.280900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.255000 2.215000 0.525000 ;
+        RECT 1.790000 1.835000 2.215000 2.465000 ;
+        RECT 1.950000 0.525000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.160000  2.175000 0.430000 2.635000 ;
+      RECT 0.185000  0.280000 0.490000 0.695000 ;
+      RECT 0.185000  0.695000 1.780000 0.910000 ;
+      RECT 0.185000  0.910000 0.770000 0.950000 ;
+      RECT 0.600000  0.950000 0.770000 2.135000 ;
+      RECT 0.600000  2.135000 0.865000 2.465000 ;
+      RECT 0.950000  0.085000 1.390000 0.525000 ;
+      RECT 1.110000  1.835000 1.620000 2.635000 ;
+      RECT 1.450000  0.910000 1.780000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_0
+MACRO sky130_fd_sc_hd__and2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.775000 1.325000 ;
+        RECT 0.085000 1.325000 0.400000 1.765000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.643500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.665000 0.255000 2.215000 0.545000 ;
+        RECT 1.765000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.245000  0.085000 1.495000 0.545000 ;
+      RECT 1.245000  1.915000 1.575000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+      RECT 2.385000  0.085000 2.675000 0.885000 ;
+      RECT 2.385000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_2
+MACRO sky130_fd_sc_hd__sdfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.519750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.035000 0.255000 12.365000 0.825000 ;
+        RECT 12.035000 1.495000 12.365000 2.450000 ;
+        RECT 12.145000 0.825000 12.365000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.570000  0.085000 11.865000 0.825000 ;
+      RECT 11.570000  1.790000 11.820000 2.635000 ;
+      RECT 12.535000  0.085000 12.795000 0.885000 ;
+      RECT 12.535000  1.495000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_2
+MACRO sky130_fd_sc_hd__sdfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.275000 12.335000 0.825000 ;
+        RECT 11.995000 1.495000 12.335000 2.450000 ;
+        RECT 12.145000 0.825000 12.335000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.825000 0.825000 ;
+      RECT 11.515000  1.790000 11.825000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_1
+MACRO sky130_fd_sc_hd__sdfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.040000 0.275000 12.370000 0.825000 ;
+        RECT 12.040000 1.495000 12.370000 2.450000 ;
+        RECT 12.145000 0.825000 12.370000 1.055000 ;
+        RECT 12.145000 1.055000 13.210000 1.325000 ;
+        RECT 12.145000 1.325000 12.370000 1.495000 ;
+        RECT 12.880000 0.255000 13.210000 1.055000 ;
+        RECT 12.880000 1.325000 13.210000 2.465000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.990000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.870000 0.825000 ;
+      RECT 11.515000  1.495000 11.870000 2.635000 ;
+      RECT 12.540000  0.085000 12.710000 0.885000 ;
+      RECT 12.540000  1.495000 12.710000 2.635000 ;
+      RECT 13.380000  0.085000 13.715000 0.885000 ;
+      RECT 13.380000  1.495000 13.715000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_4
+MACRO sky130_fd_sc_hd__dfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.320000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.925000 0.265000  9.170000 0.715000 ;
+        RECT  8.925000 0.715000 10.955000 0.885000 ;
+        RECT  8.925000 1.470000 10.955000 1.640000 ;
+        RECT  8.925000 1.640000  9.170000 2.465000 ;
+        RECT  9.765000 0.265000  9.935000 0.715000 ;
+        RECT  9.765000 1.640000  9.935000 2.465000 ;
+        RECT 10.605000 0.265000 10.955000 0.715000 ;
+        RECT 10.605000 1.640000 10.955000 2.465000 ;
+        RECT 10.725000 0.885000 10.955000 1.470000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.880000  0.725000  6.150000 0.895000 ;
+      RECT  4.880000  0.895000  5.050000 1.655000 ;
+      RECT  4.880000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.740000 2.415000 ;
+      RECT  5.220000  1.065000  5.400000 1.475000 ;
+      RECT  5.570000  1.235000  7.470000 1.405000 ;
+      RECT  5.570000  1.405000  5.740000 1.915000 ;
+      RECT  5.570000  1.915000  6.780000 2.085000 ;
+      RECT  5.570000  2.085000  5.740000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.910000  1.575000  7.850000 1.745000 ;
+      RECT  5.920000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.850000 0.680000 ;
+      RECT  7.510000  1.745000  7.850000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.850000 1.575000 ;
+      RECT  7.950000  1.915000  8.280000 2.425000 ;
+      RECT  8.030000  0.345000  8.280000 1.055000 ;
+      RECT  8.030000  1.055000 10.555000 1.275000 ;
+      RECT  8.030000  1.275000  8.280000 1.915000 ;
+      RECT  8.460000  0.085000  8.745000 0.545000 ;
+      RECT  8.460000  1.835000  8.745000 2.635000 ;
+      RECT  9.340000  0.085000  9.595000 0.545000 ;
+      RECT  9.340000  1.810000  9.595000 2.635000 ;
+      RECT 10.105000  0.085000 10.435000 0.545000 ;
+      RECT 10.105000  1.810000 10.435000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.225000  1.105000  5.395000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 5.435000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_4
+MACRO sky130_fd_sc_hd__dfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.575000 1.615000 ;
+        RECT 8.810000 1.615000 9.140000 2.460000 ;
+        RECT 8.890000 0.265000 9.135000 0.765000 ;
+        RECT 8.890000 0.765000 9.575000 0.825000 ;
+        RECT 8.975000 0.825000 9.575000 0.855000 ;
+        RECT 8.975000 1.445000 9.575000 1.495000 ;
+        RECT 8.990000 0.855000 9.575000 0.895000 ;
+        RECT 9.020000 0.895000 9.575000 1.445000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.340000 1.005000 ;
+        RECT 6.660000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.835000 0.805000 ;
+      RECT 0.085000  1.795000 0.835000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.835000 1.795000 ;
+      RECT 1.005000  0.565000 1.235000 2.045000 ;
+      RECT 1.015000  0.345000 1.235000 0.565000 ;
+      RECT 1.015000  2.045000 1.235000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.710000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.710000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.710000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.880000  0.725000 6.150000 0.895000 ;
+      RECT 4.880000  0.895000 5.050000 1.655000 ;
+      RECT 4.880000  1.655000 5.400000 1.965000 ;
+      RECT 5.110000  2.165000 5.740000 2.415000 ;
+      RECT 5.220000  1.065000 5.400000 1.475000 ;
+      RECT 5.570000  1.235000 7.490000 1.405000 ;
+      RECT 5.570000  1.405000 5.740000 1.915000 ;
+      RECT 5.570000  1.915000 6.780000 2.085000 ;
+      RECT 5.570000  2.085000 5.740000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.800000  0.895000 6.150000 1.015000 ;
+      RECT 5.910000  1.575000 7.880000 1.745000 ;
+      RECT 5.920000  2.255000 6.340000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.540000  2.085000 6.780000 2.375000 ;
+      RECT 6.690000  0.085000 7.330000 0.565000 ;
+      RECT 7.010000  1.945000 7.340000 2.635000 ;
+      RECT 7.140000  1.175000 7.490000 1.235000 ;
+      RECT 7.510000  1.745000 7.880000 1.765000 ;
+      RECT 7.510000  1.765000 7.680000 2.375000 ;
+      RECT 7.530000  0.350000 7.880000 0.680000 ;
+      RECT 7.690000  0.680000 7.880000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.220000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.470000  1.495000 8.640000 2.635000 ;
+      RECT 9.305000  0.085000 9.575000 0.595000 ;
+      RECT 9.310000  1.785000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.785000 0.775000 1.955000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  0.765000 1.235000 0.935000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  0.765000 3.075000 0.935000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.105000 5.395000 1.275000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.755000 0.835000 1.800000 ;
+      RECT 0.545000 1.800000 5.435000 1.940000 ;
+      RECT 0.545000 1.940000 0.835000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_2
+MACRO sky130_fd_sc_hd__dfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.945000 0.265000 9.200000 0.795000 ;
+        RECT 8.945000 1.655000 9.200000 2.325000 ;
+        RECT 9.020000 0.795000 9.200000 1.655000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.850000 0.765000 4.020000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.680000 0.735000 7.340000 1.005000 ;
+        RECT 6.680000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.110000 0.765000 7.280000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.790000 0.735000 4.080000 0.780000 ;
+        RECT 3.790000 0.780000 7.340000 0.920000 ;
+        RECT 3.790000 0.920000 4.080000 0.965000 ;
+        RECT 7.050000 0.735000 7.340000 0.780000 ;
+        RECT 7.050000 0.920000 7.340000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.730000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.730000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.730000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.900000  0.725000 6.150000 0.895000 ;
+      RECT 4.900000  0.895000 5.070000 1.655000 ;
+      RECT 4.900000  1.655000 5.420000 1.965000 ;
+      RECT 5.130000  2.165000 5.760000 2.415000 ;
+      RECT 5.240000  1.065000 5.420000 1.475000 ;
+      RECT 5.590000  1.235000 7.490000 1.405000 ;
+      RECT 5.590000  1.405000 5.760000 1.915000 ;
+      RECT 5.590000  1.915000 6.800000 2.085000 ;
+      RECT 5.590000  2.085000 5.760000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.820000  0.895000 6.150000 1.015000 ;
+      RECT 5.930000  1.575000 7.850000 1.745000 ;
+      RECT 5.940000  2.255000 6.360000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.560000  2.085000 6.800000 2.375000 ;
+      RECT 6.690000  0.085000 7.350000 0.565000 ;
+      RECT 7.030000  1.945000 7.360000 2.635000 ;
+      RECT 7.160000  1.175000 7.490000 1.235000 ;
+      RECT 7.530000  0.350000 7.850000 0.680000 ;
+      RECT 7.530000  1.745000 7.850000 1.765000 ;
+      RECT 7.530000  1.765000 7.700000 2.375000 ;
+      RECT 7.660000  0.680000 7.850000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.300000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.480000  0.085000 8.765000 0.545000 ;
+      RECT 8.480000  1.835000 8.765000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  0.765000 3.100000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.250000  1.105000 5.420000 1.275000 ;
+      RECT 5.250000  1.785000 5.420000 1.955000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 5.480000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.160000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 0.735000 3.160000 0.780000 ;
+      RECT 2.870000 0.920000 3.160000 0.965000 ;
+      RECT 2.945000 0.965000 3.160000 1.120000 ;
+      RECT 2.945000 1.120000 5.480000 1.260000 ;
+      RECT 5.190000 1.075000 5.480000 1.120000 ;
+      RECT 5.190000 1.260000 5.480000 1.305000 ;
+      RECT 5.190000 1.755000 5.480000 1.800000 ;
+      RECT 5.190000 1.940000 5.480000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfstp_1
+MACRO sky130_fd_sc_hd__probec_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met4 ;
+        RECT -1.140000 0.770000 0.040000 1.950000 ;
+        RECT  1.460000 0.770000 2.640000 1.950000 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -1.260000  0.560000 2.760000 2.160000 ;
+        RECT  1.160000 -1.105000 2.760000 0.560000 ;
+        RECT  1.160000  2.160000 2.760000 3.825000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 -1.170000 6.675000 0.560000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 2.160000 6.675000 3.890000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.870000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.305000  1.105000 4.475000 1.275000 ;
+      RECT 4.665000  1.105000 4.835000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
+      RECT 0.000000 -0.130000 5.840000  0.130000 ;
+      RECT 0.000000  0.130000 5.520000  0.240000 ;
+      RECT 0.000000  2.480000 5.520000  2.590000 ;
+      RECT 0.000000  2.590000 5.840000  2.850000 ;
+      RECT 0.000000  2.850000 5.520000  2.960000 ;
+      RECT 2.020000  1.060000 2.660000  1.120000 ;
+      RECT 2.020000  1.120000 4.895000  1.260000 ;
+      RECT 2.020000  1.260000 2.660000  1.320000 ;
+      RECT 4.245000  1.075000 4.895000  1.120000 ;
+      RECT 4.245000  1.260000 4.895000  1.305000 ;
+    LAYER met2 ;
+      RECT 1.890000  1.050000 2.660000 1.330000 ;
+      RECT 5.135000 -0.140000 5.905000 0.140000 ;
+      RECT 5.135000  2.580000 5.905000 2.860000 ;
+    LAYER met3 ;
+      RECT -0.715000  1.030000 0.065000 1.350000 ;
+      RECT  1.885000  1.025000 2.665000 1.355000 ;
+      RECT  5.130000 -0.165000 5.910000 0.165000 ;
+      RECT  5.130000  2.555000 5.910000 2.885000 ;
+    LAYER met4 ;
+      RECT 4.930000 -0.895000 6.110000 0.285000 ;
+      RECT 4.930000  2.435000 6.110000 3.615000 ;
+    LAYER via ;
+      RECT 2.050000  1.060000 2.310000 1.320000 ;
+      RECT 2.370000  1.060000 2.630000 1.320000 ;
+      RECT 5.230000 -0.130000 5.490000 0.130000 ;
+      RECT 5.230000  2.590000 5.490000 2.850000 ;
+      RECT 5.550000 -0.130000 5.810000 0.130000 ;
+      RECT 5.550000  2.590000 5.810000 2.850000 ;
+    LAYER via2 ;
+      RECT 1.935000  1.050000 2.215000 1.330000 ;
+      RECT 2.335000  1.050000 2.615000 1.330000 ;
+      RECT 5.180000 -0.140000 5.460000 0.140000 ;
+      RECT 5.180000  2.580000 5.460000 2.860000 ;
+      RECT 5.580000 -0.140000 5.860000 0.140000 ;
+      RECT 5.580000  2.580000 5.860000 2.860000 ;
+    LAYER via3 ;
+      RECT -0.685000  1.030000 -0.365000 1.350000 ;
+      RECT -0.285000  1.030000  0.035000 1.350000 ;
+      RECT  1.915000  1.030000  2.235000 1.350000 ;
+      RECT  2.315000  1.030000  2.635000 1.350000 ;
+      RECT  5.160000 -0.160000  5.480000 0.160000 ;
+      RECT  5.160000  2.560000  5.480000 2.880000 ;
+      RECT  5.560000 -0.160000  5.880000 0.160000 ;
+      RECT  5.560000  2.560000  5.880000 2.880000 ;
+  END
+END sky130_fd_sc_hd__probec_p_8
+MACRO sky130_fd_sc_hd__sdfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.825000 ;
+        RECT 13.915000 1.605000 14.175000 2.465000 ;
+        RECT 13.965000 0.825000 14.175000 1.605000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.025000 1.720000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.345000 2.180000 0.845000 ;
+        RECT 1.960000 0.845000 2.415000 1.015000 ;
+        RECT 1.960000 1.015000 2.180000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.455000  0.085000  1.705000 0.635000 ;
+      RECT  1.455000  1.885000  1.785000 2.635000 ;
+      RECT  2.235000  1.875000  2.565000 2.385000 ;
+      RECT  2.350000  0.265000  2.755000 0.595000 ;
+      RECT  2.350000  1.185000  3.075000 1.365000 ;
+      RECT  2.350000  1.365000  2.565000 1.875000 ;
+      RECT  2.585000  0.595000  2.755000 1.075000 ;
+      RECT  2.585000  1.075000  3.075000 1.185000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.925000  0.305000  3.125000 0.625000 ;
+      RECT  2.925000  0.625000  3.645000 0.765000 ;
+      RECT  2.925000  0.765000  3.770000 0.795000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.395000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.700000  0.595000  7.030000 0.765000 ;
+      RECT  6.815000  0.765000  7.030000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.200000  0.425000  7.395000 0.715000 ;
+      RECT  7.640000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.430000 0.465000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.645000 10.905000 0.815000 ;
+      RECT 10.730000  0.815000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.765000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.765000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.450000  1.765000 13.745000 2.635000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  1.785000  0.775000 1.955000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 1.755000  0.835000 1.800000 ;
+      RECT  0.545000 1.800000  8.655000 1.940000 ;
+      RECT  0.545000 1.940000  0.835000 1.985000 ;
+      RECT  1.005000 0.735000  1.295000 0.780000 ;
+      RECT  1.005000 0.780000  5.435000 0.920000 ;
+      RECT  1.005000 0.920000  1.295000 0.965000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbp_1
+MACRO sky130_fd_sc_hd__a32o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.280000 1.075000 5.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.335000 1.075000 4.030000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.295000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.630000 1.075000 6.780000 1.625000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 1.075000 7.710000 1.295000 ;
+        RECT 7.030000 1.295000 7.225000 1.635000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.635000 1.605000 0.805000 ;
+        RECT 0.120000 0.805000 0.340000 1.495000 ;
+        RECT 0.120000 1.495000 1.605000 1.665000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.665000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.665000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.570000  0.995000 1.970000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.800000  1.325000 1.970000 1.495000 ;
+      RECT 1.800000  1.495000 5.450000 1.665000 ;
+      RECT 2.275000  0.255000 2.445000 0.655000 ;
+      RECT 2.275000  0.655000 3.885000 0.825000 ;
+      RECT 2.275000  1.915000 5.065000 2.085000 ;
+      RECT 2.275000  2.085000 2.445000 2.465000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  2.255000 2.945000 2.635000 ;
+      RECT 3.135000  0.295000 5.145000 0.465000 ;
+      RECT 3.215000  2.085000 3.385000 2.465000 ;
+      RECT 3.555000  2.255000 3.885000 2.635000 ;
+      RECT 4.055000  2.085000 4.225000 2.465000 ;
+      RECT 4.395000  0.635000 6.425000 0.805000 ;
+      RECT 4.395000  2.255000 4.725000 2.635000 ;
+      RECT 4.895000  2.085000 5.065000 2.255000 ;
+      RECT 4.895000  2.255000 7.725000 2.425000 ;
+      RECT 5.280000  0.805000 5.450000 1.495000 ;
+      RECT 5.280000  1.665000 5.450000 1.905000 ;
+      RECT 5.280000  1.905000 6.200000 1.915000 ;
+      RECT 5.280000  1.915000 7.305000 2.075000 ;
+      RECT 5.670000  0.295000 6.805000 0.465000 ;
+      RECT 6.135000  2.075000 7.305000 2.085000 ;
+      RECT 6.635000  0.255000 6.805000 0.295000 ;
+      RECT 6.635000  0.465000 6.805000 0.645000 ;
+      RECT 6.635000  0.645000 7.645000 0.815000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.475000  0.255000 7.645000 0.645000 ;
+      RECT 7.475000  1.755000 7.725000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_4
+MACRO sky130_fd_sc_hd__a32o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.665000 2.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.665000 1.800000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.995000 1.320000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.660000 2.870000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.180000 0.995000 3.530000 1.325000 ;
+        RECT 3.325000 1.325000 3.530000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.300000 0.425000 0.560000 ;
+        RECT 0.090000 0.560000 0.345000 1.915000 ;
+        RECT 0.090000 1.915000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.570000  0.995000 0.875000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.675000  1.835000 1.005000 2.635000 ;
+      RECT 0.705000  0.655000 1.265000 0.825000 ;
+      RECT 0.705000  0.825000 0.875000 0.995000 ;
+      RECT 0.705000  1.325000 0.875000 1.495000 ;
+      RECT 0.705000  1.495000 3.075000 1.665000 ;
+      RECT 1.095000  0.315000 2.710000 0.485000 ;
+      RECT 1.095000  0.485000 1.265000 0.655000 ;
+      RECT 1.250000  1.875000 2.675000 2.045000 ;
+      RECT 1.250000  2.045000 1.535000 2.465000 ;
+      RECT 1.790000  2.215000 2.120000 2.635000 ;
+      RECT 2.345000  2.045000 2.675000 2.295000 ;
+      RECT 2.345000  2.295000 3.505000 2.465000 ;
+      RECT 2.905000  1.665000 3.075000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.805000 ;
+      RECT 3.335000  1.795000 3.505000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_1
+MACRO sky130_fd_sc_hd__a32o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.955000 2.985000 1.325000 ;
+        RECT 2.755000 0.415000 3.105000 0.610000 ;
+        RECT 2.755000 0.610000 2.985000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.165000 0.995000 3.545000 1.325000 ;
+        RECT 3.305000 0.425000 3.545000 0.995000 ;
+        RECT 3.305000 1.325000 3.545000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.995000 4.055000 1.630000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 1.075000 2.515000 1.245000 ;
+        RECT 2.345000 1.245000 2.515000 1.445000 ;
+        RECT 2.345000 1.445000 2.550000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.745000 1.530000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.695500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.655000 0.845000 0.825000 ;
+        RECT 0.135000 0.825000 0.345000 1.785000 ;
+        RECT 0.135000 1.785000 1.185000 1.955000 ;
+        RECT 0.135000 1.955000 0.345000 2.465000 ;
+        RECT 1.015000 1.955000 1.185000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 0.535000  0.995000 0.705000 1.445000 ;
+      RECT 0.535000  1.445000 2.125000 1.615000 ;
+      RECT 0.935000  0.085000 1.640000 0.445000 ;
+      RECT 1.535000  1.785000 1.705000 2.295000 ;
+      RECT 1.535000  2.295000 2.545000 2.465000 ;
+      RECT 1.700000  0.615000 2.585000 0.785000 ;
+      RECT 1.700000  0.785000 1.890000 1.445000 ;
+      RECT 1.875000  1.615000 2.125000 1.945000 ;
+      RECT 1.875000  1.945000 2.205000 2.115000 ;
+      RECT 2.255000  0.275000 2.585000 0.615000 ;
+      RECT 2.375000  1.795000 3.545000 1.965000 ;
+      RECT 2.375000  1.965000 2.545000 2.295000 ;
+      RECT 2.715000  2.140000 3.045000 2.635000 ;
+      RECT 3.375000  1.965000 3.545000 2.465000 ;
+      RECT 3.715000  0.085000 4.050000 0.805000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_2
+MACRO sky130_fd_sc_hd__and2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.450000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.645000 2.200000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.580000 2.680000 2.365000 ;
+        RECT 2.445000 0.255000 2.680000 0.775000 ;
+        RECT 2.505000 0.775000 2.680000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.855000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.620000  0.655000 0.835000 0.805000 ;
+      RECT 0.620000  0.805000 1.175000 1.135000 ;
+      RECT 0.620000  1.135000 0.855000 1.785000 ;
+      RECT 1.045000  1.305000 2.335000 1.325000 ;
+      RECT 1.045000  1.325000 1.905000 1.475000 ;
+      RECT 1.045000  1.475000 1.330000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.515000 0.610000 ;
+      RECT 1.345000  0.610000 1.515000 0.945000 ;
+      RECT 1.345000  0.945000 2.335000 1.305000 ;
+      RECT 1.510000  2.165000 2.195000 2.635000 ;
+      RECT 1.875000  0.085000 2.275000 0.580000 ;
+      RECT 2.865000  0.085000 3.135000 0.720000 ;
+      RECT 2.865000  1.680000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_2
+MACRO sky130_fd_sc_hd__and2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_1
+MACRO sky130_fd_sc_hd__and2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.625000 3.155000 0.995000 ;
+        RECT 2.900000 0.995000 3.205000 1.325000 ;
+        RECT 2.900000 1.325000 3.155000 1.745000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 0.975000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 1.535000 2.730000 1.745000 ;
+        RECT 1.525000 0.495000 1.715000 0.615000 ;
+        RECT 1.525000 0.615000 2.730000 0.825000 ;
+        RECT 2.440000 0.825000 2.730000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.615000 ;
+      RECT 0.090000  0.615000 1.355000 0.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.165000  0.995000 0.425000 1.325000 ;
+      RECT 0.165000  1.325000 0.335000 1.915000 ;
+      RECT 0.165000  1.915000 3.505000 2.085000 ;
+      RECT 0.515000  1.500000 1.315000 1.745000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  2.275000 1.320000 2.635000 ;
+      RECT 1.110000  1.435000 1.320000 1.485000 ;
+      RECT 1.110000  1.485000 1.315000 1.500000 ;
+      RECT 1.145000  0.805000 1.355000 0.995000 ;
+      RECT 1.145000  0.995000 2.260000 1.355000 ;
+      RECT 1.145000  1.355000 1.320000 1.435000 ;
+      RECT 1.885000  0.085000 2.215000 0.445000 ;
+      RECT 1.905000  2.275000 2.235000 2.635000 ;
+      RECT 2.745000  0.085000 3.075000 0.445000 ;
+      RECT 2.745000  2.275000 3.075000 2.635000 ;
+      RECT 3.330000  0.495000 3.500000 0.675000 ;
+      RECT 3.330000  0.675000 3.545000 0.845000 ;
+      RECT 3.335000  1.530000 3.545000 1.700000 ;
+      RECT 3.335000  1.700000 3.505000 1.915000 ;
+      RECT 3.375000  0.845000 3.545000 1.530000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_4
+MACRO sky130_fd_sc_hd__bufinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.505000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.260000 3.045000 0.735000 ;
+        RECT 2.715000 0.735000 6.355000 0.905000 ;
+        RECT 2.715000 1.445000 6.355000 1.615000 ;
+        RECT 2.715000 1.615000 3.045000 2.465000 ;
+        RECT 3.555000 0.260000 3.885000 0.735000 ;
+        RECT 3.555000 1.615000 3.885000 2.465000 ;
+        RECT 4.395000 0.260000 4.725000 0.735000 ;
+        RECT 4.395000 1.615000 4.725000 2.465000 ;
+        RECT 5.235000 0.260000 5.565000 0.735000 ;
+        RECT 5.235000 1.615000 5.565000 2.465000 ;
+        RECT 5.970000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.260000 0.845000 0.905000 ;
+      RECT 0.515000  1.545000 0.845000 2.465000 ;
+      RECT 0.675000  0.905000 0.845000 1.075000 ;
+      RECT 0.675000  1.075000 2.205000 1.275000 ;
+      RECT 0.675000  1.275000 0.845000 1.545000 ;
+      RECT 1.035000  0.260000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.545000 0.905000 ;
+      RECT 1.035000  1.445000 2.545000 1.615000 ;
+      RECT 1.035000  1.615000 1.365000 2.465000 ;
+      RECT 1.535000  0.085000 1.705000 0.565000 ;
+      RECT 1.535000  1.785000 1.705000 2.635000 ;
+      RECT 1.875000  0.260000 2.205000 0.735000 ;
+      RECT 1.875000  1.615000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.545000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 5.760000 1.275000 ;
+      RECT 2.375000  1.275000 2.545000 1.445000 ;
+      RECT 2.375000  1.785000 2.545000 2.635000 ;
+      RECT 3.215000  0.085000 3.385000 0.565000 ;
+      RECT 3.215000  1.835000 3.385000 2.635000 ;
+      RECT 4.055000  0.085000 4.225000 0.565000 ;
+      RECT 4.055000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.565000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.565000 ;
+      RECT 5.735000  1.835000 5.905000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_8
+MACRO sky130_fd_sc_hd__bufinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.265000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  4.295000 0.255000  4.545000 0.260000 ;
+        RECT  4.295000 0.260000  4.625000 0.735000 ;
+        RECT  4.295000 0.735000 10.955000 0.905000 ;
+        RECT  4.295000 1.445000 10.955000 1.615000 ;
+        RECT  4.295000 1.615000  4.625000 2.465000 ;
+        RECT  5.135000 0.260000  5.465000 0.735000 ;
+        RECT  5.135000 1.615000  5.465000 2.465000 ;
+        RECT  5.215000 0.255000  5.385000 0.260000 ;
+        RECT  5.975000 0.260000  6.305000 0.735000 ;
+        RECT  5.975000 1.615000  6.305000 2.465000 ;
+        RECT  6.055000 0.255000  6.225000 0.260000 ;
+        RECT  6.815000 0.260000  7.145000 0.735000 ;
+        RECT  6.815000 1.615000  7.145000 2.465000 ;
+        RECT  7.655000 0.260000  7.985000 0.735000 ;
+        RECT  7.655000 1.615000  7.985000 2.465000 ;
+        RECT  8.495000 0.260000  8.825000 0.735000 ;
+        RECT  8.495000 1.615000  8.825000 2.465000 ;
+        RECT  9.335000 0.260000  9.665000 0.735000 ;
+        RECT  9.335000 1.615000  9.665000 2.465000 ;
+        RECT 10.175000 0.260000 10.505000 0.735000 ;
+        RECT 10.175000 1.615000 10.505000 2.465000 ;
+        RECT 10.680000 0.905000 10.955000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.095000  0.260000  0.425000 0.735000 ;
+      RECT  0.095000  0.735000  1.605000 0.905000 ;
+      RECT  0.095000  1.445000  1.605000 1.615000 ;
+      RECT  0.095000  1.615000  0.425000 2.465000 ;
+      RECT  0.595000  0.085000  0.765000 0.565000 ;
+      RECT  0.595000  1.785000  0.765000 2.635000 ;
+      RECT  0.935000  0.260000  1.265000 0.735000 ;
+      RECT  0.935000  1.615000  1.265000 2.465000 ;
+      RECT  1.435000  0.085000  1.605000 0.565000 ;
+      RECT  1.435000  0.905000  1.605000 1.075000 ;
+      RECT  1.435000  1.075000  3.745000 1.275000 ;
+      RECT  1.435000  1.275000  1.605000 1.445000 ;
+      RECT  1.435000  1.785000  1.605000 2.635000 ;
+      RECT  1.775000  0.260000  2.105000 0.735000 ;
+      RECT  1.775000  0.735000  4.125000 0.905000 ;
+      RECT  1.775000  1.445000  4.125000 1.615000 ;
+      RECT  1.775000  1.615000  2.105000 2.465000 ;
+      RECT  2.275000  0.085000  2.445000 0.565000 ;
+      RECT  2.275000  1.835000  2.445000 2.635000 ;
+      RECT  2.615000  0.260000  2.945000 0.735000 ;
+      RECT  2.615000  1.615000  2.945000 2.465000 ;
+      RECT  3.115000  0.085000  3.285000 0.565000 ;
+      RECT  3.115000  1.835000  3.285000 2.635000 ;
+      RECT  3.455000  0.260000  3.785000 0.735000 ;
+      RECT  3.455000  1.615000  3.785000 2.465000 ;
+      RECT  3.950000  0.905000  4.125000 1.075000 ;
+      RECT  3.950000  1.075000 10.510000 1.275000 ;
+      RECT  3.950000  1.275000  4.125000 1.445000 ;
+      RECT  3.955000  0.085000  4.125000 0.565000 ;
+      RECT  3.955000  1.835000  4.125000 2.635000 ;
+      RECT  4.795000  0.085000  4.965000 0.565000 ;
+      RECT  4.795000  1.835000  4.965000 2.635000 ;
+      RECT  5.635000  0.085000  5.805000 0.565000 ;
+      RECT  5.635000  1.835000  5.805000 2.635000 ;
+      RECT  6.475000  0.085000  6.645000 0.565000 ;
+      RECT  6.475000  1.835000  6.645000 2.635000 ;
+      RECT  7.315000  0.085000  7.485000 0.565000 ;
+      RECT  7.315000  1.835000  7.485000 2.635000 ;
+      RECT  8.155000  0.085000  8.325000 0.565000 ;
+      RECT  8.155000  1.835000  8.325000 2.635000 ;
+      RECT  8.995000  0.085000  9.165000 0.565000 ;
+      RECT  8.995000  1.835000  9.165000 2.635000 ;
+      RECT  9.835000  0.085000 10.005000 0.565000 ;
+      RECT  9.835000  1.835000 10.005000 2.635000 ;
+      RECT 10.675000  0.085000 10.845000 0.565000 ;
+      RECT 10.675000  1.835000 10.845000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_16
+MACRO sky130_fd_sc_hd__a21o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.365000 2.620000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.810000 0.750000 3.125000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.790000 1.410000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.635000 0.955000 0.825000 ;
+        RECT 0.555000 0.825000 0.785000 2.465000 ;
+        RECT 0.765000 0.255000 0.955000 0.635000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  1.665000 0.385000 2.635000 ;
+      RECT 0.265000  0.085000 0.595000 0.465000 ;
+      RECT 0.955000  0.995000 1.295000 1.690000 ;
+      RECT 0.955000  1.690000 1.790000 1.920000 ;
+      RECT 0.955000  2.220000 1.285000 2.635000 ;
+      RECT 1.125000  0.085000 1.455000 0.445000 ;
+      RECT 1.125000  0.655000 1.865000 0.825000 ;
+      RECT 1.125000  0.825000 1.295000 0.995000 ;
+      RECT 1.475000  1.920000 1.790000 2.465000 ;
+      RECT 1.675000  0.255000 1.865000 0.655000 ;
+      RECT 1.960000  1.670000 3.075000 1.935000 ;
+      RECT 1.960000  1.935000 2.185000 2.465000 ;
+      RECT 2.355000  2.125000 2.685000 2.635000 ;
+      RECT 2.805000  0.085000 3.135000 0.565000 ;
+      RECT 2.855000  1.935000 3.075000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_2
+MACRO sky130_fd_sc_hd__a21o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.660000 1.015000 2.185000 1.325000 ;
+        RECT 1.955000 0.375000 2.185000 1.015000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 0.995000 2.665000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.015000 1.480000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.265000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.525000  1.905000 0.865000 2.635000 ;
+      RECT 0.545000  0.635000 1.775000 0.835000 ;
+      RECT 0.545000  0.835000 0.835000 1.505000 ;
+      RECT 0.545000  1.505000 1.315000 1.725000 ;
+      RECT 0.615000  0.085000 1.285000 0.455000 ;
+      RECT 1.045000  1.725000 1.315000 2.455000 ;
+      RECT 1.465000  0.265000 1.775000 0.635000 ;
+      RECT 1.495000  1.505000 2.655000 1.745000 ;
+      RECT 1.495000  1.745000 1.725000 2.455000 ;
+      RECT 1.895000  1.925000 2.225000 2.635000 ;
+      RECT 2.365000  0.085000 2.655000 0.815000 ;
+      RECT 2.395000  1.745000 2.655000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_1
+MACRO sky130_fd_sc_hd__a21o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.990000 1.010000 4.515000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.425000 1.010000 3.820000 1.275000 ;
+        RECT 3.645000 1.275000 3.820000 1.510000 ;
+        RECT 3.645000 1.510000 4.935000 1.680000 ;
+        RECT 4.685000 1.055000 5.100000 1.290000 ;
+        RECT 4.685000 1.290000 4.935000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.395000 0.995000 2.705000 1.525000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 1.735000 0.785000 ;
+        RECT 0.145000 0.785000 0.630000 1.585000 ;
+        RECT 0.145000 1.585000 1.735000 1.755000 ;
+        RECT 0.625000 1.755000 0.795000 2.185000 ;
+        RECT 1.485000 1.755000 1.735000 2.185000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.105000  0.085000 0.445000 0.445000 ;
+      RECT 0.115000  1.935000 0.445000 2.635000 ;
+      RECT 0.800000  0.995000 2.205000 1.325000 ;
+      RECT 0.975000  0.085000 1.305000 0.445000 ;
+      RECT 0.975000  1.935000 1.305000 2.635000 ;
+      RECT 1.910000  0.085000 2.685000 0.445000 ;
+      RECT 1.915000  1.515000 2.165000 2.635000 ;
+      RECT 2.035000  0.615000 3.045000 0.670000 ;
+      RECT 2.035000  0.670000 4.365000 0.785000 ;
+      RECT 2.035000  0.785000 2.205000 0.995000 ;
+      RECT 2.455000  1.695000 2.625000 2.295000 ;
+      RECT 2.455000  2.295000 3.465000 2.465000 ;
+      RECT 2.875000  0.255000 3.045000 0.615000 ;
+      RECT 2.875000  0.785000 4.365000 0.840000 ;
+      RECT 2.875000  0.840000 3.045000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.285000  1.445000 3.465000 1.850000 ;
+      RECT 3.285000  1.850000 5.360000 2.020000 ;
+      RECT 3.285000  2.020000 3.465000 2.295000 ;
+      RECT 3.635000  2.275000 3.965000 2.635000 ;
+      RECT 4.085000  0.405000 4.365000 0.670000 ;
+      RECT 4.135000  2.020000 4.305000 2.465000 ;
+      RECT 4.475000  2.275000 4.805000 2.635000 ;
+      RECT 4.945000  0.085000 5.225000 0.885000 ;
+      RECT 5.030000  2.020000 5.360000 2.395000 ;
+      RECT 5.105000  1.460000 5.360000 1.850000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_4
+MACRO sky130_fd_sc_hd__nand4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.930000 1.075000 4.590000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.510000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.015000 1.075000 8.655000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 8.185000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.135000 1.665000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+        RECT 7.015000 1.665000 7.345000 2.465000 ;
+        RECT 7.855000 1.665000 8.185000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 0.805000 0.905000 ;
+      RECT 0.090000  1.495000 0.805000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.805000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.805000 1.495000 ;
+      RECT 0.995000  1.495000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.255000 6.925000 0.465000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.675000  0.465000 6.925000 0.735000 ;
+      RECT 6.675000  0.735000 8.610000 0.905000 ;
+      RECT 6.675000  1.835000 6.845000 2.635000 ;
+      RECT 7.095000  0.085000 7.265000 0.545000 ;
+      RECT 7.435000  0.255000 7.765000 0.735000 ;
+      RECT 7.515000  1.835000 7.685000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.545000 ;
+      RECT 8.275000  0.255000 8.610000 0.735000 ;
+      RECT 8.355000  1.445000 8.610000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_4
+MACRO sky130_fd_sc_hd__nand4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 3.100000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 1.075000 4.450000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.620000 1.075000 5.430000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 1.785000 0.825000 ;
+        RECT 1.455000 1.445000 4.865000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 1.550000 0.825000 1.785000 1.445000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 3.605000 1.665000 3.935000 2.465000 ;
+        RECT 4.535000 1.665000 4.865000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.670000 0.805000 ;
+      RECT 0.090000  1.915000 0.670000 2.085000 ;
+      RECT 0.090000  2.085000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.075000 ;
+      RECT 0.500000  1.075000 1.380000 1.245000 ;
+      RECT 0.500000  1.245000 0.670000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 1.285000 2.635000 ;
+      RECT 1.035000  0.255000 2.125000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.035000  1.445000 1.285000 2.255000 ;
+      RECT 1.955000  0.465000 2.125000 0.635000 ;
+      RECT 1.955000  0.635000 3.045000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 3.985000 0.465000 ;
+      RECT 2.795000  1.835000 3.435000 2.635000 ;
+      RECT 3.235000  0.635000 4.455000 0.715000 ;
+      RECT 3.235000  0.715000 5.340000 0.905000 ;
+      RECT 4.105000  1.835000 4.365000 2.635000 ;
+      RECT 4.155000  0.255000 4.415000 0.615000 ;
+      RECT 4.155000  0.615000 4.455000 0.635000 ;
+      RECT 4.665000  0.085000 4.835000 0.545000 ;
+      RECT 5.005000  0.255000 5.340000 0.715000 ;
+      RECT 5.035000  1.495000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_2
+MACRO sky130_fd_sc_hd__nand4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.765000 2.185000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 0.765000 1.755000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.887500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 3.135000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 1.665000 2.415000 2.465000 ;
+        RECT 2.695000 0.255000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.445000 0.475000 0.655000 ;
+      RECT 0.085000  0.655000 1.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.155000  0.425000 2.525000 0.595000 ;
+      RECT 1.155000  0.595000 1.335000 0.655000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.355000  0.595000 2.525000 0.995000 ;
+      RECT 2.355000  0.995000 2.755000 1.325000 ;
+      RECT 2.705000  1.835000 2.920000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_1
+MACRO sky130_fd_sc_hd__xor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 0.875000 1.275000 ;
+        RECT 0.705000 1.275000 0.875000 1.445000 ;
+        RECT 0.705000 1.445000 1.880000 1.615000 ;
+        RECT 1.710000 1.075000 3.230000 1.275000 ;
+        RECT 1.710000 1.275000 1.880000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.540000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.105000 1.235000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.420000 1.075000 4.090000 1.275000 ;
+      LAYER mcon ;
+        RECT 3.825000 1.105000 3.995000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.005000 1.075000 1.295000 1.120000 ;
+        RECT 1.005000 1.120000 4.055000 1.260000 ;
+        RECT 1.005000 1.260000 1.295000 1.305000 ;
+        RECT 3.765000 1.075000 4.055000 1.120000 ;
+        RECT 3.765000 1.260000 4.055000 1.305000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.656750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.625000 0.645000 3.955000 0.725000 ;
+        RECT 3.625000 0.725000 5.895000 0.905000 ;
+        RECT 4.985000 0.645000 5.315000 0.725000 ;
+        RECT 5.025000 1.415000 5.895000 1.625000 ;
+        RECT 5.025000 1.625000 5.275000 2.125000 ;
+        RECT 5.485000 0.905000 5.895000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.725000 1.700000 0.905000 ;
+      RECT 0.120000  0.905000 0.290000 1.785000 ;
+      RECT 0.120000  1.785000 2.220000 1.955000 ;
+      RECT 0.120000  2.135000 0.400000 2.465000 ;
+      RECT 0.145000  2.125000 0.315000 2.135000 ;
+      RECT 0.190000  0.085000 0.360000 0.555000 ;
+      RECT 0.530000  0.255000 0.860000 0.725000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  2.135000 1.240000 2.295000 ;
+      RECT 0.990000  2.295000 2.080000 2.465000 ;
+      RECT 1.030000  0.085000 1.200000 0.555000 ;
+      RECT 1.065000  2.125000 1.235000 2.135000 ;
+      RECT 1.370000  0.255000 1.700000 0.725000 ;
+      RECT 1.410000  1.955000 1.660000 2.125000 ;
+      RECT 1.830000  2.135000 2.080000 2.295000 ;
+      RECT 1.870000  0.085000 2.040000 0.555000 ;
+      RECT 2.050000  1.445000 4.785000 1.615000 ;
+      RECT 2.050000  1.615000 2.220000 1.785000 ;
+      RECT 2.285000  2.125000 2.600000 2.465000 ;
+      RECT 2.310000  0.255000 2.640000 0.725000 ;
+      RECT 2.310000  0.725000 3.400000 0.905000 ;
+      RECT 2.390000  1.785000 4.855000 1.955000 ;
+      RECT 2.390000  1.955000 2.600000 2.125000 ;
+      RECT 2.770000  2.135000 3.020000 2.635000 ;
+      RECT 2.810000  0.085000 2.980000 0.555000 ;
+      RECT 3.150000  0.255000 4.380000 0.475000 ;
+      RECT 3.150000  0.475000 3.400000 0.725000 ;
+      RECT 3.190000  1.955000 3.440000 2.465000 ;
+      RECT 3.610000  2.135000 3.915000 2.635000 ;
+      RECT 4.085000  1.955000 4.855000 2.295000 ;
+      RECT 4.085000  2.295000 5.695000 2.465000 ;
+      RECT 4.615000  1.075000 5.275000 1.245000 ;
+      RECT 4.615000  1.245000 4.785000 1.445000 ;
+      RECT 4.645000  0.085000 4.815000 0.555000 ;
+      RECT 5.445000  1.795000 5.695000 2.295000 ;
+      RECT 5.485000  0.085000 5.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 2.095000 0.375000 2.140000 ;
+      RECT 0.085000 2.140000 1.295000 2.280000 ;
+      RECT 0.085000 2.280000 0.375000 2.325000 ;
+      RECT 1.005000 2.095000 1.295000 2.140000 ;
+      RECT 1.005000 2.280000 1.295000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xor2_2
+MACRO sky130_fd_sc_hd__xor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 2.800000 1.275000 ;
+        RECT 2.630000 1.275000 2.800000 1.445000 ;
+        RECT 2.630000 1.445000 6.165000 1.615000 ;
+        RECT 5.995000 1.075000 7.370000 1.275000 ;
+        RECT 5.995000 1.275000 6.165000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 5.000000 1.105000 ;
+        RECT 2.970000 1.105000 5.740000 1.275000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  1.524450 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 0.645000 5.580000 0.905000 ;
+        RECT 5.150000 0.905000 5.580000 0.935000 ;
+      LAYER mcon ;
+        RECT 5.205000 0.765000 5.375000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.850000 0.725000  8.630000 0.735000 ;
+        RECT 7.850000 0.735000 10.035000 0.905000 ;
+        RECT 7.850000 0.905000  8.305000 0.935000 ;
+        RECT 7.880000 1.445000 10.035000 1.625000 ;
+        RECT 7.880000 1.625000  9.010000 1.665000 ;
+        RECT 7.880000 1.665000  8.170000 2.125000 ;
+        RECT 8.300000 0.255000  8.630000 0.725000 ;
+        RECT 8.760000 1.665000  9.010000 2.125000 ;
+        RECT 9.140000 0.255000  9.470000 0.735000 ;
+        RECT 9.600000 1.625000 10.035000 2.465000 ;
+        RECT 9.735000 0.905000 10.035000 1.445000 ;
+      LAYER mcon ;
+        RECT 7.965000 0.765000 8.135000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.145000 0.735000 5.435000 0.780000 ;
+        RECT 5.145000 0.780000 8.195000 0.920000 ;
+        RECT 5.145000 0.920000 5.435000 0.965000 ;
+        RECT 7.905000 0.735000 8.195000 0.780000 ;
+        RECT 7.905000 0.920000 8.195000 0.965000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.085000  0.360000 0.565000 ;
+      RECT 0.085000  0.735000  3.380000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.445000 ;
+      RECT 0.085000  1.445000  2.420000 1.615000 ;
+      RECT 0.085000  1.785000  2.080000 2.005000 ;
+      RECT 0.085000  2.005000  0.400000 2.465000 ;
+      RECT 0.530000  0.255000  0.860000 0.725000 ;
+      RECT 0.530000  0.725000  3.380000 0.735000 ;
+      RECT 0.570000  2.175000  0.820000 2.635000 ;
+      RECT 0.990000  2.005000  1.240000 2.465000 ;
+      RECT 1.030000  0.085000  1.200000 0.555000 ;
+      RECT 1.370000  0.255000  1.700000 0.725000 ;
+      RECT 1.410000  2.175000  1.660000 2.635000 ;
+      RECT 1.830000  2.005000  2.080000 2.295000 ;
+      RECT 1.830000  2.295000  3.760000 2.465000 ;
+      RECT 1.870000  0.085000  2.040000 0.555000 ;
+      RECT 2.210000  0.255000  2.540000 0.725000 ;
+      RECT 2.250000  1.615000  2.420000 1.785000 ;
+      RECT 2.250000  1.785000  3.340000 1.955000 ;
+      RECT 2.250000  1.955000  2.500000 2.125000 ;
+      RECT 2.670000  2.125000  2.920000 2.295000 ;
+      RECT 2.710000  0.085000  2.880000 0.555000 ;
+      RECT 3.050000  0.255000  3.380000 0.725000 ;
+      RECT 3.090000  1.955000  3.340000 2.125000 ;
+      RECT 3.510000  1.795000  3.760000 2.295000 ;
+      RECT 3.550000  0.085000  3.820000 0.895000 ;
+      RECT 3.990000  0.255000  6.000000 0.475000 ;
+      RECT 4.030000  1.785000  7.640000 2.005000 ;
+      RECT 4.030000  2.005000  4.280000 2.465000 ;
+      RECT 4.450000  2.175000  4.700000 2.635000 ;
+      RECT 4.870000  2.005000  5.120000 2.465000 ;
+      RECT 5.290000  2.175000  5.540000 2.635000 ;
+      RECT 5.710000  2.005000  5.960000 2.465000 ;
+      RECT 5.750000  0.475000  6.000000 0.725000 ;
+      RECT 5.750000  0.725000  7.680000 0.905000 ;
+      RECT 6.130000  2.175000  6.380000 2.635000 ;
+      RECT 6.170000  0.085000  6.340000 0.555000 ;
+      RECT 6.510000  0.255000  6.840000 0.725000 ;
+      RECT 6.550000  1.455000  6.800000 1.785000 ;
+      RECT 6.550000  2.005000  6.800000 2.465000 ;
+      RECT 6.970000  2.175000  7.220000 2.635000 ;
+      RECT 7.010000  0.085000  7.180000 0.555000 ;
+      RECT 7.260000  1.445000  7.710000 1.615000 ;
+      RECT 7.350000  0.255000  7.680000 0.725000 ;
+      RECT 7.390000  2.005000  7.640000 2.295000 ;
+      RECT 7.390000  2.295000  9.430000 2.465000 ;
+      RECT 7.540000  1.105000  9.565000 1.275000 ;
+      RECT 7.540000  1.275000  7.710000 1.445000 ;
+      RECT 7.960000  0.085000  8.130000 0.555000 ;
+      RECT 8.340000  1.835000  8.590000 2.295000 ;
+      RECT 8.540000  1.075000  9.565000 1.105000 ;
+      RECT 8.800000  0.085000  8.970000 0.555000 ;
+      RECT 9.180000  1.795000  9.430000 2.295000 ;
+      RECT 9.640000  0.085000  9.810000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  1.445000 2.155000 1.615000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  1.445000 7.675000 1.615000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 1.415000 2.215000 1.460000 ;
+      RECT 1.925000 1.460000 7.735000 1.600000 ;
+      RECT 1.925000 1.600000 2.215000 1.645000 ;
+      RECT 7.445000 1.415000 7.735000 1.460000 ;
+      RECT 7.445000 1.600000 7.735000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xor2_4
+MACRO sky130_fd_sc_hd__xor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 1.075000 1.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.730000 1.615000 ;
+        RECT 1.560000 1.075000 1.935000 1.245000 ;
+        RECT 1.560000 1.245000 1.730000 1.445000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.800500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.720000 0.315000 2.675000 0.485000 ;
+        RECT 2.505000 0.485000 2.675000 1.365000 ;
+        RECT 2.505000 1.365000 3.135000 1.535000 ;
+        RECT 2.815000 1.535000 3.135000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.655000 2.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 0.465000 2.465000 ;
+      RECT 0.135000  0.085000 0.465000 0.475000 ;
+      RECT 0.635000  0.335000 0.805000 0.655000 ;
+      RECT 0.975000  0.085000 1.305000 0.475000 ;
+      RECT 1.055000  1.785000 1.225000 2.635000 ;
+      RECT 1.395000  1.785000 2.635000 1.955000 ;
+      RECT 1.395000  1.955000 1.725000 2.465000 ;
+      RECT 1.895000  2.125000 2.065000 2.635000 ;
+      RECT 2.105000  0.825000 2.335000 1.325000 ;
+      RECT 2.235000  1.955000 2.635000 2.465000 ;
+      RECT 2.845000  0.085000 3.135000 0.920000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xor2_1
+MACRO sky130_fd_sc_hd__o221ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.430000 1.075000 3.760000 1.445000 ;
+        RECT 3.430000 1.445000 4.815000 1.615000 ;
+        RECT 4.645000 1.075000 5.435000 1.275000 ;
+        RECT 4.645000 1.275000 4.815000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.980000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 1.075000 2.035000 1.445000 ;
+        RECT 1.020000 1.445000 3.260000 1.615000 ;
+        RECT 2.930000 1.075000 3.260000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 2.760000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.520000 0.645000 0.850000 0.865000 ;
+        RECT 0.560000 1.445000 0.850000 1.785000 ;
+        RECT 0.560000 1.785000 4.350000 1.955000 ;
+        RECT 0.560000 1.955000 0.810000 2.465000 ;
+        RECT 0.605000 0.865000 0.850000 1.445000 ;
+        RECT 2.340000 1.955000 2.590000 2.125000 ;
+        RECT 4.100000 1.955000 4.350000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  0.255000 1.270000 0.475000 ;
+      RECT 0.100000  0.475000 0.350000 0.895000 ;
+      RECT 0.140000  1.455000 0.390000 2.635000 ;
+      RECT 0.980000  2.125000 1.750000 2.635000 ;
+      RECT 1.020000  0.475000 1.270000 0.645000 ;
+      RECT 1.020000  0.645000 3.050000 0.905000 ;
+      RECT 1.460000  0.255000 3.550000 0.475000 ;
+      RECT 1.920000  2.125000 2.170000 2.295000 ;
+      RECT 1.920000  2.295000 3.010000 2.465000 ;
+      RECT 2.760000  2.125000 3.010000 2.295000 ;
+      RECT 3.180000  2.125000 3.510000 2.635000 ;
+      RECT 3.220000  0.475000 3.550000 0.735000 ;
+      RECT 3.220000  0.735000 5.230000 0.905000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 3.680000  2.295000 4.770000 2.465000 ;
+      RECT 3.720000  0.085000 3.890000 0.555000 ;
+      RECT 4.060000  0.255000 4.390000 0.725000 ;
+      RECT 4.060000  0.725000 5.230000 0.735000 ;
+      RECT 4.520000  1.785000 4.770000 2.295000 ;
+      RECT 4.560000  0.085000 4.730000 0.555000 ;
+      RECT 4.900000  0.255000 5.230000 0.725000 ;
+      RECT 4.985000  1.455000 5.190000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_2
+MACRO sky130_fd_sc_hd__o221ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.505000 1.245000 ;
+        RECT 2.295000 1.245000 2.505000 1.445000 ;
+        RECT 2.295000 1.445000 2.675000 1.615000 ;
+        RECT 2.465000 1.615000 2.675000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.355000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.985000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.465000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.899000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.345000 0.645000 ;
+        RECT 0.085000 0.645000 0.840000 0.825000 ;
+        RECT 0.085000 1.495000 2.125000 1.705000 ;
+        RECT 0.085000 1.705000 0.365000 2.465000 ;
+        RECT 0.635000 0.825000 0.840000 1.495000 ;
+        RECT 1.735000 1.705000 2.125000 1.785000 ;
+        RECT 1.735000 1.785000 2.245000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.305000 1.775000 0.475000 ;
+      RECT 0.550000  1.875000 1.340000 2.635000 ;
+      RECT 1.010000  0.645000 2.220000 0.695000 ;
+      RECT 1.010000  0.695000 3.135000 0.825000 ;
+      RECT 1.945000  0.280000 2.220000 0.645000 ;
+      RECT 2.105000  0.825000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.875000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_1
+MACRO sky130_fd_sc_hd__o221ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.420000 1.615000 ;
+        RECT 8.155000 1.075000 9.575000 1.275000 ;
+        RECT 8.155000 1.275000 8.420000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.360000 1.075000 4.505000 1.275000 ;
+        RECT 4.335000 1.275000 4.505000 1.495000 ;
+        RECT 4.335000 1.495000 5.795000 1.665000 ;
+        RECT 5.465000 1.075000 5.795000 1.495000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 0.995000 5.285000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.750000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 2.125000 0.865000 ;
+        RECT 0.575000 1.445000 4.165000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.465000 ;
+        RECT 1.415000 1.615000 2.125000 1.955000 ;
+        RECT 1.415000 1.955000 1.665000 2.465000 ;
+        RECT 1.920000 0.865000 2.125000 1.445000 ;
+        RECT 3.995000 1.615000 4.165000 1.835000 ;
+        RECT 3.995000 1.835000 7.725000 1.955000 ;
+        RECT 3.995000 1.955000 6.885000 2.005000 ;
+        RECT 3.995000 2.005000 4.285000 2.125000 ;
+        RECT 4.875000 2.005000 5.085000 2.125000 ;
+        RECT 5.965000 1.785000 7.725000 1.835000 ;
+        RECT 6.675000 2.005000 6.885000 2.125000 ;
+        RECT 7.475000 1.955000 7.725000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.115000  0.255000 5.585000 0.475000 ;
+      RECT 0.115000  0.475000 0.365000 0.895000 ;
+      RECT 0.155000  1.485000 0.405000 2.635000 ;
+      RECT 0.995000  1.825000 1.245000 2.635000 ;
+      RECT 1.835000  2.125000 2.605000 2.635000 ;
+      RECT 2.315000  0.645000 6.085000 0.735000 ;
+      RECT 2.315000  0.735000 9.445000 0.820000 ;
+      RECT 2.775000  1.785000 3.825000 1.955000 ;
+      RECT 2.775000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  2.125000 3.445000 2.635000 ;
+      RECT 3.615000  1.955000 3.825000 2.295000 ;
+      RECT 3.615000  2.295000 5.585000 2.465000 ;
+      RECT 4.455000  2.175000 4.705000 2.295000 ;
+      RECT 5.255000  2.175000 5.585000 2.295000 ;
+      RECT 5.465000  0.820000 9.445000 0.905000 ;
+      RECT 5.755000  0.255000 6.085000 0.645000 ;
+      RECT 5.755000  2.175000 6.005000 2.635000 ;
+      RECT 6.175000  2.175000 6.505000 2.295000 ;
+      RECT 6.175000  2.295000 8.145000 2.465000 ;
+      RECT 6.255000  0.085000 6.425000 0.555000 ;
+      RECT 6.595000  0.255000 6.925000 0.725000 ;
+      RECT 6.595000  0.725000 7.765000 0.735000 ;
+      RECT 7.055000  2.125000 7.305000 2.295000 ;
+      RECT 7.095000  0.085000 7.265000 0.555000 ;
+      RECT 7.435000  0.255000 7.765000 0.725000 ;
+      RECT 7.895000  1.785000 8.985000 1.955000 ;
+      RECT 7.895000  1.955000 8.145000 2.295000 ;
+      RECT 7.935000  0.085000 8.105000 0.555000 ;
+      RECT 8.275000  0.255000 8.605000 0.725000 ;
+      RECT 8.275000  0.725000 9.445000 0.735000 ;
+      RECT 8.315000  2.125000 8.565000 2.635000 ;
+      RECT 8.735000  1.445000 8.985000 1.785000 ;
+      RECT 8.735000  1.955000 8.985000 2.465000 ;
+      RECT 8.775000  0.085000 8.945000 0.555000 ;
+      RECT 9.115000  0.255000 9.445000 0.725000 ;
+      RECT 9.155000  1.445000 9.405000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_4
+MACRO sky130_fd_sc_hd__o41a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.485000 1.075000 3.995000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 1.075000 3.275000 2.390000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 2.390000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 1.075000 2.195000 2.390000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.075000 1.695000 1.285000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.672000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.355000 1.455000 ;
+        RECT 0.085000 1.455000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  1.075000 1.105000 1.285000 ;
+      RECT 0.715000  0.085000 0.885000 0.545000 ;
+      RECT 0.735000  0.715000 1.485000 0.905000 ;
+      RECT 0.735000  0.905000 1.105000 1.075000 ;
+      RECT 0.845000  1.285000 1.105000 1.455000 ;
+      RECT 0.845000  1.455000 1.595000 1.745000 ;
+      RECT 0.845000  1.915000 1.175000 2.635000 ;
+      RECT 1.155000  0.270000 1.485000 0.715000 ;
+      RECT 1.345000  1.745000 1.595000 2.465000 ;
+      RECT 1.655000  0.415000 1.825000 0.735000 ;
+      RECT 1.655000  0.735000 3.955000 0.905000 ;
+      RECT 2.050000  0.085000 2.380000 0.545000 ;
+      RECT 2.580000  0.255000 2.910000 0.735000 ;
+      RECT 3.125000  0.085000 3.455000 0.545000 ;
+      RECT 3.605000  1.515000 3.935000 2.635000 ;
+      RECT 3.625000  0.255000 3.955000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_1
+MACRO sky130_fd_sc_hd__o41a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.515000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.325000 1.075000 3.655000 2.335000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.825000 1.075000 3.155000 2.340000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.075000 2.655000 2.340000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.155000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.880000 ;
+        RECT 0.515000 0.880000 0.790000 1.495000 ;
+        RECT 0.515000 1.495000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.960000  1.075000 1.600000 1.325000 ;
+      RECT 1.015000  0.085000 1.260000 0.885000 ;
+      RECT 1.015000  1.495000 1.185000 1.835000 ;
+      RECT 1.015000  1.835000 1.525000 2.635000 ;
+      RECT 1.355000  1.325000 1.600000 1.495000 ;
+      RECT 1.355000  1.495000 2.145000 1.665000 ;
+      RECT 1.430000  0.255000 1.785000 0.850000 ;
+      RECT 1.430000  0.850000 1.600000 1.075000 ;
+      RECT 1.695000  1.665000 2.145000 2.465000 ;
+      RECT 1.985000  0.255000 2.315000 0.715000 ;
+      RECT 1.985000  0.715000 4.395000 0.905000 ;
+      RECT 2.485000  0.085000 2.750000 0.545000 ;
+      RECT 2.955000  0.255000 3.285000 0.715000 ;
+      RECT 3.505000  0.085000 3.775000 0.545000 ;
+      RECT 4.065000  0.255000 4.395000 0.715000 ;
+      RECT 4.065000  1.495000 4.395000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_2
+MACRO sky130_fd_sc_hd__o41a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.650000 1.075000 7.735000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 6.360000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.330000 1.075000 4.960000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 4.040000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.835000 1.075000 3.165000 1.275000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.685000 0.905000 ;
+        RECT 0.085000 0.905000 0.345000 1.465000 ;
+        RECT 0.085000 1.465000 1.685000 1.665000 ;
+        RECT 0.515000 0.255000 0.845000 0.715000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.715000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.545000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.075000 2.665000 1.245000 ;
+      RECT 0.515000  1.245000 2.545000 1.295000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.855000  0.085000 2.105000 0.885000 ;
+      RECT 1.855000  1.465000 2.025000 2.635000 ;
+      RECT 2.195000  1.295000 2.545000 1.445000 ;
+      RECT 2.195000  1.445000 3.825000 1.615000 ;
+      RECT 2.195000  1.615000 2.545000 2.465000 ;
+      RECT 2.295000  0.255000 3.485000 0.465000 ;
+      RECT 2.295000  0.635000 3.045000 0.905000 ;
+      RECT 2.295000  0.905000 2.665000 1.075000 ;
+      RECT 2.715000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  1.835000 3.405000 2.295000 ;
+      RECT 3.135000  2.295000 4.325000 2.465000 ;
+      RECT 3.235000  0.465000 3.485000 0.735000 ;
+      RECT 3.235000  0.735000 7.595000 0.905000 ;
+      RECT 3.575000  1.615000 3.825000 2.125000 ;
+      RECT 3.655000  0.085000 3.875000 0.545000 ;
+      RECT 3.995000  1.445000 5.165000 1.615000 ;
+      RECT 3.995000  1.615000 4.325000 2.295000 ;
+      RECT 4.075000  0.255000 4.245000 0.735000 ;
+      RECT 4.445000  0.085000 4.715000 0.545000 ;
+      RECT 4.495000  1.785000 4.665000 2.295000 ;
+      RECT 4.495000  2.295000 6.145000 2.465000 ;
+      RECT 4.835000  1.615000 5.165000 2.115000 ;
+      RECT 4.915000  0.255000 5.085000 0.735000 ;
+      RECT 5.305000  0.085000 5.915000 0.545000 ;
+      RECT 5.395000  1.445000 7.595000 1.615000 ;
+      RECT 5.395000  1.615000 5.645000 2.115000 ;
+      RECT 5.815000  1.785000 6.145000 2.295000 ;
+      RECT 6.240000  0.255000 6.410000 0.735000 ;
+      RECT 6.315000  1.615000 6.485000 2.455000 ;
+      RECT 6.655000  1.785000 6.985000 2.635000 ;
+      RECT 6.685000  0.085000 6.955000 0.545000 ;
+      RECT 7.265000  0.255000 7.595000 0.735000 ;
+      RECT 7.265000  1.615000 7.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_4
+MACRO sky130_fd_sc_hd__and4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.625000 0.775000 1.955000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.765000 0.815000 0.945000 ;
+        RECT 0.605000 0.945000 1.225000 1.115000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.415000 3.080000 0.995000 ;
+        RECT 2.895000 0.995000 3.125000 1.325000 ;
+        RECT 2.895000 1.325000 3.080000 1.635000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.420000 3.545000 0.995000 ;
+        RECT 3.350000 0.995000 3.605000 1.325000 ;
+        RECT 3.350000 1.325000 3.545000 1.635000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.425400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.255000 0.255000 4.515000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.285000 ;
+      RECT 0.085000  1.285000 1.215000 1.455000 ;
+      RECT 0.085000  1.455000 0.255000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.655000  0.085000 0.985000 0.465000 ;
+      RECT 0.655000  2.255000 0.985000 2.635000 ;
+      RECT 1.045000  1.455000 1.215000 1.575000 ;
+      RECT 1.045000  1.575000 1.625000 1.745000 ;
+      RECT 1.165000  0.255000 2.645000 0.425000 ;
+      RECT 1.165000  0.425000 1.565000 0.755000 ;
+      RECT 1.225000  1.915000 1.965000 2.085000 ;
+      RECT 1.225000  2.085000 1.415000 2.465000 ;
+      RECT 1.395000  0.755000 1.565000 1.235000 ;
+      RECT 1.395000  1.235000 1.965000 1.405000 ;
+      RECT 1.665000  2.255000 1.995000 2.635000 ;
+      RECT 1.755000  0.595000 2.305000 0.925000 ;
+      RECT 1.795000  1.405000 1.965000 1.915000 ;
+      RECT 2.135000  0.925000 2.305000 1.915000 ;
+      RECT 2.135000  1.915000 4.085000 2.085000 ;
+      RECT 2.205000  2.085000 2.375000 2.465000 ;
+      RECT 2.475000  0.425000 2.645000 1.325000 ;
+      RECT 2.570000  2.255000 2.900000 2.635000 ;
+      RECT 3.160000  2.085000 3.330000 2.465000 ;
+      RECT 3.755000  0.085000 4.085000 0.465000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.915000  0.995000 4.085000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_1
+MACRO sky130_fd_sc_hd__and4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.485000 0.995000 5.845000 1.620000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.765000 0.780000 1.635000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 0.755000 3.545000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 0.995000 3.080000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.650000 2.080000 0.820000 ;
+        RECT 0.960000 0.820000 1.240000 1.545000 ;
+        RECT 0.960000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.490000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  1.075000 2.500000 1.245000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 2.330000  0.615000 2.940000 0.785000 ;
+      RECT 2.330000  0.785000 2.500000 1.075000 ;
+      RECT 2.330000  1.245000 2.500000 1.545000 ;
+      RECT 2.330000  1.545000 4.150000 1.715000 ;
+      RECT 2.770000  0.300000 4.610000 0.470000 ;
+      RECT 2.770000  0.470000 2.940000 0.615000 ;
+      RECT 3.330000  2.255000 3.660000 2.635000 ;
+      RECT 3.730000  0.995000 3.900000 1.155000 ;
+      RECT 3.730000  1.155000 4.490000 1.325000 ;
+      RECT 4.255000  0.470000 4.610000 0.810000 ;
+      RECT 4.320000  1.325000 4.490000 1.915000 ;
+      RECT 4.360000  2.255000 5.370000 2.635000 ;
+      RECT 4.950000  0.655000 5.805000 0.825000 ;
+      RECT 4.950000  0.825000 5.120000 1.915000 ;
+      RECT 4.950000  1.915000 5.805000 2.085000 ;
+      RECT 4.975000  0.085000 5.305000 0.465000 ;
+      RECT 5.635000  0.255000 5.805000 0.655000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_4
+MACRO sky130_fd_sc_hd__and4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.330000 1.635000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.765000 4.175000 1.305000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.420000 3.175000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.425000 3.655000 1.405000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.545000 1.320000 1.715000 ;
+        RECT 1.015000 0.255000 1.240000 1.545000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.670000 0.805000 ;
+      RECT 0.175000  1.885000 1.925000 2.055000 ;
+      RECT 0.175000  2.055000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.885000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.465000 ;
+      RECT 1.415000  0.635000 2.405000 0.805000 ;
+      RECT 1.415000  0.805000 1.585000 1.325000 ;
+      RECT 1.490000  2.255000 2.160000 2.635000 ;
+      RECT 1.755000  0.995000 2.065000 1.325000 ;
+      RECT 1.755000  1.325000 1.925000 1.885000 ;
+      RECT 2.010000  0.255000 2.180000 0.635000 ;
+      RECT 2.235000  0.805000 2.405000 1.915000 ;
+      RECT 2.235000  1.915000 3.415000 2.085000 ;
+      RECT 2.395000  2.085000 2.565000 2.465000 ;
+      RECT 2.575000  1.400000 2.745000 1.575000 ;
+      RECT 2.575000  1.575000 3.755000 1.745000 ;
+      RECT 2.735000  2.255000 3.075000 2.635000 ;
+      RECT 3.245000  2.085000 3.415000 2.465000 ;
+      RECT 3.585000  1.745000 3.755000 1.915000 ;
+      RECT 3.585000  1.915000 4.515000 2.085000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.835000  0.085000 4.085000 0.585000 ;
+      RECT 4.255000  0.255000 4.515000 0.585000 ;
+      RECT 4.255000  2.085000 4.515000 2.465000 ;
+      RECT 4.345000  0.585000 4.515000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_2
+MACRO sky130_fd_sc_hd__dfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.655000  1.785000 0.825000 1.955000 ;
+      RECT 1.015000  1.105000 1.185000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.595000 1.755000 0.885000 1.800000 ;
+      RECT 0.595000 1.800000 6.255000 1.940000 ;
+      RECT 0.595000 1.940000 0.885000 1.985000 ;
+      RECT 0.955000 1.075000 1.245000 1.120000 ;
+      RECT 0.955000 1.120000 6.255000 1.260000 ;
+      RECT 0.955000 1.260000 1.245000 1.305000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtn_1
+MACRO sky130_fd_sc_hd__or4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.430000 0.995000 2.810000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 2.125000 2.660000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.995000 2.260000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.425000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.415000 3.595000 0.760000 ;
+        RECT 3.320000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.760000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.585000 ;
+      RECT 0.085000  1.560000 0.425000 2.635000 ;
+      RECT 0.595000  0.305000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.250000 1.325000 ;
+      RECT 0.595000  1.325000 0.835000 1.920000 ;
+      RECT 1.030000  1.495000 1.350000 1.785000 ;
+      RECT 1.030000  1.785000 2.660000 1.955000 ;
+      RECT 1.035000  0.085000 1.365000 0.585000 ;
+      RECT 1.565000  0.305000 1.735000 0.655000 ;
+      RECT 1.565000  0.655000 3.150000 0.825000 ;
+      RECT 1.910000  0.085000 2.240000 0.485000 ;
+      RECT 2.410000  0.305000 2.580000 0.655000 ;
+      RECT 2.490000  1.495000 3.150000 1.665000 ;
+      RECT 2.490000  1.665000 2.660000 1.785000 ;
+      RECT 2.750000  0.085000 3.130000 0.485000 ;
+      RECT 2.830000  1.835000 3.110000 2.635000 ;
+      RECT 2.980000  0.825000 3.150000 0.995000 ;
+      RECT 2.980000  0.995000 3.255000 1.325000 ;
+      RECT 2.980000  1.325000 3.150000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_1
+MACRO sky130_fd_sc_hd__or4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 2.125000 2.670000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.550000 1.075000 3.550000 1.275000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.435000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.675000 1.250000 0.680000 ;
+        RECT 0.935000 0.680000 1.245000 0.790000 ;
+        RECT 0.935000 0.790000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.250000 1.825000 ;
+        RECT 0.970000 0.260000 1.250000 0.675000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.325000 0.350000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.605000 0.765000 1.890000 ;
+      RECT 0.510000  1.890000 0.765000 1.995000 ;
+      RECT 0.510000  1.995000 1.715000 2.165000 ;
+      RECT 0.515000  2.335000 0.845000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.605000 ;
+      RECT 0.630000  0.085000 0.800000 0.565000 ;
+      RECT 1.290000  0.995000 1.585000 1.325000 ;
+      RECT 1.415000  0.735000 3.055000 0.905000 ;
+      RECT 1.415000  0.905000 1.585000 0.995000 ;
+      RECT 1.415000  1.325000 1.585000 1.355000 ;
+      RECT 1.415000  1.355000 1.600000 1.370000 ;
+      RECT 1.415000  1.370000 1.610000 1.380000 ;
+      RECT 1.415000  1.380000 1.620000 1.390000 ;
+      RECT 1.415000  1.390000 1.625000 1.400000 ;
+      RECT 1.415000  1.400000 1.630000 1.410000 ;
+      RECT 1.415000  1.410000 1.645000 1.420000 ;
+      RECT 1.415000  1.420000 1.655000 1.425000 ;
+      RECT 1.415000  1.425000 1.665000 1.445000 ;
+      RECT 1.415000  1.445000 3.560000 1.450000 ;
+      RECT 1.420000  1.450000 3.560000 1.615000 ;
+      RECT 1.435000  0.085000 1.815000 0.485000 ;
+      RECT 1.440000  1.785000 3.030000 1.955000 ;
+      RECT 1.440000  1.955000 1.715000 1.995000 ;
+      RECT 1.480000  2.335000 1.815000 2.635000 ;
+      RECT 1.985000  0.305000 2.155000 0.735000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 2.860000  1.955000 3.030000 2.215000 ;
+      RECT 2.860000  2.215000 3.345000 2.385000 ;
+      RECT 2.885000  0.305000 3.055000 0.735000 ;
+      RECT 3.225000  0.085000 3.555000 0.585000 ;
+      RECT 3.225000  1.615000 3.560000 1.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_2
+MACRO sky130_fd_sc_hd__or4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.755000 0.995000 2.925000 1.445000 ;
+        RECT 2.755000 1.445000 3.190000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.995000 2.525000 1.450000 ;
+        RECT 2.335000 1.450000 2.525000 1.785000 ;
+        RECT 2.335000 1.785000 2.635000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.795000 0.995000 1.965000 1.620000 ;
+        RECT 1.795000 1.620000 2.155000 2.375000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.995000 0.445000 1.955000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.455000 4.965000 1.625000 ;
+        RECT 3.395000 1.625000 3.645000 2.465000 ;
+        RECT 3.435000 0.255000 3.685000 0.725000 ;
+        RECT 3.435000 0.725000 4.965000 0.905000 ;
+        RECT 4.195000 0.255000 4.525000 0.725000 ;
+        RECT 4.235000 1.625000 4.485000 2.465000 ;
+        RECT 4.725000 0.905000 4.965000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.825000 ;
+      RECT 0.085000  2.135000 0.365000 2.635000 ;
+      RECT 0.595000  0.435000 0.785000 0.905000 ;
+      RECT 0.595000  2.065000 0.785000 2.455000 ;
+      RECT 0.615000  0.905000 0.785000 0.995000 ;
+      RECT 0.615000  0.995000 1.215000 1.325000 ;
+      RECT 0.615000  1.325000 0.785000 2.065000 ;
+      RECT 1.035000  0.085000 1.285000 0.585000 ;
+      RECT 1.035000  1.575000 1.625000 1.745000 ;
+      RECT 1.035000  1.745000 1.365000 2.450000 ;
+      RECT 1.455000  0.655000 3.265000 0.825000 ;
+      RECT 1.455000  0.825000 1.625000 1.575000 ;
+      RECT 1.615000  0.305000 1.785000 0.655000 ;
+      RECT 1.985000  0.085000 2.315000 0.485000 ;
+      RECT 2.485000  0.305000 2.655000 0.655000 ;
+      RECT 2.875000  0.085000 3.255000 0.485000 ;
+      RECT 2.920000  1.795000 3.170000 2.635000 ;
+      RECT 3.095000  0.825000 3.265000 1.075000 ;
+      RECT 3.095000  1.075000 4.555000 1.245000 ;
+      RECT 3.815000  1.795000 4.065000 2.635000 ;
+      RECT 3.855000  0.085000 4.025000 0.555000 ;
+      RECT 4.655000  1.795000 4.905000 2.635000 ;
+      RECT 4.695000  0.085000 4.865000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_4
+MACRO sky130_fd_sc_hd__a221o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 1.075000 3.190000 1.105000 ;
+        RECT 2.855000 1.105000 4.060000 1.285000 ;
+        RECT 3.710000 1.075000 4.060000 1.105000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 1.075000 2.680000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 1.075000 6.035000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.270000 1.075000 7.280000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.230000 1.075000 4.725000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.735000 1.685000 0.905000 ;
+        RECT 0.095000 0.905000 0.325000 1.455000 ;
+        RECT 0.095000 1.455000 1.645000 1.625000 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 1.685000 0.735000 ;
+        RECT 0.555000 1.625000 0.805000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 1.395000 1.625000 1.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.155000  1.795000 0.385000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.555000 ;
+      RECT 0.495000  1.075000 1.845000 1.115000 ;
+      RECT 0.495000  1.115000 1.985000 1.285000 ;
+      RECT 0.975000  1.795000 1.225000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.815000  1.285000 1.985000 1.455000 ;
+      RECT 1.815000  1.455000 5.065000 1.625000 ;
+      RECT 1.815000  1.795000 2.065000 2.635000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  0.735000 2.525000 0.905000 ;
+      RECT 1.945000  0.905000 2.165000 0.935000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  1.795000 4.230000 1.875000 ;
+      RECT 2.235000  1.875000 5.575000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.465000 ;
+      RECT 2.655000  2.135000 2.905000 2.635000 ;
+      RECT 2.695000  0.085000 2.865000 0.895000 ;
+      RECT 3.075000  1.965000 3.330000 2.465000 ;
+      RECT 3.080000  0.305000 4.305000 0.475000 ;
+      RECT 3.190000  0.735000 3.885000 0.905000 ;
+      RECT 3.315000  0.905000 3.610000 0.935000 ;
+      RECT 3.500000  2.135000 3.750000 2.635000 ;
+      RECT 3.550000  0.645000 3.885000 0.735000 ;
+      RECT 3.940000  2.215000 6.385000 2.295000 ;
+      RECT 3.940000  2.295000 7.225000 2.465000 ;
+      RECT 4.055000  0.475000 4.305000 0.725000 ;
+      RECT 4.055000  0.725000 5.065000 0.905000 ;
+      RECT 4.060000  1.965000 5.575000 2.045000 ;
+      RECT 4.405000  1.625000 4.735000 1.705000 ;
+      RECT 4.475000  0.085000 4.645000 0.555000 ;
+      RECT 4.815000  0.255000 5.985000 0.475000 ;
+      RECT 4.815000  0.475000 5.065000 0.725000 ;
+      RECT 4.895000  0.905000 5.065000 1.455000 ;
+      RECT 5.235000  0.645000 6.505000 0.725000 ;
+      RECT 5.235000  0.725000 7.345000 0.905000 ;
+      RECT 5.245000  1.455000 6.805000 1.625000 ;
+      RECT 5.245000  1.625000 5.575000 1.875000 ;
+      RECT 5.745000  1.795000 6.385000 2.215000 ;
+      RECT 6.555000  1.625000 6.805000 2.125000 ;
+      RECT 6.675000  0.085000 6.845000 0.555000 ;
+      RECT 6.975000  1.785000 7.225000 2.295000 ;
+      RECT 7.015000  0.255000 7.345000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.995000  0.765000 2.165000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.400000  0.765000 3.570000 0.935000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.935000 0.735000 2.225000 0.780000 ;
+      RECT 1.935000 0.780000 3.630000 0.920000 ;
+      RECT 1.935000 0.920000 2.225000 0.965000 ;
+      RECT 3.340000 0.735000 3.630000 0.780000 ;
+      RECT 3.340000 0.920000 3.630000 0.965000 ;
+  END
+END sky130_fd_sc_hd__a221o_4
+MACRO sky130_fd_sc_hd__a221o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+      RECT 3.745000  0.085000 3.915000 0.980000 ;
+      RECT 3.745000  1.445000 3.915000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_2
+MACRO sky130_fd_sc_hd__a221o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_1
+MACRO sky130_fd_sc_hd__xnor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.930000 1.075000 1.625000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.965000 1.615000 ;
+        RECT 1.795000 1.075000 2.395000 1.245000 ;
+        RECT 1.795000 1.245000 1.965000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.525000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 2.125000 2.645000 2.295000 ;
+        RECT 2.475000 1.755000 3.135000 1.955000 ;
+        RECT 2.475000 1.955000 2.645000 2.125000 ;
+        RECT 2.815000 0.345000 3.135000 0.825000 ;
+        RECT 2.965000 0.825000 3.135000 1.755000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.280000 0.550000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 2.305000 1.955000 ;
+      RECT 0.085000  2.125000 0.385000 2.635000 ;
+      RECT 0.555000  1.955000 0.885000 2.465000 ;
+      RECT 1.055000  0.085000 1.225000 0.905000 ;
+      RECT 1.055000  2.125000 1.685000 2.635000 ;
+      RECT 1.395000  0.255000 1.725000 0.735000 ;
+      RECT 1.395000  0.735000 2.645000 0.825000 ;
+      RECT 1.395000  0.825000 2.305000 0.905000 ;
+      RECT 1.895000  0.085000 2.245000 0.475000 ;
+      RECT 2.135000  0.655000 2.645000 0.735000 ;
+      RECT 2.135000  1.415000 2.795000 1.585000 ;
+      RECT 2.135000  1.585000 2.305000 1.785000 ;
+      RECT 2.415000  0.255000 2.645000 0.655000 ;
+      RECT 2.625000  0.995000 2.795000 1.415000 ;
+      RECT 2.815000  2.125000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xnor2_1
+MACRO sky130_fd_sc_hd__xnor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.255000 1.075000 2.705000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.960000 1.285000 ;
+        RECT 0.790000 1.285000 0.960000 1.445000 ;
+        RECT 0.790000 1.445000 3.100000 1.615000 ;
+        RECT 2.930000 1.075000 3.955000 1.285000 ;
+        RECT 2.930000 1.285000 3.100000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.913000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.795000 5.295000 1.965000 ;
+        RECT 3.725000 1.965000 3.935000 2.125000 ;
+        RECT 4.585000 0.305000 5.895000 0.475000 ;
+        RECT 5.045000 1.415000 5.895000 1.625000 ;
+        RECT 5.045000 1.625000 5.295000 1.795000 ;
+        RECT 5.045000 1.965000 5.295000 2.125000 ;
+        RECT 5.505000 0.475000 5.895000 1.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.645000 0.860000 0.895000 ;
+      RECT 0.085000  0.895000 0.315000 1.785000 ;
+      RECT 0.085000  1.785000 3.480000 1.955000 ;
+      RECT 0.085000  1.955000 2.080000 1.965000 ;
+      RECT 0.085000  1.965000 0.400000 2.465000 ;
+      RECT 0.105000  0.255000 1.280000 0.475000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  1.965000 1.240000 2.465000 ;
+      RECT 1.030000  0.475000 1.280000 0.725000 ;
+      RECT 1.030000  0.725000 2.120000 0.905000 ;
+      RECT 1.410000  2.135000 1.660000 2.635000 ;
+      RECT 1.450000  0.085000 1.620000 0.555000 ;
+      RECT 1.790000  0.255000 2.120000 0.725000 ;
+      RECT 1.830000  1.965000 2.080000 2.465000 ;
+      RECT 2.390000  2.125000 2.640000 2.465000 ;
+      RECT 2.430000  0.085000 2.600000 0.905000 ;
+      RECT 2.770000  0.255000 3.100000 0.725000 ;
+      RECT 2.770000  0.725000 5.335000 0.905000 ;
+      RECT 2.810000  2.135000 3.060000 2.635000 ;
+      RECT 3.230000  2.125000 3.555000 2.295000 ;
+      RECT 3.230000  2.295000 4.355000 2.465000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.310000  1.455000 4.805000 1.625000 ;
+      RECT 3.310000  1.625000 3.480000 1.785000 ;
+      RECT 3.610000  0.255000 3.975000 0.725000 ;
+      RECT 4.105000  2.135000 4.355000 2.295000 ;
+      RECT 4.145000  0.085000 4.315000 0.555000 ;
+      RECT 4.625000  2.135000 4.875000 2.635000 ;
+      RECT 4.635000  1.075000 5.295000 1.245000 ;
+      RECT 4.635000  1.245000 4.805000 1.455000 ;
+      RECT 5.005000  0.645000 5.335000 0.725000 ;
+      RECT 5.465000  1.795000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.465000  2.125000 2.635000 2.295000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.385000  2.125000 3.555000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.405000 2.095000 2.695000 2.140000 ;
+      RECT 2.405000 2.140000 3.615000 2.280000 ;
+      RECT 2.405000 2.280000 2.695000 2.325000 ;
+      RECT 3.325000 2.095000 3.615000 2.140000 ;
+      RECT 3.325000 2.280000 3.615000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xnor2_2
+MACRO sky130_fd_sc_hd__xnor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.175000 1.075000 5.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 1.075000 1.855000 1.275000 ;
+        RECT 1.685000 1.275000 1.855000 1.445000 ;
+        RECT 1.685000 1.445000 5.730000 1.615000 ;
+        RECT 5.560000 1.075000 7.430000 1.275000 ;
+        RECT 5.560000 1.275000 5.730000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.721000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.160000 1.785000  8.250000 2.045000 ;
+        RECT 7.960000 1.445000 10.035000 1.665000 ;
+        RECT 7.960000 1.665000  8.250000 1.785000 ;
+        RECT 7.960000 2.045000  8.250000 2.465000 ;
+        RECT 8.380000 0.645000 10.035000 0.905000 ;
+        RECT 8.840000 1.665000  9.090000 2.465000 ;
+        RECT 9.680000 1.665000 10.035000 2.465000 ;
+        RECT 9.815000 0.905000 10.035000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.760000 0.905000 ;
+      RECT 0.085000  0.905000  0.320000 1.445000 ;
+      RECT 0.085000  1.445000  1.300000 1.615000 ;
+      RECT 0.085000  1.615000  0.460000 2.465000 ;
+      RECT 0.170000  0.255000  2.180000 0.475000 ;
+      RECT 0.630000  1.835000  0.880000 2.635000 ;
+      RECT 1.050000  1.615000  1.300000 1.785000 ;
+      RECT 1.050000  1.785000  3.820000 2.005000 ;
+      RECT 1.050000  2.005000  1.300000 2.465000 ;
+      RECT 1.470000  2.175000  1.720000 2.635000 ;
+      RECT 1.890000  2.005000  2.140000 2.465000 ;
+      RECT 1.930000  0.475000  2.180000 0.725000 ;
+      RECT 1.930000  0.725000  3.860000 0.905000 ;
+      RECT 2.310000  2.175000  2.560000 2.635000 ;
+      RECT 2.350000  0.085000  2.520000 0.555000 ;
+      RECT 2.690000  0.255000  3.020000 0.725000 ;
+      RECT 2.730000  2.005000  2.980000 2.465000 ;
+      RECT 3.150000  2.175000  3.400000 2.635000 ;
+      RECT 3.190000  0.085000  3.360000 0.555000 ;
+      RECT 3.530000  0.255000  3.860000 0.725000 ;
+      RECT 3.570000  2.005000  3.820000 2.465000 ;
+      RECT 4.035000  0.085000  4.310000 0.905000 ;
+      RECT 4.035000  1.785000  5.990000 2.005000 ;
+      RECT 4.035000  2.005000  4.350000 2.465000 ;
+      RECT 4.480000  0.255000  4.810000 0.725000 ;
+      RECT 4.480000  0.725000  7.430000 0.735000 ;
+      RECT 4.480000  0.735000  8.210000 0.905000 ;
+      RECT 4.520000  2.175000  4.770000 2.635000 ;
+      RECT 4.940000  2.005000  5.190000 2.465000 ;
+      RECT 4.980000  0.085000  5.150000 0.555000 ;
+      RECT 5.320000  0.255000  5.650000 0.725000 ;
+      RECT 5.360000  2.175000  5.610000 2.635000 ;
+      RECT 5.780000  2.005000  5.990000 2.215000 ;
+      RECT 5.780000  2.215000  7.750000 2.465000 ;
+      RECT 5.820000  0.085000  5.990000 0.555000 ;
+      RECT 5.900000  1.445000  7.770000 1.615000 ;
+      RECT 6.160000  0.255000  6.490000 0.725000 ;
+      RECT 6.660000  0.085000  6.830000 0.555000 ;
+      RECT 7.000000  0.255000  7.330000 0.725000 ;
+      RECT 7.500000  0.085000  7.770000 0.555000 ;
+      RECT 7.600000  1.075000  9.645000 1.275000 ;
+      RECT 7.600000  1.275000  7.770000 1.445000 ;
+      RECT 7.960000  0.305000  9.970000 0.475000 ;
+      RECT 7.960000  0.475000  8.210000 0.735000 ;
+      RECT 8.420000  1.835000  8.670000 2.635000 ;
+      RECT 9.260000  1.835000  9.510000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.445000 1.235000 1.615000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.005000 1.415000 1.295000 1.460000 ;
+      RECT 1.005000 1.460000 6.355000 1.600000 ;
+      RECT 1.005000 1.600000 1.295000 1.645000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xnor2_4
+MACRO sky130_fd_sc_hd__or3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 1.430000 1.325000 ;
+        RECT 0.605000 1.325000 0.830000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.280000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.435000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 0.415000 2.215000 0.760000 ;
+        RECT 1.940000 1.495000 2.215000 2.465000 ;
+        RECT 2.045000 0.760000 2.215000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.105000  0.305000 0.360000 0.655000 ;
+      RECT 0.105000  0.655000 1.770000 0.825000 ;
+      RECT 0.105000  1.495000 0.435000 1.785000 ;
+      RECT 0.105000  1.785000 1.270000 1.955000 ;
+      RECT 0.530000  0.085000 0.860000 0.485000 ;
+      RECT 1.030000  0.305000 1.200000 0.655000 ;
+      RECT 1.100000  1.495000 1.770000 1.665000 ;
+      RECT 1.100000  1.665000 1.270000 1.785000 ;
+      RECT 1.370000  0.085000 1.750000 0.485000 ;
+      RECT 1.450000  1.835000 1.730000 2.635000 ;
+      RECT 1.600000  0.825000 1.770000 0.995000 ;
+      RECT 1.600000  0.995000 1.875000 1.325000 ;
+      RECT 1.600000  1.325000 1.770000 1.495000 ;
+      RECT 2.385000  0.085000 2.675000 0.915000 ;
+      RECT 2.385000  1.430000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_2
+MACRO sky130_fd_sc_hd__or3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.995000 1.425000 1.325000 ;
+        RECT 0.600000 1.325000 0.795000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.275000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.415000 2.210000 0.760000 ;
+        RECT 1.935000 1.495000 2.210000 2.465000 ;
+        RECT 2.040000 0.760000 2.210000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.100000  0.305000 0.355000 0.655000 ;
+      RECT 0.100000  0.655000 1.765000 0.825000 ;
+      RECT 0.105000  1.495000 0.430000 1.785000 ;
+      RECT 0.105000  1.785000 1.275000 1.955000 ;
+      RECT 0.525000  0.085000 0.855000 0.485000 ;
+      RECT 1.025000  0.305000 1.195000 0.655000 ;
+      RECT 1.105000  1.495000 1.765000 1.665000 ;
+      RECT 1.105000  1.665000 1.275000 1.785000 ;
+      RECT 1.365000  0.085000 1.745000 0.485000 ;
+      RECT 1.445000  1.835000 1.725000 2.635000 ;
+      RECT 1.595000  0.825000 1.765000 0.995000 ;
+      RECT 1.595000  0.995000 1.870000 1.325000 ;
+      RECT 1.595000  1.325000 1.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_1
+MACRO sky130_fd_sc_hd__or3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.075000 1.055000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.050000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.305000 0.265000 2.635000 0.735000 ;
+        RECT 2.305000 0.735000 4.055000 0.905000 ;
+        RECT 2.345000 1.455000 4.055000 1.625000 ;
+        RECT 2.345000 1.625000 2.595000 2.465000 ;
+        RECT 3.145000 0.265000 3.475000 0.735000 ;
+        RECT 3.185000 1.625000 3.435000 2.465000 ;
+        RECT 3.765000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.725000 ;
+      RECT 0.085000  0.725000 2.090000 0.905000 ;
+      RECT 0.085000  1.495000 0.425000 2.295000 ;
+      RECT 0.085000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 1.000000  1.495000 2.090000 1.665000 ;
+      RECT 1.000000  1.665000 1.265000 2.295000 ;
+      RECT 1.435000  0.085000 2.135000 0.555000 ;
+      RECT 1.435000  1.835000 2.135000 2.635000 ;
+      RECT 1.870000  0.905000 2.090000 1.075000 ;
+      RECT 1.870000  1.075000 3.595000 1.245000 ;
+      RECT 1.870000  1.245000 2.090000 1.495000 ;
+      RECT 2.765000  1.795000 3.015000 2.635000 ;
+      RECT 2.805000  0.085000 2.975000 0.555000 ;
+      RECT 3.605000  1.795000 3.855000 2.635000 ;
+      RECT 3.645000  0.085000 3.815000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_4
+MACRO sky130_fd_sc_hd__nand3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.732000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 2.675000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 0.255000 2.675000 0.485000 ;
+        RECT 2.085000 1.665000 2.675000 2.465000 ;
+        RECT 2.385000 0.485000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.445000 0.510000 0.655000 ;
+      RECT 0.085000  0.655000 2.215000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.710000  0.085000 1.040000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.045000  0.825000 2.215000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_1
+MACRO sky130_fd_sc_hd__nand3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 1.075000 4.480000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.500000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.965000 0.905000 ;
+        RECT 1.455000 1.445000 6.505000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 3.465000 2.005000 ;
+        RECT 2.295000 2.005000 2.625000 2.465000 ;
+        RECT 2.795000 0.905000 2.965000 1.075000 ;
+        RECT 2.795000 1.075000 3.100000 1.445000 ;
+        RECT 3.135000 2.005000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.285000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 1.445000 ;
+      RECT 0.085000  1.445000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.445000 1.285000 2.635000 ;
+      RECT 1.005000  0.905000 1.285000 1.075000 ;
+      RECT 1.005000  1.075000 2.625000 1.275000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  2.175000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 4.725000 0.715000 ;
+      RECT 3.135000  0.715000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.085000 5.165000 0.545000 ;
+      RECT 5.335000  0.255000 5.665000 0.715000 ;
+      RECT 5.835000  0.085000 6.005000 0.545000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.175000  0.255000 6.505000 0.715000 ;
+      RECT 6.675000  0.085000 7.005000 0.905000 ;
+      RECT 6.675000  1.445000 7.005000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_4
+MACRO sky130_fd_sc_hd__nand3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.140000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.740000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.785000 4.050000 1.955000 ;
+        RECT 1.060000 1.955000 2.230000 2.005000 ;
+        RECT 1.060000 2.005000 1.390000 2.465000 ;
+        RECT 1.900000 2.005000 2.230000 2.465000 ;
+        RECT 3.260000 0.635000 4.050000 0.905000 ;
+        RECT 3.260000 1.955000 4.050000 2.005000 ;
+        RECT 3.260000 2.005000 3.510000 2.465000 ;
+        RECT 3.850000 0.905000 4.050000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.410000 0.655000 ;
+      RECT 0.090000  0.655000 0.260000 1.445000 ;
+      RECT 0.090000  1.445000 3.650000 1.615000 ;
+      RECT 0.090000  1.615000 0.260000 2.065000 ;
+      RECT 0.090000  2.065000 0.410000 2.465000 ;
+      RECT 0.580000  0.085000 0.890000 0.905000 ;
+      RECT 0.580000  1.835000 0.890000 2.635000 ;
+      RECT 1.060000  0.255000 1.390000 0.715000 ;
+      RECT 1.060000  0.715000 2.750000 0.905000 ;
+      RECT 1.560000  0.085000 1.810000 0.545000 ;
+      RECT 1.560000  2.175000 1.730000 2.635000 ;
+      RECT 2.000000  0.255000 4.050000 0.465000 ;
+      RECT 2.000000  0.635000 2.750000 0.715000 ;
+      RECT 2.400000  2.175000 2.650000 2.635000 ;
+      RECT 2.840000  2.175000 3.090000 2.635000 ;
+      RECT 2.920000  0.465000 3.090000 0.905000 ;
+      RECT 3.320000  1.075000 3.650000 1.445000 ;
+      RECT 3.760000  2.175000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.480000 1.285000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.390500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185000 0.270000 3.625000 0.640000 ;
+        RECT 3.185000 1.530000 3.625000 2.465000 ;
+        RECT 3.345000 0.640000 3.625000 1.530000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.270000 0.905000 ;
+      RECT 0.085000  1.455000 1.270000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.765000  1.075000 1.435000 1.245000 ;
+      RECT 0.850000  0.905000 1.270000 1.075000 ;
+      RECT 0.850000  1.245000 1.270000 1.455000 ;
+      RECT 1.390000  1.785000 1.795000 2.465000 ;
+      RECT 1.440000  0.270000 1.795000 0.900000 ;
+      RECT 1.625000  0.900000 1.795000 1.075000 ;
+      RECT 1.625000  1.075000 2.305000 1.245000 ;
+      RECT 1.625000  1.245000 1.795000 1.785000 ;
+      RECT 1.985000  0.270000 2.235000 0.735000 ;
+      RECT 1.985000  0.735000 2.645000 0.905000 ;
+      RECT 1.985000  1.460000 2.645000 1.630000 ;
+      RECT 1.985000  1.630000 2.235000 2.465000 ;
+      RECT 2.475000  0.905000 2.645000 0.995000 ;
+      RECT 2.475000  0.995000 3.175000 1.325000 ;
+      RECT 2.475000  1.325000 2.645000 1.460000 ;
+      RECT 2.685000  0.085000 3.015000 0.565000 ;
+      RECT 2.685000  1.800000 3.015000 2.635000 ;
+      RECT 3.795000  0.085000 4.055000 0.635000 ;
+      RECT 3.795000  1.800000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.535000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.504100 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.255000 3.595000 0.640000 ;
+        RECT 3.190000 1.690000 3.595000 2.465000 ;
+        RECT 3.345000 0.640000 3.595000 1.690000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.705000  0.905000 1.055000 1.025000 ;
+      RECT 0.705000  1.025000 1.135000 1.315000 ;
+      RECT 0.705000  1.315000 1.055000 1.460000 ;
+      RECT 1.380000  0.255000 1.730000 1.070000 ;
+      RECT 1.380000  1.070000 2.240000 1.320000 ;
+      RECT 1.380000  1.320000 1.730000 2.465000 ;
+      RECT 1.990000  0.255000 2.240000 0.730000 ;
+      RECT 1.990000  0.730000 2.580000 0.900000 ;
+      RECT 1.990000  1.495000 2.580000 1.665000 ;
+      RECT 1.990000  1.665000 2.240000 2.465000 ;
+      RECT 2.410000  0.900000 2.580000 0.995000 ;
+      RECT 2.410000  0.995000 3.175000 1.325000 ;
+      RECT 2.410000  1.325000 2.580000 1.495000 ;
+      RECT 2.690000  0.085000 3.020000 0.600000 ;
+      RECT 2.690000  1.835000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_1
+MACRO sky130_fd_sc_hd__fah_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fah_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.440000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 2.495000 1.275000 ;
+        RECT 1.990000 1.275000 2.190000 1.410000 ;
+        RECT 2.015000 1.410000 2.190000 1.725000 ;
+      LAYER mcon ;
+        RECT 1.990000 1.105000 2.160000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.675000 0.995000 5.925000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.680000 1.105000 5.850000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.930000 1.075000 2.220000 1.120000 ;
+        RECT 1.930000 1.120000 5.910000 1.260000 ;
+        RECT 1.930000 1.260000 2.220000 1.305000 ;
+        RECT 5.620000 1.075000 5.910000 1.120000 ;
+        RECT 5.620000 1.260000 5.910000 1.305000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.475000 1.075000  9.865000 1.325000 ;
+        RECT 9.690000 0.735000 10.010000 0.935000 ;
+        RECT 9.690000 0.935000  9.865000 1.075000 ;
+    END
+  END CI
+  PIN COUT
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.870000 0.270000 11.310000 0.825000 ;
+        RECT 10.870000 0.825000 11.040000 1.495000 ;
+        RECT 10.870000 1.495000 11.390000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.506000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.980000 0.255000 12.335000 0.825000 ;
+        RECT 11.985000 1.785000 12.335000 2.465000 ;
+        RECT 12.110000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.255000  0.425000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.445000 1.895000 ;
+      RECT  0.085000  1.895000  2.805000 2.065000 ;
+      RECT  0.085000  2.065000  0.395000 2.465000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.565000  2.260000  0.930000 2.635000 ;
+      RECT  0.595000  0.085000  0.765000 0.545000 ;
+      RECT  0.595000  0.735000  1.320000 0.905000 ;
+      RECT  0.595000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.380000 ;
+      RECT  0.610000  1.380000  0.815000 1.445000 ;
+      RECT  0.610000  1.445000  1.315000 1.455000 ;
+      RECT  0.615000  1.455000  1.315000 1.615000 ;
+      RECT  0.985000  1.615000  1.315000 1.715000 ;
+      RECT  0.990000  0.255000  1.320000 0.735000 ;
+      RECT  1.490000  1.445000  1.820000 1.500000 ;
+      RECT  1.490000  1.500000  1.840000 1.725000 ;
+      RECT  1.500000  0.255000  1.840000 0.715000 ;
+      RECT  1.500000  0.715000  2.520000 0.885000 ;
+      RECT  1.500000  0.885000  1.820000 0.905000 ;
+      RECT  1.615000  0.905000  1.820000 1.445000 ;
+      RECT  2.010000  0.085000  2.180000 0.545000 ;
+      RECT  2.065000  2.235000  2.395000 2.635000 ;
+      RECT  2.350000  0.255000  4.840000 0.425000 ;
+      RECT  2.350000  0.425000  2.520000 0.715000 ;
+      RECT  2.360000  1.445000  2.860000 1.715000 ;
+      RECT  2.635000  2.065000  2.805000 2.295000 ;
+      RECT  2.635000  2.295000  4.950000 2.465000 ;
+      RECT  2.690000  0.595000  2.860000 1.445000 ;
+      RECT  3.030000  0.425000  4.840000 0.465000 ;
+      RECT  3.030000  0.465000  3.200000 1.955000 ;
+      RECT  3.030000  1.955000  4.320000 2.125000 ;
+      RECT  3.370000  0.635000  3.900000 0.805000 ;
+      RECT  3.370000  0.805000  3.540000 1.455000 ;
+      RECT  3.370000  1.455000  3.815000 1.785000 ;
+      RECT  3.985000  1.785000  4.320000 1.955000 ;
+      RECT  4.070000  0.645000  4.400000 0.735000 ;
+      RECT  4.070000  0.735000  4.560000 0.755000 ;
+      RECT  4.070000  0.755000  5.170000 0.780000 ;
+      RECT  4.070000  0.780000  5.155000 0.805000 ;
+      RECT  4.070000  0.805000  5.145000 0.905000 ;
+      RECT  4.070000  1.075000  4.400000 1.160000 ;
+      RECT  4.070000  1.160000  4.535000 1.615000 ;
+      RECT  4.480000  0.905000  5.145000 0.925000 ;
+      RECT  4.650000  0.465000  4.840000 0.585000 ;
+      RECT  4.705000  0.925000  4.875000 2.295000 ;
+      RECT  4.925000  0.735000  5.180000 0.740000 ;
+      RECT  4.925000  0.740000  5.170000 0.755000 ;
+      RECT  4.950000  0.715000  5.180000 0.735000 ;
+      RECT  4.980000  0.690000  5.180000 0.715000 ;
+      RECT  5.000000  0.655000  5.180000 0.690000 ;
+      RECT  5.010000  0.255000  6.100000 0.425000 ;
+      RECT  5.010000  0.425000  5.180000 0.655000 ;
+      RECT  5.125000  1.150000  5.505000 1.320000 ;
+      RECT  5.125000  1.320000  5.295000 2.295000 ;
+      RECT  5.125000  2.295000  7.560000 2.465000 ;
+      RECT  5.320000  0.865000  5.520000 0.925000 ;
+      RECT  5.320000  0.925000  5.505000 1.150000 ;
+      RECT  5.335000  0.840000  5.520000 0.865000 ;
+      RECT  5.350000  0.595000  5.520000 0.840000 ;
+      RECT  5.475000  1.700000  5.875000 2.030000 ;
+      RECT  5.750000  0.425000  6.100000 0.565000 ;
+      RECT  6.105000  0.740000  6.435000 1.275000 ;
+      RECT  6.105000  1.445000  6.460000 1.615000 ;
+      RECT  6.270000  0.255000  9.735000 0.425000 ;
+      RECT  6.270000  0.425000  6.600000 0.570000 ;
+      RECT  6.290000  1.615000  6.460000 1.955000 ;
+      RECT  6.290000  1.955000  7.220000 2.125000 ;
+      RECT  6.610000  0.755000  6.940000 0.925000 ;
+      RECT  6.610000  0.925000  6.880000 1.275000 ;
+      RECT  6.710000  1.275000  6.880000 1.785000 ;
+      RECT  6.770000  0.595000  6.940000 0.755000 ;
+      RECT  7.050000  1.060000  7.280000 1.130000 ;
+      RECT  7.050000  1.130000  7.245000 1.175000 ;
+      RECT  7.050000  1.175000  7.220000 1.955000 ;
+      RECT  7.065000  1.045000  7.280000 1.060000 ;
+      RECT  7.090000  1.010000  7.280000 1.045000 ;
+      RECT  7.110000  0.595000  7.445000 0.765000 ;
+      RECT  7.110000  0.765000  7.280000 1.010000 ;
+      RECT  7.390000  1.275000  7.620000 1.375000 ;
+      RECT  7.390000  1.375000  7.595000 1.400000 ;
+      RECT  7.390000  1.400000  7.575000 1.425000 ;
+      RECT  7.390000  1.425000  7.560000 2.295000 ;
+      RECT  7.450000  0.995000  7.620000 1.275000 ;
+      RECT  7.705000  0.425000  7.960000 0.825000 ;
+      RECT  7.730000  1.510000  7.960000 2.295000 ;
+      RECT  7.730000  2.295000  9.655000 2.465000 ;
+      RECT  7.790000  0.825000  7.960000 1.510000 ;
+      RECT  8.145000  1.955000  9.250000 2.125000 ;
+      RECT  8.155000  0.595000  8.405000 0.925000 ;
+      RECT  8.225000  0.925000  8.405000 1.445000 ;
+      RECT  8.225000  1.445000  8.910000 1.785000 ;
+      RECT  8.575000  0.595000  8.745000 1.105000 ;
+      RECT  8.575000  1.105000  9.250000 1.275000 ;
+      RECT  8.920000  0.685000  9.300000 0.935000 ;
+      RECT  9.080000  1.275000  9.250000 1.955000 ;
+      RECT  9.400000  0.425000  9.735000 0.515000 ;
+      RECT  9.420000  1.495000 10.350000 1.705000 ;
+      RECT  9.420000  1.705000  9.655000 2.295000 ;
+      RECT  9.840000  2.275000 10.175000 2.635000 ;
+      RECT  9.905000  0.085000 10.075000 0.565000 ;
+      RECT 10.180000  0.995000 10.350000 1.495000 ;
+      RECT 10.245000  0.285000 10.690000 0.825000 ;
+      RECT 10.345000  1.875000 10.690000 2.465000 ;
+      RECT 10.520000  0.825000 10.690000 1.875000 ;
+      RECT 11.210000  0.995000 11.460000 1.325000 ;
+      RECT 11.480000  0.085000 11.810000 0.825000 ;
+      RECT 11.560000  1.785000 11.815000 2.635000 ;
+      RECT 11.630000  0.995000 11.940000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.445000  2.620000 1.615000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.370000  0.765000  3.540000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.365000  1.445000  4.535000 1.615000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.570000  1.785000  5.740000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  0.765000  6.320000 0.935000 ;
+      RECT  6.150000  1.445000  6.320000 1.615000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.610000  1.105000  6.780000 1.275000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.460000  1.445000  8.630000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.920000  0.765000  9.090000 0.935000 ;
+      RECT  9.080000  1.785000  9.250000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.785000 10.690000 1.955000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  1.105000 11.390000 1.275000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  2.390000 1.415000  2.680000 1.460000 ;
+      RECT  2.390000 1.460000  6.380000 1.600000 ;
+      RECT  2.390000 1.600000  2.680000 1.645000 ;
+      RECT  3.310000 0.735000  3.600000 0.780000 ;
+      RECT  3.310000 0.780000  9.150000 0.920000 ;
+      RECT  3.310000 0.920000  3.600000 0.965000 ;
+      RECT  3.925000 1.755000  4.215000 1.800000 ;
+      RECT  3.925000 1.800000  5.800000 1.940000 ;
+      RECT  3.925000 1.940000  4.215000 1.985000 ;
+      RECT  4.305000 1.415000  4.595000 1.460000 ;
+      RECT  4.305000 1.600000  4.595000 1.645000 ;
+      RECT  5.510000 1.755000  5.800000 1.800000 ;
+      RECT  5.510000 1.940000  5.800000 1.985000 ;
+      RECT  6.090000 0.735000  6.380000 0.780000 ;
+      RECT  6.090000 0.920000  6.380000 0.965000 ;
+      RECT  6.090000 1.415000  6.380000 1.460000 ;
+      RECT  6.090000 1.600000  6.380000 1.645000 ;
+      RECT  6.550000 1.075000  6.840000 1.120000 ;
+      RECT  6.550000 1.120000 11.450000 1.260000 ;
+      RECT  6.550000 1.260000  6.840000 1.305000 ;
+      RECT  8.400000 1.415000  8.690000 1.460000 ;
+      RECT  8.400000 1.460000 11.910000 1.600000 ;
+      RECT  8.400000 1.600000  8.690000 1.645000 ;
+      RECT  8.860000 0.735000  9.150000 0.780000 ;
+      RECT  8.860000 0.920000  9.150000 0.965000 ;
+      RECT  9.020000 1.755000  9.310000 1.800000 ;
+      RECT  9.020000 1.800000 10.750000 1.940000 ;
+      RECT  9.020000 1.940000  9.310000 1.985000 ;
+      RECT 10.460000 1.755000 10.750000 1.800000 ;
+      RECT 10.460000 1.940000 10.750000 1.985000 ;
+      RECT 11.160000 1.075000 11.450000 1.120000 ;
+      RECT 11.160000 1.260000 11.450000 1.305000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fah_1
+MACRO sky130_fd_sc_hd__a222oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.000000 2.925000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.000000 3.435000 1.330000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.000000 2.445000 1.330000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.000000 1.965000 1.330000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.000000 0.545000 1.315000 ;
+    END
+  END C1
+  PIN C2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.715000 1.000000 1.085000 1.315000 ;
+    END
+  END C2
+  PIN Y
+    ANTENNADIFFAREA  0.897600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.425000 0.645000 ;
+        RECT 0.095000 0.645000 2.645000 0.815000 ;
+        RECT 0.095000 1.485000 0.425000 1.500000 ;
+        RECT 0.095000 1.500000 1.425000 1.670000 ;
+        RECT 0.095000 1.670000 0.425000 1.680000 ;
+        RECT 0.095000 1.680000 0.345000 2.255000 ;
+        RECT 0.095000 2.255000 0.425000 2.465000 ;
+        RECT 1.015000 1.670000 1.185000 1.830000 ;
+        RECT 1.255000 0.815000 1.480000 1.330000 ;
+        RECT 1.255000 1.330000 1.425000 1.500000 ;
+        RECT 2.315000 0.295000 2.645000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.000000 0.000000 3.680000 0.240000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  1.875000 0.845000 2.075000 ;
+      RECT 0.595000  2.075000 0.765000 2.295000 ;
+      RECT 0.595000  2.295000 2.185000 2.465000 ;
+      RECT 0.875000  0.085000 1.605000 0.465000 ;
+      RECT 1.515000  1.825000 2.015000 1.965000 ;
+      RECT 1.515000  1.965000 1.970000 1.970000 ;
+      RECT 1.515000  1.970000 1.935000 1.980000 ;
+      RECT 1.515000  1.980000 1.915000 1.995000 ;
+      RECT 1.845000  1.655000 3.595000 1.670000 ;
+      RECT 1.845000  1.670000 2.685000 1.735000 ;
+      RECT 1.845000  1.735000 2.605000 1.825000 ;
+      RECT 2.015000  2.135000 2.185000 2.295000 ;
+      RECT 2.355000  1.500000 3.595000 1.655000 ;
+      RECT 2.355000  1.825000 2.605000 2.255000 ;
+      RECT 2.355000  2.255000 2.685000 2.465000 ;
+      RECT 2.775000  1.905000 3.105000 2.075000 ;
+      RECT 2.855000  2.075000 3.025000 2.635000 ;
+      RECT 3.220000  1.670000 3.595000 1.735000 ;
+      RECT 3.255000  0.085000 3.585000 0.815000 ;
+      RECT 3.255000  2.255000 3.595000 2.465000 ;
+      RECT 3.335000  1.735000 3.595000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a222oi_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  16.56000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.315000 0.995000 ;
+        RECT 0.085000 0.995000 0.665000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.450000 1.075000 15.650000 1.285000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  4.968000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  2.925000 0.255000  3.255000 0.725000 ;
+        RECT  2.925000 0.725000 16.475000 0.905000 ;
+        RECT  3.765000 0.255000  4.095000 0.725000 ;
+        RECT  4.605000 0.255000  4.935000 0.725000 ;
+        RECT  5.445000 0.255000  5.775000 0.725000 ;
+        RECT  6.285000 0.255000  6.615000 0.725000 ;
+        RECT  7.125000 0.255000  7.455000 0.725000 ;
+        RECT  7.965000 0.255000  8.295000 0.725000 ;
+        RECT  8.805000 0.255000  9.135000 0.725000 ;
+        RECT  9.645000 0.255000  9.975000 0.725000 ;
+        RECT  9.685000 1.455000 16.475000 1.625000 ;
+        RECT  9.685000 1.625000  9.935000 2.125000 ;
+        RECT 10.485000 0.255000 10.815000 0.725000 ;
+        RECT 10.525000 1.625000 10.775000 2.125000 ;
+        RECT 11.325000 0.255000 11.655000 0.725000 ;
+        RECT 11.365000 1.625000 11.615000 2.125000 ;
+        RECT 12.165000 0.255000 12.495000 0.725000 ;
+        RECT 12.205000 1.625000 12.455000 2.125000 ;
+        RECT 13.005000 0.255000 13.335000 0.725000 ;
+        RECT 13.045000 1.625000 13.295000 2.125000 ;
+        RECT 13.845000 0.255000 14.175000 0.725000 ;
+        RECT 13.885000 1.625000 14.135000 2.125000 ;
+        RECT 14.685000 0.255000 15.015000 0.725000 ;
+        RECT 14.725000 1.625000 14.975000 2.125000 ;
+        RECT 15.525000 0.255000 15.855000 0.725000 ;
+        RECT 15.565000 1.625000 15.815000 2.125000 ;
+        RECT 15.820000 0.905000 16.475000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 16.560000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 16.750000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 16.560000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 16.560000 0.085000 ;
+      RECT  0.000000  2.635000 16.560000 2.805000 ;
+      RECT  0.300000  1.495000  0.515000 2.635000 ;
+      RECT  0.485000  0.085000  0.815000 0.825000 ;
+      RECT  0.685000  1.495000  1.015000 2.465000 ;
+      RECT  0.835000  1.065000  2.035000 1.075000 ;
+      RECT  0.835000  1.075000  9.280000 1.285000 ;
+      RECT  0.835000  1.285000  1.015000 1.495000 ;
+      RECT  0.985000  0.255000  1.195000 1.065000 ;
+      RECT  1.185000  1.455000  1.355000 2.635000 ;
+      RECT  1.365000  0.085000  1.615000 0.895000 ;
+      RECT  1.525000  1.285000  1.855000 2.465000 ;
+      RECT  1.785000  0.255000  2.035000 1.065000 ;
+      RECT  2.025000  1.455000  2.270000 2.635000 ;
+      RECT  2.205000  0.085000  2.755000 0.905000 ;
+      RECT  2.475000  1.455000  9.515000 1.665000 ;
+      RECT  2.475000  1.665000  2.795000 2.465000 ;
+      RECT  2.965000  1.835000  3.215000 2.635000 ;
+      RECT  3.385000  1.665000  3.635000 2.465000 ;
+      RECT  3.425000  0.085000  3.595000 0.555000 ;
+      RECT  3.805000  1.835000  4.055000 2.635000 ;
+      RECT  4.225000  1.665000  4.475000 2.465000 ;
+      RECT  4.265000  0.085000  4.435000 0.555000 ;
+      RECT  4.645000  1.835000  4.895000 2.635000 ;
+      RECT  5.065000  1.665000  5.315000 2.465000 ;
+      RECT  5.105000  0.085000  5.275000 0.555000 ;
+      RECT  5.485000  1.835000  5.735000 2.635000 ;
+      RECT  5.905000  1.665000  6.155000 2.465000 ;
+      RECT  5.945000  0.085000  6.115000 0.555000 ;
+      RECT  6.325000  1.835000  6.575000 2.635000 ;
+      RECT  6.745000  1.665000  6.995000 2.465000 ;
+      RECT  6.785000  0.085000  6.955000 0.555000 ;
+      RECT  7.165000  1.835000  7.415000 2.635000 ;
+      RECT  7.585000  1.665000  7.835000 2.465000 ;
+      RECT  7.625000  0.085000  7.795000 0.555000 ;
+      RECT  8.005000  1.835000  8.255000 2.635000 ;
+      RECT  8.425000  1.665000  8.675000 2.465000 ;
+      RECT  8.465000  0.085000  8.635000 0.555000 ;
+      RECT  8.845000  1.835000  9.095000 2.635000 ;
+      RECT  9.265000  1.665000  9.515000 2.295000 ;
+      RECT  9.265000  2.295000 16.235000 2.465000 ;
+      RECT  9.305000  0.085000  9.475000 0.555000 ;
+      RECT 10.105000  1.795000 10.355000 2.295000 ;
+      RECT 10.145000  0.085000 10.315000 0.555000 ;
+      RECT 10.945000  1.795000 11.195000 2.295000 ;
+      RECT 10.985000  0.085000 11.155000 0.555000 ;
+      RECT 11.785000  1.795000 12.035000 2.295000 ;
+      RECT 11.825000  0.085000 11.995000 0.555000 ;
+      RECT 12.625000  1.795000 12.875000 2.295000 ;
+      RECT 12.665000  0.085000 12.835000 0.555000 ;
+      RECT 13.465000  1.795000 13.715000 2.295000 ;
+      RECT 13.505000  0.085000 13.675000 0.555000 ;
+      RECT 14.305000  1.795000 14.555000 2.295000 ;
+      RECT 14.345000  0.085000 14.515000 0.555000 ;
+      RECT 15.145000  1.795000 15.395000 2.295000 ;
+      RECT 15.185000  0.085000 15.355000 0.555000 ;
+      RECT 15.985000  1.795000 16.235000 2.295000 ;
+      RECT 16.025000  0.085000 16.295000 0.555000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+      RECT 15.325000 -0.085000 15.495000 0.085000 ;
+      RECT 15.325000  2.635000 15.495000 2.805000 ;
+      RECT 15.785000 -0.085000 15.955000 0.085000 ;
+      RECT 15.785000  2.635000 15.955000 2.805000 ;
+      RECT 16.245000 -0.085000 16.415000 0.085000 ;
+      RECT 16.245000  2.635000 16.415000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_16
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.265000 1.065000 ;
+        RECT 0.085000 1.065000 0.575000 1.285000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.270000 1.075000 8.010000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.335000 0.725000 ;
+        RECT 2.005000 0.725000 8.655000 0.905000 ;
+        RECT 2.845000 0.255000 3.175000 0.725000 ;
+        RECT 3.685000 0.255000 4.015000 0.725000 ;
+        RECT 4.525000 0.255000 4.855000 0.725000 ;
+        RECT 5.365000 0.255000 5.695000 0.725000 ;
+        RECT 5.405000 1.445000 8.655000 1.615000 ;
+        RECT 5.405000 1.615000 5.655000 2.125000 ;
+        RECT 6.205000 0.255000 6.535000 0.725000 ;
+        RECT 6.245000 1.615000 6.495000 2.125000 ;
+        RECT 7.045000 0.255000 7.375000 0.725000 ;
+        RECT 7.085000 1.615000 7.335000 2.125000 ;
+        RECT 7.885000 0.255000 8.215000 0.725000 ;
+        RECT 7.925000 1.615000 8.175000 2.125000 ;
+        RECT 8.180000 0.905000 8.655000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.195000  1.455000 0.415000 2.635000 ;
+      RECT 0.435000  0.085000 0.655000 0.895000 ;
+      RECT 0.585000  1.455000 0.915000 2.465000 ;
+      RECT 0.745000  1.065000 1.155000 1.075000 ;
+      RECT 0.745000  1.075000 5.000000 1.285000 ;
+      RECT 0.745000  1.285000 0.915000 1.455000 ;
+      RECT 0.825000  0.255000 1.155000 1.065000 ;
+      RECT 1.085000  1.455000 1.330000 2.635000 ;
+      RECT 1.325000  0.085000 1.835000 0.905000 ;
+      RECT 1.555000  1.455000 5.235000 1.665000 ;
+      RECT 1.555000  1.665000 1.875000 2.465000 ;
+      RECT 2.045000  1.835000 2.295000 2.635000 ;
+      RECT 2.465000  1.665000 2.715000 2.465000 ;
+      RECT 2.505000  0.085000 2.675000 0.555000 ;
+      RECT 2.885000  1.835000 3.135000 2.635000 ;
+      RECT 3.305000  1.665000 3.555000 2.465000 ;
+      RECT 3.345000  0.085000 3.515000 0.555000 ;
+      RECT 3.725000  1.835000 3.975000 2.635000 ;
+      RECT 4.145000  1.665000 4.395000 2.465000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.565000  1.835000 4.815000 2.635000 ;
+      RECT 4.985000  1.665000 5.235000 2.295000 ;
+      RECT 4.985000  2.295000 8.595000 2.465000 ;
+      RECT 5.025000  0.085000 5.195000 0.555000 ;
+      RECT 5.825000  1.785000 6.075000 2.295000 ;
+      RECT 5.865000  0.085000 6.035000 0.555000 ;
+      RECT 6.665000  1.785000 6.915000 2.295000 ;
+      RECT 6.705000  0.085000 6.875000 0.555000 ;
+      RECT 7.505000  1.785000 7.755000 2.295000 ;
+      RECT 7.545000  0.085000 7.715000 0.555000 ;
+      RECT 8.345000  1.785000 8.595000 2.295000 ;
+      RECT 8.385000  0.085000 8.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_8
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_4
+MACRO sky130_fd_sc_hd__dfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 1.005000 2.160000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.445000 11.875000 2.465000 ;
+        RECT 11.660000 0.825000 11.875000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.280000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.590000 0.735000 4.000000 0.965000 ;
+        RECT 3.590000 0.965000 3.920000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.830000 0.765000 4.000000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.460000 0.735000 7.835000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.510000 0.765000 7.680000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 0.735000 4.060000 0.780000 ;
+        RECT 3.770000 0.780000 7.740000 0.920000 ;
+        RECT 3.770000 0.920000 4.060000 0.965000 ;
+        RECT 7.450000 0.735000 7.740000 0.780000 ;
+        RECT 7.450000 0.920000 7.740000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.410000  0.635000  2.125000 0.825000 ;
+      RECT  1.410000  0.825000  1.580000 1.795000 ;
+      RECT  1.410000  1.795000  2.125000 1.965000 ;
+      RECT  1.435000  0.085000  1.785000 0.465000 ;
+      RECT  1.435000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.330000  0.705000  2.550000 1.575000 ;
+      RECT  2.330000  1.575000  2.830000 1.955000 ;
+      RECT  2.340000  2.250000  3.170000 2.420000 ;
+      RECT  2.405000  0.265000  3.400000 0.465000 ;
+      RECT  2.730000  0.645000  3.060000 1.015000 ;
+      RECT  3.000000  1.195000  3.400000 1.235000 ;
+      RECT  3.000000  1.235000  4.350000 1.405000 ;
+      RECT  3.000000  1.405000  3.170000 2.250000 ;
+      RECT  3.230000  0.465000  3.400000 1.195000 ;
+      RECT  3.340000  1.575000  3.590000 1.785000 ;
+      RECT  3.340000  1.785000  4.690000 2.035000 ;
+      RECT  3.410000  2.205000  3.790000 2.635000 ;
+      RECT  3.570000  0.085000  3.740000 0.525000 ;
+      RECT  3.910000  0.255000  5.080000 0.425000 ;
+      RECT  3.910000  0.425000  4.240000 0.545000 ;
+      RECT  4.090000  2.035000  4.260000 2.375000 ;
+      RECT  4.100000  1.405000  4.350000 1.485000 ;
+      RECT  4.130000  1.155000  4.350000 1.235000 ;
+      RECT  4.410000  0.595000  4.740000 0.765000 ;
+      RECT  4.520000  0.765000  4.740000 0.895000 ;
+      RECT  4.520000  0.895000  5.830000 1.065000 ;
+      RECT  4.520000  1.065000  4.690000 1.785000 ;
+      RECT  4.860000  1.235000  5.190000 1.415000 ;
+      RECT  4.860000  1.415000  5.865000 1.655000 ;
+      RECT  4.880000  1.915000  5.210000 2.635000 ;
+      RECT  4.910000  0.425000  5.080000 0.715000 ;
+      RECT  5.350000  0.085000  5.680000 0.465000 ;
+      RECT  5.500000  1.065000  5.830000 1.235000 ;
+      RECT  6.065000  1.575000  6.300000 1.985000 ;
+      RECT  6.125000  0.705000  6.410000 1.125000 ;
+      RECT  6.125000  1.125000  6.745000 1.305000 ;
+      RECT  6.255000  2.250000  7.085000 2.420000 ;
+      RECT  6.320000  0.265000  7.085000 0.465000 ;
+      RECT  6.540000  1.305000  6.745000 1.905000 ;
+      RECT  6.915000  0.465000  7.085000 1.235000 ;
+      RECT  6.915000  1.235000  8.265000 1.405000 ;
+      RECT  6.915000  1.405000  7.085000 2.250000 ;
+      RECT  7.255000  1.575000  7.505000 1.915000 ;
+      RECT  7.255000  1.915000 10.030000 2.085000 ;
+      RECT  7.265000  0.085000  7.525000 0.525000 ;
+      RECT  7.325000  2.255000  7.705000 2.635000 ;
+      RECT  7.785000  0.255000  8.955000 0.425000 ;
+      RECT  7.785000  0.425000  8.115000 0.545000 ;
+      RECT  7.945000  2.085000  8.115000 2.375000 ;
+      RECT  8.045000  1.075000  8.265000 1.235000 ;
+      RECT  8.285000  0.595000  8.615000 0.780000 ;
+      RECT  8.435000  0.780000  8.615000 1.915000 ;
+      RECT  8.645000  2.255000 10.030000 2.635000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.785000  0.755000  9.475000 0.925000 ;
+      RECT  8.785000  0.925000  9.060000 1.575000 ;
+      RECT  8.785000  1.575000  9.545000 1.745000 ;
+      RECT  9.240000  0.265000  9.475000 0.755000 ;
+      RECT  9.700000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.110000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.655000  0.255000 10.970000 0.995000 ;
+      RECT 10.655000  0.995000 11.490000 1.325000 ;
+      RECT 10.655000  1.325000 10.970000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.150000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.785000  0.780000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  0.765000  1.240000 0.935000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.785000  2.620000 1.955000 ;
+      RECT  2.890000  0.765000  3.060000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.670000  1.445000  5.840000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.130000  1.105000  6.300000 1.275000 ;
+      RECT  6.130000  1.785000  6.300000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.890000  1.445000  9.060000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 6.360000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.120000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.390000 1.755000 2.680000 1.800000 ;
+      RECT 2.390000 1.940000 2.680000 1.985000 ;
+      RECT 2.830000 0.735000 3.120000 0.780000 ;
+      RECT 2.830000 0.920000 3.120000 0.965000 ;
+      RECT 2.925000 0.965000 3.120000 1.120000 ;
+      RECT 2.925000 1.120000 6.360000 1.260000 ;
+      RECT 5.610000 1.415000 5.900000 1.460000 ;
+      RECT 5.610000 1.460000 9.120000 1.600000 ;
+      RECT 5.610000 1.600000 5.900000 1.645000 ;
+      RECT 6.070000 1.075000 6.360000 1.120000 ;
+      RECT 6.070000 1.260000 6.360000 1.305000 ;
+      RECT 6.070000 1.755000 6.360000 1.800000 ;
+      RECT 6.070000 1.940000 6.360000 1.985000 ;
+      RECT 8.830000 1.415000 9.120000 1.460000 ;
+      RECT 8.830000 1.600000 9.120000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbp_1
+MACRO sky130_fd_sc_hd__a211o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.045000 2.450000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.045000 1.810000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.045000 3.070000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.045000 3.595000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.452000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.255000 0.775000 0.635000 ;
+        RECT 0.555000 0.635000 0.785000 2.335000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.385000 0.905000 ;
+      RECT 0.090000  1.490000 0.385000 2.635000 ;
+      RECT 0.945000  0.085000 1.795000 0.445000 ;
+      RECT 1.000000  0.695000 3.585000 0.875000 ;
+      RECT 1.000000  0.875000 1.310000 1.490000 ;
+      RECT 1.000000  1.490000 3.585000 1.660000 ;
+      RECT 1.000000  1.830000 1.255000 2.635000 ;
+      RECT 1.455000  1.840000 2.795000 2.020000 ;
+      RECT 1.455000  2.020000 1.785000 2.465000 ;
+      RECT 1.955000  2.190000 2.230000 2.635000 ;
+      RECT 2.275000  0.275000 2.605000 0.695000 ;
+      RECT 2.465000  2.020000 2.795000 2.465000 ;
+      RECT 2.810000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.275000 3.585000 0.695000 ;
+      RECT 3.255000  1.660000 3.585000 2.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_2
+MACRO sky130_fd_sc_hd__a211o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.995000 2.060000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.995000 1.305000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.125000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.265000 0.425000 1.685000 ;
+        RECT 0.090000 1.685000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.525000  1.915000 0.855000 2.635000 ;
+      RECT 0.600000  0.625000 3.085000 0.815000 ;
+      RECT 0.600000  0.815000 0.825000 1.505000 ;
+      RECT 0.600000  1.505000 3.095000 1.685000 ;
+      RECT 0.605000  0.085000 1.350000 0.455000 ;
+      RECT 1.045000  1.865000 2.235000 2.095000 ;
+      RECT 1.045000  2.095000 1.305000 2.455000 ;
+      RECT 1.475000  2.265000 1.805000 2.635000 ;
+      RECT 1.915000  0.265000 2.170000 0.625000 ;
+      RECT 1.975000  2.095000 2.235000 2.455000 ;
+      RECT 2.350000  0.085000 2.680000 0.455000 ;
+      RECT 2.805000  1.685000 3.095000 2.455000 ;
+      RECT 2.860000  0.265000 3.085000 0.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_1
+MACRO sky130_fd_sc_hd__a211o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.035000 1.020000 5.380000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.495000 1.020000 4.825000 1.510000 ;
+        RECT 4.495000 1.510000 5.845000 1.700000 ;
+        RECT 5.635000 1.020000 6.225000 1.320000 ;
+        RECT 5.635000 1.320000 5.845000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.985000 2.805000 1.325000 ;
+        RECT 2.625000 1.325000 2.805000 1.445000 ;
+        RECT 2.625000 1.445000 4.175000 1.700000 ;
+        RECT 3.845000 0.985000 4.175000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.975000 0.985000 3.645000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.933750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 2.025000 0.875000 ;
+        RECT 0.085000 0.875000 0.340000 1.495000 ;
+        RECT 0.085000 1.495000 1.640000 1.705000 ;
+        RECT 0.595000 1.705000 0.780000 2.465000 ;
+        RECT 0.985000 0.255000 1.175000 0.615000 ;
+        RECT 0.985000 0.615000 2.025000 0.635000 ;
+        RECT 1.450000 1.705000 1.640000 2.465000 ;
+        RECT 1.845000 0.255000 2.025000 0.615000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  1.875000 0.425000 2.635000 ;
+      RECT 0.485000  0.085000 0.815000 0.465000 ;
+      RECT 0.525000  1.045000 2.370000 1.325000 ;
+      RECT 0.950000  1.875000 1.280000 2.635000 ;
+      RECT 1.345000  0.085000 1.675000 0.445000 ;
+      RECT 1.810000  1.835000 2.060000 2.635000 ;
+      RECT 2.185000  1.325000 2.370000 1.505000 ;
+      RECT 2.185000  1.505000 2.455000 1.675000 ;
+      RECT 2.195000  0.615000 5.490000 0.805000 ;
+      RECT 2.195000  0.805000 2.370000 1.045000 ;
+      RECT 2.220000  0.085000 2.555000 0.445000 ;
+      RECT 2.280000  1.675000 2.455000 1.870000 ;
+      RECT 2.280000  1.870000 3.510000 2.040000 ;
+      RECT 2.320000  2.210000 4.450000 2.465000 ;
+      RECT 2.725000  0.255000 2.970000 0.615000 ;
+      RECT 3.140000  0.085000 3.470000 0.445000 ;
+      RECT 3.640000  0.255000 4.020000 0.615000 ;
+      RECT 4.120000  1.880000 6.345000 2.105000 ;
+      RECT 4.120000  2.105000 4.450000 2.210000 ;
+      RECT 4.190000  0.085000 4.560000 0.445000 ;
+      RECT 4.620000  2.275000 4.950000 2.635000 ;
+      RECT 5.160000  0.275000 5.490000 0.615000 ;
+      RECT 5.160000  2.105000 5.420000 2.465000 ;
+      RECT 5.590000  2.275000 5.920000 2.635000 ;
+      RECT 6.015000  0.085000 6.345000 0.805000 ;
+      RECT 6.015000  1.535000 6.345000 1.880000 ;
+      RECT 6.090000  2.105000 6.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_4
+MACRO sky130_fd_sc_hd__o2111a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.890000 1.075000 4.485000 1.245000 ;
+        RECT 4.130000 1.245000 4.485000 1.320000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.135000 1.075000 3.600000 1.245000 ;
+        RECT 3.145000 1.245000 3.600000 1.320000 ;
+        RECT 3.305000 1.320000 3.600000 1.490000 ;
+        RECT 3.305000 1.490000 4.825000 1.660000 ;
+        RECT 4.655000 1.075000 4.985000 1.320000 ;
+        RECT 4.655000 1.320000 4.825000 1.490000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.215000 1.320000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.150000 0.995000 1.395000 1.490000 ;
+        RECT 1.150000 1.490000 2.660000 1.660000 ;
+        RECT 2.445000 1.080000 2.820000 1.320000 ;
+        RECT 2.445000 1.320000 2.660000 1.490000 ;
+        RECT 2.490000 1.075000 2.820000 1.080000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 0.340000 1.655000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.962500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.255000 5.875000 0.695000 ;
+        RECT 5.650000 0.695000 7.275000 0.865000 ;
+        RECT 5.755000 1.495000 7.275000 1.665000 ;
+        RECT 5.755000 1.665000 5.925000 2.465000 ;
+        RECT 6.545000 0.255000 6.745000 0.695000 ;
+        RECT 6.585000 1.665000 6.775000 2.465000 ;
+        RECT 7.005000 0.865000 7.275000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  1.835000 5.550000 2.000000 ;
+      RECT 0.090000  2.000000 5.065000 2.005000 ;
+      RECT 0.090000  2.005000 0.345000 2.465000 ;
+      RECT 0.100000  0.255000 2.940000 0.485000 ;
+      RECT 0.100000  0.485000 0.345000 0.825000 ;
+      RECT 0.515000  0.655000 0.860000 1.830000 ;
+      RECT 0.515000  1.830000 5.550000 1.835000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 1.015000  2.005000 1.230000 2.465000 ;
+      RECT 1.400000  2.175000 1.625000 2.635000 ;
+      RECT 1.720000  0.655000 4.795000 0.885000 ;
+      RECT 1.795000  2.005000 2.025000 2.465000 ;
+      RECT 2.195000  2.175000 2.525000 2.635000 ;
+      RECT 2.695000  2.005000 3.285000 2.465000 ;
+      RECT 3.110000  0.085000 3.440000 0.485000 ;
+      RECT 3.610000  0.255000 3.825000 0.655000 ;
+      RECT 3.805000  2.180000 4.135000 2.635000 ;
+      RECT 3.995000  0.085000 4.365000 0.485000 ;
+      RECT 4.535000  0.255000 4.795000 0.655000 ;
+      RECT 4.775000  2.005000 5.065000 2.465000 ;
+      RECT 5.035000  0.085000 5.300000 0.545000 ;
+      RECT 5.245000  2.170000 5.585000 2.635000 ;
+      RECT 5.380000  1.075000 6.760000 1.320000 ;
+      RECT 5.380000  1.320000 5.550000 1.830000 ;
+      RECT 6.075000  0.085000 6.375000 0.525000 ;
+      RECT 6.095000  1.835000 6.415000 2.635000 ;
+      RECT 6.915000  0.085000 7.275000 0.525000 ;
+      RECT 6.945000  1.835000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_4
+MACRO sky130_fd_sc_hd__o2111a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.705000 1.075000 4.035000 1.660000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.075000 3.535000 1.325000 ;
+        RECT 3.350000 1.325000 3.535000 2.415000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.390000 2.690000 0.995000 ;
+        RECT 2.445000 0.995000 2.705000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.390000 2.195000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.265000 1.075000 1.745000 1.325000 ;
+        RECT 1.535000 0.390000 1.745000 1.075000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  0.995000 0.865000 1.325000 ;
+      RECT 0.525000  1.835000 1.335000 2.635000 ;
+      RECT 0.535000  0.085000 0.845000 0.565000 ;
+      RECT 0.695000  0.735000 1.365000 0.905000 ;
+      RECT 0.695000  0.905000 0.865000 0.995000 ;
+      RECT 0.695000  1.325000 0.865000 1.495000 ;
+      RECT 0.695000  1.495000 3.180000 1.665000 ;
+      RECT 1.025000  0.255000 1.365000 0.735000 ;
+      RECT 1.505000  1.665000 1.835000 2.465000 ;
+      RECT 2.020000  1.835000 2.760000 2.635000 ;
+      RECT 2.870000  0.255000 3.160000 0.705000 ;
+      RECT 2.870000  0.705000 4.055000 0.875000 ;
+      RECT 2.930000  1.665000 3.180000 2.465000 ;
+      RECT 3.330000  0.085000 3.620000 0.535000 ;
+      RECT 3.730000  1.835000 4.055000 2.635000 ;
+      RECT 3.790000  0.255000 4.055000 0.705000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_1
+MACRO sky130_fd_sc_hd__o2111a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.830000 1.005000 4.515000 1.315000 ;
+        RECT 4.310000 1.315000 4.515000 2.355000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.995000 3.660000 1.325000 ;
+        RECT 3.370000 1.325000 3.660000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.100000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.390000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 1.835000 1.615000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.855000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.030000  0.715000 1.805000 0.885000 ;
+      RECT 1.030000  0.885000 1.305000 1.785000 ;
+      RECT 1.030000  1.785000 3.195000 2.025000 ;
+      RECT 1.035000  0.085000 1.285000 0.545000 ;
+      RECT 1.035000  2.195000 1.655000 2.635000 ;
+      RECT 1.475000  0.255000 1.805000 0.715000 ;
+      RECT 1.860000  2.025000 2.140000 2.465000 ;
+      RECT 2.325000  2.255000 2.655000 2.635000 ;
+      RECT 2.865000  0.255000 3.195000 0.625000 ;
+      RECT 2.865000  0.625000 4.215000 0.825000 ;
+      RECT 2.865000  2.025000 3.195000 2.465000 ;
+      RECT 3.385000  0.085000 3.715000 0.455000 ;
+      RECT 3.885000  0.255000 4.215000 0.625000 ;
+      RECT 3.885000  1.495000 4.140000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_2
+MACRO sky130_fd_sc_hd__dlrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.478500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.680000 0.330000 5.850000 0.665000 ;
+        RECT 5.680000 0.665000 6.150000 0.835000 ;
+        RECT 5.680000 1.495000 6.065000 1.660000 ;
+        RECT 5.680000 1.660000 5.930000 2.465000 ;
+        RECT 5.790000 0.835000 6.150000 0.885000 ;
+        RECT 5.790000 0.885000 6.360000 1.325000 ;
+        RECT 5.790000 1.325000 6.065000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.515000 0.255000 7.765000 0.825000 ;
+        RECT 7.515000 1.605000 7.765000 2.465000 ;
+        RECT 7.595000 0.825000 7.765000 1.055000 ;
+        RECT 7.595000 1.055000 8.195000 1.325000 ;
+        RECT 7.595000 1.325000 7.765000 1.605000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.400000 0.995000 5.150000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.125000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.600000 2.635000 ;
+      RECT 3.840000  1.535000 5.510000 1.705000 ;
+      RECT 3.840000  1.705000 4.940000 1.865000 ;
+      RECT 4.270000  0.415000 4.570000 0.655000 ;
+      RECT 4.270000  0.655000 5.510000 0.825000 ;
+      RECT 4.770000  1.865000 4.940000 2.435000 ;
+      RECT 5.110000  0.085000 5.490000 0.485000 ;
+      RECT 5.110000  1.875000 5.490000 2.635000 ;
+      RECT 5.320000  0.825000 5.510000 0.995000 ;
+      RECT 5.320000  0.995000 5.620000 1.325000 ;
+      RECT 5.320000  1.325000 5.510000 1.535000 ;
+      RECT 6.020000  0.085000 6.360000 0.465000 ;
+      RECT 6.100000  1.830000 6.360000 2.635000 ;
+      RECT 6.535000  0.255000 6.865000 0.995000 ;
+      RECT 6.535000  0.995000 7.425000 1.325000 ;
+      RECT 6.535000  1.325000 6.870000 2.465000 ;
+      RECT 7.035000  0.085000 7.340000 0.545000 ;
+      RECT 7.045000  1.835000 7.340000 2.635000 ;
+      RECT 7.935000  0.085000 8.195000 0.885000 ;
+      RECT 7.935000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_2
+MACRO sky130_fd_sc_hd__dlrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.410000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.565000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.450000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.600000  0.765000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.130000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.535000 5.890000 1.765000 ;
+      RECT 3.840000  1.765000 4.950000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.890000 0.825000 ;
+      RECT 4.780000  1.865000 4.950000 2.435000 ;
+      RECT 5.120000  0.085000 5.890000 0.485000 ;
+      RECT 5.120000  1.935000 5.890000 2.635000 ;
+      RECT 5.655000  0.825000 5.890000 1.535000 ;
+      RECT 6.580000  0.255000 6.805000 0.995000 ;
+      RECT 6.580000  0.995000 7.395000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_1
+MACRO sky130_fd_sc_hd__o211ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.400000 1.075000 1.410000 1.330000 ;
+        RECT 0.965000 1.330000 1.410000 1.515000 ;
+        RECT 0.965000 1.515000 3.630000 1.685000 ;
+        RECT 3.350000 0.995000 3.630000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 3.180000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 0.995000 4.975000 1.410000 ;
+        RECT 4.260000 1.410000 4.975000 1.515000 ;
+        RECT 4.260000 1.515000 7.000000 1.685000 ;
+        RECT 6.830000 0.995000 7.000000 1.515000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.370000 1.075000 6.440000 1.345000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.001000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 1.855000 7.680000 2.025000 ;
+        RECT 1.805000 2.025000 3.470000 2.105000 ;
+        RECT 4.045000 2.025000 7.680000 2.105000 ;
+        RECT 5.280000 0.270000 6.735000 0.450000 ;
+        RECT 6.565000 0.450000 6.735000 0.655000 ;
+        RECT 6.565000 0.655000 7.350000 0.825000 ;
+        RECT 7.170000 0.825000 7.350000 1.340000 ;
+        RECT 7.170000 1.340000 7.680000 1.855000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.665000 0.385000 2.635000 ;
+      RECT 0.155000  0.535000 0.355000 0.625000 ;
+      RECT 0.155000  0.625000 1.240000 0.695000 ;
+      RECT 0.155000  0.695000 3.835000 0.795000 ;
+      RECT 0.155000  0.795000 3.130000 0.865000 ;
+      RECT 0.155000  0.865000 1.795000 0.905000 ;
+      RECT 0.525000  0.085000 0.855000 0.445000 ;
+      RECT 0.555000  1.860000 0.775000 1.935000 ;
+      RECT 0.555000  1.935000 1.635000 2.105000 ;
+      RECT 0.555000  2.105000 0.775000 2.190000 ;
+      RECT 0.955000  2.275000 1.285000 2.635000 ;
+      RECT 1.025000  0.425000 1.240000 0.625000 ;
+      RECT 1.455000  2.105000 1.635000 2.275000 ;
+      RECT 1.455000  2.275000 3.435000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.525000 ;
+      RECT 1.775000  0.625000 3.835000 0.695000 ;
+      RECT 2.245000  0.085000 2.575000 0.445000 ;
+      RECT 3.105000  0.085000 3.435000 0.445000 ;
+      RECT 3.605000  0.255000 4.920000 0.455000 ;
+      RECT 3.605000  0.455000 3.835000 0.625000 ;
+      RECT 3.615000  2.195000 3.885000 2.635000 ;
+      RECT 4.005000  0.635000 6.170000 0.815000 ;
+      RECT 4.435000  2.275000 4.765000 2.635000 ;
+      RECT 5.280000  2.275000 5.610000 2.635000 ;
+      RECT 6.120000  2.275000 6.455000 2.635000 ;
+      RECT 6.980000  0.310000 7.680000 0.480000 ;
+      RECT 7.355000  2.275000 7.685000 2.635000 ;
+      RECT 7.510000  0.480000 7.680000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.425000 1.240000 0.595000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.510000  0.425000 7.680000 0.595000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.395000 1.300000 0.440000 ;
+      RECT 1.010000 0.440000 7.740000 0.580000 ;
+      RECT 1.010000 0.580000 1.300000 0.625000 ;
+      RECT 7.450000 0.395000 7.740000 0.440000 ;
+      RECT 7.450000 0.580000 7.740000 0.625000 ;
+  END
+END sky130_fd_sc_hd__o211ai_4
+MACRO sky130_fd_sc_hd__o211ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.505000 1.075000 4.455000 1.245000 ;
+        RECT 3.560000 1.245000 4.455000 1.295000 ;
+        RECT 4.115000 0.765000 4.455000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 1.075000 3.335000 1.355000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.905000 1.365000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.375000 1.970000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.022000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.670000 0.875000 1.540000 ;
+        RECT 0.545000 1.540000 3.155000 1.710000 ;
+        RECT 0.545000 1.710000 0.805000 2.465000 ;
+        RECT 1.475000 1.710000 1.665000 2.465000 ;
+        RECT 2.825000 1.710000 3.155000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 2.165000 0.445000 ;
+      RECT 0.115000  2.175000 0.375000 2.635000 ;
+      RECT 0.975000  1.915000 1.305000 2.635000 ;
+      RECT 1.045000  0.445000 2.165000 0.465000 ;
+      RECT 1.045000  0.465000 1.235000 0.890000 ;
+      RECT 1.405000  0.635000 3.945000 0.845000 ;
+      RECT 1.835000  1.915000 2.165000 2.635000 ;
+      RECT 2.395000  0.085000 2.725000 0.445000 ;
+      RECT 2.395000  2.100000 2.655000 2.295000 ;
+      RECT 2.395000  2.295000 3.515000 2.465000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.325000  1.525000 4.445000 1.695000 ;
+      RECT 3.325000  1.695000 3.515000 2.295000 ;
+      RECT 3.685000  1.865000 4.015000 2.635000 ;
+      RECT 3.755000  0.515000 3.945000 0.635000 ;
+      RECT 4.115000  0.085000 4.445000 0.445000 ;
+      RECT 4.185000  1.695000 4.445000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_2
+MACRO sky130_fd_sc_hd__o211ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.395000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+        RECT 0.605000 1.325000 0.775000 2.250000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 0.995000 1.795000 1.325000 ;
+        RECT 1.470000 1.325000 1.795000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.075000 2.300000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.418250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.595000 1.275000 1.815000 ;
+        RECT 0.945000 1.815000 2.675000 2.045000 ;
+        RECT 0.945000 2.045000 1.275000 2.445000 ;
+        RECT 1.965000 0.255000 2.675000 0.845000 ;
+        RECT 1.975000 2.045000 2.675000 2.465000 ;
+        RECT 2.470000 0.845000 2.675000 1.815000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.455000 0.825000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.595000  0.085000 0.925000 0.445000 ;
+      RECT 1.125000  0.255000 1.455000 0.615000 ;
+      RECT 1.445000  2.275000 1.775000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_1
+MACRO sky130_fd_sc_hd__and3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.470000 1.245000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.895000 2.125000 1.370000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.305000 1.295000 0.750000 ;
+        RECT 1.065000 0.750000 1.475000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.795000 2.245000 2.465000 ;
+        RECT 1.980000 0.255000 2.230000 0.715000 ;
+        RECT 2.060000 0.715000 2.230000 0.925000 ;
+        RECT 2.060000 0.925000 2.675000 1.445000 ;
+        RECT 2.075000 1.445000 2.245000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  2.130000 0.715000 2.635000 ;
+      RECT 0.100000  1.425000 1.890000 1.595000 ;
+      RECT 0.100000  1.595000 0.355000 1.960000 ;
+      RECT 0.105000  0.305000 0.895000 0.570000 ;
+      RECT 0.525000  1.765000 0.855000 1.955000 ;
+      RECT 0.525000  1.955000 0.715000 2.130000 ;
+      RECT 0.640000  0.570000 0.895000 1.425000 ;
+      RECT 1.080000  1.595000 1.330000 1.890000 ;
+      RECT 1.475000  0.085000 1.805000 0.580000 ;
+      RECT 1.555000  1.790000 1.770000 2.635000 ;
+      RECT 1.660000  0.995000 1.890000 1.425000 ;
+      RECT 2.400000  0.085000 2.675000 0.745000 ;
+      RECT 2.415000  1.625000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_2
+MACRO sky130_fd_sc_hd__and3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 0.635000 1.020000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 2.125000 1.345000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.145000 0.305000 1.365000 0.790000 ;
+        RECT 1.145000 0.790000 1.475000 1.215000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.765000 2.215000 2.465000 ;
+        RECT 1.955000 0.255000 2.215000 0.735000 ;
+        RECT 2.045000 0.735000 2.215000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 0.975000 0.465000 ;
+      RECT 0.085000  1.190000 0.975000 1.260000 ;
+      RECT 0.085000  1.260000 0.980000 1.285000 ;
+      RECT 0.085000  1.285000 0.990000 1.300000 ;
+      RECT 0.085000  1.300000 0.995000 1.315000 ;
+      RECT 0.085000  1.315000 1.005000 1.320000 ;
+      RECT 0.085000  1.320000 1.010000 1.330000 ;
+      RECT 0.085000  1.330000 1.015000 1.340000 ;
+      RECT 0.085000  1.340000 1.025000 1.345000 ;
+      RECT 0.085000  1.345000 1.035000 1.355000 ;
+      RECT 0.085000  1.355000 1.045000 1.360000 ;
+      RECT 0.085000  1.360000 0.345000 1.810000 ;
+      RECT 0.085000  1.980000 0.700000 2.080000 ;
+      RECT 0.085000  2.080000 0.690000 2.635000 ;
+      RECT 0.515000  1.710000 0.845000 1.955000 ;
+      RECT 0.515000  1.955000 0.700000 1.980000 ;
+      RECT 0.710000  1.360000 1.045000 1.365000 ;
+      RECT 0.710000  1.365000 1.060000 1.370000 ;
+      RECT 0.710000  1.370000 1.075000 1.380000 ;
+      RECT 0.710000  1.380000 1.100000 1.385000 ;
+      RECT 0.710000  1.385000 1.875000 1.390000 ;
+      RECT 0.740000  1.390000 1.875000 1.425000 ;
+      RECT 0.775000  1.425000 1.875000 1.450000 ;
+      RECT 0.805000  0.465000 0.975000 1.190000 ;
+      RECT 0.805000  1.450000 1.875000 1.480000 ;
+      RECT 0.825000  1.480000 1.875000 1.510000 ;
+      RECT 0.845000  1.510000 1.875000 1.540000 ;
+      RECT 0.915000  1.540000 1.875000 1.550000 ;
+      RECT 0.940000  1.550000 1.875000 1.560000 ;
+      RECT 0.960000  1.560000 1.875000 1.575000 ;
+      RECT 0.980000  1.575000 1.875000 1.590000 ;
+      RECT 0.985000  1.590000 1.770000 1.600000 ;
+      RECT 1.000000  1.600000 1.770000 1.635000 ;
+      RECT 1.015000  1.635000 1.770000 1.885000 ;
+      RECT 1.515000  2.090000 1.770000 2.635000 ;
+      RECT 1.535000  0.085000 1.785000 0.625000 ;
+      RECT 1.645000  0.990000 1.875000 1.385000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_1
+MACRO sky130_fd_sc_hd__and3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.995000 0.875000 1.340000 ;
+        RECT 0.115000 1.340000 0.365000 2.335000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.745000 1.355000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.900000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.515000 2.640000 0.615000 ;
+        RECT 2.450000 0.615000 4.055000 0.845000 ;
+        RECT 2.450000 1.535000 4.055000 1.760000 ;
+        RECT 2.450000 1.760000 2.640000 2.465000 ;
+        RECT 3.310000 0.255000 3.500000 0.615000 ;
+        RECT 3.310000 1.760000 4.055000 1.765000 ;
+        RECT 3.310000 1.765000 3.500000 2.465000 ;
+        RECT 3.775000 0.845000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.465000  0.255000 0.800000 0.375000 ;
+      RECT 0.465000  0.375000 1.725000 0.565000 ;
+      RECT 0.465000  0.565000 0.800000 0.805000 ;
+      RECT 0.545000  1.580000 2.280000 1.750000 ;
+      RECT 0.545000  1.750000 0.725000 2.465000 ;
+      RECT 0.895000  1.935000 1.345000 2.635000 ;
+      RECT 1.520000  1.750000 1.700000 2.465000 ;
+      RECT 1.535000  0.565000 1.725000 0.615000 ;
+      RECT 1.535000  0.615000 2.280000 0.805000 ;
+      RECT 1.905000  0.085000 2.235000 0.445000 ;
+      RECT 1.910000  1.935000 2.240000 2.635000 ;
+      RECT 2.070000  0.805000 2.280000 1.020000 ;
+      RECT 2.070000  1.020000 3.605000 1.355000 ;
+      RECT 2.070000  1.355000 2.280000 1.580000 ;
+      RECT 2.810000  0.085000 3.140000 0.445000 ;
+      RECT 2.810000  1.935000 3.140000 2.635000 ;
+      RECT 3.670000  0.085000 4.000000 0.445000 ;
+      RECT 3.670000  1.935000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.525000 0.390000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.525000 1.250000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.905000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.725000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 4.990000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.315000 1.495000 9.025000 1.720000 ;
+        RECT 2.315000 1.720000 7.685000 1.735000 ;
+        RECT 2.315000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.495000 1.285000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 1.495000 2.145000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.690000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.290000 1.905000 5.535000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.335000 2.125000 5.505000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.905000 6.395000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.195000 2.125000 6.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.010000 1.905000 7.255000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.050000 2.125000 7.220000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.870000 1.905000 8.125000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.900000 2.125000 8.070000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.755000 1.890000 9.025000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.780000 2.125000 8.950000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 9.130000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+        RECT 5.275000 2.080000 5.565000 2.140000 ;
+        RECT 6.135000 2.080000 6.425000 2.140000 ;
+        RECT 6.990000 2.080000 7.280000 2.140000 ;
+        RECT 7.840000 2.080000 8.130000 2.140000 ;
+        RECT 8.720000 2.080000 9.010000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.595000  0.265000 0.820000 1.075000 ;
+      RECT 0.595000  1.075000 7.690000 1.325000 ;
+      RECT 0.595000  1.325000 0.785000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.455000  1.325000 1.645000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.875000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.025000 1.835000 2.165000 1.965000 ;
+        RECT 1.025000 1.965000 1.390000 1.970000 ;
+        RECT 1.025000 1.970000 1.385000 1.975000 ;
+        RECT 1.025000 1.975000 1.370000 1.980000 ;
+        RECT 1.025000 1.980000 1.330000 2.000000 ;
+        RECT 1.025000 2.000000 1.325000 2.005000 ;
+        RECT 1.025000 2.005000 1.265000 2.465000 ;
+        RECT 1.185000 1.825000 2.165000 1.835000 ;
+        RECT 1.195000 1.820000 2.165000 1.825000 ;
+        RECT 1.205000 1.815000 2.165000 1.820000 ;
+        RECT 1.215000 1.805000 2.165000 1.815000 ;
+        RECT 1.245000 1.785000 2.165000 1.805000 ;
+        RECT 1.270000 1.750000 2.165000 1.785000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.750000 ;
+        RECT 1.935000 1.965000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.835000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 2.140000 1.765000 2.465000 ;
+        RECT 2.335000 1.765000 2.620000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+        RECT 2.375000 2.125000 2.545000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+        RECT 2.315000 2.080000 2.605000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.240000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.750000 1.725000 1.970000 ;
+        RECT 1.060000 1.970000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.750000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.855000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 2.140000 1.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.410000 ;
+      RECT 0.085000  1.410000 1.215000 1.580000 ;
+      RECT 0.085000  1.580000 0.355000 2.435000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.410000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+MACRO sky130_fd_sc_hd__o31a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.295000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.725000 1.325000 ;
+        RECT 1.525000 1.325000 1.725000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.995000 2.175000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.795000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.594000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.525000 0.825000 ;
+        RECT 0.085000 0.825000 0.395000 1.835000 ;
+        RECT 0.085000 1.835000 0.525000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.995000 0.735000 1.445000 ;
+      RECT 0.565000  1.445000 1.355000 1.615000 ;
+      RECT 0.695000  0.085000 1.145000 0.825000 ;
+      RECT 0.700000  1.785000 1.015000 2.635000 ;
+      RECT 1.185000  1.615000 1.355000 2.295000 ;
+      RECT 1.185000  2.295000 2.615000 2.465000 ;
+      RECT 1.315000  0.255000 1.485000 0.655000 ;
+      RECT 1.315000  0.655000 2.475000 0.825000 ;
+      RECT 1.655000  0.085000 2.075000 0.485000 ;
+      RECT 2.245000  0.255000 2.475000 0.655000 ;
+      RECT 2.365000  1.495000 3.135000 1.665000 ;
+      RECT 2.365000  1.665000 2.615000 2.295000 ;
+      RECT 2.645000  0.255000 3.135000 0.825000 ;
+      RECT 2.795000  1.835000 3.125000 2.635000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_1
+MACRO sky130_fd_sc_hd__o31a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 1.055000 5.470000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 1.055000 4.970000 1.360000 ;
+        RECT 4.680000 1.360000 4.970000 1.530000 ;
+        RECT 4.680000 1.530000 6.355000 1.700000 ;
+        RECT 5.640000 1.055000 6.355000 1.530000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.765000 1.055000 4.095000 1.360000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 1.055000 3.575000 1.355000 ;
+        RECT 2.780000 1.355000 3.150000 1.695000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.765000 0.885000 ;
+        RECT 0.085000 0.885000 0.735000 1.460000 ;
+        RECT 0.085000 1.460000 1.750000 1.665000 ;
+        RECT 0.680000 0.255000 0.895000 0.655000 ;
+        RECT 0.680000 0.655000 1.765000 0.715000 ;
+        RECT 0.680000 1.665000 0.895000 2.465000 ;
+        RECT 1.565000 0.255000 1.765000 0.655000 ;
+        RECT 1.565000 1.665000 1.750000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.085000 0.510000 0.545000 ;
+      RECT 0.085000  1.835000 0.510000 2.635000 ;
+      RECT 0.905000  1.055000 2.610000 1.290000 ;
+      RECT 1.065000  0.085000 1.395000 0.485000 ;
+      RECT 1.065000  1.835000 1.395000 2.635000 ;
+      RECT 1.920000  1.460000 2.250000 2.635000 ;
+      RECT 1.935000  0.085000 2.250000 0.885000 ;
+      RECT 2.440000  0.255000 3.570000 0.465000 ;
+      RECT 2.440000  0.635000 3.210000 0.885000 ;
+      RECT 2.440000  0.885000 2.610000 1.055000 ;
+      RECT 2.440000  1.290000 2.610000 1.870000 ;
+      RECT 2.440000  1.870000 4.090000 2.070000 ;
+      RECT 2.440000  2.070000 2.610000 2.465000 ;
+      RECT 2.780000  2.240000 3.110000 2.635000 ;
+      RECT 3.320000  1.530000 4.510000 1.700000 ;
+      RECT 3.380000  0.465000 3.570000 0.635000 ;
+      RECT 3.380000  0.635000 6.355000 0.885000 ;
+      RECT 3.760000  0.085000 4.090000 0.445000 ;
+      RECT 3.760000  2.070000 4.090000 2.465000 ;
+      RECT 4.260000  0.255000 4.430000 0.635000 ;
+      RECT 4.260000  1.700000 4.510000 2.465000 ;
+      RECT 4.600000  0.085000 4.930000 0.445000 ;
+      RECT 4.680000  1.870000 5.720000 2.070000 ;
+      RECT 4.680000  2.070000 4.850000 2.465000 ;
+      RECT 5.020000  2.240000 5.350000 2.635000 ;
+      RECT 5.100000  0.255000 5.270000 0.635000 ;
+      RECT 5.440000  0.085000 5.770000 0.445000 ;
+      RECT 5.520000  2.070000 5.720000 2.465000 ;
+      RECT 5.890000  1.870000 6.355000 2.465000 ;
+      RECT 5.940000  0.255000 6.355000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.125000 6.295000 2.295000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.355000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 6.065000 2.095000 6.355000 2.140000 ;
+      RECT 6.065000 2.280000 6.355000 2.325000 ;
+  END
+END sky130_fd_sc_hd__o31a_4
+MACRO sky130_fd_sc_hd__o31a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.995000 1.760000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.995000 2.190000 1.325000 ;
+        RECT 1.990000 1.325000 2.190000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.640000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.255000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.577500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.860000 1.295000 ;
+        RECT 0.550000 0.265000 0.990000 0.825000 ;
+        RECT 0.550000 0.825000 0.860000 1.075000 ;
+        RECT 0.550000 1.295000 0.860000 1.835000 ;
+        RECT 0.550000 1.835000 0.990000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.905000 ;
+      RECT 0.085000  1.465000 0.380000 2.635000 ;
+      RECT 1.030000  0.995000 1.200000 1.445000 ;
+      RECT 1.030000  1.445000 1.820000 1.615000 ;
+      RECT 1.160000  0.085000 1.610000 0.825000 ;
+      RECT 1.165000  1.785000 1.480000 2.635000 ;
+      RECT 1.650000  1.615000 1.820000 2.295000 ;
+      RECT 1.650000  2.295000 3.080000 2.465000 ;
+      RECT 1.780000  0.255000 1.950000 0.655000 ;
+      RECT 1.780000  0.655000 2.940000 0.825000 ;
+      RECT 2.120000  0.085000 2.540000 0.485000 ;
+      RECT 2.710000  0.255000 2.940000 0.655000 ;
+      RECT 2.830000  1.495000 3.595000 1.665000 ;
+      RECT 2.830000  1.665000 3.080000 2.295000 ;
+      RECT 3.110000  0.255000 3.595000 0.825000 ;
+      RECT 3.255000  1.835000 3.590000 2.635000 ;
+      RECT 3.425000  0.825000 3.595000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_2
+MACRO sky130_fd_sc_hd__o2bb2a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.445000 ;
+        RECT 3.315000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.895000 1.615000 ;
+        RECT 1.565000 1.075000 1.895000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.910000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.910000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.605000 0.905000 6.910000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 1.265000 0.735000 ;
+      RECT 0.095000  0.735000 2.025000 0.905000 ;
+      RECT 0.140000  1.795000 0.345000 2.635000 ;
+      RECT 0.555000  1.785000 0.805000 2.295000 ;
+      RECT 0.555000  2.295000 1.645000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.785000 2.615000 1.955000 ;
+      RECT 0.975000  1.955000 1.225000 2.125000 ;
+      RECT 1.395000  2.125000 1.645000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 2.945000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.735000 ;
+      RECT 1.815000  2.125000 2.065000 2.635000 ;
+      RECT 2.065000  1.075000 2.445000 1.415000 ;
+      RECT 2.065000  1.415000 2.615000 1.785000 ;
+      RECT 2.195000  0.645000 2.525000 0.815000 ;
+      RECT 2.195000  0.815000 2.445000 1.075000 ;
+      RECT 2.235000  1.955000 2.615000 1.965000 ;
+      RECT 2.235000  1.965000 2.525000 2.465000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.695000  2.135000 3.425000 2.635000 ;
+      RECT 2.955000  0.725000 4.305000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.785000 ;
+      RECT 2.955000  1.785000 4.685000 1.965000 ;
+      RECT 3.215000  0.085000 3.385000 0.555000 ;
+      RECT 3.555000  0.305000 4.725000 0.475000 ;
+      RECT 3.595000  1.965000 3.845000 2.125000 ;
+      RECT 3.975000  0.645000 4.305000 0.725000 ;
+      RECT 4.015000  2.135000 4.265000 2.635000 ;
+      RECT 4.435000  1.965000 4.685000 2.465000 ;
+      RECT 4.475000  0.475000 4.725000 0.895000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.165000  1.075000 6.435000 1.245000 ;
+      RECT 5.165000  1.245000 5.455000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.445000 5.395000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.460000 5.455000 1.600000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 5.165000 1.415000 5.455000 1.460000 ;
+      RECT 5.165000 1.600000 5.455000 1.645000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_4
+MACRO sky130_fd_sc_hd__o2bb2a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.770000 1.075000 1.220000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.380000 1.290000 0.735000 ;
+        RECT 1.070000 0.735000 1.565000 0.905000 ;
+        RECT 1.390000 0.905000 1.565000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.075000 3.595000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.520000 1.075000 3.080000 1.325000 ;
+        RECT 2.905000 1.325000 3.080000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.795000 ;
+        RECT 0.085000 1.795000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.600000 1.445000 ;
+      RECT 0.430000  1.445000 0.825000 1.615000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 0.620000  0.085000 0.790000 0.750000 ;
+      RECT 0.655000  1.615000 0.825000 1.885000 ;
+      RECT 0.655000  1.885000 2.735000 2.055000 ;
+      RECT 0.995000  1.495000 2.010000 1.715000 ;
+      RECT 1.460000  0.395000 1.905000 0.565000 ;
+      RECT 1.715000  2.235000 2.115000 2.635000 ;
+      RECT 1.735000  0.565000 1.905000 1.355000 ;
+      RECT 1.735000  1.355000 2.010000 1.495000 ;
+      RECT 2.075000  0.320000 2.325000 0.690000 ;
+      RECT 2.155000  0.690000 2.325000 1.075000 ;
+      RECT 2.155000  1.075000 2.350000 1.245000 ;
+      RECT 2.180000  1.245000 2.350000 1.495000 ;
+      RECT 2.180000  1.495000 2.735000 1.885000 ;
+      RECT 2.405000  2.055000 2.735000 2.290000 ;
+      RECT 2.495000  0.320000 2.745000 0.725000 ;
+      RECT 2.495000  0.725000 3.595000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.250000  1.815000 3.595000 2.635000 ;
+      RECT 3.255000  0.320000 3.595000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_1
+MACRO sky130_fd_sc_hd__o2bb2a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.215000 1.075000 1.685000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 0.380000 1.735000 0.735000 ;
+        RECT 1.515000 0.735000 2.020000 0.770000 ;
+        RECT 1.515000 0.770000 2.025000 0.905000 ;
+        RECT 1.855000 0.905000 2.025000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.700000 1.075000 4.045000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 3.525000 1.325000 ;
+        RECT 3.355000 1.325000 3.525000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.870000 0.825000 ;
+        RECT 0.535000 0.825000 0.705000 1.795000 ;
+        RECT 0.535000 1.795000 0.790000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.110000  0.085000 0.365000 0.910000 ;
+      RECT 0.110000  1.410000 0.365000 2.635000 ;
+      RECT 0.875000  0.995000 1.045000 1.445000 ;
+      RECT 0.875000  1.445000 1.270000 1.615000 ;
+      RECT 0.960000  2.235000 1.290000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.750000 ;
+      RECT 1.100000  1.615000 1.270000 1.885000 ;
+      RECT 1.100000  1.885000 3.185000 2.055000 ;
+      RECT 1.440000  1.495000 2.460000 1.715000 ;
+      RECT 1.905000  0.395000 2.365000 0.565000 ;
+      RECT 2.160000  2.235000 2.565000 2.635000 ;
+      RECT 2.195000  0.565000 2.365000 1.355000 ;
+      RECT 2.195000  1.355000 2.460000 1.495000 ;
+      RECT 2.535000  0.320000 2.780000 0.690000 ;
+      RECT 2.610000  0.690000 2.780000 1.075000 ;
+      RECT 2.610000  1.075000 2.800000 1.245000 ;
+      RECT 2.630000  1.245000 2.800000 1.495000 ;
+      RECT 2.630000  1.495000 3.185000 1.885000 ;
+      RECT 2.835000  2.055000 3.185000 2.425000 ;
+      RECT 2.955000  0.320000 3.185000 0.725000 ;
+      RECT 2.955000  0.725000 4.045000 0.905000 ;
+      RECT 3.375000  0.085000 3.545000 0.555000 ;
+      RECT 3.715000  0.320000 4.045000 0.725000 ;
+      RECT 3.730000  1.815000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_2
+MACRO sky130_fd_sc_hd__lpflow_bleeder_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN SHORT
+    ANTENNAGATEAREA  0.270000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.275000 1.040000 1.975000 1.730000 ;
+    END
+  END SHORT
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.870000 ;
+      RECT 2.145000  0.540000 2.475000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_bleeder_1
+MACRO sky130_fd_sc_hd__o21bai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 1.075000 2.675000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.075000 2.025000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.535000 1.345000 ;
+        RECT 0.085000 1.345000 0.355000 2.445000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.474000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.255000 1.285000 0.645000 ;
+        RECT 1.115000 0.645000 1.355000 0.825000 ;
+        RECT 1.185000 0.825000 1.355000 1.455000 ;
+        RECT 1.185000 1.455000 1.795000 1.625000 ;
+        RECT 1.470000 1.625000 1.795000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.360000 0.825000 ;
+      RECT 0.525000  1.535000 1.015000 1.705000 ;
+      RECT 0.525000  1.705000 0.800000 2.210000 ;
+      RECT 0.580000  0.495000 0.770000 0.655000 ;
+      RECT 0.580000  0.655000 0.890000 0.825000 ;
+      RECT 0.720000  0.825000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 1.015000 1.535000 ;
+      RECT 0.970000  1.875000 1.300000 2.635000 ;
+      RECT 1.490000  0.255000 1.820000 0.485000 ;
+      RECT 1.570000  0.485000 1.740000 0.735000 ;
+      RECT 1.570000  0.735000 2.665000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.555000 ;
+      RECT 2.270000  1.535000 2.645000 2.635000 ;
+      RECT 2.335000  0.270000 2.665000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_1
+MACRO sky130_fd_sc_hd__o21bai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.055000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.090000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.525000 1.325000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.445000 2.650000 1.615000 ;
+        RECT 1.085000 1.615000 1.255000 2.465000 ;
+        RECT 1.525000 0.645000 1.855000 0.905000 ;
+        RECT 1.525000 0.905000 1.780000 1.445000 ;
+        RECT 2.405000 1.615000 2.650000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.825000 ;
+      RECT 0.180000  1.495000 0.865000 1.665000 ;
+      RECT 0.180000  1.665000 0.350000 1.915000 ;
+      RECT 0.585000  1.875000 0.915000 2.635000 ;
+      RECT 0.600000  0.445000 0.865000 0.825000 ;
+      RECT 0.695000  0.825000 0.865000 1.075000 ;
+      RECT 0.695000  1.075000 1.335000 1.245000 ;
+      RECT 0.695000  1.245000 0.865000 1.495000 ;
+      RECT 1.075000  0.255000 2.275000 0.475000 ;
+      RECT 1.075000  0.475000 1.355000 0.905000 ;
+      RECT 1.470000  1.795000 1.720000 2.635000 ;
+      RECT 1.955000  1.795000 2.235000 2.295000 ;
+      RECT 1.955000  2.295000 3.035000 2.465000 ;
+      RECT 2.025000  0.475000 2.275000 0.725000 ;
+      RECT 2.025000  0.725000 3.980000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.555000 ;
+      RECT 2.785000  0.255000 3.115000 0.725000 ;
+      RECT 2.865000  1.455000 3.980000 1.665000 ;
+      RECT 2.865000  1.665000 3.035000 2.295000 ;
+      RECT 3.205000  1.835000 3.535000 2.635000 ;
+      RECT 3.285000  0.085000 3.455000 0.555000 ;
+      RECT 3.625000  0.265000 3.980000 0.725000 ;
+      RECT 3.705000  1.665000 3.980000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_2
+MACRO sky130_fd_sc_hd__o21bai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 1.075000 6.810000 1.285000 ;
+        RECT 6.585000 1.285000 6.810000 2.455000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.065000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.555000 1.285000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.455000 4.315000 1.625000 ;
+        RECT 1.065000 1.625000 1.275000 2.465000 ;
+        RECT 1.420000 0.645000 2.675000 0.815000 ;
+        RECT 1.865000 1.625000 2.115000 2.465000 ;
+        RECT 2.445000 0.815000 2.675000 1.075000 ;
+        RECT 2.445000 1.075000 2.895000 1.445000 ;
+        RECT 2.445000 1.445000 4.315000 1.455000 ;
+        RECT 3.225000 1.625000 3.475000 2.125000 ;
+        RECT 4.065000 1.625000 4.315000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.145000  1.455000 0.895000 1.625000 ;
+      RECT 0.145000  1.625000 0.475000 2.435000 ;
+      RECT 0.225000  0.085000 0.395000 0.895000 ;
+      RECT 0.565000  0.290000 0.895000 0.895000 ;
+      RECT 0.645000  1.795000 0.855000 2.635000 ;
+      RECT 0.725000  0.895000 0.895000 1.075000 ;
+      RECT 0.725000  1.075000 2.275000 1.285000 ;
+      RECT 0.725000  1.285000 0.895000 1.455000 ;
+      RECT 1.080000  0.305000 3.095000 0.475000 ;
+      RECT 1.445000  1.795000 1.695000 2.635000 ;
+      RECT 2.285000  1.795000 2.535000 2.635000 ;
+      RECT 2.775000  1.795000 3.055000 2.295000 ;
+      RECT 2.775000  2.295000 4.735000 2.465000 ;
+      RECT 2.845000  0.475000 3.095000 0.725000 ;
+      RECT 2.845000  0.725000 6.455000 0.905000 ;
+      RECT 3.265000  0.085000 3.435000 0.555000 ;
+      RECT 3.605000  0.255000 3.935000 0.725000 ;
+      RECT 3.645000  1.795000 3.895000 2.295000 ;
+      RECT 4.105000  0.085000 4.275000 0.555000 ;
+      RECT 4.445000  0.255000 4.775000 0.725000 ;
+      RECT 4.485000  1.455000 6.415000 1.625000 ;
+      RECT 4.485000  1.625000 4.735000 2.295000 ;
+      RECT 4.905000  1.795000 5.155000 2.635000 ;
+      RECT 4.945000  0.085000 5.115000 0.555000 ;
+      RECT 5.285000  0.255000 5.615000 0.725000 ;
+      RECT 5.325000  1.625000 5.575000 2.465000 ;
+      RECT 5.745000  1.795000 5.995000 2.635000 ;
+      RECT 5.785000  0.085000 5.955000 0.555000 ;
+      RECT 6.125000  0.255000 6.455000 0.725000 ;
+      RECT 6.165000  1.625000 6.415000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_4
+MACRO sky130_fd_sc_hd__nor2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_1
+MACRO sky130_fd_sc_hd__nor2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_2
+MACRO sky130_fd_sc_hd__nor2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_4
+MACRO sky130_fd_sc_hd__o32ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.290000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.090000 1.075000 7.260000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.770000 1.075000 5.380000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 3.540000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.685000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 3.380000 0.905000 ;
+        RECT 0.515000 1.495000 5.580000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.085000 ;
+        RECT 1.355000 1.665000 1.700000 2.085000 ;
+        RECT 1.855000 0.905000 2.035000 1.495000 ;
+        RECT 4.410000 1.665000 4.740000 2.085000 ;
+        RECT 5.250000 1.665000 5.580000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.255000  3.800000 0.465000 ;
+      RECT 0.090000  0.465000  0.345000 0.905000 ;
+      RECT 0.090000  1.495000  0.345000 2.255000 ;
+      RECT 0.090000  2.255000  2.040000 2.465000 ;
+      RECT 1.015000  1.835000  1.185000 2.255000 ;
+      RECT 1.870000  1.835000  3.800000 2.005000 ;
+      RECT 1.870000  2.005000  2.040000 2.255000 ;
+      RECT 2.210000  2.175000  2.540000 2.635000 ;
+      RECT 2.710000  2.005000  2.880000 2.425000 ;
+      RECT 3.050000  2.175000  3.380000 2.635000 ;
+      RECT 3.550000  0.465000  3.800000 0.735000 ;
+      RECT 3.550000  0.735000 10.035000 0.905000 ;
+      RECT 3.550000  2.005000  3.800000 2.465000 ;
+      RECT 3.970000  0.085000  4.140000 0.545000 ;
+      RECT 3.990000  1.835000  4.240000 2.255000 ;
+      RECT 3.990000  2.255000  7.680000 2.465000 ;
+      RECT 4.310000  0.255000  4.640000 0.735000 ;
+      RECT 4.810000  0.085000  5.140000 0.545000 ;
+      RECT 4.910000  1.835000  5.080000 2.255000 ;
+      RECT 5.310000  0.255000  5.980000 0.735000 ;
+      RECT 5.750000  1.835000  5.920000 2.255000 ;
+      RECT 6.090000  1.495000  9.460000 1.665000 ;
+      RECT 6.090000  1.665000  6.420000 2.085000 ;
+      RECT 6.170000  0.085000  6.340000 0.545000 ;
+      RECT 6.510000  0.255000  6.840000 0.735000 ;
+      RECT 6.590000  1.835000  6.760000 2.255000 ;
+      RECT 6.930000  1.665000  7.260000 2.085000 ;
+      RECT 7.010000  0.085000  7.180000 0.545000 ;
+      RECT 7.350000  0.255000  8.040000 0.735000 ;
+      RECT 7.430000  1.835000  7.680000 2.255000 ;
+      RECT 7.870000  1.835000  8.120000 2.635000 ;
+      RECT 8.290000  1.665000  8.620000 2.465000 ;
+      RECT 8.370000  0.085000  8.540000 0.545000 ;
+      RECT 8.710000  0.255000  9.040000 0.735000 ;
+      RECT 8.790000  1.835000  8.960000 2.635000 ;
+      RECT 9.130000  1.665000  9.460000 2.465000 ;
+      RECT 9.210000  0.085000  9.470000 0.545000 ;
+      RECT 9.630000  1.495000 10.035000 2.635000 ;
+      RECT 9.645000  0.255000 10.035000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_4
+MACRO sky130_fd_sc_hd__o32ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.575000 0.995000 3.135000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.930000 0.995000 2.225000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.685000 0.345000 0.995000 ;
+        RECT 0.090000 0.995000 0.360000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.870000 0.995000 1.240000 1.615000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.821250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 0.845000 0.825000 ;
+        RECT 0.530000 0.825000 0.700000 1.785000 ;
+        RECT 0.530000 1.785000 1.545000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.255000 1.345000 0.485000 ;
+      RECT 0.090000  1.495000 0.360000 2.635000 ;
+      RECT 1.015000  0.485000 1.345000 0.655000 ;
+      RECT 1.015000  0.655000 2.525000 0.825000 ;
+      RECT 1.515000  0.085000 2.185000 0.485000 ;
+      RECT 2.355000  0.375000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.135000 0.825000 ;
+      RECT 2.695000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_1
+MACRO sky130_fd_sc_hd__o32ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 1.075000 5.865000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 1.075000 4.480000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 3.065000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.705000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.845000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 2.045000 0.905000 ;
+        RECT 0.515000 1.495000 3.105000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.095000 ;
+        RECT 1.875000 0.905000 2.045000 1.105000 ;
+        RECT 1.875000 1.105000 2.170000 1.495000 ;
+        RECT 2.775000 1.665000 3.105000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.255000 2.405000 0.485000 ;
+      RECT 0.090000  0.485000 0.345000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.295000 ;
+      RECT 0.090000  2.295000 1.265000 2.465000 ;
+      RECT 1.015000  1.835000 2.105000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.295000 ;
+      RECT 1.435000  2.175000 1.605000 2.635000 ;
+      RECT 1.775000  2.005000 2.105000 2.455000 ;
+      RECT 2.235000  0.485000 2.405000 0.715000 ;
+      RECT 2.235000  0.715000 5.755000 0.905000 ;
+      RECT 2.335000  1.835000 2.585000 2.255000 ;
+      RECT 2.335000  2.255000 4.385000 2.445000 ;
+      RECT 2.620000  0.085000 2.950000 0.545000 ;
+      RECT 3.135000  0.255000 3.465000 0.715000 ;
+      RECT 3.275000  1.495000 3.445000 2.255000 ;
+      RECT 3.615000  1.495000 5.325000 1.665000 ;
+      RECT 3.615000  1.665000 3.945000 2.085000 ;
+      RECT 3.635000  0.085000 3.805000 0.545000 ;
+      RECT 4.055000  0.255000 4.725000 0.715000 ;
+      RECT 4.135000  1.835000 4.385000 2.255000 ;
+      RECT 4.620000  1.835000 4.825000 2.635000 ;
+      RECT 4.905000  0.085000 5.235000 0.545000 ;
+      RECT 4.995000  1.665000 5.325000 2.460000 ;
+      RECT 5.425000  0.255000 5.755000 0.715000 ;
+      RECT 5.495000  1.495000 5.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_2
+MACRO sky130_fd_sc_hd__a2111oi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.035000 1.070000 2.625000 1.400000 ;
+        RECT 2.355000 0.660000 2.625000 1.070000 ;
+        RECT 2.355000 1.400000 2.625000 1.735000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.650000 3.135000 1.735000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 1.055000 1.845000 1.735000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.055000 1.325000 2.360000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.730000 0.435000 1.655000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.424000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.825000 0.785000 2.465000 ;
+        RECT 0.605000 0.635000 2.040000 0.885000 ;
+        RECT 0.605000 0.885000 0.785000 1.825000 ;
+        RECT 0.785000 0.255000 1.040000 0.615000 ;
+        RECT 0.785000 0.615000 2.040000 0.635000 ;
+        RECT 1.710000 0.280000 2.040000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.465000 ;
+      RECT 1.210000  0.085000 1.540000 0.445000 ;
+      RECT 1.540000  1.905000 2.870000 2.085000 ;
+      RECT 1.540000  2.085000 1.870000 2.465000 ;
+      RECT 2.040000  2.255000 2.370000 2.635000 ;
+      RECT 2.470000  0.085000 2.800000 0.480000 ;
+      RECT 2.540000  2.085000 2.870000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_0
+MACRO sky130_fd_sc_hd__a2111oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.465000 0.985000 3.715000 1.445000 ;
+        RECT 3.465000 1.445000 5.290000 1.675000 ;
+        RECT 4.895000 0.995000 5.290000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.970000 1.015000 4.725000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.185000 1.030000 2.855000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.045000 0.455000 1.445000 ;
+        RECT 0.125000 1.445000 1.800000 1.680000 ;
+        RECT 1.615000 1.030000 1.975000 1.275000 ;
+        RECT 1.615000 1.275000 1.800000 1.445000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.755000 1.075000 1.425000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.212750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.255000 0.380000 0.615000 ;
+        RECT 0.120000 0.615000 5.355000 0.805000 ;
+        RECT 0.120000 0.805000 3.255000 0.845000 ;
+        RECT 0.900000 1.850000 2.140000 2.105000 ;
+        RECT 1.050000 0.255000 1.295000 0.615000 ;
+        RECT 1.965000 0.255000 2.295000 0.615000 ;
+        RECT 1.970000 1.445000 3.255000 1.625000 ;
+        RECT 1.970000 1.625000 2.140000 1.850000 ;
+        RECT 2.965000 0.275000 3.295000 0.615000 ;
+        RECT 3.025000 0.845000 3.255000 1.445000 ;
+        RECT 5.020000 0.295000 5.355000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  1.870000 0.460000 2.275000 ;
+      RECT 0.100000  2.275000 2.185000 2.295000 ;
+      RECT 0.100000  2.295000 2.985000 2.465000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.465000  0.085000 1.795000 0.445000 ;
+      RECT 2.310000  1.795000 3.335000 1.845000 ;
+      RECT 2.310000  1.845000 5.400000 1.965000 ;
+      RECT 2.310000  1.965000 2.640000 2.060000 ;
+      RECT 2.465000  0.085000 2.795000 0.445000 ;
+      RECT 2.815000  2.135000 2.985000 2.295000 ;
+      RECT 3.155000  1.965000 5.400000 2.095000 ;
+      RECT 3.155000  2.095000 3.520000 2.465000 ;
+      RECT 3.690000  2.275000 4.020000 2.635000 ;
+      RECT 4.125000  0.085000 4.455000 0.445000 ;
+      RECT 4.190000  2.095000 5.400000 2.105000 ;
+      RECT 4.190000  2.105000 4.400000 2.465000 ;
+      RECT 4.570000  2.275000 4.900000 2.635000 ;
+      RECT 5.070000  2.105000 5.400000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_2
+MACRO sky130_fd_sc_hd__a2111oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.020000 7.745000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 1.020000 9.990000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.955000 1.020000 5.650000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.055000 1.020000 3.745000 1.275000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 1.020000 1.845000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.009500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 7.620000 0.785000 ;
+        RECT 0.145000 0.785000 0.320000 1.475000 ;
+        RECT 0.145000 1.475000 1.720000 1.655000 ;
+        RECT 0.530000 1.655000 1.720000 1.685000 ;
+        RECT 0.530000 1.685000 0.860000 2.085000 ;
+        RECT 0.615000 0.455000 0.790000 0.615000 ;
+        RECT 1.390000 1.685000 1.720000 2.085000 ;
+        RECT 1.460000 0.455000 1.650000 0.615000 ;
+        RECT 2.400000 0.455000 2.590000 0.615000 ;
+        RECT 3.260000 0.455000 3.510000 0.615000 ;
+        RECT 4.180000 0.455000 4.420000 0.615000 ;
+        RECT 5.090000 0.455000 5.275000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.100000  1.835000  0.360000 2.255000 ;
+      RECT 0.100000  2.255000  3.870000 2.445000 ;
+      RECT 0.115000  0.085000  0.445000 0.445000 ;
+      RECT 0.960000  0.085000  1.290000 0.445000 ;
+      RECT 1.030000  1.855000  1.220000 2.255000 ;
+      RECT 1.820000  0.085000  2.230000 0.445000 ;
+      RECT 1.890000  1.855000  2.080000 2.255000 ;
+      RECT 2.250000  1.475000  5.680000 1.655000 ;
+      RECT 2.250000  1.655000  3.440000 1.685000 ;
+      RECT 2.250000  1.685000  2.580000 2.085000 ;
+      RECT 2.750000  1.855000  2.940000 2.255000 ;
+      RECT 2.760000  0.085000  3.090000 0.445000 ;
+      RECT 3.110000  1.685000  3.440000 2.085000 ;
+      RECT 3.610000  1.835000  3.870000 2.255000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 4.060000  1.835000  4.320000 2.255000 ;
+      RECT 4.060000  2.255000  5.180000 2.275000 ;
+      RECT 4.060000  2.275000  6.050000 2.445000 ;
+      RECT 4.490000  1.655000  5.680000 1.685000 ;
+      RECT 4.490000  1.685000  4.820000 2.085000 ;
+      RECT 4.590000  0.085000  4.920000 0.445000 ;
+      RECT 4.990000  1.855000  5.180000 2.255000 ;
+      RECT 5.350000  1.685000  5.680000 2.085000 ;
+      RECT 5.445000  0.085000  5.780000 0.445000 ;
+      RECT 5.860000  1.445000  9.770000 1.615000 ;
+      RECT 5.860000  1.615000  6.050000 2.275000 ;
+      RECT 5.980000  0.275000  8.075000 0.445000 ;
+      RECT 6.220000  1.785000  6.550000 2.635000 ;
+      RECT 6.720000  1.615000  6.910000 2.315000 ;
+      RECT 7.080000  1.805000  7.410000 2.635000 ;
+      RECT 7.580000  1.615000  9.770000 1.665000 ;
+      RECT 7.580000  1.665000  7.910000 2.315000 ;
+      RECT 7.885000  0.445000  8.075000 0.615000 ;
+      RECT 7.885000  0.615000  9.865000 0.785000 ;
+      RECT 8.080000  1.895000  8.410000 2.635000 ;
+      RECT 8.245000  0.085000  8.575000 0.445000 ;
+      RECT 8.580000  1.665000  9.770000 1.670000 ;
+      RECT 8.580000  1.670000  8.840000 2.290000 ;
+      RECT 8.745000  0.300000  8.935000 0.615000 ;
+      RECT 9.030000  1.915000  9.360000 2.635000 ;
+      RECT 9.105000  0.085000  9.435000 0.445000 ;
+      RECT 9.530000  1.670000  9.770000 2.260000 ;
+      RECT 9.605000  0.290000  9.865000 0.615000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_4
+MACRO sky130_fd_sc_hd__a2111oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.725000 1.400000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.350000 3.090000 1.020000 ;
+        RECT 2.905000 1.020000 3.540000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.050000 2.270000 1.400000 ;
+        RECT 1.940000 1.400000 2.215000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.050000 1.770000 2.455000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.785000 1.050000 1.235000 2.455000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.388750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.700000 1.375000 0.705000 ;
+        RECT 0.145000 0.705000 2.420000 0.815000 ;
+        RECT 0.145000 0.815000 2.300000 0.880000 ;
+        RECT 0.145000 0.880000 0.530000 2.460000 ;
+        RECT 1.045000 0.260000 1.375000 0.700000 ;
+        RECT 2.090000 0.305000 2.420000 0.705000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.315000  0.085000 0.630000 0.525000 ;
+      RECT 1.550000  0.085000 1.880000 0.535000 ;
+      RECT 2.395000  1.580000 3.505000 1.750000 ;
+      RECT 2.395000  1.750000 2.625000 2.460000 ;
+      RECT 2.800000  1.920000 3.130000 2.635000 ;
+      RECT 3.270000  0.085000 3.510000 0.760000 ;
+      RECT 3.310000  1.750000 3.505000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.645000 1.630000 0.815000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.505000 1.630000 1.675000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.365000 1.630000 2.535000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.800000 0.465000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.195000 2.125000 0.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.800000 1.325000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 1.800000 2.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.955000 2.125000 2.125000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 1.800000 3.045000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.835000 2.125000 3.005000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.150000 2.340000 ;
+        RECT 0.135000 2.080000 0.425000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+        RECT 1.895000 2.080000 2.185000 2.140000 ;
+        RECT 2.775000 2.080000 3.065000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.615000 1.455000 10.480000 1.665000 ;
+        RECT  0.615000 1.665000  0.785000 2.465000 ;
+        RECT  1.475000 1.665000  1.645000 2.465000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.335000 1.665000  2.505000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.195000 1.665000  3.365000 2.465000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.055000 1.665000  4.225000 2.465000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  5.080000 1.665000  5.250000 2.465000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.965000 1.665000  6.135000 2.465000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.825000 1.665000  6.995000 2.465000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.685000 1.665000  7.855000 2.465000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.545000 1.665000  8.715000 2.465000 ;
+        RECT  9.405000 1.665000  9.575000 2.465000 ;
+        RECT 10.265000 1.665000 10.435000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.110000 1.495000  0.440000 2.465000 ;
+        RECT 10.610000 1.835000 10.940000 2.465000 ;
+      LAYER mcon ;
+        RECT  0.130000 2.125000  0.300000 2.295000 ;
+        RECT 10.720000 2.125000 10.890000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.835000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.825000 1.835000 2.155000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.835000 3.015000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.835000 3.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.690000 2.125000 3.860000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.425000 1.835000 4.755000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.550000 2.125000 4.720000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.450000 1.835000 5.780000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.450000 2.125000 5.620000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.315000 1.835000 6.645000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.370000 2.125000 6.540000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.175000 1.835000 7.505000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.230000 2.125000 7.400000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.035000 1.835000 8.365000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.130000 2.125000 8.300000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.895000 1.835000 9.225000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.960000 2.125000 9.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 1.835000 10.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.820000 2.125000 9.990000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.080000  0.360000 2.140000 ;
+        RECT  0.070000 2.140000 10.970000 2.340000 ;
+        RECT  0.930000 2.080000  1.220000 2.140000 ;
+        RECT  1.830000 2.080000  2.120000 2.140000 ;
+        RECT  2.710000 2.080000  3.000000 2.140000 ;
+        RECT  3.630000 2.080000  3.920000 2.140000 ;
+        RECT  4.490000 2.080000  4.780000 2.140000 ;
+        RECT  5.390000 2.080000  5.680000 2.140000 ;
+        RECT  6.310000 2.080000  6.600000 2.140000 ;
+        RECT  7.170000 2.080000  7.460000 2.140000 ;
+        RECT  8.070000 2.080000  8.360000 2.140000 ;
+        RECT  8.900000 2.080000  9.190000 2.140000 ;
+        RECT  9.760000 2.080000 10.050000 2.140000 ;
+        RECT 10.660000 2.080000 10.950000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 11.040000 0.085000 ;
+      RECT 0.000000  2.635000 11.040000 2.805000 ;
+      RECT 1.855000  0.085000  2.125000 0.610000 ;
+      RECT 2.720000  0.085000  2.985000 0.610000 ;
+      RECT 3.580000  0.085000  3.845000 0.610000 ;
+      RECT 4.465000  0.085000  4.730000 0.610000 ;
+      RECT 5.490000  0.085000  5.755000 0.610000 ;
+      RECT 6.350000  0.085000  6.575000 0.610000 ;
+      RECT 7.210000  0.085000  7.475000 0.610000 ;
+      RECT 8.070000  0.085000  8.335000 0.610000 ;
+      RECT 8.930000  0.085000  9.195000 0.610000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.255000 0.840000 0.760000 ;
+        RECT 0.590000 0.760000 1.295000 0.945000 ;
+        RECT 0.595000 0.945000 1.295000 1.290000 ;
+        RECT 0.595000 1.290000 0.765000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.665000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.155000 2.125000 0.325000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 1.665000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.095000 2.080000 0.385000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.375000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.045000 1.630000 1.235000 2.435000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.800000 0.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.600000 2.125000 0.770000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.405000 1.800000 1.735000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.500000 2.125000 1.670000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.540000 2.080000 0.830000 2.140000 ;
+        RECT 1.440000 2.080000 1.730000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.595000 1.630000 0.765000 2.435000 ;
+        RECT 1.440000 1.630000 1.610000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.280000 1.630000 2.450000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.120000 1.630000 3.290000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.960000 1.630000 4.130000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.800000 1.630000 4.970000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.800000 0.425000 2.465000 ;
+        RECT 5.140000 1.800000 5.470000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.130000 2.125000 0.300000 2.295000 ;
+        RECT 5.255000 2.125000 5.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.800000 1.270000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 1.800000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.800000 2.950000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.460000 1.800000 3.790000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.495000 2.125000 3.665000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.300000 1.800000 4.630000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.355000 2.125000 4.525000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.080000 0.360000 2.140000 ;
+        RECT 0.070000 2.140000 5.910000 2.340000 ;
+        RECT 0.930000 2.080000 1.220000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.710000 2.080000 3.000000 2.140000 ;
+        RECT 3.435000 2.080000 3.725000 2.140000 ;
+        RECT 4.295000 2.080000 4.585000 2.140000 ;
+        RECT 5.195000 2.080000 5.485000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+MACRO sky130_fd_sc_hd__dlxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 0.955000 1.685000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.255000 5.490000 0.820000 ;
+        RECT 5.140000 1.670000 5.490000 2.455000 ;
+        RECT 5.320000 0.820000 5.490000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.445000 6.815000 2.465000 ;
+        RECT 6.600000 0.825000 6.815000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.795000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.135000 0.785000 ;
+      RECT 1.855000  0.785000 2.135000 0.875000 ;
+      RECT 1.855000  0.875000 2.335000 1.235000 ;
+      RECT 1.855000  1.235000 2.115000 1.495000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.965000  1.835000 2.245000 2.635000 ;
+      RECT 2.465000  1.355000 2.795000 1.685000 ;
+      RECT 2.580000  0.705000 3.135000 1.065000 ;
+      RECT 2.750000  2.255000 3.610000 2.425000 ;
+      RECT 2.800000  0.365000 3.475000 0.535000 ;
+      RECT 2.965000  1.065000 3.135000 1.575000 ;
+      RECT 2.965000  1.575000 3.290000 1.910000 ;
+      RECT 2.965000  1.910000 3.195000 1.995000 ;
+      RECT 3.305000  0.535000 3.475000 0.995000 ;
+      RECT 3.305000  0.995000 4.175000 1.165000 ;
+      RECT 3.425000  2.035000 3.650000 2.065000 ;
+      RECT 3.425000  2.065000 3.630000 2.090000 ;
+      RECT 3.425000  2.090000 3.610000 2.255000 ;
+      RECT 3.430000  2.020000 3.650000 2.035000 ;
+      RECT 3.435000  2.010000 3.650000 2.020000 ;
+      RECT 3.440000  1.995000 3.650000 2.010000 ;
+      RECT 3.460000  1.165000 4.175000 1.325000 ;
+      RECT 3.460000  1.325000 3.650000 1.995000 ;
+      RECT 3.700000  0.085000 4.045000 0.530000 ;
+      RECT 3.780000  2.175000 3.980000 2.635000 ;
+      RECT 3.820000  1.535000 4.515000 1.865000 ;
+      RECT 4.285000  0.415000 4.550000 0.745000 ;
+      RECT 4.285000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.550000 0.995000 ;
+      RECT 4.345000  0.995000 5.150000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.685000  1.570000 4.970000 2.635000 ;
+      RECT 4.720000  0.085000 4.970000 0.715000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.430000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.555000  1.445000 2.725000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.965000  1.785000 3.135000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.785000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.195000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.495000 1.415000 2.785000 1.460000 ;
+      RECT 2.495000 1.600000 2.785000 1.645000 ;
+      RECT 2.905000 1.755000 3.195000 1.800000 ;
+      RECT 2.905000 1.940000 3.195000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxbp_1
+MACRO sky130_fd_sc_hd__dlxtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.175000 0.415000 5.435000 0.745000 ;
+        RECT 5.175000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.320000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.320000 1.235000 ;
+      RECT 1.945000  1.235000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.780000 2.005000 ;
+      RECT 2.560000  0.735000 3.265000 1.040000 ;
+      RECT 2.745000  2.255000 3.605000 2.425000 ;
+      RECT 2.765000  0.365000 3.605000 0.535000 ;
+      RECT 2.950000  1.040000 3.265000 1.560000 ;
+      RECT 2.950000  1.560000 3.285000 1.910000 ;
+      RECT 3.295000  2.090000 3.620000 2.105000 ;
+      RECT 3.295000  2.105000 3.605000 2.255000 ;
+      RECT 3.390000  2.045000 3.645000 2.065000 ;
+      RECT 3.390000  2.065000 3.630000 2.085000 ;
+      RECT 3.390000  2.085000 3.620000 2.090000 ;
+      RECT 3.405000  2.035000 3.645000 2.045000 ;
+      RECT 3.430000  2.010000 3.645000 2.035000 ;
+      RECT 3.435000  0.535000 3.605000 0.995000 ;
+      RECT 3.435000  0.995000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.645000 1.450000 ;
+      RECT 3.455000  1.450000 3.645000 2.010000 ;
+      RECT 3.775000  0.085000 4.045000 0.545000 ;
+      RECT 3.775000  2.175000 4.095000 2.635000 ;
+      RECT 3.815000  1.535000 4.540000 1.865000 ;
+      RECT 4.295000  0.260000 4.540000 0.720000 ;
+      RECT 4.295000  1.865000 4.540000 2.435000 ;
+      RECT 4.370000  0.720000 4.540000 0.995000 ;
+      RECT 4.370000  0.995000 5.095000 1.325000 ;
+      RECT 4.370000  1.325000 4.540000 1.535000 ;
+      RECT 4.720000  1.570000 5.005000 2.635000 ;
+      RECT 4.755000  0.085000 4.980000 0.715000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.675000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_1
+MACRO sky130_fd_sc_hd__dlxtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.240000 0.415000 5.525000 0.745000 ;
+        RECT 5.240000 1.495000 5.525000 2.455000 ;
+        RECT 5.355000 0.745000 5.525000 0.995000 ;
+        RECT 5.355000 0.995000 6.815000 1.325000 ;
+        RECT 5.355000 1.325000 5.525000 1.495000 ;
+        RECT 6.115000 0.385000 6.385000 0.995000 ;
+        RECT 6.115000 1.325000 6.385000 2.455000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.120000 2.635000 ;
+      RECT 3.840000  1.535000 4.605000 1.865000 ;
+      RECT 4.385000  0.415000 4.605000 0.745000 ;
+      RECT 4.385000  1.865000 4.605000 2.435000 ;
+      RECT 4.435000  0.745000 4.605000 0.995000 ;
+      RECT 4.435000  0.995000 5.185000 1.325000 ;
+      RECT 4.435000  1.325000 4.605000 1.535000 ;
+      RECT 4.785000  0.085000 5.070000 0.715000 ;
+      RECT 4.785000  1.495000 5.070000 2.635000 ;
+      RECT 5.695000  0.085000 5.945000 0.825000 ;
+      RECT 5.695000  1.495000 5.945000 2.635000 ;
+      RECT 6.555000  0.085000 6.815000 0.715000 ;
+      RECT 6.555000  1.495000 6.815000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_4
+MACRO sky130_fd_sc_hd__dlxtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.685000 ;
+        RECT 5.215000 0.685000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.640000 ;
+        RECT 5.215000 1.640000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.895000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.895000 0.550000 ;
+      RECT 5.635000  1.755000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_2
+MACRO sky130_fd_sc_hd__a311o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.765000 2.155000 0.995000 ;
+        RECT 1.965000 0.995000 2.310000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.750000 1.705000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.240000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 0.995000 3.095000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.535000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.454000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.395000 0.670000 ;
+        RECT 0.085000 0.670000 0.255000 1.785000 ;
+        RECT 0.085000 1.785000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.425000  0.995000 0.735000 1.325000 ;
+      RECT 0.565000  0.655000 1.260000 0.825000 ;
+      RECT 0.565000  0.825000 0.735000 0.995000 ;
+      RECT 0.565000  1.325000 0.735000 1.495000 ;
+      RECT 0.565000  1.495000 3.505000 1.665000 ;
+      RECT 0.590000  0.085000 0.920000 0.465000 ;
+      RECT 0.595000  2.175000 0.840000 2.635000 ;
+      RECT 1.015000  1.835000 2.575000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.465000 ;
+      RECT 1.090000  0.255000 2.495000 0.425000 ;
+      RECT 1.090000  0.425000 1.260000 0.655000 ;
+      RECT 1.455000  2.255000 2.125000 2.635000 ;
+      RECT 2.325000  0.425000 2.495000 0.655000 ;
+      RECT 2.325000  0.655000 3.505000 0.825000 ;
+      RECT 2.325000  2.005000 2.575000 2.465000 ;
+      RECT 2.765000  0.085000 3.095000 0.485000 ;
+      RECT 3.335000  0.255000 3.505000 0.655000 ;
+      RECT 3.335000  1.665000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_1
+MACRO sky130_fd_sc_hd__a311o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.605000 2.620000 0.995000 ;
+        RECT 2.440000 0.995000 2.675000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.605000 2.165000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.710000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.995000 3.235000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 0.995000 4.005000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.295000 0.845000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.345000 0.465000 ;
+      RECT 1.015000  0.655000 1.695000 0.825000 ;
+      RECT 1.015000  0.825000 1.185000 1.495000 ;
+      RECT 1.015000  1.495000 3.965000 1.665000 ;
+      RECT 1.160000  1.835000 1.380000 2.635000 ;
+      RECT 1.525000  0.255000 2.960000 0.425000 ;
+      RECT 1.525000  0.425000 1.695000 0.655000 ;
+      RECT 1.590000  1.835000 3.025000 2.005000 ;
+      RECT 1.590000  2.005000 1.840000 2.465000 ;
+      RECT 2.125000  2.255000 2.455000 2.635000 ;
+      RECT 2.715000  2.005000 3.025000 2.465000 ;
+      RECT 2.790000  0.425000 2.960000 0.655000 ;
+      RECT 2.790000  0.655000 3.965000 0.825000 ;
+      RECT 3.220000  0.085000 3.550000 0.485000 ;
+      RECT 3.795000  0.255000 3.965000 0.655000 ;
+      RECT 3.795000  1.665000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_2
+MACRO sky130_fd_sc_hd__a311o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.945000 1.075000 7.275000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.255000 1.075000 6.040000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.515000 1.075000 4.945000 1.285000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.505000 1.285000 ;
+        RECT 1.060000 1.285000 1.255000 1.625000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.745000 0.350000 1.625000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.904000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.295000 2.545000 0.465000 ;
+        RECT 2.295000 0.465000 2.465000 0.715000 ;
+        RECT 2.295000 0.715000 3.305000 0.885000 ;
+        RECT 2.715000 1.545000 3.885000 1.715000 ;
+        RECT 2.910000 0.885000 3.105000 1.545000 ;
+        RECT 3.055000 0.295000 3.385000 0.465000 ;
+        RECT 3.135000 0.465000 3.305000 0.715000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.345000 0.565000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.515000  0.295000 0.845000 0.465000 ;
+      RECT 0.515000  1.955000 0.845000 2.125000 ;
+      RECT 0.595000  0.465000 0.765000 0.715000 ;
+      RECT 0.595000  0.715000 2.025000 0.885000 ;
+      RECT 0.595000  0.885000 0.765000 1.955000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.355000  0.295000 1.685000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.715000 ;
+      RECT 1.435000  1.455000 2.385000 1.625000 ;
+      RECT 1.435000  1.625000 1.605000 2.125000 ;
+      RECT 1.855000  0.085000 2.025000 0.545000 ;
+      RECT 1.855000  0.885000 2.025000 1.075000 ;
+      RECT 1.855000  1.075000 2.705000 1.245000 ;
+      RECT 1.855000  1.795000 2.025000 2.295000 ;
+      RECT 2.195000  1.625000 2.385000 1.915000 ;
+      RECT 2.195000  1.915000 6.765000 2.085000 ;
+      RECT 2.295000  2.255000 2.625000 2.635000 ;
+      RECT 2.715000  0.085000 2.885000 0.545000 ;
+      RECT 3.135000  2.255000 3.465000 2.635000 ;
+      RECT 3.275000  1.075000 4.320000 1.245000 ;
+      RECT 3.555000  0.085000 4.065000 0.545000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.150000  1.245000 4.320000 1.455000 ;
+      RECT 4.150000  1.455000 6.685000 1.625000 ;
+      RECT 4.275000  0.295000 4.605000 0.465000 ;
+      RECT 4.355000  0.465000 4.525000 0.715000 ;
+      RECT 4.355000  0.715000 6.005000 0.885000 ;
+      RECT 4.475000  1.795000 4.645000 1.915000 ;
+      RECT 4.475000  2.085000 4.645000 2.465000 ;
+      RECT 4.775000  0.085000 4.945000 0.545000 ;
+      RECT 4.815000  2.255000 5.175000 2.635000 ;
+      RECT 5.255000  0.255000 7.270000 0.425000 ;
+      RECT 5.255000  0.425000 6.345000 0.465000 ;
+      RECT 5.375000  1.795000 5.545000 1.915000 ;
+      RECT 5.375000  2.085000 5.545000 2.465000 ;
+      RECT 5.675000  0.645000 6.005000 0.715000 ;
+      RECT 5.715000  2.255000 6.045000 2.635000 ;
+      RECT 6.175000  0.465000 6.345000 0.885000 ;
+      RECT 6.515000  0.645000 6.845000 0.825000 ;
+      RECT 6.515000  0.825000 6.685000 1.455000 ;
+      RECT 6.595000  1.795000 6.765000 1.915000 ;
+      RECT 6.595000  2.085000 6.765000 2.465000 ;
+      RECT 6.935000  0.425000 7.270000 0.500000 ;
+      RECT 6.935000  1.795000 7.270000 2.635000 ;
+      RECT 7.015000  0.500000 7.270000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_4
+MACRO sky130_fd_sc_hd__nand3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 6.355000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 0.655000 6.355000 0.905000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.125000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.785000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.655000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.255000 6.000000 0.485000 ;
+      RECT 2.615000  0.655000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.455000  0.655000 3.785000 0.735000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  1.835000 6.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_4
+MACRO sky130_fd_sc_hd__nand3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.755000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.240000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.745000 0.330000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.699000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 1.745000 0.595000 ;
+        RECT 0.515000 0.595000 0.695000 1.495000 ;
+        RECT 0.515000 1.495000 1.745000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.415000 0.595000 1.745000 0.825000 ;
+        RECT 1.415000 1.665000 1.745000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.575000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.245000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_1
+MACRO sky130_fd_sc_hd__nand3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 2.160000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.595000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 1.445000 ;
+        RECT 0.515000 1.445000 3.045000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.715000 1.665000 3.045000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.295000 2.105000 0.465000 ;
+      RECT 0.090000  0.465000 0.345000 0.785000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.045000 0.905000 ;
+      RECT 1.855000  1.835000 2.545000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.465000 ;
+      RECT 3.215000  0.085000 3.595000 0.885000 ;
+      RECT 3.215000  1.445000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_2
+MACRO sky130_fd_sc_hd__or2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.730000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.415000 2.630000 0.760000 ;
+        RECT 2.400000 1.495000 2.630000 2.465000 ;
+        RECT 2.460000 0.760000 2.630000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.105000  0.265000 0.420000 0.735000 ;
+      RECT 0.105000  0.735000 0.840000 0.905000 ;
+      RECT 0.590000  0.085000 1.320000 0.565000 ;
+      RECT 0.595000  0.905000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.330000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.985000  1.495000 2.230000 1.665000 ;
+      RECT 0.985000  1.665000 1.405000 1.915000 ;
+      RECT 1.490000  0.305000 1.660000 0.655000 ;
+      RECT 1.490000  0.655000 2.230000 0.825000 ;
+      RECT 1.830000  0.085000 2.210000 0.485000 ;
+      RECT 1.910000  1.835000 2.190000 2.635000 ;
+      RECT 2.060000  0.825000 2.230000 0.995000 ;
+      RECT 2.060000  0.995000 2.290000 1.325000 ;
+      RECT 2.060000  1.325000 2.230000 1.495000 ;
+      RECT 2.800000  0.085000 3.055000 0.925000 ;
+      RECT 2.800000  1.460000 3.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_2
+MACRO sky130_fd_sc_hd__or2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_1
+MACRO sky130_fd_sc_hd__or2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.630000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.955000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 0.290000 2.655000 0.735000 ;
+        RECT 2.325000 0.735000 4.055000 0.905000 ;
+        RECT 2.365000 1.785000 3.455000 1.955000 ;
+        RECT 2.365000 1.955000 2.615000 2.465000 ;
+        RECT 2.830000 1.445000 4.055000 1.615000 ;
+        RECT 2.830000 1.615000 3.455000 1.785000 ;
+        RECT 3.165000 0.290000 3.495000 0.735000 ;
+        RECT 3.205000 1.955000 3.455000 2.465000 ;
+        RECT 3.670000 0.905000 4.055000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  2.125000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.245000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.120000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 2.465000 ;
+      RECT 0.990000  1.495000 2.660000 1.615000 ;
+      RECT 0.990000  1.615000 1.460000 2.465000 ;
+      RECT 1.290000  0.735000 1.745000 0.905000 ;
+      RECT 1.290000  0.905000 1.460000 1.445000 ;
+      RECT 1.290000  1.445000 2.660000 1.495000 ;
+      RECT 1.415000  0.305000 1.745000 0.735000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 1.980000  0.085000 2.155000 0.905000 ;
+      RECT 2.490000  1.075000 3.500000 1.245000 ;
+      RECT 2.490000  1.245000 2.660000 1.445000 ;
+      RECT 2.785000  2.135000 3.035000 2.635000 ;
+      RECT 2.825000  0.085000 2.995000 0.550000 ;
+      RECT 3.625000  1.795000 3.875000 2.635000 ;
+      RECT 3.665000  0.085000 3.835000 0.550000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_4
+MACRO sky130_fd_sc_hd__nand2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 0.995000 0.800000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.135000 1.275000 ;
+        RECT 1.990000 1.275000 2.180000 1.655000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.775500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.835000 2.635000 2.005000 ;
+        RECT 1.035000 2.005000 1.365000 2.465000 ;
+        RECT 1.525000 0.635000 1.855000 0.805000 ;
+        RECT 1.530000 0.805000 1.855000 0.905000 ;
+        RECT 1.530000 0.905000 1.810000 1.835000 ;
+        RECT 2.280000 2.005000 2.635000 2.465000 ;
+        RECT 2.360000 1.495000 2.635000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.110000  0.510000 0.345000 0.840000 ;
+      RECT 0.110000  0.840000 0.280000 1.495000 ;
+      RECT 0.110000  1.495000 1.360000 1.665000 ;
+      RECT 0.110000  1.665000 0.410000 1.860000 ;
+      RECT 0.515000  0.085000 0.845000 0.825000 ;
+      RECT 0.580000  1.835000 0.835000 2.635000 ;
+      RECT 1.030000  1.075000 1.360000 1.495000 ;
+      RECT 1.080000  0.255000 2.275000 0.465000 ;
+      RECT 1.080000  0.465000 1.355000 0.905000 ;
+      RECT 1.535000  2.175000 2.110000 2.635000 ;
+      RECT 2.025000  0.465000 2.275000 0.695000 ;
+      RECT 2.025000  0.695000 3.135000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.525000 ;
+      RECT 2.785000  0.255000 3.135000 0.695000 ;
+      RECT 2.805000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_2
+MACRO sky130_fd_sc_hd__nand2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.315000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.085000 1.315000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 1.835000 2.170000 2.005000 ;
+        RECT 1.000000 2.005000 1.330000 2.465000 ;
+        RECT 1.420000 0.255000 2.170000 0.545000 ;
+        RECT 1.800000 0.545000 2.170000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.525000 0.360000 0.735000 ;
+      RECT 0.090000  0.735000 1.425000 0.905000 ;
+      RECT 0.090000  1.495000 1.425000 1.665000 ;
+      RECT 0.090000  1.665000 0.370000 1.825000 ;
+      RECT 0.580000  0.085000 0.910000 0.545000 ;
+      RECT 0.580000  1.835000 0.830000 2.635000 ;
+      RECT 1.255000  0.905000 1.425000 1.075000 ;
+      RECT 1.255000  1.075000 1.630000 1.325000 ;
+      RECT 1.255000  1.325000 1.425000 1.495000 ;
+      RECT 1.500000  2.175000 1.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_1
+MACRO sky130_fd_sc_hd__nand2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.155000 1.075000 4.940000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 4.320000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.640000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.150000 1.665000 3.480000 2.465000 ;
+        RECT 3.990000 1.665000 4.320000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 0.780000 0.905000 ;
+      RECT 0.090000  1.445000 0.780000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.790000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.780000 1.445000 ;
+      RECT 0.970000  1.445000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 3.060000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.810000  0.465000 3.060000 0.715000 ;
+      RECT 2.810000  0.715000 4.850000 0.905000 ;
+      RECT 2.810000  1.835000 2.980000 2.635000 ;
+      RECT 3.230000  0.085000 3.400000 0.545000 ;
+      RECT 3.570000  0.255000 3.900000 0.715000 ;
+      RECT 3.650000  1.835000 3.820000 2.635000 ;
+      RECT 4.070000  0.085000 4.310000 0.545000 ;
+      RECT 4.520000  0.255000 4.850000 0.715000 ;
+      RECT 4.520000  1.495000 4.850000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_4
+MACRO sky130_fd_sc_hd__a221oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.675000 2.200000 1.075000 ;
+        RECT 1.945000 1.075000 2.275000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 0.995000 2.755000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.695000 1.285000 ;
+        RECT 1.415000 0.675000 1.695000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.615000 1.075000 1.055000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.285000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.767000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.170000 0.255000 0.345000 0.735000 ;
+        RECT 0.170000 0.735000 1.235000 0.905000 ;
+        RECT 0.175000 1.455000 2.300000 1.495000 ;
+        RECT 0.175000 1.495000 3.135000 1.625000 ;
+        RECT 0.175000 1.625000 0.345000 2.465000 ;
+        RECT 1.065000 0.255000 2.580000 0.505000 ;
+        RECT 1.065000 0.505000 1.235000 0.735000 ;
+        RECT 2.150000 1.625000 3.135000 1.665000 ;
+        RECT 2.380000 0.505000 2.580000 0.655000 ;
+        RECT 2.380000 0.655000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.025000 1.835000 ;
+      RECT 1.015000  1.835000 2.625000 2.045000 ;
+      RECT 1.015000  2.045000 1.240000 2.125000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.205000 2.635000 ;
+      RECT 2.375000  2.045000 2.625000 2.465000 ;
+      RECT 2.750000  0.085000 3.080000 0.485000 ;
+      RECT 2.795000  1.875000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_1
+MACRO sky130_fd_sc_hd__a221oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.265000 1.615000 ;
+        RECT 8.095000 1.075000 9.575000 1.275000 ;
+        RECT 8.095000 1.275000 8.265000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.935000 0.995000 5.285000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.415000 0.995000 3.765000 1.325000 ;
+        RECT 3.595000 1.325000 3.765000 1.445000 ;
+        RECT 3.595000 1.445000 5.795000 1.615000 ;
+        RECT 5.465000 1.075000 5.795000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.335000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.905000 ;
+        RECT 0.575000 1.445000 1.705000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.125000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 1.615000 1.665000 2.125000 ;
+        RECT 1.505000 0.905000 1.705000 1.095000 ;
+        RECT 1.505000 1.095000 3.245000 1.275000 ;
+        RECT 1.505000 1.275000 1.705000 1.445000 ;
+        RECT 3.075000 0.645000 5.680000 0.735000 ;
+        RECT 3.075000 0.735000 7.765000 0.820000 ;
+        RECT 3.075000 0.820000 3.245000 1.095000 ;
+        RECT 5.510000 0.820000 6.460000 0.905000 ;
+        RECT 6.290000 0.645000 7.765000 0.735000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  1.445000 0.405000 2.295000 ;
+      RECT 0.090000  2.295000 2.125000 2.465000 ;
+      RECT 0.115000  0.085000 0.365000 0.895000 ;
+      RECT 0.995000  1.785000 1.245000 2.295000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.875000  0.085000 2.045000 0.645000 ;
+      RECT 1.875000  0.645000 2.905000 0.925000 ;
+      RECT 1.875000  1.445000 3.030000 1.615000 ;
+      RECT 1.875000  1.615000 2.125000 2.295000 ;
+      RECT 2.235000  0.255000 5.585000 0.425000 ;
+      RECT 2.235000  0.425000 2.610000 0.475000 ;
+      RECT 2.315000  1.795000 2.565000 2.215000 ;
+      RECT 2.315000  2.215000 6.005000 2.465000 ;
+      RECT 2.735000  0.595000 2.905000 0.645000 ;
+      RECT 2.735000  1.615000 3.030000 1.835000 ;
+      RECT 2.735000  1.835000 5.585000 2.045000 ;
+      RECT 3.035000  0.425000 5.585000 0.475000 ;
+      RECT 5.755000  1.785000 8.605000 2.045000 ;
+      RECT 5.755000  2.045000 6.005000 2.215000 ;
+      RECT 5.835000  0.085000 6.005000 0.555000 ;
+      RECT 6.175000  0.255000 8.185000 0.475000 ;
+      RECT 6.175000  2.215000 8.185000 2.635000 ;
+      RECT 7.935000  0.475000 8.185000 0.725000 ;
+      RECT 7.935000  0.725000 9.025000 0.905000 ;
+      RECT 8.355000  0.085000 8.525000 0.555000 ;
+      RECT 8.355000  2.045000 8.525000 2.465000 ;
+      RECT 8.435000  1.445000 9.405000 1.615000 ;
+      RECT 8.435000  1.615000 8.605000 1.785000 ;
+      RECT 8.695000  0.255000 9.025000 0.725000 ;
+      RECT 8.775000  1.795000 8.945000 2.635000 ;
+      RECT 9.155000  1.615000 9.405000 2.465000 ;
+      RECT 9.195000  0.085000 9.365000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_4
+MACRO sky130_fd_sc_hd__a221oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 4.480000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.435000 1.075000 3.765000 1.445000 ;
+        RECT 3.435000 1.445000 4.820000 1.615000 ;
+        RECT 4.650000 1.075000 5.435000 1.275000 ;
+        RECT 4.650000 1.275000 4.820000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.765000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 2.040000 1.445000 ;
+        RECT 1.505000 1.445000 3.265000 1.615000 ;
+        RECT 2.935000 1.075000 3.265000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.420000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.305000 0.855000 0.725000 ;
+        RECT 0.525000 0.725000 4.395000 0.865000 ;
+        RECT 0.605000 0.865000 4.395000 0.905000 ;
+        RECT 0.605000 0.905000 0.855000 2.125000 ;
+        RECT 2.285000 0.645000 2.635000 0.725000 ;
+        RECT 4.065000 0.645000 4.395000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  1.795000 0.435000 2.295000 ;
+      RECT 0.090000  2.295000 1.275000 2.465000 ;
+      RECT 0.105000  0.085000 0.355000 0.895000 ;
+      RECT 1.025000  0.085000 1.715000 0.555000 ;
+      RECT 1.025000  1.495000 1.275000 1.785000 ;
+      RECT 1.025000  1.785000 3.015000 1.955000 ;
+      RECT 1.025000  1.955000 1.275000 2.295000 ;
+      RECT 1.505000  2.125000 1.755000 2.295000 ;
+      RECT 1.505000  2.295000 3.475000 2.465000 ;
+      RECT 1.885000  0.255000 3.055000 0.475000 ;
+      RECT 1.925000  1.955000 2.175000 2.125000 ;
+      RECT 2.345000  2.125000 2.595000 2.295000 ;
+      RECT 2.765000  1.955000 3.015000 2.125000 ;
+      RECT 3.225000  1.785000 5.195000 1.955000 ;
+      RECT 3.225000  1.955000 3.475000 2.295000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.645000  0.255000 4.815000 0.475000 ;
+      RECT 3.685000  2.125000 3.935000 2.635000 ;
+      RECT 4.105000  1.955000 4.355000 2.465000 ;
+      RECT 4.525000  2.125000 4.775000 2.635000 ;
+      RECT 4.565000  0.475000 4.815000 0.905000 ;
+      RECT 4.985000  0.085000 5.155000 0.905000 ;
+      RECT 4.990000  1.455000 5.195000 1.785000 ;
+      RECT 4.990000  1.955000 5.195000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_2
+MACRO sky130_fd_sc_hd__dfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.600000 1.455000 9.005000 2.465000 ;
+        RECT 8.675000 0.275000 9.005000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.180000 0.265000 10.435000 0.795000 ;
+        RECT 10.180000 1.445000 10.435000 2.325000 ;
+        RECT 10.225000 0.795000 10.435000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.090000  0.345000  0.345000 0.635000 ;
+      RECT 0.090000  0.635000  0.840000 0.805000 ;
+      RECT 0.090000  1.795000  0.840000 1.965000 ;
+      RECT 0.090000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.185000 2.465000 ;
+      RECT 1.545000  0.085000  1.875000 0.445000 ;
+      RECT 1.850000  2.175000  2.100000 2.635000 ;
+      RECT 2.045000  0.305000  2.540000 0.475000 ;
+      RECT 2.045000  0.475000  2.215000 1.835000 ;
+      RECT 2.045000  1.835000  2.440000 2.005000 ;
+      RECT 2.270000  2.005000  2.440000 2.135000 ;
+      RECT 2.270000  2.135000  2.520000 2.465000 ;
+      RECT 2.385000  0.765000  2.735000 1.385000 ;
+      RECT 2.610000  1.575000  3.075000 1.965000 ;
+      RECT 2.735000  2.135000  3.415000 2.465000 ;
+      RECT 2.745000  0.305000  3.600000 0.475000 ;
+      RECT 2.905000  0.765000  3.260000 0.985000 ;
+      RECT 2.905000  0.985000  3.075000 1.575000 ;
+      RECT 3.245000  1.185000  4.935000 1.355000 ;
+      RECT 3.245000  1.355000  3.415000 2.135000 ;
+      RECT 3.430000  0.475000  3.600000 1.185000 ;
+      RECT 3.585000  1.865000  4.660000 2.035000 ;
+      RECT 3.585000  2.035000  3.755000 2.375000 ;
+      RECT 3.775000  1.525000  5.275000 1.695000 ;
+      RECT 3.990000  2.205000  4.320000 2.635000 ;
+      RECT 4.475000  0.085000  4.805000 0.545000 ;
+      RECT 4.490000  2.035000  4.660000 2.375000 ;
+      RECT 4.765000  1.005000  4.935000 1.185000 ;
+      RECT 4.955000  2.175000  5.325000 2.635000 ;
+      RECT 5.015000  0.275000  5.365000 0.445000 ;
+      RECT 5.015000  0.445000  5.275000 0.835000 ;
+      RECT 5.105000  0.835000  5.275000 1.525000 ;
+      RECT 5.105000  1.695000  5.275000 1.835000 ;
+      RECT 5.105000  1.835000  5.665000 2.005000 ;
+      RECT 5.465000  0.705000  5.675000 1.495000 ;
+      RECT 5.465000  1.495000  6.140000 1.655000 ;
+      RECT 5.465000  1.655000  6.430000 1.665000 ;
+      RECT 5.495000  2.005000  5.665000 2.465000 ;
+      RECT 5.585000  0.255000  6.535000 0.535000 ;
+      RECT 5.845000  0.705000  6.195000 1.325000 ;
+      RECT 5.900000  2.125000  6.770000 2.465000 ;
+      RECT 5.970000  1.665000  6.430000 1.955000 ;
+      RECT 6.365000  0.535000  6.535000 1.315000 ;
+      RECT 6.365000  1.315000  6.770000 1.485000 ;
+      RECT 6.600000  1.485000  6.770000 1.575000 ;
+      RECT 6.600000  1.575000  7.820000 1.745000 ;
+      RECT 6.600000  1.745000  6.770000 2.125000 ;
+      RECT 6.705000  0.085000  6.895000 0.525000 ;
+      RECT 6.705000  0.695000  7.235000 0.865000 ;
+      RECT 6.705000  0.865000  6.925000 1.145000 ;
+      RECT 6.940000  2.175000  7.190000 2.635000 ;
+      RECT 7.065000  0.295000  8.135000 0.465000 ;
+      RECT 7.065000  0.465000  7.235000 0.695000 ;
+      RECT 7.360000  1.915000  8.160000 2.085000 ;
+      RECT 7.360000  2.085000  7.530000 2.375000 ;
+      RECT 7.710000  2.255000  8.430000 2.635000 ;
+      RECT 7.815000  0.465000  8.135000 0.820000 ;
+      RECT 7.815000  0.820000  8.140000 0.995000 ;
+      RECT 7.815000  0.995000  8.435000 1.295000 ;
+      RECT 7.990000  1.295000  8.435000 1.325000 ;
+      RECT 7.990000  1.325000  8.160000 1.915000 ;
+      RECT 8.335000  0.085000  8.505000 0.770000 ;
+      RECT 9.195000  0.345000  9.445000 0.995000 ;
+      RECT 9.195000  0.995000 10.055000 1.325000 ;
+      RECT 9.195000  1.325000  9.525000 2.425000 ;
+      RECT 9.760000  0.085000  9.930000 0.680000 ;
+      RECT 9.760000  1.495000  9.930000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_1
+MACRO sky130_fd_sc_hd__dfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.160000 0.265000 9.495000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.030000 1.535000 10.420000 2.080000 ;
+        RECT 10.040000 0.310000 10.420000 0.825000 ;
+        RECT 10.120000 2.080000 10.420000 2.465000 ;
+        RECT 10.250000 0.825000 10.420000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  7.985000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.055000 2.635000 ;
+      RECT  7.815000  0.465000  7.985000 0.995000 ;
+      RECT  7.815000  0.995000  8.160000 1.075000 ;
+      RECT  7.815000  1.075000  8.650000 1.295000 ;
+      RECT  7.990000  1.295000  8.650000 1.325000 ;
+      RECT  7.990000  1.325000  8.160000 1.915000 ;
+      RECT  8.335000  0.345000  8.585000 0.715000 ;
+      RECT  8.335000  0.715000  8.990000 0.885000 ;
+      RECT  8.335000  1.795000  8.990000 1.865000 ;
+      RECT  8.335000  1.865000  9.835000 2.035000 ;
+      RECT  8.335000  2.035000  8.560000 2.465000 ;
+      RECT  8.730000  2.205000  9.070000 2.635000 ;
+      RECT  8.755000  0.085000  8.990000 0.545000 ;
+      RECT  8.820000  0.885000  8.990000 1.795000 ;
+      RECT  9.620000  2.255000  9.950000 2.635000 ;
+      RECT  9.665000  0.995000 10.080000 1.325000 ;
+      RECT  9.665000  1.325000  9.835000 1.865000 ;
+      RECT  9.700000  0.085000  9.870000 0.825000 ;
+      RECT 10.590000  0.085000 10.760000 0.930000 ;
+      RECT 10.590000  1.445000 10.760000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_2
+MACRO sky130_fd_sc_hd__fill_8
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.120000 0.350000 0.050000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_8
+MACRO sky130_fd_sc_hd__fill_1
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.055000 0.260000 0.055000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_1
+MACRO sky130_fd_sc_hd__fill_4
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.175000 -0.060000 0.285000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_4
+MACRO sky130_fd_sc_hd__fill_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.050000 0.315000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_2
+MACRO sky130_fd_sc_hd__dlygate4sd3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.775000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.595000 0.825000 ;
+        RECT 3.210000 1.495000 3.595000 2.465000 ;
+        RECT 3.315000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.200000  0.255000 0.485000 0.715000 ;
+      RECT 0.200000  0.715000 1.155000 0.885000 ;
+      RECT 0.200000  1.785000 1.155000 2.005000 ;
+      RECT 0.200000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.945000  0.885000 1.155000 1.785000 ;
+      RECT 1.325000  0.255000 1.725000 1.055000 ;
+      RECT 1.325000  1.055000 2.420000 1.615000 ;
+      RECT 1.325000  1.615000 1.725000 2.465000 ;
+      RECT 1.915000  0.255000 2.195000 0.715000 ;
+      RECT 1.915000  0.715000 3.040000 0.885000 ;
+      RECT 1.915000  1.785000 3.040000 2.005000 ;
+      RECT 1.915000  2.005000 2.195000 2.465000 ;
+      RECT 2.590000  0.885000 3.040000 0.995000 ;
+      RECT 2.590000  0.995000 3.145000 1.325000 ;
+      RECT 2.590000  1.325000 3.040000 1.785000 ;
+      RECT 2.715000  0.085000 3.040000 0.545000 ;
+      RECT 2.715000  2.175000 3.040000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd3_1
+MACRO sky130_fd_sc_hd__o211a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.075000 1.720000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.075000 2.220000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.075000 2.720000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.245000 1.075000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  1.075000 1.125000 1.245000 ;
+      RECT 0.595000  0.085000 0.845000 0.885000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 0.955000  1.245000 1.125000 1.495000 ;
+      RECT 0.955000  1.495000 3.390000 1.665000 ;
+      RECT 1.035000  0.255000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.260000 0.905000 ;
+      RECT 1.035000  1.835000 1.285000 2.635000 ;
+      RECT 1.535000  0.085000 1.760000 0.545000 ;
+      RECT 1.930000  0.255000 2.260000 0.735000 ;
+      RECT 1.930000  1.665000 2.260000 2.465000 ;
+      RECT 2.560000  1.835000 2.890000 2.635000 ;
+      RECT 2.890000  0.255000 3.390000 0.865000 ;
+      RECT 2.890000  0.865000 3.060000 1.495000 ;
+      RECT 3.060000  1.665000 3.390000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_1
+MACRO sky130_fd_sc_hd__o211a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.995000 2.325000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.995000 1.820000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.240000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.360000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.720000 0.255000 3.050000 0.615000 ;
+        RECT 2.720000 0.615000 3.540000 0.785000 ;
+        RECT 2.810000 1.905000 3.540000 2.075000 ;
+        RECT 2.810000 2.075000 3.000000 2.465000 ;
+        RECT 3.345000 0.785000 3.540000 1.905000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  1.510000 2.665000 1.765000 ;
+      RECT 0.090000  1.765000 0.355000 2.465000 ;
+      RECT 0.095000  0.255000 0.430000 0.425000 ;
+      RECT 0.095000  0.425000 0.710000 0.825000 ;
+      RECT 0.525000  1.935000 0.855000 2.635000 ;
+      RECT 0.530000  0.825000 0.710000 1.510000 ;
+      RECT 0.880000  0.635000 2.150000 0.825000 ;
+      RECT 1.025000  1.765000 1.695000 2.465000 ;
+      RECT 1.390000  0.085000 1.725000 0.465000 ;
+      RECT 2.200000  1.935000 2.630000 2.635000 ;
+      RECT 2.315000  0.085000 2.550000 0.525000 ;
+      RECT 2.495000  0.995000 3.175000 1.325000 ;
+      RECT 2.495000  1.325000 2.665000 1.510000 ;
+      RECT 3.170000  2.255000 3.500000 2.635000 ;
+      RECT 3.220000  0.085000 3.550000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_2
+MACRO sky130_fd_sc_hd__o211a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.490000 1.035000 4.845000 1.495000 ;
+        RECT 4.490000 1.495000 6.290000 1.685000 ;
+        RECT 5.890000 1.035000 6.290000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.030000 1.035000 5.705000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.995000 2.830000 1.445000 ;
+        RECT 2.540000 1.445000 4.280000 1.685000 ;
+        RECT 3.950000 1.035000 4.280000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.055000 1.035000 3.740000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.911000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 1.605000 0.805000 ;
+        RECT 0.085000 0.805000 0.365000 1.435000 ;
+        RECT 0.085000 1.435000 2.030000 1.700000 ;
+        RECT 0.595000 0.255000 0.765000 0.615000 ;
+        RECT 0.595000 0.615000 1.605000 0.635000 ;
+        RECT 0.980000 1.700000 1.160000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.615000 ;
+        RECT 1.840000 1.700000 2.030000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.480000  1.870000 0.810000 2.635000 ;
+      RECT 0.535000  1.065000 2.370000 1.265000 ;
+      RECT 0.935000  0.085000 1.265000 0.445000 ;
+      RECT 1.340000  1.870000 1.670000 2.635000 ;
+      RECT 1.775000  0.085000 2.140000 0.465000 ;
+      RECT 2.200000  0.635000 3.520000 0.815000 ;
+      RECT 2.200000  0.815000 2.370000 1.065000 ;
+      RECT 2.200000  1.265000 2.370000 1.855000 ;
+      RECT 2.200000  1.855000 5.485000 2.025000 ;
+      RECT 2.200000  2.200000 2.530000 2.635000 ;
+      RECT 2.330000  0.255000 4.500000 0.465000 ;
+      RECT 2.700000  2.025000 3.060000 2.465000 ;
+      RECT 3.285000  2.195000 3.615000 2.635000 ;
+      RECT 3.785000  2.025000 4.120000 2.465000 ;
+      RECT 4.170000  0.465000 4.500000 0.695000 ;
+      RECT 4.170000  0.695000 6.345000 0.865000 ;
+      RECT 4.290000  2.195000 4.555000 2.635000 ;
+      RECT 4.670000  0.085000 4.985000 0.525000 ;
+      RECT 5.155000  0.255000 5.485000 0.695000 ;
+      RECT 5.155000  2.025000 5.485000 2.465000 ;
+      RECT 5.655000  0.085000 5.845000 0.525000 ;
+      RECT 6.015000  0.255000 6.345000 0.695000 ;
+      RECT 6.015000  1.915000 6.345000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_4
+MACRO sky130_fd_sc_hd__dlygate4sd2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.625000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.570000 0.255000 3.135000 0.825000 ;
+        RECT 2.570000 1.495000 3.135000 2.465000 ;
+        RECT 2.675000 0.825000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.715000 ;
+      RECT 0.085000  0.715000 1.030000 0.885000 ;
+      RECT 0.085000  1.785000 1.030000 2.005000 ;
+      RECT 0.085000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.795000  0.885000 1.030000 0.995000 ;
+      RECT 0.795000  0.995000 1.085000 1.325000 ;
+      RECT 0.795000  1.325000 1.030000 1.785000 ;
+      RECT 1.155000  0.255000 1.425000 0.585000 ;
+      RECT 1.155000  2.135000 1.425000 2.465000 ;
+      RECT 1.255000  0.585000 1.425000 1.055000 ;
+      RECT 1.255000  1.055000 2.030000 1.615000 ;
+      RECT 1.255000  1.615000 1.425000 2.135000 ;
+      RECT 1.615000  0.255000 1.875000 0.715000 ;
+      RECT 1.615000  0.715000 2.400000 0.885000 ;
+      RECT 1.615000  1.785000 2.400000 2.005000 ;
+      RECT 1.615000  2.005000 1.875000 2.465000 ;
+      RECT 2.075000  0.085000 2.400000 0.545000 ;
+      RECT 2.075000  2.175000 2.400000 2.635000 ;
+      RECT 2.200000  0.885000 2.400000 0.995000 ;
+      RECT 2.200000  0.995000 2.505000 1.325000 ;
+      RECT 2.200000  1.325000 2.400000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd2_1
+MACRO sky130_fd_sc_hd__sdfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.260000 0.305000 9.605000 0.820000 ;
+        RECT 9.260000 1.505000 9.605000 2.395000 ;
+        RECT 9.435000 0.820000 9.605000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.035000 4.020000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.250000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.080000 0.785000 3.250000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.810000 0.805000 ;
+      RECT 0.180000  1.795000  0.845000 1.965000 ;
+      RECT 0.180000  1.965000  0.350000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.520000  2.135000  0.850000 2.635000 ;
+      RECT 0.615000  0.805000  0.810000 0.970000 ;
+      RECT 0.615000  0.970000  0.845000 1.795000 ;
+      RECT 1.015000  0.345000  1.245000 0.715000 ;
+      RECT 1.020000  0.715000  1.245000 2.465000 ;
+      RECT 1.435000  0.275000  1.805000 0.445000 ;
+      RECT 1.435000  0.445000  1.605000 1.860000 ;
+      RECT 1.435000  1.860000  3.245000 2.075000 ;
+      RECT 1.435000  2.075000  1.710000 2.445000 ;
+      RECT 1.880000  2.245000  2.210000 2.635000 ;
+      RECT 1.975000  0.085000  2.305000 0.445000 ;
+      RECT 2.120000  0.955000  2.460000 1.125000 ;
+      RECT 2.120000  1.125000  2.290000 1.860000 ;
+      RECT 2.690000  2.245000  3.585000 2.415000 ;
+      RECT 2.820000  0.275000  3.590000 0.445000 ;
+      RECT 3.075000  1.355000  3.270000 1.685000 ;
+      RECT 3.075000  1.685000  3.245000 1.860000 ;
+      RECT 3.415000  1.825000  4.380000 1.995000 ;
+      RECT 3.415000  1.995000  3.585000 2.245000 ;
+      RECT 3.420000  0.445000  3.590000 0.695000 ;
+      RECT 3.420000  0.695000  4.380000 0.865000 ;
+      RECT 3.755000  2.165000  3.925000 2.635000 ;
+      RECT 3.760000  0.085000  3.960000 0.525000 ;
+      RECT 4.210000  0.365000  4.560000 0.535000 ;
+      RECT 4.210000  0.535000  4.380000 0.695000 ;
+      RECT 4.210000  0.865000  4.380000 1.825000 ;
+      RECT 4.210000  1.995000  4.380000 2.065000 ;
+      RECT 4.210000  2.065000  4.445000 2.440000 ;
+      RECT 4.550000  0.705000  5.130000 1.035000 ;
+      RECT 4.550000  1.035000  4.790000 1.905000 ;
+      RECT 4.690000  2.190000  5.760000 2.360000 ;
+      RECT 4.730000  0.365000  5.470000 0.535000 ;
+      RECT 4.980000  1.655000  5.420000 2.010000 ;
+      RECT 5.300000  0.535000  5.470000 1.315000 ;
+      RECT 5.300000  1.315000  6.100000 1.485000 ;
+      RECT 5.590000  1.485000  6.100000 1.575000 ;
+      RECT 5.590000  1.575000  5.760000 2.190000 ;
+      RECT 5.640000  0.765000  6.440000 1.065000 ;
+      RECT 5.640000  1.065000  5.810000 1.095000 ;
+      RECT 5.720000  0.085000  6.090000 0.585000 ;
+      RECT 5.930000  1.245000  6.100000 1.315000 ;
+      RECT 5.930000  1.835000  6.100000 2.635000 ;
+      RECT 6.270000  0.365000  6.730000 0.535000 ;
+      RECT 6.270000  0.535000  6.440000 0.765000 ;
+      RECT 6.270000  1.065000  6.440000 2.135000 ;
+      RECT 6.270000  2.135000  6.520000 2.465000 ;
+      RECT 6.610000  0.705000  7.160000 1.035000 ;
+      RECT 6.610000  1.245000  6.800000 1.965000 ;
+      RECT 6.745000  2.165000  7.630000 2.335000 ;
+      RECT 6.960000  0.365000  7.500000 0.535000 ;
+      RECT 6.970000  1.035000  7.160000 1.575000 ;
+      RECT 6.970000  1.575000  7.290000 1.905000 ;
+      RECT 7.330000  0.535000  7.500000 0.995000 ;
+      RECT 7.330000  0.995000  8.395000 1.325000 ;
+      RECT 7.330000  1.325000  7.630000 1.405000 ;
+      RECT 7.460000  1.405000  7.630000 2.165000 ;
+      RECT 7.745000  0.085000  8.115000 0.615000 ;
+      RECT 7.800000  1.575000  8.735000 1.905000 ;
+      RECT 7.810000  2.135000  8.115000 2.635000 ;
+      RECT 8.385000  0.300000  8.735000 0.825000 ;
+      RECT 8.465000  1.905000  8.735000 2.455000 ;
+      RECT 8.565000  0.825000  8.735000 0.995000 ;
+      RECT 8.565000  0.995000  9.265000 1.325000 ;
+      RECT 8.565000  1.325000  8.735000 1.575000 ;
+      RECT 8.905000  0.085000  9.075000 0.695000 ;
+      RECT 8.905000  1.625000  9.080000 2.635000 ;
+      RECT 9.775000  0.085000  9.945000 0.930000 ;
+      RECT 9.775000  1.405000  9.945000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.050000  0.765000 1.220000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.620000  1.785000 6.790000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.850000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.860000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.560000 1.755000 6.850000 1.800000 ;
+      RECT 6.560000 1.940000 6.850000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_2
+MACRO sky130_fd_sc_hd__sdfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.230000 0.305000 9.575000 0.820000 ;
+        RECT 9.230000 1.505000 9.575000 2.395000 ;
+        RECT 9.405000 0.820000 9.575000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.055000 3.990000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.635000 3.250000 0.785000 ;
+        RECT 1.760000 0.785000 1.990000 0.835000 ;
+        RECT 1.760000 0.835000 1.930000 1.685000 ;
+        RECT 1.870000 0.615000 3.250000 0.635000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.065000 0.785000 3.250000 1.095000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.810000 0.805000 ;
+      RECT 0.180000  1.795000 0.845000 1.965000 ;
+      RECT 0.180000  1.965000 0.350000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.520000  2.135000 0.850000 2.635000 ;
+      RECT 0.615000  0.805000 0.810000 0.970000 ;
+      RECT 0.615000  0.970000 0.845000 1.795000 ;
+      RECT 1.015000  0.345000 1.230000 0.715000 ;
+      RECT 1.020000  0.715000 1.230000 2.465000 ;
+      RECT 1.420000  0.260000 1.790000 0.465000 ;
+      RECT 1.420000  0.465000 1.590000 1.860000 ;
+      RECT 1.420000  1.860000 3.220000 2.075000 ;
+      RECT 1.420000  2.075000 1.710000 2.445000 ;
+      RECT 1.880000  2.245000 2.210000 2.635000 ;
+      RECT 1.960000  0.085000 2.305000 0.445000 ;
+      RECT 2.115000  0.960000 2.460000 1.130000 ;
+      RECT 2.115000  1.130000 2.290000 1.860000 ;
+      RECT 2.690000  2.245000 3.560000 2.415000 ;
+      RECT 2.820000  0.275000 3.590000 0.445000 ;
+      RECT 3.050000  1.305000 3.270000 1.635000 ;
+      RECT 3.050000  1.635000 3.220000 1.860000 ;
+      RECT 3.390000  1.825000 4.350000 1.995000 ;
+      RECT 3.390000  1.995000 3.560000 2.245000 ;
+      RECT 3.420000  0.445000 3.590000 0.715000 ;
+      RECT 3.420000  0.715000 4.350000 0.885000 ;
+      RECT 3.730000  2.165000 3.925000 2.635000 ;
+      RECT 3.760000  0.085000 3.960000 0.545000 ;
+      RECT 4.180000  0.285000 4.460000 0.615000 ;
+      RECT 4.180000  0.615000 4.350000 0.715000 ;
+      RECT 4.180000  0.885000 4.350000 1.825000 ;
+      RECT 4.180000  1.995000 4.350000 2.065000 ;
+      RECT 4.180000  2.065000 4.420000 2.440000 ;
+      RECT 4.520000  0.780000 5.100000 1.035000 ;
+      RECT 4.520000  1.035000 4.760000 1.905000 ;
+      RECT 4.630000  0.705000 5.100000 0.780000 ;
+      RECT 4.660000  2.190000 5.730000 2.360000 ;
+      RECT 4.700000  0.365000 5.440000 0.535000 ;
+      RECT 4.950000  1.655000 5.390000 2.010000 ;
+      RECT 5.270000  0.535000 5.440000 1.315000 ;
+      RECT 5.270000  1.315000 6.070000 1.485000 ;
+      RECT 5.560000  1.485000 6.070000 1.575000 ;
+      RECT 5.560000  1.575000 5.730000 2.190000 ;
+      RECT 5.610000  0.765000 6.410000 1.065000 ;
+      RECT 5.610000  1.065000 5.780000 1.095000 ;
+      RECT 5.690000  0.085000 6.060000 0.585000 ;
+      RECT 5.900000  1.245000 6.070000 1.315000 ;
+      RECT 5.900000  1.835000 6.070000 2.635000 ;
+      RECT 6.240000  0.365000 6.700000 0.535000 ;
+      RECT 6.240000  0.535000 6.410000 0.765000 ;
+      RECT 6.240000  1.065000 6.410000 2.135000 ;
+      RECT 6.240000  2.135000 6.490000 2.465000 ;
+      RECT 6.580000  0.705000 7.130000 1.035000 ;
+      RECT 6.580000  1.245000 6.770000 1.965000 ;
+      RECT 6.715000  2.165000 7.600000 2.335000 ;
+      RECT 6.930000  0.365000 7.470000 0.535000 ;
+      RECT 6.940000  1.035000 7.130000 1.575000 ;
+      RECT 6.940000  1.575000 7.260000 1.905000 ;
+      RECT 7.300000  0.535000 7.470000 0.995000 ;
+      RECT 7.300000  0.995000 8.365000 1.325000 ;
+      RECT 7.300000  1.325000 7.600000 1.405000 ;
+      RECT 7.430000  1.405000 7.600000 2.165000 ;
+      RECT 7.715000  0.085000 8.085000 0.615000 ;
+      RECT 7.770000  1.575000 8.705000 1.905000 ;
+      RECT 7.790000  2.135000 8.095000 2.635000 ;
+      RECT 8.355000  0.300000 8.705000 0.825000 ;
+      RECT 8.435000  1.905000 8.705000 2.455000 ;
+      RECT 8.535000  0.825000 8.705000 0.995000 ;
+      RECT 8.535000  0.995000 9.235000 1.325000 ;
+      RECT 8.535000  1.325000 8.705000 1.575000 ;
+      RECT 8.875000  0.085000 9.045000 0.695000 ;
+      RECT 8.875000  1.625000 9.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.040000  0.765000 1.210000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  1.785000 6.760000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.820000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.980000 0.735000 1.270000 0.780000 ;
+      RECT 0.980000 0.780000 6.860000 0.920000 ;
+      RECT 0.980000 0.920000 1.270000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.530000 1.755000 6.820000 1.800000 ;
+      RECT 6.530000 1.940000 6.820000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_1
+MACRO sky130_fd_sc_hd__sdfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  9.285000 0.305000  9.615000 0.735000 ;
+        RECT  9.285000 0.735000 10.955000 0.905000 ;
+        RECT  9.285000 1.505000 10.955000 1.675000 ;
+        RECT  9.285000 1.675000  9.615000 2.395000 ;
+        RECT 10.135000 0.305000 10.465000 0.735000 ;
+        RECT 10.135000 1.675000 10.465000 2.395000 ;
+        RECT 10.655000 0.905000 10.955000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.025000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.385000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.385000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.215000  0.365000  4.565000 0.535000 ;
+      RECT  4.215000  0.535000  4.385000 0.695000 ;
+      RECT  4.215000  0.865000  4.385000 1.825000 ;
+      RECT  4.215000  1.995000  4.385000 2.065000 ;
+      RECT  4.215000  2.065000  4.450000 2.440000 ;
+      RECT  4.555000  0.705000  5.135000 1.035000 ;
+      RECT  4.555000  1.035000  4.795000 1.905000 ;
+      RECT  4.695000  2.190000  5.765000 2.360000 ;
+      RECT  4.735000  0.365000  5.475000 0.535000 ;
+      RECT  4.985000  1.655000  5.425000 2.010000 ;
+      RECT  5.305000  0.535000  5.475000 1.315000 ;
+      RECT  5.305000  1.315000  6.105000 1.485000 ;
+      RECT  5.595000  1.485000  6.105000 1.575000 ;
+      RECT  5.595000  1.575000  5.765000 2.190000 ;
+      RECT  5.645000  0.765000  6.445000 1.065000 ;
+      RECT  5.645000  1.065000  5.815000 1.095000 ;
+      RECT  5.725000  0.085000  6.095000 0.585000 ;
+      RECT  5.935000  1.245000  6.105000 1.315000 ;
+      RECT  5.935000  1.835000  6.105000 2.635000 ;
+      RECT  6.275000  0.365000  6.735000 0.535000 ;
+      RECT  6.275000  0.535000  6.445000 0.765000 ;
+      RECT  6.275000  1.065000  6.445000 2.135000 ;
+      RECT  6.275000  2.135000  6.525000 2.465000 ;
+      RECT  6.615000  0.705000  7.165000 1.035000 ;
+      RECT  6.615000  1.245000  6.805000 1.965000 ;
+      RECT  6.750000  2.165000  7.635000 2.335000 ;
+      RECT  6.965000  0.365000  7.505000 0.535000 ;
+      RECT  6.975000  1.035000  7.165000 1.575000 ;
+      RECT  6.975000  1.575000  7.295000 1.905000 ;
+      RECT  7.335000  0.535000  7.505000 0.995000 ;
+      RECT  7.335000  0.995000  8.400000 1.325000 ;
+      RECT  7.335000  1.325000  7.635000 1.405000 ;
+      RECT  7.465000  1.405000  7.635000 2.165000 ;
+      RECT  7.750000  0.085000  8.120000 0.615000 ;
+      RECT  7.805000  1.575000  8.755000 1.905000 ;
+      RECT  7.815000  2.135000  8.120000 2.635000 ;
+      RECT  8.390000  0.300000  8.750000 0.825000 ;
+      RECT  8.470000  1.905000  8.755000 2.455000 ;
+      RECT  8.570000  0.825000  8.750000 1.075000 ;
+      RECT  8.570000  1.075000 10.485000 1.325000 ;
+      RECT  8.570000  1.325000  8.755000 1.575000 ;
+      RECT  8.925000  0.085000  9.095000 0.695000 ;
+      RECT  8.925000  1.625000  9.105000 2.635000 ;
+      RECT  9.795000  0.085000  9.965000 0.565000 ;
+      RECT  9.795000  1.845000  9.965000 2.635000 ;
+      RECT 10.635000  0.085000 10.805000 0.565000 ;
+      RECT 10.635000  1.845000 10.805000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.055000  0.765000  1.225000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.755000  0.765000  4.925000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.215000  1.785000  5.385000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  0.765000  6.795000 0.935000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 6.855000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 0.995000 0.735000 1.285000 0.780000 ;
+      RECT 0.995000 0.780000 6.855000 0.920000 ;
+      RECT 0.995000 0.920000 1.285000 0.965000 ;
+      RECT 4.695000 0.735000 4.985000 0.780000 ;
+      RECT 4.695000 0.920000 4.985000 0.965000 ;
+      RECT 5.155000 1.755000 5.445000 1.800000 ;
+      RECT 5.155000 1.940000 5.445000 1.985000 ;
+      RECT 6.565000 0.735000 6.855000 0.780000 ;
+      RECT 6.565000 0.920000 6.855000 0.965000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_4
+MACRO sky130_fd_sc_hd__maj3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.695000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 0.995000 2.155000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.495000 ;
+        RECT 0.425000 1.495000 3.070000 1.665000 ;
+        RECT 2.415000 1.415000 3.070000 1.495000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.285000 0.255000 3.615000 0.905000 ;
+        RECT 3.285000 1.495000 3.615000 2.465000 ;
+        RECT 3.445000 0.905000 3.615000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 3.105000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.835000 ;
+      RECT 0.085000  1.835000 2.085000 2.005000 ;
+      RECT 0.085000  2.005000 0.615000 2.465000 ;
+      RECT 0.975000  0.085000 1.305000 0.485000 ;
+      RECT 0.975000  2.175000 1.305000 2.635000 ;
+      RECT 1.755000  0.255000 2.085000 0.655000 ;
+      RECT 1.755000  2.005000 2.085000 2.465000 ;
+      RECT 2.535000  1.835000 2.860000 2.635000 ;
+      RECT 2.635000  0.085000 2.965000 0.485000 ;
+      RECT 2.925000  0.825000 3.105000 1.075000 ;
+      RECT 2.925000  1.075000 3.275000 1.245000 ;
+      RECT 3.785000  0.085000 4.055000 0.905000 ;
+      RECT 3.785000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_2
+MACRO sky130_fd_sc_hd__maj3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.125000 1.325000 ;
+        RECT 0.610000 1.325000 0.780000 2.460000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.995000 1.905000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.765000 2.755000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.602250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 2.160000 3.595000 2.465000 ;
+        RECT 3.265000 1.495000 3.595000 2.160000 ;
+        RECT 3.370000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.135000  0.255000 0.395000 0.655000 ;
+      RECT 0.135000  0.655000 2.245000 0.825000 ;
+      RECT 0.135000  0.825000 0.395000 2.125000 ;
+      RECT 0.875000  0.085000 1.205000 0.485000 ;
+      RECT 0.955000  1.715000 1.205000 2.635000 ;
+      RECT 1.655000  0.255000 1.985000 0.640000 ;
+      RECT 1.655000  0.640000 2.245000 0.655000 ;
+      RECT 1.655000  1.815000 2.245000 2.080000 ;
+      RECT 2.075000  0.825000 2.245000 1.495000 ;
+      RECT 2.075000  1.495000 3.095000 1.665000 ;
+      RECT 2.075000  1.665000 2.245000 1.815000 ;
+      RECT 2.545000  0.085000 2.880000 0.470000 ;
+      RECT 2.555000  1.845000 2.885000 2.635000 ;
+      RECT 2.925000  0.995000 3.200000 1.325000 ;
+      RECT 2.925000  1.325000 3.095000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_1
+MACRO sky130_fd_sc_hd__maj3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.450000 1.635000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.290000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.890000 1.285000 ;
+        RECT 0.720000 1.285000 0.890000 1.915000 ;
+        RECT 0.720000 1.915000 1.790000 2.085000 ;
+        RECT 1.620000 2.085000 1.790000 2.225000 ;
+        RECT 1.620000 2.225000 2.630000 2.395000 ;
+        RECT 2.460000 1.075000 2.945000 1.245000 ;
+        RECT 2.460000 1.245000 2.630000 2.225000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.375000 0.255000 3.705000 0.490000 ;
+        RECT 3.375000 1.455000 4.975000 1.625000 ;
+        RECT 3.375000 1.625000 3.705000 2.465000 ;
+        RECT 3.455000 0.490000 3.705000 0.715000 ;
+        RECT 3.455000 0.715000 4.975000 0.905000 ;
+        RECT 4.215000 0.255000 4.545000 0.715000 ;
+        RECT 4.215000 1.625000 4.545000 2.465000 ;
+        RECT 4.715000 0.905000 4.975000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.635000 0.660000 ;
+      RECT 0.085000  0.660000 2.290000 0.715000 ;
+      RECT 0.085000  0.715000 3.285000 0.885000 ;
+      RECT 0.085000  0.885000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.465000 2.465000 ;
+      RECT 1.120000  0.085000 1.450000 0.490000 ;
+      RECT 1.120000  2.255000 1.450000 2.635000 ;
+      RECT 1.620000  0.885000 1.790000 1.545000 ;
+      RECT 1.620000  1.545000 2.290000 1.745000 ;
+      RECT 1.960000  0.255000 2.290000 0.660000 ;
+      RECT 1.960000  1.745000 2.290000 2.055000 ;
+      RECT 2.845000  1.455000 3.175000 2.635000 ;
+      RECT 2.860000  0.085000 3.205000 0.545000 ;
+      RECT 3.115000  0.885000 3.285000 1.075000 ;
+      RECT 3.115000  1.075000 4.545000 1.285000 ;
+      RECT 3.875000  0.085000 4.045000 0.545000 ;
+      RECT 3.875000  1.795000 4.045000 2.635000 ;
+      RECT 4.715000  0.085000 4.885000 0.545000 ;
+      RECT 4.715000  1.795000 4.925000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_4
+MACRO sky130_fd_sc_hd__and4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.740000 0.335000 1.630000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.420000 1.745000 1.745000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.420000 2.275000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.645000 2.775000 1.615000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.503250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.255000 3.545000 0.640000 ;
+        RECT 3.260000 0.640000 4.055000 0.825000 ;
+        RECT 3.340000 1.535000 4.055000 1.745000 ;
+        RECT 3.340000 1.745000 3.545000 2.465000 ;
+        RECT 3.425000 0.825000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.175000  1.830000 0.805000 2.000000 ;
+      RECT 0.175000  2.000000 0.345000 2.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.595000  0.255000 0.805000 0.585000 ;
+      RECT 0.635000  0.585000 0.805000 0.995000 ;
+      RECT 0.635000  0.995000 0.975000 1.325000 ;
+      RECT 0.635000  1.325000 0.805000 1.830000 ;
+      RECT 1.015000  1.660000 1.315000 1.915000 ;
+      RECT 1.015000  1.915000 3.165000 1.965000 ;
+      RECT 1.015000  1.965000 2.610000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.095000  0.255000 1.315000 0.585000 ;
+      RECT 1.145000  0.585000 1.315000 1.660000 ;
+      RECT 1.555000  2.255000 2.225000 2.635000 ;
+      RECT 2.440000  1.795000 3.165000 1.915000 ;
+      RECT 2.440000  2.085000 2.610000 2.465000 ;
+      RECT 2.760000  0.085000 3.090000 0.465000 ;
+      RECT 2.840000  2.195000 3.170000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.795000 ;
+      RECT 3.715000  0.085000 4.050000 0.465000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_2
+MACRO sky130_fd_sc_hd__and4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.440000 0.765000 0.790000 1.635000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.735000 4.145000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.345000 0.755000 3.555000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.085000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 0.650000 2.080000 0.820000 ;
+        RECT 0.980000 0.820000 1.260000 1.545000 ;
+        RECT 0.980000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.900000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 1.440000  1.075000 2.550000 1.245000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.285000  0.085000 2.615000 0.445000 ;
+      RECT 2.380000  0.615000 2.965000 0.785000 ;
+      RECT 2.380000  0.785000 2.550000 1.075000 ;
+      RECT 2.380000  1.245000 2.550000 1.545000 ;
+      RECT 2.380000  1.545000 4.545000 1.715000 ;
+      RECT 2.795000  0.300000 4.965000 0.470000 ;
+      RECT 2.795000  0.470000 2.965000 0.615000 ;
+      RECT 3.475000  2.255000 3.805000 2.635000 ;
+      RECT 4.390000  0.470000 4.965000 0.810000 ;
+      RECT 4.635000  2.255000 4.965000 2.635000 ;
+      RECT 4.730000  0.995000 4.900000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_4
+MACRO sky130_fd_sc_hd__and4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.450000 1.675000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.420000 1.800000 1.695000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 0.420000 2.295000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 0.665000 2.825000 1.695000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.295000 3.590000 0.340000 ;
+        RECT 3.255000 0.340000 3.595000 0.805000 ;
+        RECT 3.335000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.805000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.170000  0.255000 0.345000 0.655000 ;
+      RECT 0.170000  0.655000 0.800000 0.825000 ;
+      RECT 0.170000  1.845000 0.800000 2.015000 ;
+      RECT 0.170000  2.015000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.630000  0.825000 0.800000 0.995000 ;
+      RECT 0.630000  0.995000 0.980000 1.325000 ;
+      RECT 0.630000  1.325000 0.800000 1.845000 ;
+      RECT 1.090000  0.255000 1.320000 0.585000 ;
+      RECT 1.150000  0.585000 1.320000 1.875000 ;
+      RECT 1.150000  1.875000 3.165000 2.045000 ;
+      RECT 1.150000  2.045000 1.320000 2.465000 ;
+      RECT 1.555000  2.225000 2.225000 2.635000 ;
+      RECT 2.440000  2.045000 2.610000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.465000 ;
+      RECT 2.810000  2.225000 3.140000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_1
+MACRO sky130_fd_sc_hd__a31o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.995000 2.160000 1.655000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.700000 1.655000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.995000 1.240000 1.325000 ;
+        RECT 1.025000 1.325000 1.240000 1.655000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 0.995000 2.620000 1.655000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.300000 0.425000 0.810000 ;
+        RECT 0.095000 0.810000 0.285000 1.575000 ;
+        RECT 0.095000 1.575000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.455000  0.995000 0.765000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.595000  0.655000 2.960000 0.825000 ;
+      RECT 0.595000  0.825000 0.765000 0.995000 ;
+      RECT 0.595000  1.495000 0.845000 2.635000 ;
+      RECT 1.035000  1.825000 2.325000 1.995000 ;
+      RECT 1.035000  1.995000 1.285000 2.415000 ;
+      RECT 1.515000  2.165000 1.845000 2.635000 ;
+      RECT 1.975000  0.315000 2.305000 0.655000 ;
+      RECT 2.075000  1.995000 2.325000 2.415000 ;
+      RECT 2.475000  0.085000 2.805000 0.485000 ;
+      RECT 2.505000  1.825000 2.960000 1.995000 ;
+      RECT 2.505000  1.995000 2.835000 2.425000 ;
+      RECT 2.790000  0.825000 2.960000 1.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_1
+MACRO sky130_fd_sc_hd__a31o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.415000 2.175000 0.700000 ;
+        RECT 1.965000 0.700000 2.355000 0.870000 ;
+        RECT 2.185000 0.870000 2.355000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.400000 1.700000 0.695000 ;
+        RECT 1.530000 0.695000 1.795000 0.865000 ;
+        RECT 1.625000 0.865000 1.795000 1.075000 ;
+        RECT 1.625000 1.075000 1.955000 1.245000 ;
+        RECT 1.625000 1.245000 1.795000 1.260000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.760000 1.270000 0.995000 ;
+        RECT 1.065000 0.995000 1.395000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.755000 3.090000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.715000 0.765000 0.885000 ;
+        RECT 0.090000 0.885000 0.345000 1.835000 ;
+        RECT 0.090000 1.835000 0.765000 2.005000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 2.005000 0.765000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.135000  2.175000 0.385000 2.635000 ;
+      RECT 0.555000  1.075000 0.885000 1.245000 ;
+      RECT 0.555000  1.245000 0.725000 1.495000 ;
+      RECT 0.555000  1.495000 3.045000 1.665000 ;
+      RECT 0.935000  1.835000 1.185000 2.635000 ;
+      RECT 0.955000  0.085000 1.285000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.545000 ;
+      RECT 1.355000  1.835000 2.645000 2.005000 ;
+      RECT 1.355000  2.005000 1.605000 2.425000 ;
+      RECT 1.815000  2.175000 2.145000 2.635000 ;
+      RECT 2.335000  2.005000 2.585000 2.425000 ;
+      RECT 2.375000  0.335000 2.705000 0.505000 ;
+      RECT 2.460000  0.255000 2.705000 0.335000 ;
+      RECT 2.535000  0.505000 2.705000 1.495000 ;
+      RECT 2.875000  0.085000 3.135000 0.565000 ;
+      RECT 2.875000  1.665000 3.045000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_2
+MACRO sky130_fd_sc_hd__a31o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.075000 1.705000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.075000 1.055000 1.245000 ;
+        RECT 0.805000 0.735000 2.170000 0.905000 ;
+        RECT 0.805000 0.905000 0.975000 1.075000 ;
+        RECT 1.985000 0.905000 2.170000 1.075000 ;
+        RECT 1.985000 1.075000 2.315000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.525000 1.445000 ;
+        RECT 0.150000 1.445000 2.855000 1.615000 ;
+        RECT 2.525000 1.075000 2.855000 1.445000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.575000 1.075000 4.030000 1.285000 ;
+        RECT 3.815000 0.745000 4.030000 1.075000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.655000 6.295000 0.825000 ;
+        RECT 4.535000 1.785000 6.295000 1.955000 ;
+        RECT 4.595000 1.955000 4.765000 2.465000 ;
+        RECT 5.435000 1.955000 5.605000 2.465000 ;
+        RECT 6.125000 0.825000 6.295000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.785000 2.985000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.395000 2.520000 0.565000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.195000  2.125000 2.525000 2.635000 ;
+      RECT 2.350000  0.565000 2.520000 0.700000 ;
+      RECT 2.350000  0.700000 3.485000 0.805000 ;
+      RECT 2.350000  0.805000 3.345000 0.870000 ;
+      RECT 2.700000  0.085000 2.985000 0.530000 ;
+      RECT 2.815000  1.955000 2.985000 2.295000 ;
+      RECT 2.815000  2.295000 3.825000 2.465000 ;
+      RECT 3.155000  0.295000 3.485000 0.700000 ;
+      RECT 3.155000  0.870000 3.345000 1.455000 ;
+      RECT 3.155000  1.455000 4.395000 1.625000 ;
+      RECT 3.155000  1.625000 3.485000 2.115000 ;
+      RECT 3.655000  1.795000 3.825000 2.295000 ;
+      RECT 3.735000  0.085000 4.265000 0.565000 ;
+      RECT 4.095000  2.125000 4.425000 2.635000 ;
+      RECT 4.225000  0.995000 5.935000 1.325000 ;
+      RECT 4.225000  1.325000 4.395000 1.455000 ;
+      RECT 4.935000  0.085000 5.265000 0.485000 ;
+      RECT 4.935000  2.125000 5.265000 2.635000 ;
+      RECT 5.775000  0.085000 6.105000 0.485000 ;
+      RECT 5.775000  2.125000 6.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_4
+MACRO sky130_fd_sc_hd__nand4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 0.725000 3.640000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.655000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.735000 1.720000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 1.075000 1.320000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.909000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.120000 1.495000 2.670000 1.665000 ;
+        RECT 1.120000 1.665000 1.450000 2.465000 ;
+        RECT 2.140000 1.665000 2.470000 2.465000 ;
+        RECT 2.420000 0.255000 2.930000 0.825000 ;
+        RECT 2.420000 0.825000 2.670000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.485000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.270000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 2.065000 ;
+      RECT 0.085000  2.065000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.900000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 1.080000  0.365000 2.250000 0.555000 ;
+      RECT 1.080000  0.555000 1.270000 0.715000 ;
+      RECT 1.640000  1.835000 1.970000 2.635000 ;
+      RECT 1.970000  0.555000 2.250000 1.325000 ;
+      RECT 2.680000  2.175000 3.450000 2.635000 ;
+      RECT 2.840000  0.995000 3.090000 1.835000 ;
+      RECT 2.840000  1.835000 4.055000 2.005000 ;
+      RECT 3.100000  0.085000 3.450000 0.545000 ;
+      RECT 3.620000  0.255000 4.055000 0.545000 ;
+      RECT 3.635000  2.005000 4.055000 2.465000 ;
+      RECT 3.810000  0.545000 4.055000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_1
+MACRO sky130_fd_sc_hd__nand4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 1.170000 0.890000 1.340000 ;
+        RECT 0.610000 1.070000 0.890000 1.170000 ;
+        RECT 0.610000 1.340000 0.890000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.070000 0.330000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.720000 1.075000 4.615000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.945000 1.075000 5.875000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 0.655000 2.415000 1.445000 ;
+        RECT 2.085000 1.445000 5.455000 1.665000 ;
+        RECT 2.085000 1.665000 2.335000 2.465000 ;
+        RECT 2.925000 1.665000 3.255000 2.465000 ;
+        RECT 3.245000 1.075000 3.550000 1.445000 ;
+        RECT 4.285000 1.665000 4.615000 2.465000 ;
+        RECT 5.125000 1.665000 5.455000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.730000 ;
+      RECT 0.085000  0.730000 1.230000 0.900000 ;
+      RECT 0.085000  1.785000 1.230000 1.980000 ;
+      RECT 0.085000  1.980000 0.370000 2.440000 ;
+      RECT 0.515000  0.085000 0.765000 0.545000 ;
+      RECT 0.540000  2.195000 0.765000 2.635000 ;
+      RECT 0.935000  0.255000 1.575000 0.560000 ;
+      RECT 0.935000  2.150000 1.575000 2.465000 ;
+      RECT 1.060000  0.900000 1.230000 1.785000 ;
+      RECT 1.400000  0.560000 1.575000 0.715000 ;
+      RECT 1.400000  0.715000 1.580000 1.410000 ;
+      RECT 1.400000  1.410000 1.575000 2.150000 ;
+      RECT 1.745000  0.255000 3.675000 0.485000 ;
+      RECT 1.745000  0.485000 1.915000 0.585000 ;
+      RECT 1.745000  1.495000 1.915000 2.635000 ;
+      RECT 2.505000  1.835000 2.755000 2.635000 ;
+      RECT 2.745000  1.075000 3.075000 1.275000 ;
+      RECT 2.925000  0.655000 4.615000 0.905000 ;
+      RECT 3.425000  1.835000 4.115000 2.635000 ;
+      RECT 3.865000  0.255000 5.035000 0.485000 ;
+      RECT 4.785000  0.485000 5.035000 0.735000 ;
+      RECT 4.785000  0.735000 5.895000 0.905000 ;
+      RECT 4.785000  1.835000 4.955000 2.635000 ;
+      RECT 5.205000  0.085000 5.375000 0.565000 ;
+      RECT 5.545000  0.255000 5.895000 0.735000 ;
+      RECT 5.625000  1.445000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.060000  1.105000 1.230000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.105000 3.075000 1.275000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.000000 1.075000 3.135000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_2
+MACRO sky130_fd_sc_hd__nand4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.120000 1.075000 7.910000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.420000 1.075000 10.015000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.655000 3.990000 0.905000 ;
+        RECT 2.540000 1.445000 9.590000 1.665000 ;
+        RECT 2.540000 1.665000 2.790000 2.465000 ;
+        RECT 3.380000 1.665000 3.710000 2.465000 ;
+        RECT 3.700000 0.905000 3.990000 1.445000 ;
+        RECT 4.220000 1.665000 4.550000 2.465000 ;
+        RECT 5.060000 1.665000 5.390000 2.465000 ;
+        RECT 6.740000 1.665000 7.070000 2.465000 ;
+        RECT 7.580000 1.665000 7.910000 2.465000 ;
+        RECT 8.420000 1.665000 8.750000 2.465000 ;
+        RECT 9.260000 1.665000 9.590000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  0.345000 0.635000 ;
+      RECT 0.085000  0.635000  1.455000 0.805000 ;
+      RECT 0.085000  1.785000  1.455000 1.980000 ;
+      RECT 0.085000  1.980000  0.370000 2.440000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.540000  2.195000  0.765000 2.635000 ;
+      RECT 0.935000  2.150000  1.795000 2.465000 ;
+      RECT 1.015000  0.255000  1.795000 0.465000 ;
+      RECT 1.145000  0.805000  1.455000 1.785000 ;
+      RECT 1.625000  0.465000  1.795000 1.075000 ;
+      RECT 1.625000  1.075000  2.210000 1.305000 ;
+      RECT 1.625000  1.305000  1.795000 2.150000 ;
+      RECT 2.200000  0.255000  5.810000 0.485000 ;
+      RECT 2.200000  0.485000  2.370000 0.905000 ;
+      RECT 2.200000  1.495000  2.370000 2.635000 ;
+      RECT 2.540000  1.075000  3.285000 1.245000 ;
+      RECT 2.960000  1.835000  3.210000 2.635000 ;
+      RECT 3.880000  1.835000  4.050000 2.635000 ;
+      RECT 4.160000  1.075000  5.390000 1.275000 ;
+      RECT 4.220000  0.655000  5.390000 0.735000 ;
+      RECT 4.220000  0.735000  6.150000 0.905000 ;
+      RECT 4.720000  1.835000  4.890000 2.635000 ;
+      RECT 5.610000  1.835000  6.540000 2.635000 ;
+      RECT 5.980000  0.255000  7.910000 0.485000 ;
+      RECT 5.980000  0.485000  6.150000 0.735000 ;
+      RECT 6.320000  0.655000 10.035000 0.905000 ;
+      RECT 7.240000  1.835000  7.410000 2.635000 ;
+      RECT 8.080000  1.835000  8.250000 2.635000 ;
+      RECT 8.420000  0.085000  8.750000 0.485000 ;
+      RECT 8.920000  1.835000  9.090000 2.635000 ;
+      RECT 9.260000  0.085000  9.590000 0.485000 ;
+      RECT 9.760000  1.445000 10.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.980000  1.105000 2.150000 1.275000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.280000  1.105000 4.450000 1.275000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.920000 1.075000 2.210000 1.120000 ;
+      RECT 1.920000 1.120000 4.510000 1.260000 ;
+      RECT 1.920000 1.260000 2.210000 1.305000 ;
+      RECT 4.220000 1.075000 4.510000 1.120000 ;
+      RECT 4.220000 1.260000 4.510000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_4
+MACRO sky130_fd_sc_hd__a22o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.675000 1.695000 1.075000 ;
+        RECT 1.485000 1.075000 1.815000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.040000 2.395000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 0.255000 3.135000 0.585000 ;
+        RECT 2.875000 1.785000 3.135000 2.465000 ;
+        RECT 2.965000 0.585000 3.135000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.545000 0.850000 ;
+      RECT 0.090000  1.455000 1.265000 1.515000 ;
+      RECT 0.090000  1.515000 2.795000 1.625000 ;
+      RECT 0.090000  1.625000 0.345000 2.245000 ;
+      RECT 0.090000  2.245000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.780000 1.885000 ;
+      RECT 0.595000  1.885000 2.205000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.120000 0.465000 ;
+      RECT 0.935000  1.625000 2.735000 1.685000 ;
+      RECT 0.935000  1.685000 1.265000 1.715000 ;
+      RECT 1.370000  1.875000 2.205000 1.885000 ;
+      RECT 1.430000  2.255000 1.785000 2.635000 ;
+      RECT 1.950000  0.465000 2.120000 0.615000 ;
+      RECT 1.950000  0.615000 2.705000 0.740000 ;
+      RECT 1.950000  0.740000 2.795000 0.785000 ;
+      RECT 1.955000  2.085000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.705000 0.445000 ;
+      RECT 2.455000  1.855000 2.705000 2.635000 ;
+      RECT 2.525000  0.785000 2.795000 0.905000 ;
+      RECT 2.595000  1.480000 2.795000 1.515000 ;
+      RECT 2.625000  0.905000 2.795000 1.480000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_1
+MACRO sky130_fd_sc_hd__a22o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.675000 1.720000 1.075000 ;
+        RECT 1.510000 1.075000 1.840000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.415000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.255000 3.160000 0.585000 ;
+        RECT 2.900000 1.785000 3.160000 2.465000 ;
+        RECT 2.990000 0.585000 3.160000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.850000 ;
+      RECT 0.095000  1.455000 2.815000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.295000 ;
+      RECT 0.095000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  1.795000 2.230000 2.035000 ;
+      RECT 0.595000  2.035000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.145000 0.505000 ;
+      RECT 0.935000  2.255000 1.265000 2.295000 ;
+      RECT 1.455000  2.215000 1.810000 2.635000 ;
+      RECT 1.975000  0.505000 2.145000 0.735000 ;
+      RECT 1.975000  0.735000 2.815000 0.905000 ;
+      RECT 1.980000  2.035000 2.230000 2.465000 ;
+      RECT 2.355000  0.085000 2.685000 0.565000 ;
+      RECT 2.400000  1.875000 2.730000 2.635000 ;
+      RECT 2.645000  0.905000 2.815000 1.455000 ;
+      RECT 3.330000  0.085000 3.500000 0.985000 ;
+      RECT 3.330000  1.445000 3.500000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_2
+MACRO sky130_fd_sc_hd__a22o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 3.930000 1.955000 ;
+      RECT 1.900000  2.125000 2.150000 2.635000 ;
+      RECT 1.940000  0.085000 2.630000 0.555000 ;
+      RECT 1.940000  0.735000 5.310000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.420000  2.125000 2.670000 2.295000 ;
+      RECT 2.420000  2.295000 4.430000 2.465000 ;
+      RECT 2.800000  0.255000 3.970000 0.475000 ;
+      RECT 2.840000  1.955000 3.090000 2.125000 ;
+      RECT 3.170000  0.645000 3.605000 0.735000 ;
+      RECT 3.260000  2.125000 3.510000 2.295000 ;
+      RECT 3.680000  1.955000 3.930000 2.125000 ;
+      RECT 4.100000  1.785000 6.110000 1.955000 ;
+      RECT 4.100000  1.955000 4.430000 2.295000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.560000  0.255000 5.730000 0.475000 ;
+      RECT 4.600000  2.125000 4.850000 2.635000 ;
+      RECT 4.935000  0.645000 5.310000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.465000 ;
+      RECT 5.440000  2.125000 5.690000 2.635000 ;
+      RECT 5.480000  0.475000 5.730000 0.895000 ;
+      RECT 5.900000  0.085000 6.070000 0.895000 ;
+      RECT 5.905000  1.455000 6.110000 1.785000 ;
+      RECT 5.905000  1.955000 6.110000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_4
+MACRO sky130_fd_sc_hd__dlrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 0.415000 6.355000 2.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.500000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.330000 1.245000 ;
+      RECT 3.480000  1.245000 4.330000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  1.535000 5.925000 1.865000 ;
+      RECT 3.820000  2.135000 4.110000 2.635000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.925000 0.825000 ;
+      RECT 4.300000  2.135000 4.580000 2.635000 ;
+      RECT 4.750000  1.865000 4.940000 2.465000 ;
+      RECT 5.095000  0.085000 5.925000 0.485000 ;
+      RECT 5.110000  2.135000 5.925000 2.635000 ;
+      RECT 5.605000  0.825000 5.925000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_1
+MACRO sky130_fd_sc_hd__dlrtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 2.005000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.415000 ;
+      RECT 2.930000  1.415000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 1.025000 ;
+      RECT 3.335000  1.025000 4.315000 1.245000 ;
+      RECT 3.485000  1.245000 4.315000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.245000  0.255000 4.595000 0.655000 ;
+      RECT 4.245000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.785000 2.645000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.445000 3.105000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.165000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.705000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.755000 2.705000 1.800000 ;
+      RECT 2.415000 1.940000 2.705000 1.985000 ;
+      RECT 2.875000 1.415000 3.165000 1.460000 ;
+      RECT 2.875000 1.600000 3.165000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_4
+MACRO sky130_fd_sc_hd__dlrtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 5.170000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.960000  0.785000 2.340000 1.095000 ;
+      RECT 1.960000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.675000  0.705000 3.095000 1.145000 ;
+      RECT 2.775000  2.255000 3.605000 2.425000 ;
+      RECT 2.810000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.145000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.310000 1.245000 ;
+      RECT 3.435000  1.245000 4.310000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.800000  2.135000 4.110000 2.635000 ;
+      RECT 3.820000  1.535000 5.585000 1.705000 ;
+      RECT 3.820000  1.705000 4.920000 1.865000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.730000  1.865000 4.920000 2.465000 ;
+      RECT 5.090000  1.875000 5.460000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.485000 ;
+      RECT 5.350000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  0.825000 5.585000 0.995000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_2
+MACRO sky130_fd_sc_hd__edfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.225000 0.255000 11.555000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.400000 1.065000 9.845000 1.410000 ;
+        RECT 9.400000 1.410000 9.730000 2.465000 ;
+        RECT 9.515000 0.255000 9.845000 1.065000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.890000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.890000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.890000 2.165000 ;
+      RECT  9.060000  1.495000  9.230000 2.635000 ;
+      RECT  9.095000  0.085000  9.345000 0.900000 ;
+      RECT  9.900000  1.575000 10.130000 2.010000 ;
+      RECT 10.015000  0.890000 10.640000 1.220000 ;
+      RECT 10.300000  0.255000 10.640000 0.890000 ;
+      RECT 10.300000  1.220000 10.640000 2.465000 ;
+      RECT 10.810000  0.085000 11.055000 0.900000 ;
+      RECT 10.810000  1.465000 11.055000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.680000  1.785000  8.850000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT  9.930000  1.785000 10.100000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.390000  0.765000 10.560000 0.935000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000  8.030000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000  8.450000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 10.620000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.740000 1.415000  5.030000 1.460000 ;
+      RECT  4.740000 1.600000  5.030000 1.645000 ;
+      RECT  5.150000 1.755000  5.440000 1.800000 ;
+      RECT  5.150000 1.940000  5.440000 1.985000 ;
+      RECT  7.740000 1.755000  8.030000 1.800000 ;
+      RECT  7.740000 1.940000  8.030000 1.985000 ;
+      RECT  8.160000 1.415000  8.450000 1.460000 ;
+      RECT  8.160000 1.600000  8.450000 1.645000 ;
+      RECT  8.620000 1.755000  8.910000 1.800000 ;
+      RECT  8.620000 1.800000 10.160000 1.940000 ;
+      RECT  8.620000 1.940000  8.910000 1.985000 ;
+      RECT  9.870000 1.755000 10.160000 1.800000 ;
+      RECT  9.870000 1.940000 10.160000 1.985000 ;
+      RECT 10.330000 0.735000 10.620000 0.780000 ;
+      RECT 10.330000 0.920000 10.620000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxbp_1
+MACRO sky130_fd_sc_hd__a2bb2o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.280000 0.765000 3.540000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.355000 3.080000 1.655000 ;
+        RECT 2.820000 0.765000 3.080000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.810000 ;
+        RECT 0.085000 0.810000 0.260000 1.525000 ;
+        RECT 0.085000 1.525000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.945000 0.530000 ;
+      RECT 0.515000  1.325000 0.685000 1.805000 ;
+      RECT 0.515000  1.805000 1.275000 1.975000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 1.105000  1.975000 1.275000 2.200000 ;
+      RECT 1.105000  2.200000 2.245000 2.370000 ;
+      RECT 1.180000  0.255000 1.350000 0.655000 ;
+      RECT 1.180000  0.655000 2.060000 0.825000 ;
+      RECT 1.520000  0.085000 2.240000 0.485000 ;
+      RECT 1.540000  1.545000 2.060000 1.715000 ;
+      RECT 1.540000  1.715000 1.710000 1.905000 ;
+      RECT 1.890000  0.825000 2.060000 1.545000 ;
+      RECT 1.990000  1.895000 2.400000 2.065000 ;
+      RECT 1.990000  2.065000 2.245000 2.200000 ;
+      RECT 1.990000  2.370000 2.245000 2.465000 ;
+      RECT 2.230000  0.700000 2.580000 0.870000 ;
+      RECT 2.230000  0.870000 2.400000 1.895000 ;
+      RECT 2.410000  0.255000 2.580000 0.700000 ;
+      RECT 2.415000  2.255000 2.745000 2.425000 ;
+      RECT 2.575000  1.835000 3.515000 2.005000 ;
+      RECT 2.575000  2.005000 2.745000 2.255000 ;
+      RECT 2.915000  2.175000 3.165000 2.635000 ;
+      RECT 3.155000  0.085000 3.555000 0.595000 ;
+      RECT 3.335000  2.005000 3.515000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_1
+MACRO sky130_fd_sc_hd__a2bb2o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.325000 ;
+        RECT 3.475000 1.325000 3.645000 1.445000 ;
+        RECT 3.475000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.685000 1.615000 ;
+        RECT 1.515000 1.075000 1.895000 1.245000 ;
+        RECT 1.515000 1.245000 1.685000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.920000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.920000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.610000 0.905000 6.920000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.135000  1.785000 2.065000 1.955000 ;
+      RECT 0.135000  1.955000 0.385000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 1.685000 0.475000 ;
+      RECT 0.515000  0.475000 0.765000 0.905000 ;
+      RECT 0.555000  2.125000 0.805000 2.635000 ;
+      RECT 0.935000  0.645000 1.270000 0.735000 ;
+      RECT 0.935000  0.735000 2.525000 0.905000 ;
+      RECT 0.975000  1.955000 1.225000 2.465000 ;
+      RECT 1.395000  2.125000 1.645000 2.635000 ;
+      RECT 1.815000  1.955000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 2.905000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  1.455000 2.065000 1.785000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  0.905000 2.445000 1.415000 ;
+      RECT 2.235000  1.415000 2.620000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.125000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.655000  2.135000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 3.385000 0.555000 ;
+      RECT 2.955000  0.725000 4.725000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.495000 ;
+      RECT 2.955000  1.495000 3.305000 1.665000 ;
+      RECT 3.135000  1.665000 3.305000 1.785000 ;
+      RECT 3.135000  1.785000 4.265000 1.965000 ;
+      RECT 3.175000  2.135000 3.425000 2.635000 ;
+      RECT 3.555000  0.255000 3.885000 0.725000 ;
+      RECT 3.595000  2.135000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.965000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  1.785000 4.685000 2.295000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.135000  1.075000 6.440000 1.245000 ;
+      RECT 5.135000  1.245000 5.460000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  1.445000 5.400000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.460000 5.460000 1.600000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 5.170000 1.415000 5.460000 1.460000 ;
+      RECT 5.170000 1.600000 5.460000 1.645000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_4
+MACRO sky130_fd_sc_hd__a2bb2o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.345000 0.995000 1.675000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 0.995000 2.135000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.730000 0.765000 3.990000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.355000 3.530000 1.655000 ;
+        RECT 3.270000 0.765000 3.530000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.780000 0.810000 ;
+        RECT 0.525000 0.810000 0.695000 1.525000 ;
+        RECT 0.525000 1.525000 0.780000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.185000  0.085000 0.355000 0.930000 ;
+      RECT 0.185000  1.445000 0.355000 2.635000 ;
+      RECT 0.865000  0.995000 1.120000 1.325000 ;
+      RECT 0.950000  0.085000 1.380000 0.530000 ;
+      RECT 0.950000  1.325000 1.120000 1.805000 ;
+      RECT 0.950000  1.805000 1.710000 1.975000 ;
+      RECT 0.950000  2.235000 1.280000 2.635000 ;
+      RECT 1.540000  1.975000 1.710000 2.200000 ;
+      RECT 1.540000  2.200000 2.670000 2.370000 ;
+      RECT 1.615000  0.255000 1.785000 0.655000 ;
+      RECT 1.615000  0.655000 2.510000 0.825000 ;
+      RECT 1.955000  0.085000 2.690000 0.485000 ;
+      RECT 1.975000  1.545000 2.510000 1.715000 ;
+      RECT 1.975000  1.715000 2.145000 1.905000 ;
+      RECT 2.340000  0.825000 2.510000 1.545000 ;
+      RECT 2.440000  1.895000 2.850000 2.065000 ;
+      RECT 2.440000  2.065000 2.670000 2.200000 ;
+      RECT 2.500000  2.370000 2.670000 2.465000 ;
+      RECT 2.680000  0.700000 3.030000 0.870000 ;
+      RECT 2.680000  0.870000 2.850000 1.895000 ;
+      RECT 2.860000  0.255000 3.030000 0.700000 ;
+      RECT 2.875000  2.255000 3.205000 2.425000 ;
+      RECT 3.035000  1.835000 3.965000 2.005000 ;
+      RECT 3.035000  2.005000 3.205000 2.255000 ;
+      RECT 3.375000  2.175000 3.625000 2.635000 ;
+      RECT 3.605000  0.085000 4.005000 0.595000 ;
+      RECT 3.795000  2.005000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_2
+MACRO sky130_fd_sc_hd__sdfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 1.355000 2.775000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.180000 0.305000 9.530000 0.725000 ;
+        RECT 9.180000 0.725000 9.560000 0.790000 ;
+        RECT 9.180000 0.790000 9.610000 0.825000 ;
+        RECT 9.200000 1.505000 9.610000 1.540000 ;
+        RECT 9.200000 1.540000 9.530000 2.465000 ;
+        RECT 9.355000 1.430000 9.610000 1.505000 ;
+        RECT 9.390000 0.825000 9.610000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.685000 0.265000 10.940000 0.795000 ;
+        RECT 10.685000 1.445000 10.940000 2.325000 ;
+        RECT 10.730000 0.795000 10.940000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.515000 1.055000 3.995000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.750000 3.235000 0.785000 ;
+        RECT 1.760000 0.785000 2.010000 0.810000 ;
+        RECT 1.760000 0.810000 1.990000 0.820000 ;
+        RECT 1.760000 0.820000 1.975000 0.835000 ;
+        RECT 1.760000 0.835000 1.970000 0.840000 ;
+        RECT 1.760000 0.840000 1.965000 0.850000 ;
+        RECT 1.760000 0.850000 1.960000 0.855000 ;
+        RECT 1.760000 0.855000 1.955000 0.860000 ;
+        RECT 1.760000 0.860000 1.950000 0.870000 ;
+        RECT 1.760000 0.870000 1.945000 0.875000 ;
+        RECT 1.760000 0.875000 1.940000 0.880000 ;
+        RECT 1.760000 0.880000 1.930000 1.685000 ;
+        RECT 1.790000 0.735000 3.235000 0.750000 ;
+        RECT 1.805000 0.725000 3.235000 0.735000 ;
+        RECT 1.820000 0.715000 3.235000 0.725000 ;
+        RECT 1.830000 0.705000 3.235000 0.715000 ;
+        RECT 1.840000 0.690000 3.235000 0.705000 ;
+        RECT 1.860000 0.655000 3.235000 0.690000 ;
+        RECT 1.875000 0.615000 3.235000 0.655000 ;
+        RECT 2.455000 0.305000 2.630000 0.615000 ;
+        RECT 3.065000 0.785000 3.235000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.420000  0.255000  1.705000 0.585000 ;
+      RECT  1.420000  0.585000  1.590000 1.860000 ;
+      RECT  1.420000  1.860000  3.230000 2.075000 ;
+      RECT  1.420000  2.075000  1.705000 2.445000 ;
+      RECT  1.875000  2.245000  2.205000 2.635000 ;
+      RECT  1.955000  0.085000  2.285000 0.445000 ;
+      RECT  2.100000  0.955000  2.445000 1.125000 ;
+      RECT  2.100000  1.125000  2.270000 1.860000 ;
+      RECT  2.675000  2.245000  3.570000 2.415000 ;
+      RECT  2.800000  0.275000  3.575000 0.445000 ;
+      RECT  3.060000  1.355000  3.255000 1.685000 ;
+      RECT  3.060000  1.685000  3.230000 1.860000 ;
+      RECT  3.400000  1.825000  4.335000 1.995000 ;
+      RECT  3.400000  1.995000  3.570000 2.245000 ;
+      RECT  3.405000  0.445000  3.575000 0.715000 ;
+      RECT  3.405000  0.715000  4.335000 0.885000 ;
+      RECT  3.740000  2.165000  3.910000 2.635000 ;
+      RECT  3.745000  0.085000  3.945000 0.545000 ;
+      RECT  4.165000  0.365000  4.515000 0.535000 ;
+      RECT  4.165000  0.535000  4.335000 0.715000 ;
+      RECT  4.165000  0.885000  4.335000 1.825000 ;
+      RECT  4.165000  1.995000  4.335000 2.070000 ;
+      RECT  4.165000  2.070000  4.450000 2.440000 ;
+      RECT  4.505000  0.705000  5.085000 1.035000 ;
+      RECT  4.505000  1.035000  4.745000 1.905000 ;
+      RECT  4.645000  2.190000  5.715000 2.360000 ;
+      RECT  4.685000  0.365000  5.425000 0.535000 ;
+      RECT  4.935000  1.655000  5.375000 2.010000 ;
+      RECT  5.255000  0.535000  5.425000 1.315000 ;
+      RECT  5.255000  1.315000  6.055000 1.485000 ;
+      RECT  5.545000  1.485000  6.055000 1.575000 ;
+      RECT  5.545000  1.575000  5.715000 2.190000 ;
+      RECT  5.595000  0.765000  6.395000 1.065000 ;
+      RECT  5.595000  1.065000  5.765000 1.095000 ;
+      RECT  5.675000  0.085000  6.045000 0.585000 ;
+      RECT  5.885000  1.245000  6.055000 1.315000 ;
+      RECT  5.885000  1.835000  6.055000 2.635000 ;
+      RECT  6.225000  0.365000  6.685000 0.535000 ;
+      RECT  6.225000  0.535000  6.395000 0.765000 ;
+      RECT  6.225000  1.065000  6.395000 2.135000 ;
+      RECT  6.225000  2.135000  6.475000 2.465000 ;
+      RECT  6.565000  0.705000  7.115000 1.035000 ;
+      RECT  6.565000  1.245000  6.755000 1.965000 ;
+      RECT  6.700000  2.165000  7.585000 2.335000 ;
+      RECT  6.915000  0.365000  7.455000 0.535000 ;
+      RECT  6.925000  1.035000  7.115000 1.575000 ;
+      RECT  6.925000  1.575000  7.245000 1.905000 ;
+      RECT  7.285000  0.535000  7.455000 0.995000 ;
+      RECT  7.285000  0.995000  8.315000 1.325000 ;
+      RECT  7.285000  1.325000  7.585000 1.405000 ;
+      RECT  7.415000  1.405000  7.585000 2.165000 ;
+      RECT  7.700000  0.085000  8.070000 0.615000 ;
+      RECT  7.755000  1.575000  8.670000 1.905000 ;
+      RECT  7.765000  2.135000  8.070000 2.635000 ;
+      RECT  8.340000  0.300000  8.670000 0.825000 ;
+      RECT  8.380000  1.905000  8.670000 2.455000 ;
+      RECT  8.485000  0.825000  8.670000 0.995000 ;
+      RECT  8.485000  0.995000  9.220000 1.325000 ;
+      RECT  8.485000  1.325000  8.670000 1.575000 ;
+      RECT  8.840000  0.085000  9.010000 0.695000 ;
+      RECT  8.840000  1.625000  9.010000 2.635000 ;
+      RECT  9.700000  0.345000  9.950000 0.620000 ;
+      RECT  9.700000  1.685000 10.030000 2.425000 ;
+      RECT  9.780000  0.620000  9.950000 0.995000 ;
+      RECT  9.780000  0.995000 10.560000 1.325000 ;
+      RECT  9.780000  1.325000 10.030000 1.685000 ;
+      RECT 10.185000  0.085000 10.515000 0.805000 ;
+      RECT 10.210000  1.495000 10.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.015000  0.765000  1.185000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.165000  1.785000  5.335000 1.955000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.575000  1.785000  6.745000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  0.765000  6.755000 0.935000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.805000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.955000 0.735000 1.245000 0.780000 ;
+      RECT 0.955000 0.780000 6.815000 0.920000 ;
+      RECT 0.955000 0.920000 1.245000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.105000 1.755000 5.395000 1.800000 ;
+      RECT 5.105000 1.940000 5.395000 1.985000 ;
+      RECT 6.515000 1.755000 6.805000 1.800000 ;
+      RECT 6.515000 1.940000 6.805000 1.985000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_1
+MACRO sky130_fd_sc_hd__sdfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.255000 0.255000 9.585000 0.790000 ;
+        RECT 9.255000 0.790000 9.615000 0.825000 ;
+        RECT 9.255000 1.495000 9.615000 1.530000 ;
+        RECT 9.255000 1.530000 9.585000 2.430000 ;
+        RECT 9.410000 0.825000 9.615000 0.890000 ;
+        RECT 9.410000 1.430000 9.615000 1.495000 ;
+        RECT 9.445000 0.890000 9.615000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.190000 0.265000 11.440000 0.795000 ;
+        RECT 11.190000 1.445000 11.440000 2.325000 ;
+        RECT 11.235000 0.795000 11.440000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.035000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.375000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.375000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.205000  0.365000  4.555000 0.535000 ;
+      RECT  4.205000  0.535000  4.375000 0.695000 ;
+      RECT  4.205000  0.865000  4.375000 1.825000 ;
+      RECT  4.205000  1.995000  4.375000 2.065000 ;
+      RECT  4.205000  2.065000  4.485000 2.440000 ;
+      RECT  4.545000  0.705000  5.125000 1.035000 ;
+      RECT  4.545000  1.035000  4.785000 1.905000 ;
+      RECT  4.685000  2.190000  5.755000 2.360000 ;
+      RECT  4.725000  0.365000  5.465000 0.535000 ;
+      RECT  4.975000  1.655000  5.415000 2.010000 ;
+      RECT  5.295000  0.535000  5.465000 1.315000 ;
+      RECT  5.295000  1.315000  6.095000 1.485000 ;
+      RECT  5.585000  1.485000  6.095000 1.575000 ;
+      RECT  5.585000  1.575000  5.755000 2.190000 ;
+      RECT  5.635000  0.765000  6.435000 1.065000 ;
+      RECT  5.635000  1.065000  5.805000 1.095000 ;
+      RECT  5.715000  0.085000  6.085000 0.585000 ;
+      RECT  5.925000  1.245000  6.095000 1.315000 ;
+      RECT  5.925000  1.835000  6.095000 2.635000 ;
+      RECT  6.265000  0.365000  6.725000 0.535000 ;
+      RECT  6.265000  0.535000  6.435000 0.765000 ;
+      RECT  6.265000  1.065000  6.435000 2.135000 ;
+      RECT  6.265000  2.135000  6.515000 2.465000 ;
+      RECT  6.605000  0.705000  7.155000 1.035000 ;
+      RECT  6.605000  1.245000  6.795000 1.965000 ;
+      RECT  6.740000  2.165000  7.625000 2.335000 ;
+      RECT  6.955000  0.365000  7.495000 0.535000 ;
+      RECT  6.965000  1.035000  7.155000 1.575000 ;
+      RECT  6.965000  1.575000  7.285000 1.905000 ;
+      RECT  7.325000  0.535000  7.495000 0.995000 ;
+      RECT  7.325000  0.995000  8.370000 1.325000 ;
+      RECT  7.325000  1.325000  7.625000 1.405000 ;
+      RECT  7.455000  1.405000  7.625000 2.165000 ;
+      RECT  7.740000  0.085000  8.110000 0.615000 ;
+      RECT  7.795000  1.575000  8.725000 1.905000 ;
+      RECT  7.805000  2.135000  8.110000 2.635000 ;
+      RECT  8.360000  0.300000  8.725000 0.825000 ;
+      RECT  8.395000  1.905000  8.725000 2.455000 ;
+      RECT  8.540000  0.825000  8.725000 0.995000 ;
+      RECT  8.540000  0.995000  9.275000 1.325000 ;
+      RECT  8.540000  1.325000  8.725000 1.575000 ;
+      RECT  8.895000  0.085000  9.085000 0.695000 ;
+      RECT  8.895000  1.625000  9.075000 2.635000 ;
+      RECT  9.755000  0.085000  9.985000 0.690000 ;
+      RECT  9.765000  1.615000  9.935000 2.635000 ;
+      RECT 10.205000  0.345000 10.455000 0.995000 ;
+      RECT 10.205000  0.995000 11.065000 1.325000 ;
+      RECT 10.205000  1.325000 10.535000 2.425000 ;
+      RECT 10.690000  0.085000 11.020000 0.805000 ;
+      RECT 10.715000  1.495000 11.020000 2.635000 ;
+      RECT 11.610000  0.085000 11.780000 0.955000 ;
+      RECT 11.610000  1.395000 11.780000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.050000  0.765000  1.220000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  6.640000  0.765000  6.810000 0.935000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.855000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.870000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+      RECT 6.580000 0.735000 6.870000 0.780000 ;
+      RECT 6.580000 0.920000 6.870000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_2
+MACRO sky130_fd_sc_hd__a21bo_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 3.100000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 0.995000 3.560000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.035000 1.525000 1.325000 ;
+        RECT 1.330000 0.995000 1.525000 1.035000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.715000 0.850000 0.885000 ;
+        RECT 0.150000 0.885000 0.380000 1.835000 ;
+        RECT 0.150000 1.835000 0.850000 2.005000 ;
+        RECT 0.520000 0.315000 0.850000 0.715000 ;
+        RECT 0.595000 2.005000 0.850000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.570000  1.075000 0.900000 1.495000 ;
+      RECT 0.570000  1.495000 1.285000 1.665000 ;
+      RECT 1.020000  0.085000 1.220000 0.865000 ;
+      RECT 1.040000  2.275000 1.370000 2.635000 ;
+      RECT 1.115000  1.665000 1.285000 1.895000 ;
+      RECT 1.115000  1.895000 2.225000 2.105000 ;
+      RECT 1.455000  0.655000 1.865000 0.825000 ;
+      RECT 1.455000  1.555000 1.865000 1.725000 ;
+      RECT 1.695000  0.825000 1.865000 0.995000 ;
+      RECT 1.695000  0.995000 2.175000 1.325000 ;
+      RECT 1.695000  1.325000 1.865000 1.555000 ;
+      RECT 1.975000  0.085000 2.305000 0.465000 ;
+      RECT 1.975000  2.105000 2.225000 2.465000 ;
+      RECT 2.055000  1.505000 2.515000 1.675000 ;
+      RECT 2.055000  1.675000 2.225000 1.895000 ;
+      RECT 2.345000  0.635000 2.740000 0.825000 ;
+      RECT 2.345000  0.825000 2.515000 1.505000 ;
+      RECT 2.395000  1.845000 3.565000 2.015000 ;
+      RECT 2.395000  2.015000 2.725000 2.465000 ;
+      RECT 2.895000  2.185000 3.065000 2.635000 ;
+      RECT 3.235000  0.085000 3.565000 0.825000 ;
+      RECT 3.235000  2.015000 3.565000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_2
+MACRO sky130_fd_sc_hd__a21bo_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.995000 2.175000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 0.995000 2.630000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.325000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.265000 3.580000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.105000  1.845000 0.855000 2.045000 ;
+      RECT 0.105000  2.045000 0.345000 2.435000 ;
+      RECT 0.515000  0.265000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.515000  2.225000 0.865000 2.635000 ;
+      RECT 0.945000  0.085000 1.190000 0.865000 ;
+      RECT 1.035000  1.045000 1.580000 1.345000 ;
+      RECT 1.035000  1.345000 1.365000 2.455000 ;
+      RECT 1.360000  0.265000 1.790000 0.625000 ;
+      RECT 1.360000  0.625000 3.100000 0.815000 ;
+      RECT 1.360000  0.815000 1.580000 1.045000 ;
+      RECT 1.535000  1.785000 2.560000 1.985000 ;
+      RECT 1.535000  1.985000 1.715000 2.455000 ;
+      RECT 1.885000  2.155000 2.215000 2.635000 ;
+      RECT 2.370000  0.085000 3.100000 0.455000 ;
+      RECT 2.390000  1.985000 2.560000 2.455000 ;
+      RECT 2.825000  1.495000 3.110000 2.635000 ;
+      RECT 2.840000  0.815000 3.100000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_1
+MACRO sky130_fd_sc_hd__a21bo_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.590000 1.010000 4.955000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.025000 1.010000 4.420000 1.275000 ;
+        RECT 4.245000 1.275000 4.420000 1.595000 ;
+        RECT 4.245000 1.595000 5.390000 1.765000 ;
+        RECT 5.220000 1.055000 5.700000 1.290000 ;
+        RECT 5.220000 1.290000 5.390000 1.595000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.500000 1.010000 0.830000 1.625000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.615000 2.340000 0.785000 ;
+        RECT 1.000000 0.785000 1.235000 1.595000 ;
+        RECT 1.000000 1.595000 2.410000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.105000  0.255000 0.540000 0.840000 ;
+      RECT 0.105000  0.840000 0.330000 1.795000 ;
+      RECT 0.105000  1.795000 0.565000 1.935000 ;
+      RECT 0.105000  1.935000 2.870000 2.105000 ;
+      RECT 0.105000  2.105000 0.550000 2.465000 ;
+      RECT 0.710000  0.085000 1.050000 0.445000 ;
+      RECT 0.720000  2.275000 1.050000 2.635000 ;
+      RECT 1.405000  0.995000 2.810000 1.185000 ;
+      RECT 1.405000  1.185000 2.530000 1.325000 ;
+      RECT 1.580000  0.085000 1.910000 0.445000 ;
+      RECT 1.580000  2.275000 1.910000 2.635000 ;
+      RECT 2.435000  2.275000 2.770000 2.635000 ;
+      RECT 2.515000  0.085000 3.285000 0.445000 ;
+      RECT 2.640000  0.615000 3.645000 0.670000 ;
+      RECT 2.640000  0.670000 4.965000 0.785000 ;
+      RECT 2.640000  0.785000 3.010000 0.800000 ;
+      RECT 2.640000  0.800000 2.810000 0.995000 ;
+      RECT 2.700000  1.355000 3.305000 1.525000 ;
+      RECT 2.700000  1.525000 2.870000 1.935000 ;
+      RECT 2.995000  0.995000 3.305000 1.355000 ;
+      RECT 3.055000  1.695000 3.225000 2.210000 ;
+      RECT 3.055000  2.210000 4.065000 2.380000 ;
+      RECT 3.475000  0.255000 3.645000 0.615000 ;
+      RECT 3.475000  0.785000 4.965000 0.840000 ;
+      RECT 3.475000  0.840000 3.645000 1.805000 ;
+      RECT 3.855000  0.085000 4.185000 0.445000 ;
+      RECT 3.885000  1.445000 4.065000 1.935000 ;
+      RECT 3.885000  1.935000 5.825000 2.105000 ;
+      RECT 3.885000  2.105000 4.065000 2.210000 ;
+      RECT 4.235000  2.275000 4.565000 2.635000 ;
+      RECT 4.685000  0.405000 4.965000 0.670000 ;
+      RECT 5.075000  2.275000 5.405000 2.635000 ;
+      RECT 5.545000  0.085000 5.825000 0.885000 ;
+      RECT 5.570000  1.460000 5.825000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_4
+MACRO sky130_fd_sc_hd__nor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.655000 1.755000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.005000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.425000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.604500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.385000 0.345000 0.655000 ;
+        RECT 0.090000 0.655000 1.315000 0.825000 ;
+        RECT 0.090000 1.495000 0.425000 2.280000 ;
+        RECT 0.090000 2.280000 1.170000 2.450000 ;
+        RECT 1.000000 1.495000 1.315000 1.665000 ;
+        RECT 1.000000 1.665000 1.170000 2.280000 ;
+        RECT 1.015000 0.385000 1.185000 0.655000 ;
+        RECT 1.145000 0.825000 1.315000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.435000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_1
+MACRO sky130_fd_sc_hd__nor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.185000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.075000 2.965000 1.285000 ;
+        RECT 2.375000 1.285000 2.640000 1.625000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.595000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.755000 0.255000 3.085000 0.725000 ;
+        RECT 2.835000 1.455000 3.595000 1.625000 ;
+        RECT 2.835000 1.625000 3.045000 2.125000 ;
+        RECT 3.135000 0.905000 3.595000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.465000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.585000 0.555000 ;
+      RECT 2.415000  1.795000 2.625000 2.295000 ;
+      RECT 3.215000  1.795000 3.465000 2.295000 ;
+      RECT 3.255000  0.085000 3.545000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_2
+MACRO sky130_fd_sc_hd__nor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.685000 1.285000 ;
+        RECT 3.515000 1.285000 3.685000 1.445000 ;
+        RECT 3.515000 1.445000 5.165000 1.615000 ;
+        RECT 4.995000 1.075000 5.415000 1.285000 ;
+        RECT 4.995000 1.285000 5.165000 1.445000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.855000 1.075000 4.765000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 5.895000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.515000 1.785000 5.895000 1.955000 ;
+        RECT 3.515000 1.955000 4.605000 1.965000 ;
+        RECT 3.515000 1.965000 3.765000 2.125000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 4.355000 1.965000 4.605000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 5.605000 0.255000 5.895000 0.725000 ;
+        RECT 5.605000 0.905000 5.895000 1.785000 ;
+        RECT 5.615000 1.955000 5.895000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.085000 ;
+      RECT 1.835000  2.085000 2.925000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 3.345000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 1.915000 ;
+      RECT 2.675000  1.795000 2.925000 2.085000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.295000 ;
+      RECT 3.095000  2.295000 5.025000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 3.935000  2.135000 4.185000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 4.775000  2.135000 5.025000 2.295000 ;
+      RECT 5.195000  2.125000 5.445000 2.465000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.125000 2.615000 2.295000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.125000 5.375000 2.295000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 2.065000 2.680000 2.140000 ;
+      RECT 2.385000 2.140000 5.440000 2.280000 ;
+      RECT 2.385000 2.280000 2.680000 2.335000 ;
+      RECT 5.145000 2.065000 5.440000 2.140000 ;
+      RECT 5.145000 2.280000 5.440000 2.335000 ;
+  END
+END sky130_fd_sc_hd__nor3_4
+MACRO sky130_fd_sc_hd__einvp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.850000 0.765000 3.135000 1.615000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.354000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.595000 2.680000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.875000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.875000 0.995000 ;
+      RECT 0.500000  0.995000 2.180000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.875000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.045000  0.255000 1.240000 0.655000 ;
+      RECT 1.045000  0.655000 2.180000 0.825000 ;
+      RECT 1.045000  1.555000 2.155000 1.725000 ;
+      RECT 1.045000  1.725000 1.285000 2.465000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.940000  0.255000 3.135000 0.425000 ;
+      RECT 1.940000  0.425000 2.180000 0.655000 ;
+      RECT 1.985000  1.725000 2.155000 2.295000 ;
+      RECT 1.985000  2.295000 3.135000 2.465000 ;
+      RECT 2.850000  0.425000 3.135000 0.595000 ;
+      RECT 2.850000  1.785000 3.135000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_2
+MACRO sky130_fd_sc_hd__einvp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.975000 2.215000 1.955000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.223500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.545000 1.725000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.620000 0.255000 2.215000 0.805000 ;
+        RECT 1.620000 0.805000 1.795000 2.125000 ;
+        RECT 1.620000 2.125000 2.215000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 1.450000 0.825000 ;
+      RECT 0.085000  1.895000 1.450000 2.065000 ;
+      RECT 0.085000  2.065000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 1.450000 0.485000 ;
+      RECT 0.515000  2.235000 1.450000 2.635000 ;
+      RECT 0.715000  0.825000 1.450000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_1
+MACRO sky130_fd_sc_hd__einvp_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.420000 1.020000 8.195000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  1.027500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.635000 8.195000 0.850000 ;
+        RECT 4.870000 0.850000 5.250000 1.445000 ;
+        RECT 4.870000 1.445000 7.720000 1.615000 ;
+        RECT 4.870000 1.615000 5.200000 2.125000 ;
+        RECT 5.710000 1.615000 6.040000 2.125000 ;
+        RECT 6.550000 1.615000 6.880000 2.125000 ;
+        RECT 7.390000 1.615000 7.720000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 4.700000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 4.700000 0.825000 ;
+      RECT 1.075000  1.555000 4.700000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.545000 0.485000 ;
+      RECT 2.295000  1.895000 2.625000 2.635000 ;
+      RECT 2.715000  0.255000 2.885000 0.655000 ;
+      RECT 2.795000  1.725000 2.965000 2.465000 ;
+      RECT 3.055000  0.085000 3.385000 0.485000 ;
+      RECT 3.135000  1.895000 3.465000 2.635000 ;
+      RECT 3.555000  0.255000 3.725000 0.655000 ;
+      RECT 3.635000  1.725000 3.805000 2.465000 ;
+      RECT 3.895000  0.085000 4.235000 0.485000 ;
+      RECT 3.975000  1.895000 4.305000 2.635000 ;
+      RECT 4.405000  0.255000 8.195000 0.465000 ;
+      RECT 4.405000  0.465000 4.700000 0.655000 ;
+      RECT 4.475000  1.725000 4.700000 2.295000 ;
+      RECT 4.475000  2.295000 8.195000 2.465000 ;
+      RECT 5.370000  1.785000 5.540000 2.295000 ;
+      RECT 6.210000  1.785000 6.380000 2.295000 ;
+      RECT 7.050000  1.785000 7.220000 2.295000 ;
+      RECT 7.890000  1.445000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_8
+MACRO sky130_fd_sc_hd__einvp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.020000 4.975000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.637500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.635000 4.975000 0.850000 ;
+        RECT 3.190000 0.850000 3.570000 1.445000 ;
+        RECT 3.190000 1.445000 4.360000 1.615000 ;
+        RECT 3.190000 1.615000 3.520000 2.125000 ;
+        RECT 4.030000 1.615000 4.360000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 3.020000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 3.020000 0.825000 ;
+      RECT 1.075000  1.555000 2.995000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.555000 0.485000 ;
+      RECT 2.295000  1.895000 2.655000 2.635000 ;
+      RECT 2.735000  0.255000 4.975000 0.465000 ;
+      RECT 2.735000  0.465000 3.020000 0.655000 ;
+      RECT 2.825000  1.725000 2.995000 2.295000 ;
+      RECT 2.825000  2.295000 4.975000 2.465000 ;
+      RECT 3.690000  1.785000 3.860000 2.295000 ;
+      RECT 4.530000  1.445000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_4
+MACRO sky130_fd_sc_hd__a21oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.565000 1.065000 4.000000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.050000 1.065000 2.395000 1.480000 ;
+        RECT 2.050000 1.480000 5.470000 1.705000 ;
+        RECT 4.225000 1.075000 5.470000 1.480000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.400000 1.035000 ;
+        RECT 0.090000 1.035000 1.430000 1.415000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 1.585000 1.880000 1.705000 ;
+        RECT 0.580000 1.705000 1.745000 2.035000 ;
+        RECT 0.595000 0.370000 0.785000 0.615000 ;
+        RECT 0.595000 0.615000 1.645000 0.695000 ;
+        RECT 0.595000 0.695000 3.905000 0.865000 ;
+        RECT 1.455000 0.255000 1.645000 0.615000 ;
+        RECT 1.600000 0.865000 3.905000 0.895000 ;
+        RECT 1.600000 0.895000 1.880000 1.585000 ;
+        RECT 2.275000 0.675000 3.905000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.805000 ;
+      RECT 0.180000  1.795000 0.375000 2.215000 ;
+      RECT 0.180000  2.215000 2.115000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.955000  2.205000 2.115000 2.215000 ;
+      RECT 1.835000  0.085000 2.115000 0.525000 ;
+      RECT 1.915000  1.875000 5.625000 2.105000 ;
+      RECT 1.915000  2.105000 2.115000 2.205000 ;
+      RECT 2.285000  0.255000 4.335000 0.505000 ;
+      RECT 2.285000  2.275000 2.615000 2.635000 ;
+      RECT 2.785000  2.105000 2.975000 2.465000 ;
+      RECT 3.145000  2.275000 3.475000 2.635000 ;
+      RECT 3.645000  2.105000 3.835000 2.465000 ;
+      RECT 4.005000  2.275000 4.335000 2.635000 ;
+      RECT 4.075000  0.505000 4.335000 0.735000 ;
+      RECT 4.075000  0.735000 5.195000 0.905000 ;
+      RECT 4.505000  0.085000 4.695000 0.565000 ;
+      RECT 4.505000  2.105000 4.685000 2.465000 ;
+      RECT 4.865000  0.255000 5.195000 0.735000 ;
+      RECT 4.865000  2.275000 5.195000 2.635000 ;
+      RECT 5.365000  0.085000 5.625000 0.885000 ;
+      RECT 5.365000  2.105000 5.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_4
+MACRO sky130_fd_sc_hd__a21oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.995000 1.265000 1.325000 ;
+        RECT 1.035000 0.375000 1.265000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.740000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.675000 0.335000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.447000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.680000 1.685000 ;
+        RECT 0.095000 1.685000 0.370000 2.455000 ;
+        RECT 0.505000 0.645000 0.835000 0.825000 ;
+        RECT 0.505000 0.825000 0.680000 1.495000 ;
+        RECT 0.610000 0.265000 0.835000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.110000  0.085000 0.440000 0.475000 ;
+      RECT 0.540000  1.855000 1.745000 2.025000 ;
+      RECT 0.540000  2.025000 0.870000 2.455000 ;
+      RECT 0.850000  1.525000 1.745000 1.855000 ;
+      RECT 1.040000  2.195000 1.235000 2.635000 ;
+      RECT 1.415000  2.025000 1.745000 2.455000 ;
+      RECT 1.445000  0.085000 1.745000 0.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_1
+MACRO sky130_fd_sc_hd__a21oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.815000 0.995000 1.425000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.035000 0.645000 1.495000 ;
+        RECT 0.145000 1.495000 1.930000 1.675000 ;
+        RECT 1.605000 1.075000 1.935000 1.245000 ;
+        RECT 1.605000 1.245000 1.930000 1.495000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.800000 0.995000 3.075000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.300000 0.615000 ;
+        RECT 0.955000 0.615000 2.615000 0.785000 ;
+        RECT 2.295000 0.255000 2.615000 0.615000 ;
+        RECT 2.315000 0.785000 2.615000 2.115000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.100000  0.085000 0.395000 0.865000 ;
+      RECT 0.110000  1.855000 2.145000 2.025000 ;
+      RECT 0.110000  2.025000 1.220000 2.105000 ;
+      RECT 0.110000  2.105000 0.370000 2.465000 ;
+      RECT 0.540000  2.275000 0.870000 2.635000 ;
+      RECT 1.050000  2.105000 1.220000 2.465000 ;
+      RECT 1.475000  2.195000 1.645000 2.635000 ;
+      RECT 1.760000  0.085000 2.090000 0.445000 ;
+      RECT 1.815000  2.025000 2.145000 2.285000 ;
+      RECT 1.815000  2.285000 3.090000 2.465000 ;
+      RECT 2.785000  1.795000 3.090000 2.285000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_2
+MACRO sky130_fd_sc_hd__sdlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.500000 0.255000 6.830000 0.445000 ;
+        RECT 6.580000 0.445000 6.830000 0.715000 ;
+        RECT 6.580000 0.715000 7.220000 0.885000 ;
+        RECT 6.580000 1.485000 7.220000 1.655000 ;
+        RECT 6.580000 1.655000 6.830000 2.465000 ;
+        RECT 7.050000 0.885000 7.220000 1.055000 ;
+        RECT 7.050000 1.055000 8.195000 1.315000 ;
+        RECT 7.050000 1.315000 7.220000 1.485000 ;
+        RECT 7.420000 0.255000 7.720000 1.055000 ;
+        RECT 7.420000 1.315000 7.720000 2.465000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.345000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.725000 0.995000 4.945000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.105000 4.940000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.685000 0.995000 6.065000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.710000 1.105000 5.880000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.710000 1.075000 5.000000 1.120000 ;
+        RECT 4.710000 1.120000 5.940000 1.260000 ;
+        RECT 4.710000 1.260000 5.000000 1.305000 ;
+        RECT 5.650000 1.075000 5.940000 1.120000 ;
+        RECT 5.650000 1.260000 5.940000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.515000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.595000  0.255000 3.925000 0.765000 ;
+      RECT 3.595000  0.765000 4.020000 0.935000 ;
+      RECT 3.595000  0.935000 3.765000 1.575000 ;
+      RECT 3.595000  1.575000 4.005000 1.745000 ;
+      RECT 3.595000  2.255000 5.515000 2.635000 ;
+      RECT 3.935000  1.105000 4.480000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.175000  1.275000 4.480000 1.495000 ;
+      RECT 4.175000  1.495000 4.975000 1.745000 ;
+      RECT 4.190000  0.615000 4.845000 0.785000 ;
+      RECT 4.190000  0.785000 4.480000 1.105000 ;
+      RECT 4.595000  0.255000 4.845000 0.615000 ;
+      RECT 5.015000  0.255000 5.435000 0.615000 ;
+      RECT 5.015000  0.615000 6.410000 0.785000 ;
+      RECT 5.165000  0.995000 5.515000 1.915000 ;
+      RECT 5.605000  0.085000 6.330000 0.445000 ;
+      RECT 5.685000  1.495000 6.410000 2.085000 ;
+      RECT 5.685000  2.085000 5.855000 2.465000 ;
+      RECT 6.055000  2.255000 6.385000 2.635000 ;
+      RECT 6.240000  0.785000 6.410000 1.055000 ;
+      RECT 6.240000  1.055000 6.880000 1.315000 ;
+      RECT 6.240000  1.315000 6.410000 1.495000 ;
+      RECT 7.000000  0.085000 7.250000 0.545000 ;
+      RECT 7.000000  1.825000 7.250000 2.635000 ;
+      RECT 7.890000  0.085000 8.195000 0.885000 ;
+      RECT 7.890000  1.485000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.445000 4.480000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.540000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.080000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+      RECT 4.250000 1.415000 4.540000 1.460000 ;
+      RECT 4.250000 1.600000 4.540000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_4
+MACRO sky130_fd_sc_hd__sdlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.570000 0.255000 6.840000 0.825000 ;
+        RECT 6.570000 1.495000 6.840000 2.465000 ;
+        RECT 6.670000 0.825000 6.840000 1.055000 ;
+        RECT 6.670000 1.055000 7.275000 1.315000 ;
+        RECT 6.670000 1.315000 6.840000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.705000 0.955000 6.050000 1.265000 ;
+        RECT 4.705000 1.265000 4.925000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.490000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.575000  0.255000 3.925000 0.765000 ;
+      RECT 3.575000  0.765000 4.000000 0.935000 ;
+      RECT 3.575000  0.935000 3.745000 1.575000 ;
+      RECT 3.575000  1.575000 4.040000 1.745000 ;
+      RECT 3.575000  2.255000 5.530000 2.635000 ;
+      RECT 3.915000  1.105000 4.460000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.170000  0.615000 4.825000 0.785000 ;
+      RECT 4.170000  0.785000 4.460000 1.105000 ;
+      RECT 4.210000  1.275000 4.460000 1.495000 ;
+      RECT 4.210000  1.495000 5.010000 1.745000 ;
+      RECT 4.595000  0.255000 4.825000 0.615000 ;
+      RECT 5.100000  0.255000 5.310000 0.615000 ;
+      RECT 5.100000  0.615000 6.400000 0.785000 ;
+      RECT 5.180000  1.435000 5.650000 1.605000 ;
+      RECT 5.180000  1.605000 5.490000 1.915000 ;
+      RECT 5.490000  0.085000 6.400000 0.445000 ;
+      RECT 5.700000  1.775000 6.400000 2.085000 ;
+      RECT 5.700000  2.085000 5.870000 2.465000 ;
+      RECT 5.820000  1.435000 6.400000 1.775000 ;
+      RECT 6.070000  2.255000 6.400000 2.635000 ;
+      RECT 6.230000  0.785000 6.400000 0.995000 ;
+      RECT 6.230000  0.995000 6.500000 1.325000 ;
+      RECT 6.230000  1.325000 6.400000 1.435000 ;
+      RECT 7.010000  0.085000 7.275000 0.885000 ;
+      RECT 7.010000  1.485000 7.275000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.830000  0.765000 4.000000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.445000 4.460000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.520000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.060000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.770000 0.735000 4.060000 0.780000 ;
+      RECT 3.770000 0.920000 4.060000 0.965000 ;
+      RECT 4.230000 1.415000 4.520000 1.460000 ;
+      RECT 4.230000 1.600000 4.520000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_2
+MACRO sky130_fd_sc_hd__sdlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.955000 1.190000 1.325000 ;
+        RECT 0.880000 1.325000 1.190000 1.445000 ;
+        RECT 0.880000 1.445000 1.235000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.530000 0.255000 6.815000 0.825000 ;
+        RECT 6.530000 1.495000 6.815000 2.465000 ;
+        RECT 6.645000 0.825000 6.815000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.710000 0.955000 6.010000 1.265000 ;
+        RECT 4.710000 1.265000 4.930000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.190000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.510000  0.785000 0.680000 1.460000 ;
+      RECT 0.510000  1.460000 0.710000 1.755000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.540000  1.755000 0.710000 2.125000 ;
+      RECT 0.540000  2.125000 1.255000 2.465000 ;
+      RECT 1.015000  0.255000 1.190000 0.615000 ;
+      RECT 1.360000  0.255000 2.495000 0.535000 ;
+      RECT 1.360000  0.705000 1.700000 1.205000 ;
+      RECT 1.360000  1.205000 1.860000 1.325000 ;
+      RECT 1.405000  1.325000 1.860000 1.955000 ;
+      RECT 1.425000  2.125000 2.200000 2.465000 ;
+      RECT 1.870000  0.705000 2.155000 1.035000 ;
+      RECT 2.030000  1.205000 3.010000 1.375000 ;
+      RECT 2.030000  1.375000 2.200000 2.125000 ;
+      RECT 2.325000  0.535000 2.495000 0.995000 ;
+      RECT 2.325000  0.995000 3.010000 1.205000 ;
+      RECT 2.370000  1.575000 2.540000 1.635000 ;
+      RECT 2.370000  1.635000 3.400000 1.905000 ;
+      RECT 2.370000  2.075000 3.010000 2.635000 ;
+      RECT 2.665000  0.085000 3.010000 0.825000 ;
+      RECT 3.180000  0.255000 3.400000 1.635000 ;
+      RECT 3.180000  1.905000 3.400000 1.915000 ;
+      RECT 3.180000  1.915000 5.450000 2.085000 ;
+      RECT 3.180000  2.085000 3.400000 2.465000 ;
+      RECT 3.580000  0.255000 3.910000 0.765000 ;
+      RECT 3.580000  0.765000 4.005000 0.935000 ;
+      RECT 3.580000  0.935000 3.750000 1.575000 ;
+      RECT 3.580000  1.575000 3.990000 1.745000 ;
+      RECT 3.580000  2.255000 5.490000 2.635000 ;
+      RECT 3.920000  1.105000 4.465000 1.275000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.160000  1.275000 4.465000 1.495000 ;
+      RECT 4.160000  1.495000 4.960000 1.745000 ;
+      RECT 4.175000  0.615000 4.830000 0.785000 ;
+      RECT 4.175000  0.785000 4.465000 1.105000 ;
+      RECT 4.580000  0.255000 4.830000 0.615000 ;
+      RECT 5.010000  0.255000 5.270000 0.615000 ;
+      RECT 5.010000  0.615000 6.360000 0.785000 ;
+      RECT 5.140000  1.435000 5.610000 1.605000 ;
+      RECT 5.140000  1.605000 5.450000 1.915000 ;
+      RECT 5.505000  0.085000 6.360000 0.445000 ;
+      RECT 5.660000  1.775000 6.360000 2.085000 ;
+      RECT 5.660000  2.085000 5.830000 2.465000 ;
+      RECT 5.780000  1.435000 6.360000 1.775000 ;
+      RECT 6.030000  2.255000 6.360000 2.635000 ;
+      RECT 6.190000  0.785000 6.360000 0.995000 ;
+      RECT 6.190000  0.995000 6.460000 1.325000 ;
+      RECT 6.190000  1.325000 6.360000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.445000 1.695000 1.615000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.835000  0.765000 4.005000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.295000  1.445000 4.465000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.525000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 4.065000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 3.775000 0.735000 4.065000 0.780000 ;
+      RECT 3.775000 0.920000 4.065000 0.965000 ;
+      RECT 4.235000 1.415000 4.525000 1.460000 ;
+      RECT 4.235000 1.600000 4.525000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_1
+MACRO sky130_fd_sc_hd__dlrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.955000 1.770000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 4.815000 1.035000 ;
+        RECT 4.480000 1.035000 5.240000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.435000  1.495000 2.120000 1.665000 ;
+      RECT 1.435000  1.665000 1.785000 2.415000 ;
+      RECT 1.515000  0.345000 1.705000 0.615000 ;
+      RECT 1.515000  0.615000 2.120000 0.765000 ;
+      RECT 1.515000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.950000  0.785000 2.335000 1.095000 ;
+      RECT 1.950000  1.095000 2.120000 1.495000 ;
+      RECT 1.955000  1.835000 2.250000 2.635000 ;
+      RECT 2.450000  1.355000 2.755000 1.685000 ;
+      RECT 2.585000  0.735000 3.100000 1.040000 ;
+      RECT 2.770000  0.365000 3.445000 0.535000 ;
+      RECT 2.770000  2.255000 3.580000 2.425000 ;
+      RECT 2.905000  1.780000 3.265000 1.910000 ;
+      RECT 2.905000  1.910000 3.175000 1.995000 ;
+      RECT 2.930000  1.040000 3.100000 1.570000 ;
+      RECT 2.930000  1.570000 3.265000 1.780000 ;
+      RECT 3.270000  0.535000 3.445000 0.995000 ;
+      RECT 3.270000  0.995000 4.220000 1.325000 ;
+      RECT 3.410000  2.000000 3.605000 2.085000 ;
+      RECT 3.410000  2.085000 3.580000 2.255000 ;
+      RECT 3.415000  1.995000 3.605000 2.000000 ;
+      RECT 3.420000  1.985000 3.605000 1.995000 ;
+      RECT 3.435000  1.325000 3.605000 1.985000 ;
+      RECT 3.720000  0.085000 4.060000 0.530000 ;
+      RECT 3.750000  2.175000 4.090000 2.635000 ;
+      RECT 3.775000  1.535000 5.585000 1.705000 ;
+      RECT 3.775000  1.705000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.580000 0.655000 ;
+      RECT 4.240000  0.655000 5.095000 0.695000 ;
+      RECT 4.240000  0.695000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.800000  1.865000 4.970000 2.465000 ;
+      RECT 4.955000  0.825000 5.585000 0.865000 ;
+      RECT 5.140000  1.875000 5.485000 2.635000 ;
+      RECT 5.255000  0.085000 5.425000 0.525000 ;
+      RECT 5.415000  0.865000 5.585000 0.995000 ;
+      RECT 5.415000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.680000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_2
+MACRO sky130_fd_sc_hd__dlrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 1.685000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.575000 ;
+      RECT 2.930000  1.575000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 0.995000 ;
+      RECT 3.335000  0.995000 4.235000 1.165000 ;
+      RECT 3.485000  1.165000 4.235000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.265000  0.255000 4.595000 0.655000 ;
+      RECT 4.265000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.445000 2.645000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.785000 3.105000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.705000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.165000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.415000 2.705000 1.460000 ;
+      RECT 2.415000 1.600000 2.705000 1.645000 ;
+      RECT 2.875000 1.755000 3.165000 1.800000 ;
+      RECT 2.875000 1.940000 3.165000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_4
+MACRO sky130_fd_sc_hd__dlrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.345000 5.895000 0.745000 ;
+        RECT 5.635000 1.670000 5.895000 2.455000 ;
+        RECT 5.725000 0.745000 5.895000 1.670000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.345000 4.975000 0.995000 ;
+        RECT 4.745000 0.995000 5.075000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  1.795000 0.775000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.775000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.775000 1.070000 ;
+      RECT 0.605000  1.070000 0.835000 1.400000 ;
+      RECT 0.605000  1.400000 0.775000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.235000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.335000 1.095000 ;
+      RECT 1.945000  1.095000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.835000 1.625000 ;
+      RECT 2.445000  1.625000 2.760000 1.685000 ;
+      RECT 2.690000  0.765000 3.245000 1.095000 ;
+      RECT 2.810000  2.255000 3.625000 2.425000 ;
+      RECT 2.815000  0.365000 3.585000 0.535000 ;
+      RECT 2.900000  1.785000 3.265000 1.995000 ;
+      RECT 3.005000  1.095000 3.245000 1.635000 ;
+      RECT 3.005000  1.635000 3.265000 1.785000 ;
+      RECT 3.415000  0.535000 3.585000 0.995000 ;
+      RECT 3.415000  0.995000 4.175000 1.165000 ;
+      RECT 3.455000  1.165000 4.175000 1.325000 ;
+      RECT 3.455000  1.325000 3.625000 2.255000 ;
+      RECT 3.755000  0.085000 4.025000 0.610000 ;
+      RECT 3.815000  1.535000 5.465000 1.735000 ;
+      RECT 3.815000  1.735000 4.965000 1.865000 ;
+      RECT 3.930000  2.135000 4.445000 2.635000 ;
+      RECT 4.195000  0.295000 4.575000 0.805000 ;
+      RECT 4.345000  0.805000 4.575000 1.505000 ;
+      RECT 4.345000  1.505000 5.465000 1.535000 ;
+      RECT 4.625000  1.865000 4.965000 2.435000 ;
+      RECT 5.135000  1.915000 5.465000 2.635000 ;
+      RECT 5.155000  0.085000 5.440000 0.715000 ;
+      RECT 5.245000  0.995000 5.555000 1.325000 ;
+      RECT 5.245000  1.325000 5.465000 1.505000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.445000 0.775000 1.615000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.785000 1.235000 1.955000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.415000 0.835000 1.460000 ;
+      RECT 0.545000 1.460000 2.675000 1.600000 ;
+      RECT 0.545000 1.600000 0.835000 1.645000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 3.155000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_1
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.565000  1.815000 0.895000 2.635000 ;
+      RECT 0.635000  0.085000 1.310000 0.470000 ;
+      RECT 0.695000  0.650000 1.915000 0.655000 ;
+      RECT 0.695000  0.655000 2.805000 0.825000 ;
+      RECT 0.695000  0.825000 0.915000 1.465000 ;
+      RECT 0.695000  1.465000 1.345000 1.645000 ;
+      RECT 1.135000  1.645000 1.345000 2.460000 ;
+      RECT 1.585000  0.260000 1.915000 0.650000 ;
+      RECT 2.085000  0.085000 2.430000 0.485000 ;
+      RECT 2.600000  0.260000 2.805000 0.655000 ;
+      RECT 2.860000  1.495000 3.990000 1.665000 ;
+      RECT 2.860000  1.665000 3.145000 2.460000 ;
+      RECT 3.325000  1.835000 3.540000 2.635000 ;
+      RECT 3.715000  0.085000 3.955000 0.760000 ;
+      RECT 3.720000  1.665000 3.990000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
+MACRO sky130_fd_sc_hd__a2111o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.495000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 1.075000 5.625000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.975000 3.255000 1.285000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.975000 2.280000 1.285000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.370000 1.625000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.165000 0.255000 6.355000 0.635000 ;
+        RECT 6.165000 0.635000 7.735000 0.805000 ;
+        RECT 6.165000 1.465000 7.735000 1.635000 ;
+        RECT 6.165000 1.635000 7.215000 1.715000 ;
+        RECT 6.165000 1.715000 6.355000 2.465000 ;
+        RECT 7.025000 0.255000 7.215000 0.635000 ;
+        RECT 7.025000 1.715000 7.215000 2.465000 ;
+        RECT 7.490000 0.805000 7.735000 1.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.110000  1.795000 0.370000 2.295000 ;
+      RECT 0.110000  2.295000 2.160000 2.465000 ;
+      RECT 0.180000  0.255000 0.440000 0.635000 ;
+      RECT 0.180000  0.635000 3.655000 0.805000 ;
+      RECT 0.540000  0.805000 0.870000 2.125000 ;
+      RECT 0.610000  0.085000 0.940000 0.465000 ;
+      RECT 1.040000  1.455000 1.230000 2.295000 ;
+      RECT 1.110000  0.255000 1.340000 0.615000 ;
+      RECT 1.110000  0.615000 3.655000 0.635000 ;
+      RECT 1.400000  1.455000 3.100000 1.625000 ;
+      RECT 1.400000  1.625000 1.730000 2.125000 ;
+      RECT 1.510000  0.085000 1.840000 0.445000 ;
+      RECT 1.900000  1.795000 2.160000 2.295000 ;
+      RECT 2.015000  0.255000 2.240000 0.615000 ;
+      RECT 2.340000  1.795000 2.675000 2.295000 ;
+      RECT 2.340000  2.295000 3.650000 2.465000 ;
+      RECT 2.420000  0.085000 3.295000 0.445000 ;
+      RECT 2.845000  1.625000 3.100000 2.125000 ;
+      RECT 3.320000  1.795000 5.495000 1.995000 ;
+      RECT 3.320000  1.995000 3.650000 2.295000 ;
+      RECT 3.465000  0.255000 4.585000 0.445000 ;
+      RECT 3.465000  0.445000 3.655000 0.615000 ;
+      RECT 3.465000  0.805000 3.655000 1.445000 ;
+      RECT 3.465000  1.445000 5.975000 1.625000 ;
+      RECT 3.825000  0.615000 5.495000 0.785000 ;
+      RECT 3.865000  2.165000 4.195000 2.635000 ;
+      RECT 4.365000  1.995000 4.625000 2.415000 ;
+      RECT 4.805000  0.085000 5.140000 0.445000 ;
+      RECT 4.805000  2.255000 5.140000 2.635000 ;
+      RECT 5.310000  0.255000 5.495000 0.615000 ;
+      RECT 5.310000  1.995000 5.495000 2.465000 ;
+      RECT 5.665000  0.085000 5.995000 0.515000 ;
+      RECT 5.665000  1.800000 5.995000 2.635000 ;
+      RECT 5.795000  1.075000 7.320000 1.245000 ;
+      RECT 5.795000  1.245000 5.975000 1.445000 ;
+      RECT 6.525000  0.085000 6.855000 0.445000 ;
+      RECT 6.525000  1.885000 6.855000 2.635000 ;
+      RECT 7.385000  0.085000 7.715000 0.465000 ;
+      RECT 7.385000  1.805000 7.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_4
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.435000 0.885000 ;
+      RECT 0.085000  1.635000 0.435000 2.635000 ;
+      RECT 1.065000  0.085000 2.010000 0.445000 ;
+      RECT 1.065000  0.445000 1.325000 0.865000 ;
+      RECT 1.065000  1.075000 1.705000 1.325000 ;
+      RECT 1.065000  1.495000 1.315000 2.635000 ;
+      RECT 1.495000  0.615000 3.335000 0.785000 ;
+      RECT 1.495000  0.785000 1.705000 1.075000 ;
+      RECT 1.495000  1.325000 1.705000 1.495000 ;
+      RECT 1.495000  1.495000 1.785000 2.465000 ;
+      RECT 2.180000  0.255000 2.420000 0.615000 ;
+      RECT 2.590000  0.085000 2.920000 0.445000 ;
+      RECT 3.070000  1.915000 4.515000 2.085000 ;
+      RECT 3.070000  2.085000 3.400000 2.465000 ;
+      RECT 3.090000  0.255000 3.335000 0.615000 ;
+      RECT 3.590000  2.255000 3.920000 2.635000 ;
+      RECT 4.090000  2.085000 4.515000 2.465000 ;
+      RECT 4.165000  0.085000 4.515000 0.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
+MACRO sky130_fd_sc_hd__fahcin_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.665000 1.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.240000 0.645000 4.490000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.285000 0.765000 4.455000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.515000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.225000 0.735000 4.515000 0.780000 ;
+        RECT 4.225000 0.920000 4.515000 0.965000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.520000 1.075000 10.965000 1.275000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.600000 0.755000 6.925000 0.925000 ;
+        RECT 6.600000 0.925000 6.870000 1.675000 ;
+        RECT 6.700000 1.675000 6.870000 1.785000 ;
+        RECT 6.755000 0.595000 6.925000 0.755000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.000000 1.785000 12.335000 2.465000 ;
+        RECT 12.125000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  1.970000 2.295000 ;
+      RECT  0.940000  2.295000  3.515000 2.385000 ;
+      RECT  0.995000  0.255000  3.390000 0.425000 ;
+      RECT  0.995000  0.425000  2.100000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.785000 ;
+      RECT  1.280000  1.785000  2.050000 1.955000 ;
+      RECT  1.280000  1.955000  1.450000 2.045000 ;
+      RECT  1.715000  2.385000  3.515000 2.465000 ;
+      RECT  1.985000  0.675000  2.390000 1.350000 ;
+      RECT  2.220000  0.595000  2.390000 0.675000 ;
+      RECT  2.220000  1.350000  2.390000 1.785000 ;
+      RECT  2.515000  0.425000  3.390000 0.465000 ;
+      RECT  2.565000  1.785000  2.895000 2.045000 ;
+      RECT  2.620000  0.655000  3.025000 0.735000 ;
+      RECT  2.620000  0.735000  3.135000 0.755000 ;
+      RECT  2.620000  0.755000  3.730000 0.905000 ;
+      RECT  2.640000  1.075000  2.970000 1.095000 ;
+      RECT  2.640000  1.095000  3.120000 1.245000 ;
+      RECT  2.800000  1.245000  3.120000 1.265000 ;
+      RECT  2.950000  1.265000  3.120000 1.615000 ;
+      RECT  3.055000  0.905000  3.730000 0.925000 ;
+      RECT  3.215000  0.465000  3.390000 0.585000 ;
+      RECT  3.245000  2.110000  3.460000 2.295000 ;
+      RECT  3.290000  0.925000  3.460000 2.110000 ;
+      RECT  3.560000  0.255000  4.570000 0.425000 ;
+      RECT  3.560000  0.425000  3.730000 0.755000 ;
+      RECT  3.710000  1.150000  4.070000 1.320000 ;
+      RECT  3.710000  1.320000  3.880000 2.290000 ;
+      RECT  3.710000  2.290000  5.065000 2.460000 ;
+      RECT  3.900000  0.595000  4.070000 1.150000 ;
+      RECT  4.080000  1.695000  4.445000 2.120000 ;
+      RECT  4.240000  0.425000  4.570000 0.475000 ;
+      RECT  4.690000  1.385000  5.170000 1.725000 ;
+      RECT  4.815000  1.895000  5.995000 2.065000 ;
+      RECT  4.815000  2.065000  5.065000 2.290000 ;
+      RECT  4.830000  0.510000  5.000000 0.995000 ;
+      RECT  4.830000  0.995000  5.630000 1.325000 ;
+      RECT  4.830000  1.325000  5.170000 1.385000 ;
+      RECT  5.180000  0.085000  5.510000 0.805000 ;
+      RECT  5.260000  2.235000  5.590000 2.635000 ;
+      RECT  5.635000  1.555000  6.370000 1.725000 ;
+      RECT  5.680000  0.380000  5.970000 0.815000 ;
+      RECT  5.800000  0.815000  5.970000 1.555000 ;
+      RECT  5.825000  2.065000  5.995000 2.295000 ;
+      RECT  5.825000  2.295000  7.950000 2.465000 ;
+      RECT  6.140000  0.740000  6.425000 1.325000 ;
+      RECT  6.200000  1.725000  6.370000 1.895000 ;
+      RECT  6.200000  1.895000  6.530000 1.955000 ;
+      RECT  6.200000  1.955000  7.210000 2.125000 ;
+      RECT  6.255000  0.255000  7.695000 0.425000 ;
+      RECT  6.255000  0.425000  6.585000 0.570000 ;
+      RECT  7.040000  1.060000  7.270000 1.230000 ;
+      RECT  7.040000  1.230000  7.210000 1.955000 ;
+      RECT  7.100000  0.595000  7.350000 0.925000 ;
+      RECT  7.100000  0.925000  7.270000 1.060000 ;
+      RECT  7.380000  1.360000  7.610000 1.530000 ;
+      RECT  7.380000  1.530000  7.550000 2.125000 ;
+      RECT  7.440000  1.105000  7.695000 1.290000 ;
+      RECT  7.440000  1.290000  7.610000 1.360000 ;
+      RECT  7.520000  0.425000  7.695000 1.105000 ;
+      RECT  7.780000  1.550000  8.035000 1.720000 ;
+      RECT  7.780000  1.720000  7.950000 2.295000 ;
+      RECT  7.865000  0.255000  9.980000 0.425000 ;
+      RECT  7.865000  0.425000  8.035000 0.740000 ;
+      RECT  7.865000  0.995000  8.035000 1.550000 ;
+      RECT  8.220000  1.955000  8.390000 2.295000 ;
+      RECT  8.220000  2.295000  9.410000 2.465000 ;
+      RECT  8.305000  0.595000  8.555000 0.925000 ;
+      RECT  8.375000  0.925000  8.555000 1.445000 ;
+      RECT  8.375000  1.445000  8.670000 1.530000 ;
+      RECT  8.375000  1.530000  8.890000 1.785000 ;
+      RECT  8.560000  1.785000  8.890000 2.125000 ;
+      RECT  8.725000  0.595000  9.410000 0.765000 ;
+      RECT  8.835000  0.995000  9.070000 1.325000 ;
+      RECT  9.240000  0.765000  9.410000 1.875000 ;
+      RECT  9.240000  1.875000 10.885000 2.025000 ;
+      RECT  9.240000  2.025000 10.145000 2.030000 ;
+      RECT  9.240000  2.030000 10.130000 2.035000 ;
+      RECT  9.240000  2.035000 10.120000 2.040000 ;
+      RECT  9.240000  2.040000 10.105000 2.045000 ;
+      RECT  9.240000  2.045000  9.410000 2.295000 ;
+      RECT  9.640000  0.425000  9.980000 0.825000 ;
+      RECT  9.640000  0.825000  9.810000 1.535000 ;
+      RECT  9.640000  1.535000 10.010000 1.705000 ;
+      RECT  9.980000  0.995000 10.350000 1.325000 ;
+      RECT 10.055000  1.870000 10.885000 1.875000 ;
+      RECT 10.070000  1.865000 10.885000 1.870000 ;
+      RECT 10.085000  1.860000 10.885000 1.865000 ;
+      RECT 10.100000  1.855000 10.885000 1.860000 ;
+      RECT 10.180000  0.085000 10.350000 0.565000 ;
+      RECT 10.180000  0.735000 10.910000 0.905000 ;
+      RECT 10.180000  0.905000 10.350000 0.995000 ;
+      RECT 10.180000  1.325000 10.350000 1.445000 ;
+      RECT 10.180000  1.445000 10.885000 1.855000 ;
+      RECT 10.190000  2.195000 10.360000 2.635000 ;
+      RECT 10.530000  0.285000 10.910000 0.735000 ;
+      RECT 10.535000  2.025000 10.885000 2.465000 ;
+      RECT 11.075000  1.455000 11.405000 2.465000 ;
+      RECT 11.155000  0.270000 11.325000 0.680000 ;
+      RECT 11.155000  0.680000 11.405000 1.455000 ;
+      RECT 11.495000  0.085000 11.825000 0.510000 ;
+      RECT 11.575000  1.785000 11.830000 2.635000 ;
+      RECT 11.645000  0.995000 11.955000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.880000  1.785000  2.050000 1.955000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.570000  1.785000  2.740000 1.955000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.950000  1.445000  3.120000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.140000  1.785000  4.310000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.760000  1.445000  4.930000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.520000  0.765000  7.690000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.440000  1.445000  8.610000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.105000  9.070000 1.275000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  0.765000 11.390000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.820000 1.755000  2.110000 1.800000 ;
+      RECT  1.820000 1.800000  4.370000 1.940000 ;
+      RECT  1.820000 1.940000  2.110000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.130000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.510000 1.755000  2.800000 1.800000 ;
+      RECT  2.510000 1.940000  2.800000 1.985000 ;
+      RECT  2.890000 1.415000  3.180000 1.460000 ;
+      RECT  2.890000 1.460000  4.990000 1.600000 ;
+      RECT  2.890000 1.600000  3.180000 1.645000 ;
+      RECT  4.080000 1.755000  4.370000 1.800000 ;
+      RECT  4.080000 1.940000  4.370000 1.985000 ;
+      RECT  4.700000 1.415000  4.990000 1.460000 ;
+      RECT  4.700000 1.600000  4.990000 1.645000 ;
+      RECT  6.080000 1.075000  6.370000 1.120000 ;
+      RECT  6.080000 1.260000  6.370000 1.305000 ;
+      RECT  7.460000 0.735000  7.750000 0.780000 ;
+      RECT  7.460000 0.780000 11.450000 0.920000 ;
+      RECT  7.460000 0.920000  7.750000 0.965000 ;
+      RECT  8.380000 1.415000  8.670000 1.460000 ;
+      RECT  8.380000 1.460000 11.910000 1.600000 ;
+      RECT  8.380000 1.600000  8.670000 1.645000 ;
+      RECT  8.840000 1.075000  9.130000 1.120000 ;
+      RECT  8.840000 1.260000  9.130000 1.305000 ;
+      RECT 11.160000 0.735000 11.450000 0.780000 ;
+      RECT 11.160000 0.920000 11.450000 0.965000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcin_1
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.755000 2.465000 ;
+        RECT 1.005000 1.025000 1.755000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.085000 2.080000 1.755000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 2.675000 2.465000 ;
+        RECT 1.465000 1.025000 2.675000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.085000 2.080000 2.675000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_6
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 3.595000 2.465000 ;
+        RECT 1.905000 1.025000 3.595000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.610000 2.340000 ;
+        RECT 0.085000 2.080000 3.595000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.295000 2.465000 ;
+        RECT 0.775000 1.005000 1.295000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.085000 2.080000 1.295000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_3
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 5.430000 2.465000 ;
+        RECT 2.835000 1.025000 5.430000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+        RECT 3.825000 2.125000 3.995000 2.295000 ;
+        RECT 4.285000 2.125000 4.455000 2.295000 ;
+        RECT 4.745000 2.125000 4.915000 2.295000 ;
+        RECT 5.205000 2.125000 5.375000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 5.450000 2.340000 ;
+        RECT 0.085000 2.080000 5.435000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_12
+MACRO sky130_fd_sc_hd__o311a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.750000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.920000 0.995000 2.250000 1.325000 ;
+        RECT 1.980000 1.325000 2.250000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.730000 1.325000 ;
+        RECT 2.440000 1.325000 2.675000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.995000 3.300000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.810000 0.995000 4.055000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.905000 1.315000 ;
+        RECT 0.550000 0.255000 0.825000 0.995000 ;
+        RECT 0.550000 0.995000 0.905000 1.055000 ;
+        RECT 0.550000 1.315000 0.905000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.885000 ;
+      RECT 0.085000  1.485000 0.380000 2.635000 ;
+      RECT 0.995000  0.085000 1.665000 0.825000 ;
+      RECT 1.075000  0.995000 1.245000 1.495000 ;
+      RECT 1.075000  1.495000 1.810000 1.665000 ;
+      RECT 1.075000  1.835000 1.470000 2.635000 ;
+      RECT 1.640000  1.665000 1.810000 2.295000 ;
+      RECT 1.640000  2.295000 3.175000 2.465000 ;
+      RECT 1.835000  0.310000 2.120000 0.655000 ;
+      RECT 1.835000  0.655000 3.220000 0.825000 ;
+      RECT 2.300000  0.085000 2.675000 0.485000 ;
+      RECT 2.845000  1.495000 4.055000 1.665000 ;
+      RECT 2.845000  1.665000 3.175000 2.295000 ;
+      RECT 2.890000  0.310000 3.220000 0.655000 ;
+      RECT 3.360000  1.835000 3.595000 2.635000 ;
+      RECT 3.470000  0.255000 4.055000 0.825000 ;
+      RECT 3.470000  0.825000 3.640000 1.495000 ;
+      RECT 3.765000  1.665000 4.055000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_2
+MACRO sky130_fd_sc_hd__o311a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.950000 1.055000 7.735000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.020000 1.055000 6.770000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.655000 1.055000 5.850000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.055000 4.475000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.115000 1.055000 3.080000 1.315000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.765000 1.315000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 0.715000 1.605000 0.885000 ;
+        RECT 0.595000 0.885000 0.765000 1.055000 ;
+        RECT 0.595000 1.315000 0.765000 1.485000 ;
+        RECT 0.595000 1.485000 1.605000 1.725000 ;
+        RECT 0.595000 1.725000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.715000 ;
+        RECT 1.435000 1.725000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.885000 ;
+      RECT 0.085000  1.485000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.545000 ;
+      RECT 0.935000  1.055000 1.945000 1.315000 ;
+      RECT 0.935000  1.895000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.025000 0.545000 ;
+      RECT 1.775000  0.715000 3.045000 0.885000 ;
+      RECT 1.775000  0.885000 1.945000 1.055000 ;
+      RECT 1.775000  1.315000 1.945000 1.485000 ;
+      RECT 1.775000  1.485000 5.005000 1.725000 ;
+      RECT 1.775000  1.895000 2.445000 2.635000 ;
+      RECT 2.195000  0.255000 4.305000 0.505000 ;
+      RECT 2.195000  0.675000 3.045000 0.715000 ;
+      RECT 2.615000  1.725000 2.785000 2.465000 ;
+      RECT 2.955000  1.895000 3.285000 2.635000 ;
+      RECT 3.215000  0.505000 3.385000 0.885000 ;
+      RECT 3.455000  1.725000 3.625000 2.465000 ;
+      RECT 3.555000  0.675000 7.735000 0.885000 ;
+      RECT 3.855000  1.895000 4.045000 2.635000 ;
+      RECT 4.335000  1.895000 4.665000 2.295000 ;
+      RECT 4.335000  2.295000 6.445000 2.465000 ;
+      RECT 4.485000  0.255000 4.755000 0.675000 ;
+      RECT 4.835000  1.725000 5.005000 2.125000 ;
+      RECT 4.925000  0.085000 5.605000 0.505000 ;
+      RECT 5.255000  1.485000 5.525000 2.295000 ;
+      RECT 5.695000  1.485000 7.735000 1.725000 ;
+      RECT 5.695000  1.725000 5.945000 2.125000 ;
+      RECT 5.775000  0.255000 5.945000 0.675000 ;
+      RECT 6.115000  0.085000 6.445000 0.505000 ;
+      RECT 6.115000  1.895000 6.445000 2.295000 ;
+      RECT 6.615000  0.255000 6.785000 0.675000 ;
+      RECT 6.615000  1.725000 6.785000 2.125000 ;
+      RECT 6.955000  0.085000 7.285000 0.505000 ;
+      RECT 6.955000  1.895000 7.285000 2.635000 ;
+      RECT 7.455000  0.255000 7.735000 0.675000 ;
+      RECT 7.455000  1.725000 7.735000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_4
+MACRO sky130_fd_sc_hd__o311a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.450000 0.995000 1.790000 1.325000 ;
+        RECT 1.520000 1.325000 1.790000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.270000 1.325000 ;
+        RECT 1.980000 1.325000 2.215000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.840000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.355000 1.070000 ;
+        RECT 0.085000 1.070000 0.435000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.525000  0.085000 1.195000 0.825000 ;
+      RECT 0.605000  0.995000 0.775000 1.495000 ;
+      RECT 0.605000  1.495000 1.350000 1.665000 ;
+      RECT 0.605000  1.835000 1.010000 2.635000 ;
+      RECT 1.180000  1.665000 1.350000 2.295000 ;
+      RECT 1.180000  2.295000 2.715000 2.465000 ;
+      RECT 1.365000  0.310000 1.660000 0.655000 ;
+      RECT 1.365000  0.655000 2.760000 0.825000 ;
+      RECT 1.840000  0.085000 2.215000 0.485000 ;
+      RECT 2.385000  1.495000 3.595000 1.665000 ;
+      RECT 2.385000  1.665000 2.715000 2.295000 ;
+      RECT 2.430000  0.310000 2.760000 0.655000 ;
+      RECT 2.900000  1.835000 3.135000 2.635000 ;
+      RECT 3.010000  0.255000 3.595000 0.825000 ;
+      RECT 3.010000  0.825000 3.180000 1.495000 ;
+      RECT 3.305000  1.665000 3.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_1
+MACRO sky130_fd_sc_hd__sdfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.675000  1.785000  0.845000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.145000  1.105000  1.315000 1.275000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.615000 1.755000 0.915000 1.800000 ;
+      RECT 0.615000 1.800000 8.675000 1.940000 ;
+      RECT 0.615000 1.940000 0.915000 1.985000 ;
+      RECT 1.085000 1.075000 1.375000 1.120000 ;
+      RECT 1.085000 1.120000 8.635000 1.260000 ;
+      RECT 1.085000 1.260000 1.375000 1.305000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtn_1
+MACRO sky130_fd_sc_hd__sedfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.935000 0.255000 14.265000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.300000 ;
+        RECT 11.700000 1.300000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 15.370000 2.910000 ;
+        RECT  7.200000 1.305000 15.370000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.465000 12.450000 2.635000 ;
+      RECT 12.315000  0.085000 12.565000 0.900000 ;
+      RECT 12.620000  1.575000 12.850000 2.010000 ;
+      RECT 12.735000  0.890000 13.360000 1.220000 ;
+      RECT 13.020000  0.255000 13.360000 0.890000 ;
+      RECT 13.020000  1.220000 13.360000 2.465000 ;
+      RECT 13.530000  0.085000 13.765000 0.900000 ;
+      RECT 13.530000  1.465000 13.765000 2.635000 ;
+      RECT 14.435000  0.085000 14.695000 0.900000 ;
+      RECT 14.435000  1.465000 14.695000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.650000  1.785000 12.820000 1.955000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.110000  0.765000 13.280000 0.935000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 13.340000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.880000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.590000 1.755000 12.880000 1.800000 ;
+      RECT 12.590000 1.940000 12.880000 1.985000 ;
+      RECT 13.050000 0.735000 13.340000 0.780000 ;
+      RECT 13.050000 0.920000 13.340000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_2
+MACRO sky130_fd_sc_hd__sedfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.525000 0.255000 13.855000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.410000 ;
+        RECT 11.700000 1.410000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.450000 2.910000 ;
+        RECT  7.200000 1.305000 14.450000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.575000 12.430000 2.010000 ;
+      RECT 12.315000  0.890000 12.940000 1.220000 ;
+      RECT 12.600000  0.255000 12.940000 0.890000 ;
+      RECT 12.600000  1.220000 12.940000 2.465000 ;
+      RECT 13.110000  0.085000 13.355000 0.900000 ;
+      RECT 13.110000  1.465000 13.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.230000  1.785000 12.400000 1.955000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.690000  0.765000 12.860000 0.935000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.920000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.460000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.170000 1.755000 12.460000 1.800000 ;
+      RECT 12.170000 1.940000 12.460000 1.985000 ;
+      RECT 12.630000 0.735000 12.920000 0.780000 ;
+      RECT 12.630000 0.920000 12.920000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_1
+MACRO sky130_fd_sc_hd__a31oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.443500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 7.585000 0.805000 ;
+        RECT 6.075000 1.915000 7.245000 2.085000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.045000 0.805000 7.245000 1.915000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.175000  1.495000 5.405000 1.665000 ;
+      RECT 0.175000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.915000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.015000  1.665000 1.185000 2.465000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.355000  1.915000 1.685000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 1.855000  1.665000 2.025000 2.465000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.195000  1.915000 2.525000 2.635000 ;
+      RECT 2.695000  1.665000 2.865000 2.465000 ;
+      RECT 3.035000  1.915000 3.365000 2.635000 ;
+      RECT 3.535000  1.665000 3.705000 2.465000 ;
+      RECT 3.895000  1.915000 4.225000 2.635000 ;
+      RECT 4.395000  1.665000 4.565000 2.465000 ;
+      RECT 4.735000  2.255000 5.065000 2.635000 ;
+      RECT 5.235000  1.665000 5.405000 2.255000 ;
+      RECT 5.235000  2.255000 7.665000 2.425000 ;
+      RECT 5.235000  2.425000 5.405000 2.465000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.415000  1.495000 7.665000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_4
+MACRO sky130_fd_sc_hd__a31oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.665000 1.615000 ;
+        RECT 2.905000 0.995000 3.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.995000 1.755000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.820000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.820000 1.075000 4.490000 1.275000 ;
+        RECT 4.265000 1.275000 4.490000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.922000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 4.505000 0.825000 ;
+        RECT 3.255000 0.255000 3.425000 0.655000 ;
+        RECT 3.255000 0.825000 3.570000 1.445000 ;
+        RECT 3.255000 1.445000 4.085000 1.615000 ;
+        RECT 3.755000 1.615000 4.085000 2.115000 ;
+        RECT 4.175000 0.295000 4.505000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.655000 2.105000 0.825000 ;
+      RECT 0.175000  1.785000 3.505000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.295000 3.075000 0.465000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.310000  2.125000 2.980000 2.635000 ;
+      RECT 3.335000  1.955000 3.505000 2.295000 ;
+      RECT 3.335000  2.295000 4.425000 2.465000 ;
+      RECT 3.675000  0.085000 4.005000 0.465000 ;
+      RECT 4.255000  1.795000 4.425000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_2
+MACRO sky130_fd_sc_hd__a31oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.445000 1.455000 1.665000 ;
+        RECT 1.270000 0.995000 1.455000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.335000 1.055000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.365000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.215000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.481250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 0.295000 1.785000 0.715000 ;
+        RECT 1.380000 0.715000 1.795000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+        RECT 1.625000 1.495000 2.210000 1.665000 ;
+        RECT 1.875000 1.665000 2.210000 2.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.430000 0.815000 ;
+      RECT 0.090000  1.495000 0.420000 2.635000 ;
+      RECT 0.590000  1.835000 1.695000 2.005000 ;
+      RECT 0.590000  2.005000 0.765000 2.415000 ;
+      RECT 0.935000  2.175000 1.265000 2.635000 ;
+      RECT 1.470000  2.005000 1.695000 2.415000 ;
+      RECT 1.955000  0.085000 2.215000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_1
+MACRO sky130_fd_sc_hd__dlxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 0.415000 5.435000 0.745000 ;
+        RECT 5.150000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.770000  2.255000 3.605000 2.425000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.175000 1.165000 ;
+      RECT 3.435000  1.165000 4.175000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.685000  0.085000 4.015000 0.530000 ;
+      RECT 3.775000  2.135000 3.945000 2.635000 ;
+      RECT 3.840000  1.535000 4.515000 1.865000 ;
+      RECT 4.295000  0.415000 4.515000 0.745000 ;
+      RECT 4.295000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.515000 0.995000 ;
+      RECT 4.345000  0.995000 5.095000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.695000  0.085000 4.900000 0.715000 ;
+      RECT 4.695000  1.570000 4.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxtp_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.055000 0.550000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.590000 0.545000 ;
+        RECT 3.220000 1.760000 3.590000 2.465000 ;
+        RECT 3.365000 0.545000 3.590000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.715000 ;
+      RECT 0.095000  0.715000 1.215000 0.885000 ;
+      RECT 0.095000  1.495000 1.215000 1.665000 ;
+      RECT 0.095000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.720000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.260000 2.465000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.710000  1.760000 3.040000 2.635000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.560000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.270000 3.150000 0.640000 ;
+        RECT 2.715000 1.420000 3.180000 1.525000 ;
+        RECT 2.715000 1.525000 3.150000 2.465000 ;
+        RECT 2.965000 0.640000 3.150000 0.780000 ;
+        RECT 2.965000 0.780000 3.180000 0.945000 ;
+        RECT 3.010000 0.945000 3.180000 1.420000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.730000  0.905000 1.055000 1.460000 ;
+      RECT 1.110000  1.800000 1.440000 2.465000 ;
+      RECT 1.160000  0.270000 1.440000 0.600000 ;
+      RECT 1.270000  0.600000 1.440000 1.075000 ;
+      RECT 1.270000  1.075000 2.205000 1.255000 ;
+      RECT 1.270000  1.255000 1.440000 1.800000 ;
+      RECT 1.630000  0.270000 1.960000 0.735000 ;
+      RECT 1.630000  0.735000 2.545000 0.905000 ;
+      RECT 1.630000  1.460000 2.545000 1.630000 ;
+      RECT 1.630000  1.630000 1.960000 2.465000 ;
+      RECT 2.130000  1.800000 2.545000 2.635000 ;
+      RECT 2.165000  0.085000 2.535000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 2.840000 1.245000 ;
+      RECT 2.375000  1.245000 2.545000 1.460000 ;
+      RECT 3.320000  0.085000 3.595000 0.645000 ;
+      RECT 3.320000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_2
+MACRO sky130_fd_sc_hd__and4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.755000 0.330000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.890000 0.420000 1.245000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.415000 1.720000 1.305000 ;
+        RECT 1.420000 1.305000 1.590000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.900000 0.415000 2.160000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 0.295000 3.065000 0.340000 ;
+        RECT 2.735000 0.340000 3.070000 0.805000 ;
+        RECT 2.735000 1.495000 3.070000 2.465000 ;
+        RECT 2.895000 0.805000 3.070000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  2.255000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.555000 1.665000 ;
+      RECT 0.600000  1.665000 0.850000 2.465000 ;
+      RECT 1.070000  1.915000 1.400000 2.635000 ;
+      RECT 1.585000  1.665000 1.835000 2.465000 ;
+      RECT 2.235000  1.835000 2.565000 2.635000 ;
+      RECT 2.330000  0.085000 2.565000 0.890000 ;
+      RECT 2.330000  1.075000 2.725000 1.315000 ;
+      RECT 2.330000  1.315000 2.555000 1.495000 ;
+      RECT 3.245000  1.835000 3.575000 2.635000 ;
+      RECT 3.255000  0.085000 3.585000 0.810000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_2
+MACRO sky130_fd_sc_hd__and4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.325000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 0.360000 1.235000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.355000 1.715000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.355000 2.175000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.295000 3.135000 0.805000 ;
+        RECT 2.795000 2.205000 3.135000 2.465000 ;
+        RECT 2.875000 0.805000 3.135000 2.205000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.170000  0.255000 0.665000 0.585000 ;
+      RECT 0.495000  0.585000 0.665000 1.495000 ;
+      RECT 0.495000  1.495000 2.685000 1.665000 ;
+      RECT 0.595000  1.665000 0.845000 2.465000 ;
+      RECT 1.065000  1.915000 1.395000 2.635000 ;
+      RECT 1.580000  1.665000 1.830000 2.465000 ;
+      RECT 2.295000  1.835000 2.625000 2.635000 ;
+      RECT 2.355000  0.085000 2.625000 0.885000 ;
+      RECT 2.370000  1.075000 2.700000 1.325000 ;
+      RECT 2.370000  1.325000 2.685000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_1
+MACRO sky130_fd_sc_hd__and4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.330000 1.655000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.995000 1.245000 1.325000 ;
+        RECT 0.890000 0.420000 1.245000 0.995000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.425000 1.700000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 0.730000 2.155000 0.935000 ;
+        RECT 1.905000 0.935000 2.075000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.535000 0.255000 2.705000 0.640000 ;
+        RECT 2.535000 0.640000 4.050000 0.810000 ;
+        RECT 2.535000 1.795000 2.785000 2.465000 ;
+        RECT 2.615000 1.485000 4.050000 1.655000 ;
+        RECT 2.615000 1.655000 2.785000 1.795000 ;
+        RECT 3.375000 0.255000 3.545000 0.640000 ;
+        RECT 3.375000 1.655000 4.050000 1.745000 ;
+        RECT 3.375000 1.745000 3.545000 2.465000 ;
+        RECT 3.800000 0.810000 4.050000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.105000  1.835000 0.385000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.415000 1.665000 ;
+      RECT 0.555000  1.665000 0.765000 2.465000 ;
+      RECT 0.955000  1.935000 1.285000 2.635000 ;
+      RECT 1.455000  1.665000 1.645000 2.465000 ;
+      RECT 2.025000  0.085000 2.335000 0.550000 ;
+      RECT 2.025000  1.855000 2.355000 2.635000 ;
+      RECT 2.245000  1.105000 3.585000 1.305000 ;
+      RECT 2.245000  1.305000 2.415000 1.495000 ;
+      RECT 2.575000  1.075000 3.585000 1.105000 ;
+      RECT 2.875000  0.085000 3.205000 0.470000 ;
+      RECT 2.955000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.470000 ;
+      RECT 3.715000  1.915000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_4
+MACRO sky130_fd_sc_hd__o21a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.345000 1.075000 2.675000 1.275000 ;
+        RECT 2.445000 1.275000 2.675000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 2.035000 1.095000 ;
+        RECT 1.705000 1.095000 2.155000 1.275000 ;
+        RECT 1.940000 1.275000 2.155000 2.390000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.075000 1.535000 1.305000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 1.030000 ;
+        RECT 0.085000 1.030000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.535000  1.860000 1.245000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.595000  0.715000 1.305000 0.905000 ;
+      RECT 0.595000  0.905000 0.880000 1.475000 ;
+      RECT 0.595000  1.475000 1.745000 1.690000 ;
+      RECT 1.005000  0.255000 1.365000 0.520000 ;
+      RECT 1.005000  0.520000 1.360000 0.525000 ;
+      RECT 1.005000  0.525000 1.355000 0.535000 ;
+      RECT 1.005000  0.535000 1.350000 0.540000 ;
+      RECT 1.005000  0.540000 1.345000 0.550000 ;
+      RECT 1.005000  0.550000 1.340000 0.555000 ;
+      RECT 1.005000  0.555000 1.330000 0.565000 ;
+      RECT 1.005000  0.565000 1.320000 0.575000 ;
+      RECT 1.005000  0.575000 1.305000 0.715000 ;
+      RECT 1.415000  1.690000 1.745000 2.465000 ;
+      RECT 1.495000  0.635000 1.825000 0.715000 ;
+      RECT 1.495000  0.715000 2.675000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.545000 ;
+      RECT 2.335000  0.255000 2.675000 0.715000 ;
+      RECT 2.335000  1.915000 2.665000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_1
+MACRO sky130_fd_sc_hd__o21a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.125000 1.450000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 1.025000 2.610000 1.400000 ;
+        RECT 2.405000 1.400000 2.610000 1.985000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 1.010000 1.855000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.530000 0.255000 0.775000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  1.635000 0.345000 2.635000 ;
+      RECT 0.105000  0.085000 0.345000 0.885000 ;
+      RECT 0.945000  0.085000 1.275000 0.465000 ;
+      RECT 0.945000  0.635000 1.795000 0.840000 ;
+      RECT 0.945000  0.840000 1.275000 1.330000 ;
+      RECT 0.945000  2.185000 1.795000 2.635000 ;
+      RECT 1.105000  1.330000 1.275000 1.785000 ;
+      RECT 1.105000  1.785000 2.225000 2.005000 ;
+      RECT 1.465000  0.255000 1.795000 0.635000 ;
+      RECT 1.965000  0.465000 2.175000 0.635000 ;
+      RECT 1.965000  0.635000 3.120000 0.825000 ;
+      RECT 1.965000  2.005000 2.225000 2.465000 ;
+      RECT 2.345000  0.085000 2.675000 0.465000 ;
+      RECT 2.795000  1.650000 3.120000 2.635000 ;
+      RECT 2.845000  0.495000 3.120000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_2
+MACRO sky130_fd_sc_hd__o21a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.480000 0.990000 3.785000 1.495000 ;
+        RECT 3.480000 1.495000 5.400000 1.705000 ;
+        RECT 5.030000 0.995000 5.400000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.140000 0.995000 4.690000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 1.075000 3.155000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.635000 1.715000 0.805000 ;
+        RECT 0.090000 0.805000 0.320000 1.530000 ;
+        RECT 0.090000 1.530000 1.955000 1.700000 ;
+        RECT 0.595000 0.615000 1.715000 0.635000 ;
+        RECT 0.915000 1.700000 1.105000 2.465000 ;
+        RECT 1.775000 1.700000 1.955000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.415000  1.870000 0.745000 2.635000 ;
+      RECT 0.490000  0.995000 2.315000 1.335000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 1.275000  1.870000 1.605000 2.635000 ;
+      RECT 1.815000  0.085000 2.145000 0.465000 ;
+      RECT 2.115000  0.655000 3.095000 0.870000 ;
+      RECT 2.115000  0.870000 2.315000 0.995000 ;
+      RECT 2.125000  1.335000 2.315000 1.830000 ;
+      RECT 2.125000  1.830000 2.845000 1.875000 ;
+      RECT 2.125000  1.875000 4.545000 2.085000 ;
+      RECT 2.135000  2.255000 2.485000 2.635000 ;
+      RECT 2.335000  0.255000 3.605000 0.485000 ;
+      RECT 2.655000  2.085000 4.545000 2.105000 ;
+      RECT 2.655000  2.105000 2.845000 2.465000 ;
+      RECT 3.015000  2.275000 3.685000 2.635000 ;
+      RECT 3.275000  0.485000 3.605000 0.615000 ;
+      RECT 3.275000  0.615000 5.405000 0.785000 ;
+      RECT 3.775000  0.085000 4.115000 0.445000 ;
+      RECT 4.215000  2.105000 4.545000 2.445000 ;
+      RECT 4.645000  0.085000 4.975000 0.445000 ;
+      RECT 5.075000  1.935000 5.435000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_4
+MACRO sky130_fd_sc_hd__probe_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met5 ;
+        RECT 1.250000 0.560000 4.270000 2.160000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.885000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.320000  1.105000 4.490000 1.275000 ;
+      RECT 4.680000  1.105000 4.850000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.465000 1.060000 4.105000 1.075000 ;
+      RECT 3.465000 1.075000 4.910000 1.305000 ;
+      RECT 3.465000 1.305000 4.105000 1.320000 ;
+    LAYER met2 ;
+      RECT 3.445000 1.005000 4.125000 1.375000 ;
+    LAYER met3 ;
+      RECT 3.395000 1.025000 4.175000 1.355000 ;
+    LAYER met4 ;
+      RECT 1.370000 0.680000 4.150000 1.860000 ;
+    LAYER via ;
+      RECT 3.495000 1.060000 3.755000 1.320000 ;
+      RECT 3.815000 1.060000 4.075000 1.320000 ;
+    LAYER via2 ;
+      RECT 3.445000 1.050000 3.725000 1.330000 ;
+      RECT 3.845000 1.050000 4.125000 1.330000 ;
+    LAYER via3 ;
+      RECT 3.425000 1.030000 3.745000 1.350000 ;
+      RECT 3.825000 1.030000 4.145000 1.350000 ;
+    LAYER via4 ;
+      RECT 2.970000 0.680000 4.150000 1.860000 ;
+  END
+END sky130_fd_sc_hd__probe_p_8
+MACRO sky130_fd_sc_hd__clkinv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.840000 0.760000 ;
+        RECT 0.515000 0.760000 1.295000 1.290000 ;
+        RECT 0.515000 1.290000 0.845000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  1.665000 0.345000 2.635000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+      RECT 1.015000  1.665000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_1
+MACRO sky130_fd_sc_hd__clkinv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.410000 2.435000 ;
+        RECT 1.010000 1.630000 1.270000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 0.580000  1.800000 0.840000 2.635000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+      RECT 1.440000  1.800000 1.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_2
+MACRO sky130_fd_sc_hd__clkinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.575000 1.455000 10.480000 1.665000 ;
+        RECT  0.575000 1.665000  0.830000 2.465000 ;
+        RECT  1.435000 1.665000  1.690000 2.450000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.325000 1.665000  2.550000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.155000 1.665000  3.410000 2.450000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.015000 1.665000  4.255000 2.450000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  4.905000 1.665000  5.280000 2.450000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.925000 1.665000  6.175000 2.450000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.785000 1.665000  7.035000 2.450000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.645000 1.665000  7.895000 2.450000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.505000 1.665000  8.755000 2.450000 ;
+        RECT  9.365000 1.665000  9.605000 2.450000 ;
+        RECT 10.225000 1.665000 10.480000 2.450000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.140000  1.495000  0.405000 2.635000 ;
+      RECT  1.000000  1.835000  1.260000 2.635000 ;
+      RECT  1.855000  0.085000  2.125000 0.610000 ;
+      RECT  1.865000  1.835000  2.120000 2.635000 ;
+      RECT  2.720000  0.085000  2.985000 0.610000 ;
+      RECT  2.720000  1.835000  2.980000 2.635000 ;
+      RECT  3.580000  0.085000  3.845000 0.610000 ;
+      RECT  3.585000  1.835000  3.840000 2.635000 ;
+      RECT  4.465000  0.085000  4.730000 0.610000 ;
+      RECT  4.465000  1.835000  4.720000 2.635000 ;
+      RECT  5.490000  0.085000  5.755000 0.610000 ;
+      RECT  5.490000  1.835000  5.745000 2.120000 ;
+      RECT  5.490000  2.120000  5.750000 2.635000 ;
+      RECT  6.350000  0.085000  6.575000 0.610000 ;
+      RECT  6.355000  1.835000  6.610000 2.635000 ;
+      RECT  7.210000  0.085000  7.475000 0.610000 ;
+      RECT  7.215000  1.835000  7.470000 2.635000 ;
+      RECT  8.070000  0.085000  8.335000 0.610000 ;
+      RECT  8.075000  1.835000  8.330000 2.635000 ;
+      RECT  8.930000  0.085000  9.195000 0.610000 ;
+      RECT  8.935000  1.835000  9.190000 2.635000 ;
+      RECT  9.795000  1.835000 10.050000 2.635000 ;
+      RECT 10.650000  1.835000 10.910000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_16
+MACRO sky130_fd_sc_hd__clkinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.565000 1.630000 0.805000 2.435000 ;
+        RECT 1.405000 1.630000 1.645000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.245000 1.630000 2.495000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.080000 1.630000 3.325000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.920000 1.630000 4.175000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.765000 1.630000 5.005000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.135000  1.800000 0.395000 2.635000 ;
+      RECT 0.975000  1.800000 1.235000 2.635000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.815000  1.800000 2.075000 2.635000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.665000  1.800000 2.910000 2.635000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.495000  1.800000 3.750000 2.635000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.345000  1.800000 4.595000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+      RECT 5.175000  1.800000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_8
+MACRO sky130_fd_sc_hd__clkinv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.605000 1.630000 0.860000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.465000 1.630000 1.720000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.320000 1.630000 2.580000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.800000 0.430000 2.635000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.030000  1.800000 1.290000 2.635000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 1.890000  1.800000 2.150000 2.635000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+      RECT 2.750000  1.800000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_4
+MACRO sky130_fd_sc_hd__sdfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 0.995000 ;
+        RECT 11.190000 0.995000 12.240000 1.325000 ;
+        RECT 11.190000 1.325000 11.400000 1.445000 ;
+        RECT 11.990000 0.265000 12.240000 0.995000 ;
+        RECT 11.990000 1.325000 12.240000 2.325000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+      RECT 12.410000  0.085000 12.580000 0.545000 ;
+      RECT 12.410000  1.495000 12.660000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_4
+MACRO sky130_fd_sc_hd__sdfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_1
+MACRO sky130_fd_sc_hd__sdfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 12.150000 2.910000 ;
+        RECT  4.405000 1.305000 12.150000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_2
+MACRO sky130_fd_sc_hd__xor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.860000 0.995000 2.495000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.590000 0.925000 ;
+        RECT 0.085000 0.925000 0.400000 1.440000 ;
+        RECT 0.085000 1.440000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.750000  0.995000 0.950000 1.325000 ;
+      RECT 0.760000  0.085000 1.010000 0.525000 ;
+      RECT 0.780000  0.695000 1.350000 0.865000 ;
+      RECT 0.780000  0.865000 0.950000 0.995000 ;
+      RECT 0.780000  1.325000 0.950000 1.875000 ;
+      RECT 0.780000  1.875000 1.470000 2.045000 ;
+      RECT 0.780000  2.215000 1.115000 2.635000 ;
+      RECT 1.180000  0.255000 2.740000 0.425000 ;
+      RECT 1.180000  0.425000 1.350000 0.695000 ;
+      RECT 1.185000  1.535000 2.835000 1.705000 ;
+      RECT 1.300000  2.045000 1.470000 2.235000 ;
+      RECT 1.300000  2.235000 2.895000 2.405000 ;
+      RECT 1.520000  0.595000 1.690000 1.535000 ;
+      RECT 1.870000  1.895000 3.175000 2.065000 ;
+      RECT 1.970000  0.655000 3.080000 0.825000 ;
+      RECT 2.390000  0.425000 2.740000 0.455000 ;
+      RECT 2.665000  0.995000 2.940000 1.325000 ;
+      RECT 2.665000  1.325000 2.835000 1.535000 ;
+      RECT 2.910000  0.255000 3.760000 0.425000 ;
+      RECT 2.910000  0.425000 3.080000 0.655000 ;
+      RECT 3.005000  1.525000 3.535000 1.695000 ;
+      RECT 3.005000  1.695000 3.175000 1.895000 ;
+      RECT 3.110000  2.235000 3.515000 2.405000 ;
+      RECT 3.250000  0.595000 3.420000 1.375000 ;
+      RECT 3.250000  1.375000 3.535000 1.525000 ;
+      RECT 3.345000  1.895000 4.520000 2.065000 ;
+      RECT 3.345000  2.065000 3.515000 2.235000 ;
+      RECT 3.590000  0.425000 3.760000 1.035000 ;
+      RECT 3.590000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.930000  0.085000 4.100000 0.865000 ;
+      RECT 4.105000  1.445000 4.520000 1.715000 ;
+      RECT 4.280000  0.415000 4.520000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.695000  0.265000 5.110000 0.485000 ;
+      RECT 4.695000  0.485000 4.915000 0.595000 ;
+      RECT 4.695000  0.595000 4.865000 2.105000 ;
+      RECT 5.035000  0.720000 5.450000 0.825000 ;
+      RECT 5.035000  0.825000 5.255000 0.890000 ;
+      RECT 5.035000  0.890000 5.205000 2.275000 ;
+      RECT 5.085000  0.655000 5.450000 0.720000 ;
+      RECT 5.280000  0.320000 5.450000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.620000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_1
+MACRO sky130_fd_sc_hd__xor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.525000 1.075000 8.935000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.705000 0.995000 7.875000 1.445000 ;
+        RECT 7.705000 1.445000 8.285000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.880000 0.995000 3.515000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.350000 0.765000 0.660000 ;
+        RECT 0.595000 0.660000 1.605000 0.830000 ;
+        RECT 0.595000 0.830000 1.535000 0.925000 ;
+        RECT 0.695000 1.440000 1.420000 1.455000 ;
+        RECT 0.695000 1.455000 1.705000 2.045000 ;
+        RECT 0.695000 2.045000 0.865000 2.465000 ;
+        RECT 1.105000 0.925000 1.420000 1.440000 ;
+        RECT 1.435000 0.350000 1.605000 0.660000 ;
+        RECT 1.535000 2.045000 1.705000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 10.120000 0.085000 ;
+        RECT 0.175000  0.085000  0.345000 0.545000 ;
+        RECT 0.935000  0.085000  1.265000 0.465000 ;
+        RECT 1.855000  0.085000  2.025000 0.525000 ;
+        RECT 4.950000  0.085000  5.120000 0.885000 ;
+        RECT 8.995000  0.085000  9.165000 0.565000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+        RECT 4.285000 -0.085000 4.455000 0.085000 ;
+        RECT 4.745000 -0.085000 4.915000 0.085000 ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+        RECT 5.665000 -0.085000 5.835000 0.085000 ;
+        RECT 6.125000 -0.085000 6.295000 0.085000 ;
+        RECT 6.585000 -0.085000 6.755000 0.085000 ;
+        RECT 7.045000 -0.085000 7.215000 0.085000 ;
+        RECT 7.505000 -0.085000 7.675000 0.085000 ;
+        RECT 7.965000 -0.085000 8.135000 0.085000 ;
+        RECT 8.425000 -0.085000 8.595000 0.085000 ;
+        RECT 8.885000 -0.085000 9.055000 0.085000 ;
+        RECT 9.345000 -0.085000 9.515000 0.085000 ;
+        RECT 9.805000 -0.085000 9.975000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.235000 -0.085000 0.405000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 10.120000 2.805000 ;
+        RECT 0.275000 2.135000  0.445000 2.635000 ;
+        RECT 1.035000 2.215000  1.365000 2.635000 ;
+        RECT 1.875000 2.215000  2.205000 2.635000 ;
+        RECT 4.705000 2.235000  5.035000 2.635000 ;
+        RECT 8.915000 2.275000  9.245000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+        RECT 7.505000 2.635000 7.675000 2.805000 ;
+        RECT 7.965000 2.635000 8.135000 2.805000 ;
+        RECT 8.425000 2.635000 8.595000 2.805000 ;
+        RECT 8.885000 2.635000 9.055000 2.805000 ;
+        RECT 9.345000 2.635000 9.515000 2.805000 ;
+        RECT 9.805000 2.635000 9.975000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.820000 0.965000 2.045000 1.325000 ;
+      RECT 1.875000 0.695000 2.365000 0.865000 ;
+      RECT 1.875000 0.865000 2.045000 0.965000 ;
+      RECT 1.875000 1.325000 2.045000 1.875000 ;
+      RECT 1.875000 1.875000 2.545000 2.045000 ;
+      RECT 2.195000 0.255000 3.760000 0.425000 ;
+      RECT 2.195000 0.425000 2.365000 0.695000 ;
+      RECT 2.370000 1.535000 3.855000 1.705000 ;
+      RECT 2.375000 2.045000 2.545000 2.235000 ;
+      RECT 2.375000 2.235000 3.915000 2.405000 ;
+      RECT 2.540000 0.595000 2.710000 1.535000 ;
+      RECT 2.890000 1.895000 4.195000 2.065000 ;
+      RECT 2.990000 0.655000 4.100000 0.825000 ;
+      RECT 3.410000 0.425000 3.760000 0.455000 ;
+      RECT 3.685000 0.995000 4.055000 1.325000 ;
+      RECT 3.685000 1.325000 3.855000 1.535000 ;
+      RECT 3.930000 0.255000 4.780000 0.425000 ;
+      RECT 3.930000 0.425000 4.100000 0.655000 ;
+      RECT 4.025000 1.525000 4.555000 1.695000 ;
+      RECT 4.025000 1.695000 4.195000 1.895000 ;
+      RECT 4.130000 2.235000 4.535000 2.405000 ;
+      RECT 4.270000 0.595000 4.440000 1.375000 ;
+      RECT 4.270000 1.375000 4.555000 1.525000 ;
+      RECT 4.365000 1.895000 5.540000 2.065000 ;
+      RECT 4.365000 2.065000 4.535000 2.235000 ;
+      RECT 4.610000 0.425000 4.780000 1.035000 ;
+      RECT 4.610000 1.035000 4.865000 1.040000 ;
+      RECT 4.610000 1.040000 4.880000 1.045000 ;
+      RECT 4.610000 1.045000 4.890000 1.050000 ;
+      RECT 4.610000 1.050000 4.895000 1.205000 ;
+      RECT 4.725000 1.205000 4.895000 1.895000 ;
+      RECT 5.125000 1.445000 5.540000 1.715000 ;
+      RECT 5.300000 0.415000 5.540000 1.445000 ;
+      RECT 5.370000 2.065000 5.540000 2.275000 ;
+      RECT 5.370000 2.275000 8.465000 2.445000 ;
+      RECT 5.715000 0.265000 6.130000 0.485000 ;
+      RECT 5.715000 0.485000 5.935000 0.595000 ;
+      RECT 5.715000 0.595000 5.885000 2.105000 ;
+      RECT 6.075000 0.720000 6.470000 0.825000 ;
+      RECT 6.075000 0.825000 6.275000 0.890000 ;
+      RECT 6.075000 0.890000 6.245000 2.275000 ;
+      RECT 6.105000 0.655000 6.470000 0.720000 ;
+      RECT 6.300000 0.320000 6.470000 0.655000 ;
+      RECT 6.415000 1.445000 7.195000 1.615000 ;
+      RECT 6.415000 1.615000 6.830000 2.045000 ;
+      RECT 6.430000 0.995000 6.855000 1.270000 ;
+      RECT 6.640000 0.630000 6.855000 0.995000 ;
+      RECT 7.025000 0.255000 8.170000 0.425000 ;
+      RECT 7.025000 0.425000 7.195000 1.445000 ;
+      RECT 7.365000 0.595000 7.535000 1.935000 ;
+      RECT 7.365000 1.935000 9.675000 2.105000 ;
+      RECT 7.705000 0.425000 8.170000 0.465000 ;
+      RECT 8.045000 0.730000 8.250000 0.945000 ;
+      RECT 8.045000 0.945000 8.355000 1.275000 ;
+      RECT 8.455000 1.495000 9.275000 1.705000 ;
+      RECT 8.495000 0.295000 8.785000 0.735000 ;
+      RECT 8.495000 0.735000 9.275000 0.750000 ;
+      RECT 8.535000 0.750000 9.275000 0.905000 ;
+      RECT 9.105000 0.905000 9.275000 0.995000 ;
+      RECT 9.105000 0.995000 9.335000 1.325000 ;
+      RECT 9.105000 1.325000 9.275000 1.495000 ;
+      RECT 9.190000 1.875000 9.675000 1.935000 ;
+      RECT 9.415000 0.255000 9.675000 0.585000 ;
+      RECT 9.415000 2.105000 9.675000 2.465000 ;
+      RECT 9.505000 0.585000 9.675000 1.875000 ;
+    LAYER mcon ;
+      RECT 4.385000 1.445000 4.555000 1.615000 ;
+      RECT 5.305000 0.765000 5.475000 0.935000 ;
+      RECT 5.765000 0.425000 5.935000 0.595000 ;
+      RECT 6.685000 0.765000 6.855000 0.935000 ;
+      RECT 6.685000 1.445000 6.855000 1.615000 ;
+      RECT 8.065000 0.765000 8.235000 0.935000 ;
+      RECT 8.525000 0.425000 8.695000 0.595000 ;
+    LAYER met1 ;
+      RECT 4.325000 1.415000 4.615000 1.460000 ;
+      RECT 4.325000 1.460000 6.915000 1.600000 ;
+      RECT 4.325000 1.600000 4.615000 1.645000 ;
+      RECT 5.245000 0.735000 5.535000 0.780000 ;
+      RECT 5.245000 0.780000 8.295000 0.920000 ;
+      RECT 5.245000 0.920000 5.535000 0.965000 ;
+      RECT 5.705000 0.395000 5.995000 0.440000 ;
+      RECT 5.705000 0.440000 8.755000 0.580000 ;
+      RECT 5.705000 0.580000 5.995000 0.625000 ;
+      RECT 6.625000 0.735000 6.915000 0.780000 ;
+      RECT 6.625000 0.920000 6.915000 0.965000 ;
+      RECT 6.625000 1.415000 6.915000 1.460000 ;
+      RECT 6.625000 1.600000 6.915000 1.645000 ;
+      RECT 8.005000 0.735000 8.295000 0.780000 ;
+      RECT 8.005000 0.920000 8.295000 0.965000 ;
+      RECT 8.465000 0.395000 8.755000 0.440000 ;
+      RECT 8.465000 0.580000 8.755000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_4
+MACRO sky130_fd_sc_hd__xor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.965000 1.075000 8.375000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.145000 0.995000 7.315000 1.445000 ;
+        RECT 7.145000 1.445000 7.725000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.320000 0.995000 2.955000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.660000 1.050000 0.925000 ;
+        RECT 0.545000 0.925000 0.860000 1.440000 ;
+        RECT 0.545000 1.440000 1.070000 2.045000 ;
+        RECT 0.800000 0.350000 1.050000 0.660000 ;
+        RECT 0.820000 2.045000 1.070000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.300000  0.085000 0.630000 0.465000 ;
+      RECT 0.300000  2.215000 0.650000 2.635000 ;
+      RECT 1.210000  0.995000 1.410000 1.325000 ;
+      RECT 1.220000  0.085000 1.470000 0.525000 ;
+      RECT 1.240000  0.695000 1.810000 0.865000 ;
+      RECT 1.240000  0.865000 1.410000 0.995000 ;
+      RECT 1.240000  1.325000 1.410000 1.875000 ;
+      RECT 1.240000  1.875000 1.930000 2.045000 ;
+      RECT 1.240000  2.215000 1.575000 2.635000 ;
+      RECT 1.640000  0.255000 3.200000 0.425000 ;
+      RECT 1.640000  0.425000 1.810000 0.695000 ;
+      RECT 1.645000  1.535000 3.295000 1.705000 ;
+      RECT 1.760000  2.045000 1.930000 2.235000 ;
+      RECT 1.760000  2.235000 3.355000 2.405000 ;
+      RECT 1.980000  0.595000 2.150000 1.535000 ;
+      RECT 2.330000  1.895000 3.635000 2.065000 ;
+      RECT 2.430000  0.655000 3.540000 0.825000 ;
+      RECT 2.850000  0.425000 3.200000 0.455000 ;
+      RECT 3.125000  0.995000 3.400000 1.325000 ;
+      RECT 3.125000  1.325000 3.295000 1.535000 ;
+      RECT 3.370000  0.255000 4.220000 0.425000 ;
+      RECT 3.370000  0.425000 3.540000 0.655000 ;
+      RECT 3.465000  1.525000 3.995000 1.695000 ;
+      RECT 3.465000  1.695000 3.635000 1.895000 ;
+      RECT 3.570000  2.235000 3.975000 2.405000 ;
+      RECT 3.710000  0.595000 3.880000 1.375000 ;
+      RECT 3.710000  1.375000 3.995000 1.525000 ;
+      RECT 3.805000  1.895000 4.980000 2.065000 ;
+      RECT 3.805000  2.065000 3.975000 2.235000 ;
+      RECT 4.050000  0.425000 4.220000 1.035000 ;
+      RECT 4.050000  1.035000 4.335000 1.205000 ;
+      RECT 4.145000  2.235000 4.475000 2.635000 ;
+      RECT 4.165000  1.205000 4.335000 1.895000 ;
+      RECT 4.390000  0.085000 4.560000 0.865000 ;
+      RECT 4.565000  1.445000 4.980000 1.715000 ;
+      RECT 4.740000  0.415000 4.980000 1.445000 ;
+      RECT 4.810000  2.065000 4.980000 2.275000 ;
+      RECT 4.810000  2.275000 7.905000 2.445000 ;
+      RECT 5.155000  0.265000 5.570000 0.485000 ;
+      RECT 5.155000  0.485000 5.375000 0.595000 ;
+      RECT 5.155000  0.595000 5.325000 2.105000 ;
+      RECT 5.495000  0.720000 5.910000 0.825000 ;
+      RECT 5.495000  0.825000 5.715000 0.890000 ;
+      RECT 5.495000  0.890000 5.665000 2.275000 ;
+      RECT 5.545000  0.655000 5.910000 0.720000 ;
+      RECT 5.740000  0.320000 5.910000 0.655000 ;
+      RECT 5.855000  1.445000 6.635000 1.615000 ;
+      RECT 5.855000  1.615000 6.270000 2.045000 ;
+      RECT 5.870000  0.995000 6.295000 1.270000 ;
+      RECT 6.080000  0.630000 6.295000 0.995000 ;
+      RECT 6.465000  0.255000 7.610000 0.425000 ;
+      RECT 6.465000  0.425000 6.635000 1.445000 ;
+      RECT 6.805000  0.595000 6.975000 1.935000 ;
+      RECT 6.805000  1.935000 9.115000 2.105000 ;
+      RECT 7.145000  0.425000 7.610000 0.465000 ;
+      RECT 7.485000  0.730000 7.690000 0.945000 ;
+      RECT 7.485000  0.945000 7.795000 1.275000 ;
+      RECT 7.895000  1.495000 8.715000 1.705000 ;
+      RECT 7.935000  0.295000 8.225000 0.735000 ;
+      RECT 7.935000  0.735000 8.715000 0.750000 ;
+      RECT 7.975000  0.750000 8.715000 0.905000 ;
+      RECT 8.315000  2.275000 8.650000 2.635000 ;
+      RECT 8.395000  0.085000 8.565000 0.565000 ;
+      RECT 8.545000  0.905000 8.715000 0.995000 ;
+      RECT 8.545000  0.995000 8.775000 1.325000 ;
+      RECT 8.545000  1.325000 8.715000 1.495000 ;
+      RECT 8.630000  1.875000 9.115000 1.935000 ;
+      RECT 8.815000  0.255000 9.115000 0.585000 ;
+      RECT 8.820000  2.105000 9.115000 2.465000 ;
+      RECT 8.945000  0.585000 9.115000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  1.445000 3.995000 1.615000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.425000 5.375000 0.595000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  0.765000 6.295000 0.935000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.765000 7.675000 0.935000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.425000 8.135000 0.595000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.765000 1.415000 4.055000 1.460000 ;
+      RECT 3.765000 1.460000 6.355000 1.600000 ;
+      RECT 3.765000 1.600000 4.055000 1.645000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.780000 7.735000 0.920000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 0.395000 5.435000 0.440000 ;
+      RECT 5.145000 0.440000 8.195000 0.580000 ;
+      RECT 5.145000 0.580000 5.435000 0.625000 ;
+      RECT 6.065000 0.735000 6.355000 0.780000 ;
+      RECT 6.065000 0.920000 6.355000 0.965000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+      RECT 7.445000 0.735000 7.735000 0.780000 ;
+      RECT 7.445000 0.920000 7.735000 0.965000 ;
+      RECT 7.905000 0.395000 8.195000 0.440000 ;
+      RECT 7.905000 0.580000 8.195000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_2
+MACRO sky130_fd_sc_hd__o221a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.635000 1.075000 3.075000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.075000 2.465000 1.285000 ;
+        RECT 1.980000 1.285000 2.285000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 1.075000 1.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.790000 1.275000 ;
+        RECT 1.500000 1.275000 1.790000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.345000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.295000 0.265000 3.625000 0.735000 ;
+        RECT 3.295000 0.735000 4.055000 0.905000 ;
+        RECT 3.295000 1.875000 4.055000 2.045000 ;
+        RECT 3.295000 2.045000 3.545000 2.465000 ;
+        RECT 3.745000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.170000  0.255000 0.500000 0.635000 ;
+      RECT 0.170000  0.635000 0.715000 0.805000 ;
+      RECT 0.250000  1.495000 1.330000 1.670000 ;
+      RECT 0.250000  1.670000 0.580000 2.465000 ;
+      RECT 0.545000  0.805000 0.715000 1.445000 ;
+      RECT 0.545000  1.445000 1.330000 1.495000 ;
+      RECT 0.670000  0.295000 1.855000 0.465000 ;
+      RECT 0.750000  1.850000 0.990000 2.635000 ;
+      RECT 1.085000  0.645000 1.470000 0.735000 ;
+      RECT 1.085000  0.735000 2.785000 0.905000 ;
+      RECT 1.160000  1.670000 1.330000 1.875000 ;
+      RECT 1.160000  1.875000 2.625000 2.045000 ;
+      RECT 1.550000  2.045000 2.305000 2.465000 ;
+      RECT 2.115000  0.085000 2.285000 0.555000 ;
+      RECT 2.455000  0.270000 2.785000 0.735000 ;
+      RECT 2.455000  1.455000 3.415000 1.625000 ;
+      RECT 2.455000  1.625000 2.625000 1.875000 ;
+      RECT 2.795000  1.795000 3.125000 2.635000 ;
+      RECT 2.955000  0.085000 3.125000 0.905000 ;
+      RECT 3.245000  1.075000 3.575000 1.285000 ;
+      RECT 3.245000  1.285000 3.415000 1.455000 ;
+      RECT 3.715000  2.215000 4.055000 2.635000 ;
+      RECT 3.795000  0.085000 3.965000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_2
+MACRO sky130_fd_sc_hd__o221a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.005000 1.075000 3.605000 1.445000 ;
+        RECT 3.005000 1.445000 4.775000 1.615000 ;
+        RECT 4.525000 1.075000 5.035000 1.275000 ;
+        RECT 4.525000 1.275000 4.775000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 4.355000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.075000 1.520000 1.445000 ;
+        RECT 1.025000 1.445000 2.745000 1.615000 ;
+        RECT 2.415000 1.075000 2.745000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.690000 1.075000 2.245000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.405000 0.735000 ;
+        RECT 5.235000 0.735000 6.920000 0.905000 ;
+        RECT 5.315000 1.785000 5.900000 1.955000 ;
+        RECT 5.315000 1.955000 5.525000 2.465000 ;
+        RECT 5.730000 1.445000 6.920000 1.615000 ;
+        RECT 5.730000 1.615000 5.900000 1.785000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 1.615000 6.365000 2.465000 ;
+        RECT 6.575000 0.905000 6.920000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 2.955000 0.475000 ;
+      RECT 0.085000  0.475000 0.345000 0.895000 ;
+      RECT 0.145000  1.455000 0.395000 2.635000 ;
+      RECT 0.515000  0.645000 0.845000 0.865000 ;
+      RECT 0.565000  1.445000 0.845000 1.785000 ;
+      RECT 0.565000  1.785000 5.145000 1.955000 ;
+      RECT 0.565000  1.955000 0.815000 2.465000 ;
+      RECT 0.610000  0.865000 0.845000 1.445000 ;
+      RECT 0.985000  2.125000 1.235000 2.635000 ;
+      RECT 1.015000  0.475000 1.185000 0.905000 ;
+      RECT 1.355000  0.645000 2.535000 0.715000 ;
+      RECT 1.355000  0.715000 3.885000 0.725000 ;
+      RECT 1.355000  0.725000 4.725000 0.905000 ;
+      RECT 1.405000  2.125000 1.655000 2.295000 ;
+      RECT 1.405000  2.295000 2.495000 2.465000 ;
+      RECT 1.825000  1.955000 2.075000 2.125000 ;
+      RECT 2.245000  2.125000 2.495000 2.295000 ;
+      RECT 2.665000  2.125000 3.425000 2.635000 ;
+      RECT 3.145000  0.085000 3.385000 0.545000 ;
+      RECT 3.555000  0.255000 3.885000 0.715000 ;
+      RECT 3.595000  2.125000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.955000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  2.125000 4.685000 2.295000 ;
+      RECT 4.855000  2.125000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.905000 ;
+      RECT 4.975000  1.445000 5.375000 1.615000 ;
+      RECT 4.975000  1.615000 5.145000 1.785000 ;
+      RECT 5.205000  1.075000 6.405000 1.275000 ;
+      RECT 5.205000  1.275000 5.375000 1.445000 ;
+      RECT 5.695000  2.125000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.830000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_4
+MACRO sky130_fd_sc_hd__o221a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.130000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 1.075000 2.490000 1.285000 ;
+        RECT 2.005000 1.285000 2.380000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.925000 1.075000 1.255000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.815000 1.325000 ;
+        RECT 1.495000 1.325000 1.815000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.415000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 0.265000 4.055000 0.905000 ;
+        RECT 3.390000 1.875000 4.055000 2.465000 ;
+        RECT 3.805000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.240000  1.455000 1.325000 1.625000 ;
+      RECT 0.240000  1.625000 0.540000 2.465000 ;
+      RECT 0.245000  0.255000 0.575000 0.645000 ;
+      RECT 0.245000  0.645000 0.755000 0.825000 ;
+      RECT 0.585000  0.825000 0.755000 1.455000 ;
+      RECT 0.735000  1.795000 0.985000 2.635000 ;
+      RECT 0.745000  0.305000 1.930000 0.475000 ;
+      RECT 1.155000  1.625000 1.325000 1.875000 ;
+      RECT 1.155000  1.875000 2.720000 2.045000 ;
+      RECT 1.160000  0.645000 1.545000 0.735000 ;
+      RECT 1.160000  0.735000 2.860000 0.905000 ;
+      RECT 1.575000  2.045000 2.380000 2.465000 ;
+      RECT 2.190000  0.085000 2.360000 0.555000 ;
+      RECT 2.530000  0.270000 2.860000 0.735000 ;
+      RECT 2.550000  1.455000 3.470000 1.625000 ;
+      RECT 2.550000  1.625000 2.720000 1.875000 ;
+      RECT 2.890000  1.795000 3.220000 2.635000 ;
+      RECT 3.030000  0.085000 3.200000 0.905000 ;
+      RECT 3.300000  1.075000 3.635000 1.285000 ;
+      RECT 3.300000  1.285000 3.470000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_1
+MACRO sky130_fd_sc_hd__mux4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.540000 0.375000 6.850000 0.995000 ;
+        RECT 6.540000 0.995000 6.950000 1.075000 ;
+        RECT 6.640000 1.075000 6.950000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 0.715000 5.120000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.765000 1.240000 1.095000 ;
+        RECT 1.025000 0.395000 1.240000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.715000 2.620000 1.015000 ;
+        RECT 2.415000 1.015000 2.620000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.975000 0.330000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.445000 0.320000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 1.445000 1.395000 1.615000 ;
+        RECT 1.225000 1.285000 1.395000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.445000 1.240000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.130000 1.245000 6.470000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.130000 1.445000 6.300000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.380000 1.460000 ;
+        RECT 0.085000 1.460000 6.360000 1.600000 ;
+        RECT 0.085000 1.600000 0.380000 1.645000 ;
+        RECT 1.010000 1.415000 1.300000 1.460000 ;
+        RECT 1.010000 1.600000 1.300000 1.645000 ;
+        RECT 6.070000 1.415000 6.360000 1.460000 ;
+        RECT 6.070000 1.600000 6.360000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 0.715000 3.080000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.360000 1.835000 7.770000 2.455000 ;
+        RECT 7.440000 0.265000 7.770000 0.725000 ;
+        RECT 7.460000 1.495000 7.770000 1.835000 ;
+        RECT 7.600000 0.725000 7.770000 1.065000 ;
+        RECT 7.600000 1.065000 8.685000 1.305000 ;
+        RECT 7.600000 1.305000 7.770000 1.495000 ;
+        RECT 8.360000 0.265000 8.685000 1.065000 ;
+        RECT 8.360000 1.305000 8.685000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.345000 0.345000 0.635000 ;
+      RECT 0.135000  0.635000 0.670000 0.805000 ;
+      RECT 0.135000  1.915000 1.905000 1.955000 ;
+      RECT 0.135000  1.955000 0.670000 2.085000 ;
+      RECT 0.135000  2.085000 0.345000 2.375000 ;
+      RECT 0.500000  0.805000 0.670000 1.785000 ;
+      RECT 0.500000  1.785000 1.905000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.705000 1.735000 1.035000 ;
+      RECT 1.415000  2.125000 2.245000 2.295000 ;
+      RECT 1.475000  0.365000 2.075000 0.535000 ;
+      RECT 1.565000  1.035000 1.735000 1.575000 ;
+      RECT 1.565000  1.575000 1.905000 1.785000 ;
+      RECT 1.905000  0.535000 2.075000 1.235000 ;
+      RECT 1.905000  1.235000 2.245000 1.405000 ;
+      RECT 2.075000  1.405000 2.245000 2.125000 ;
+      RECT 2.455000  0.085000 2.785000 0.545000 ;
+      RECT 2.600000  2.055000 2.830000 2.635000 ;
+      RECT 2.975000  1.785000 3.320000 1.955000 ;
+      RECT 2.990000  0.295000 3.420000 0.465000 ;
+      RECT 3.150000  1.490000 3.420000 1.660000 ;
+      RECT 3.150000  1.660000 3.320000 1.785000 ;
+      RECT 3.250000  0.465000 3.420000 1.060000 ;
+      RECT 3.250000  1.060000 3.485000 1.390000 ;
+      RECT 3.250000  1.390000 3.420000 1.490000 ;
+      RECT 3.310000  2.125000 3.825000 2.295000 ;
+      RECT 3.575000  1.810000 3.825000 2.125000 ;
+      RECT 3.590000  0.345000 3.825000 0.675000 ;
+      RECT 3.655000  0.675000 3.825000 1.810000 ;
+      RECT 3.995000  0.345000 4.185000 2.125000 ;
+      RECT 3.995000  2.125000 4.520000 2.295000 ;
+      RECT 4.400000  0.255000 4.605000 0.585000 ;
+      RECT 4.400000  0.585000 4.570000 1.565000 ;
+      RECT 4.400000  1.565000 5.500000 1.735000 ;
+      RECT 4.400000  1.735000 4.590000 1.895000 ;
+      RECT 4.760000  2.005000 5.105000 2.635000 ;
+      RECT 4.800000  0.085000 5.130000 0.545000 ;
+      RECT 5.330000  0.295000 6.225000 0.465000 ;
+      RECT 5.330000  0.465000 5.500000 1.565000 ;
+      RECT 5.330000  1.735000 5.500000 2.155000 ;
+      RECT 5.330000  2.155000 6.280000 2.325000 ;
+      RECT 5.670000  0.705000 6.290000 1.035000 ;
+      RECT 5.670000  1.035000 5.960000 1.985000 ;
+      RECT 6.530000  2.125000 6.850000 2.295000 ;
+      RECT 6.680000  1.495000 7.290000 1.665000 ;
+      RECT 6.680000  1.665000 6.850000 2.125000 ;
+      RECT 7.020000  0.085000 7.270000 0.815000 ;
+      RECT 7.020000  1.835000 7.190000 2.635000 ;
+      RECT 7.120000  0.995000 7.430000 1.325000 ;
+      RECT 7.120000  1.325000 7.290000 1.495000 ;
+      RECT 7.940000  0.085000 8.190000 0.885000 ;
+      RECT 7.940000  1.495000 8.190000 2.635000 ;
+      RECT 8.855000  0.085000 9.105000 0.885000 ;
+      RECT 8.855000  1.495000 9.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.785000 1.700000 1.955000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  2.125000 2.160000 2.295000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.370000  2.125000 3.540000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  2.125000 4.460000 2.295000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.670000  1.785000 5.840000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  2.125000 6.760000 2.295000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.755000 1.760000 1.800000 ;
+      RECT 1.470000 1.800000 5.900000 1.940000 ;
+      RECT 1.470000 1.940000 1.760000 1.985000 ;
+      RECT 1.930000 2.095000 2.220000 2.140000 ;
+      RECT 1.930000 2.140000 3.600000 2.280000 ;
+      RECT 1.930000 2.280000 2.220000 2.325000 ;
+      RECT 3.310000 2.095000 3.600000 2.140000 ;
+      RECT 3.310000 2.280000 3.600000 2.325000 ;
+      RECT 4.230000 2.095000 4.520000 2.140000 ;
+      RECT 4.230000 2.140000 6.820000 2.280000 ;
+      RECT 4.230000 2.280000 4.520000 2.325000 ;
+      RECT 5.610000 1.755000 5.900000 1.800000 ;
+      RECT 5.610000 1.940000 5.900000 1.985000 ;
+      RECT 6.530000 2.095000 6.820000 2.140000 ;
+      RECT 6.530000 2.280000 6.820000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_4
+MACRO sky130_fd_sc_hd__mux4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.535000 0.375000 6.845000 0.995000 ;
+        RECT 6.535000 0.995000 6.945000 1.075000 ;
+        RECT 6.635000 1.075000 6.945000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.715000 5.115000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.765000 1.235000 1.095000 ;
+        RECT 1.020000 0.395000 1.235000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.715000 2.615000 1.015000 ;
+        RECT 2.410000 1.015000 2.615000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.975000 0.325000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.445000 0.315000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.445000 1.390000 1.615000 ;
+        RECT 1.220000 1.285000 1.390000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.445000 1.235000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.125000 1.245000 6.465000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.125000 1.445000 6.295000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.375000 1.460000 ;
+        RECT 0.085000 1.460000 6.355000 1.600000 ;
+        RECT 0.085000 1.600000 0.375000 1.645000 ;
+        RECT 1.005000 1.415000 1.295000 1.460000 ;
+        RECT 1.005000 1.600000 1.295000 1.645000 ;
+        RECT 6.065000 1.415000 6.355000 1.460000 ;
+        RECT 6.065000 1.600000 6.355000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 0.715000 3.075000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.355000 1.835000 7.765000 2.455000 ;
+        RECT 7.435000 0.265000 7.765000 0.725000 ;
+        RECT 7.455000 1.495000 7.765000 1.835000 ;
+        RECT 7.595000 0.725000 7.765000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.665000 0.805000 ;
+      RECT 0.175000  1.915000 1.900000 1.955000 ;
+      RECT 0.175000  1.955000 0.665000 2.085000 ;
+      RECT 0.175000  2.085000 0.345000 2.375000 ;
+      RECT 0.495000  0.805000 0.665000 1.785000 ;
+      RECT 0.495000  1.785000 1.900000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.405000  0.705000 1.730000 1.035000 ;
+      RECT 1.410000  2.125000 2.240000 2.295000 ;
+      RECT 1.470000  0.365000 2.070000 0.535000 ;
+      RECT 1.560000  1.035000 1.730000 1.575000 ;
+      RECT 1.560000  1.575000 1.900000 1.785000 ;
+      RECT 1.900000  0.535000 2.070000 1.235000 ;
+      RECT 1.900000  1.235000 2.240000 1.405000 ;
+      RECT 2.070000  1.405000 2.240000 2.125000 ;
+      RECT 2.450000  0.085000 2.780000 0.545000 ;
+      RECT 2.595000  2.055000 2.825000 2.635000 ;
+      RECT 2.970000  1.785000 3.315000 1.955000 ;
+      RECT 2.985000  0.295000 3.415000 0.465000 ;
+      RECT 3.145000  1.490000 3.415000 1.660000 ;
+      RECT 3.145000  1.660000 3.315000 1.785000 ;
+      RECT 3.245000  0.465000 3.415000 1.060000 ;
+      RECT 3.245000  1.060000 3.480000 1.390000 ;
+      RECT 3.245000  1.390000 3.415000 1.490000 ;
+      RECT 3.305000  2.125000 3.820000 2.295000 ;
+      RECT 3.565000  1.810000 3.820000 2.125000 ;
+      RECT 3.585000  0.345000 3.820000 0.675000 ;
+      RECT 3.650000  0.675000 3.820000 1.810000 ;
+      RECT 3.990000  0.345000 4.180000 2.125000 ;
+      RECT 3.990000  2.125000 4.515000 2.295000 ;
+      RECT 4.395000  0.255000 4.600000 0.585000 ;
+      RECT 4.395000  0.585000 4.565000 1.565000 ;
+      RECT 4.395000  1.565000 5.495000 1.735000 ;
+      RECT 4.395000  1.735000 4.585000 1.895000 ;
+      RECT 4.755000  2.005000 5.100000 2.635000 ;
+      RECT 4.795000  0.085000 5.125000 0.545000 ;
+      RECT 5.325000  0.295000 6.220000 0.465000 ;
+      RECT 5.325000  0.465000 5.495000 1.565000 ;
+      RECT 5.325000  1.735000 5.495000 2.155000 ;
+      RECT 5.325000  2.155000 6.275000 2.325000 ;
+      RECT 5.665000  0.705000 6.285000 1.035000 ;
+      RECT 5.665000  1.035000 5.955000 1.985000 ;
+      RECT 6.525000  2.125000 6.845000 2.295000 ;
+      RECT 6.675000  1.495000 7.285000 1.665000 ;
+      RECT 6.675000  1.665000 6.845000 2.125000 ;
+      RECT 7.015000  0.085000 7.265000 0.815000 ;
+      RECT 7.015000  1.835000 7.185000 2.635000 ;
+      RECT 7.115000  0.995000 7.425000 1.325000 ;
+      RECT 7.115000  1.325000 7.285000 1.495000 ;
+      RECT 7.935000  0.085000 8.190000 0.885000 ;
+      RECT 7.935000  1.495000 8.185000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.785000 1.695000 1.955000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.125000 2.155000 2.295000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.125000 3.535000 2.295000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  1.785000 5.835000 1.955000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.125000 6.755000 2.295000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.800000 5.895000 1.940000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+      RECT 1.925000 2.095000 2.215000 2.140000 ;
+      RECT 1.925000 2.140000 3.595000 2.280000 ;
+      RECT 1.925000 2.280000 2.215000 2.325000 ;
+      RECT 3.305000 2.095000 3.595000 2.140000 ;
+      RECT 3.305000 2.280000 3.595000 2.325000 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.815000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 5.605000 1.755000 5.895000 1.800000 ;
+      RECT 5.605000 1.940000 5.895000 1.985000 ;
+      RECT 6.525000 2.095000 6.815000 2.140000 ;
+      RECT 6.525000 2.280000 6.815000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_2
+MACRO sky130_fd_sc_hd__mux4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 0.995000 1.240000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.495000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.250000 1.055000 5.580000 1.675000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.800000 1.055000 5.045000 1.675000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.265000 0.995000 3.565000 1.995000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.995000 6.345000 1.675000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 0.255000 9.575000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 1.185000 0.805000 ;
+      RECT 0.175000  1.795000 1.705000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 2.090000 0.425000 ;
+      RECT 1.015000  0.425000 1.185000 0.635000 ;
+      RECT 1.015000  2.135000 1.185000 2.295000 ;
+      RECT 1.015000  2.295000 2.545000 2.465000 ;
+      RECT 1.410000  0.595000 1.750000 0.765000 ;
+      RECT 1.410000  0.765000 1.700000 0.935000 ;
+      RECT 1.410000  0.935000 1.580000 1.455000 ;
+      RECT 1.410000  1.455000 2.045000 1.625000 ;
+      RECT 1.535000  1.965000 1.705000 2.125000 ;
+      RECT 1.875000  1.625000 2.045000 1.955000 ;
+      RECT 1.875000  1.955000 2.205000 2.125000 ;
+      RECT 1.920000  0.425000 2.090000 0.760000 ;
+      RECT 2.080000  1.105000 2.620000 1.285000 ;
+      RECT 2.260000  0.430000 2.620000 1.105000 ;
+      RECT 2.260000  1.285000 2.620000 1.395000 ;
+      RECT 2.260000  1.395000 3.065000 1.625000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.715000  1.625000 3.065000 2.465000 ;
+      RECT 2.800000  0.085000 3.090000 0.805000 ;
+      RECT 3.235000  2.255000 3.565000 2.635000 ;
+      RECT 3.380000  0.255000 4.980000 0.425000 ;
+      RECT 3.380000  0.425000 3.550000 0.795000 ;
+      RECT 3.720000  0.595000 4.050000 0.845000 ;
+      RECT 3.735000  0.845000 4.050000 0.920000 ;
+      RECT 3.735000  0.920000 3.905000 1.445000 ;
+      RECT 3.735000  1.445000 4.495000 1.615000 ;
+      RECT 3.825000  1.785000 3.995000 2.295000 ;
+      RECT 3.825000  2.295000 4.835000 2.465000 ;
+      RECT 4.075000  1.095000 4.405000 1.105000 ;
+      RECT 4.075000  1.105000 4.460000 1.265000 ;
+      RECT 4.165000  1.615000 4.495000 2.125000 ;
+      RECT 4.220000  0.595000 4.390000 0.715000 ;
+      RECT 4.220000  0.715000 5.740000 0.885000 ;
+      RECT 4.220000  0.885000 4.390000 0.925000 ;
+      RECT 4.290000  1.265000 4.460000 1.275000 ;
+      RECT 4.625000  0.425000 4.980000 0.465000 ;
+      RECT 4.665000  1.915000 5.730000 2.085000 ;
+      RECT 4.665000  2.085000 4.835000 2.295000 ;
+      RECT 5.060000  2.255000 5.390000 2.635000 ;
+      RECT 5.150000  0.085000 5.320000 0.545000 ;
+      RECT 5.495000  0.295000 5.740000 0.715000 ;
+      RECT 5.560000  2.085000 5.730000 2.465000 ;
+      RECT 5.980000  2.255000 6.330000 2.635000 ;
+      RECT 6.010000  0.085000 6.340000 0.465000 ;
+      RECT 6.500000  2.135000 6.685000 2.465000 ;
+      RECT 6.510000  0.325000 6.685000 0.655000 ;
+      RECT 6.515000  0.655000 6.685000 1.105000 ;
+      RECT 6.515000  1.105000 6.805000 1.275000 ;
+      RECT 6.515000  1.275000 6.685000 2.135000 ;
+      RECT 6.980000  0.765000 7.220000 0.935000 ;
+      RECT 6.980000  0.935000 7.150000 2.135000 ;
+      RECT 6.980000  2.135000 7.190000 2.465000 ;
+      RECT 7.030000  0.255000 7.200000 0.415000 ;
+      RECT 7.030000  0.415000 7.560000 0.585000 ;
+      RECT 7.360000  2.255000 7.690000 2.295000 ;
+      RECT 7.360000  2.295000 8.645000 2.465000 ;
+      RECT 7.390000  0.585000 7.560000 1.755000 ;
+      RECT 7.390000  1.755000 8.175000 1.985000 ;
+      RECT 7.730000  0.255000 8.725000 0.425000 ;
+      RECT 7.730000  0.425000 7.900000 0.585000 ;
+      RECT 7.845000  1.985000 8.175000 2.125000 ;
+      RECT 7.970000  0.765000 8.385000 0.925000 ;
+      RECT 7.970000  0.925000 8.380000 0.935000 ;
+      RECT 8.190000  1.105000 8.645000 1.275000 ;
+      RECT 8.210000  0.595000 8.385000 0.765000 ;
+      RECT 8.475000  1.665000 9.125000 1.835000 ;
+      RECT 8.475000  1.835000 8.645000 2.295000 ;
+      RECT 8.555000  0.425000 8.725000 0.715000 ;
+      RECT 8.555000  0.715000 9.125000 0.885000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 8.895000  0.085000 9.065000 0.545000 ;
+      RECT 8.955000  0.885000 9.125000 1.665000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.105000 2.620000 1.275000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.105000 4.460000 1.275000 ;
+      RECT 4.325000  1.785000 4.495000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.635000  1.105000 6.805000 1.275000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.050000  0.765000 7.220000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.555000  1.785000 7.725000 1.955000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.475000  1.105000 8.645000 1.275000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 8.200000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 2.390000 1.075000 2.680000 1.120000 ;
+      RECT 2.390000 1.120000 4.520000 1.260000 ;
+      RECT 2.390000 1.260000 2.680000 1.305000 ;
+      RECT 4.230000 1.075000 4.520000 1.120000 ;
+      RECT 4.230000 1.260000 4.520000 1.305000 ;
+      RECT 4.265000 1.755000 4.555000 1.800000 ;
+      RECT 4.265000 1.800000 7.785000 1.940000 ;
+      RECT 4.265000 1.940000 4.555000 1.985000 ;
+      RECT 6.575000 1.075000 6.865000 1.120000 ;
+      RECT 6.575000 1.120000 8.705000 1.260000 ;
+      RECT 6.575000 1.260000 6.865000 1.305000 ;
+      RECT 6.990000 0.735000 7.280000 0.780000 ;
+      RECT 6.990000 0.920000 7.280000 0.965000 ;
+      RECT 7.495000 1.755000 7.785000 1.800000 ;
+      RECT 7.495000 1.940000 7.785000 1.985000 ;
+      RECT 7.910000 0.735000 8.200000 0.780000 ;
+      RECT 7.910000 0.920000 8.200000 0.965000 ;
+      RECT 8.415000 1.075000 8.705000 1.120000 ;
+      RECT 8.415000 1.260000 8.705000 1.305000 ;
+  END
+END sky130_fd_sc_hd__mux4_1
+MACRO sky130_fd_sc_hd__fa_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.080000 0.995000 2.680000 1.275000 ;
+        RECT 2.080000 1.275000 2.340000 1.325000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 1.030000 4.000000 1.360000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.105000 4.000000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.645000 0.955000 6.005000 1.275000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.105000 5.860000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 0.995000 7.885000 1.275000 ;
+      LAYER mcon ;
+        RECT 7.070000 1.105000 7.240000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.120000 7.300000 1.260000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 3.770000 1.075000 4.060000 1.120000 ;
+        RECT 3.770000 1.260000 4.060000 1.305000 ;
+        RECT 5.630000 1.075000 5.920000 1.120000 ;
+        RECT 5.630000 1.260000 5.920000 1.305000 ;
+        RECT 7.010000 1.075000 7.300000 1.120000 ;
+        RECT 7.010000 1.260000 7.300000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.445000 3.080000 1.690000 ;
+      LAYER mcon ;
+        RECT 2.910000 1.445000 3.080000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.580000 1.435000 4.995000 1.745000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.445000 4.940000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.075000 1.445000 7.760000 1.735000 ;
+      LAYER mcon ;
+        RECT 7.530000 1.445000 7.700000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.850000 1.415000 3.140000 1.460000 ;
+        RECT 2.850000 1.460000 7.760000 1.600000 ;
+        RECT 2.850000 1.600000 3.140000 1.645000 ;
+        RECT 4.710000 1.415000 5.000000 1.460000 ;
+        RECT 4.710000 1.600000 5.000000 1.645000 ;
+        RECT 7.470000 1.415000 7.760000 1.460000 ;
+        RECT 7.470000 1.600000 7.760000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.477000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.105000 3.420000 1.275000 ;
+        RECT 3.250000 1.275000 3.420000 1.570000 ;
+        RECT 3.250000 1.570000 4.340000 1.740000 ;
+        RECT 4.170000 0.965000 5.390000 1.250000 ;
+        RECT 4.170000 1.250000 4.340000 1.570000 ;
+        RECT 5.220000 1.250000 5.390000 1.435000 ;
+        RECT 5.220000 1.435000 5.580000 1.515000 ;
+        RECT 5.220000 1.515000 6.845000 1.685000 ;
+        RECT 6.595000 1.355000 6.845000 1.515000 ;
+        RECT 6.595000 1.685000 6.845000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 1.525000 0.905000 ;
+        RECT 0.085000 0.905000 0.435000 1.415000 ;
+        RECT 0.085000 1.415000 1.570000 1.585000 ;
+        RECT 0.515000 0.255000 0.845000 0.735000 ;
+        RECT 0.515000 1.585000 0.845000 2.445000 ;
+        RECT 1.355000 0.315000 1.685000 0.485000 ;
+        RECT 1.355000 0.485000 1.525000 0.735000 ;
+        RECT 1.400000 1.585000 1.570000 1.780000 ;
+        RECT 1.400000 1.780000 1.645000 1.950000 ;
+        RECT 1.435000 1.950000 1.645000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.943000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.320000 0.255000  8.650000 0.485000 ;
+        RECT 8.320000 1.795000  8.570000 1.965000 ;
+        RECT 8.320000 1.965000  8.490000 2.465000 ;
+        RECT 8.400000 0.485000  8.650000 0.735000 ;
+        RECT 8.400000 0.735000 10.035000 0.905000 ;
+        RECT 8.400000 1.415000 10.035000 1.585000 ;
+        RECT 8.400000 1.585000  8.570000 1.795000 ;
+        RECT 9.160000 0.270000  9.490000 0.735000 ;
+        RECT 9.160000 1.585000  9.490000 2.425000 ;
+        RECT 9.700000 0.905000 10.035000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.565000 ;
+      RECT 0.175000  1.795000  0.345000 2.635000 ;
+      RECT 0.605000  1.075000  1.910000 1.245000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.795000  1.185000 2.635000 ;
+      RECT 1.740000  0.655000  3.090000 0.825000 ;
+      RECT 1.740000  0.825000  1.910000 1.075000 ;
+      RECT 1.740000  1.245000  1.910000 1.430000 ;
+      RECT 1.740000  1.430000  1.945000 1.495000 ;
+      RECT 1.740000  1.495000  2.310000 1.600000 ;
+      RECT 1.775000  1.600000  2.310000 1.665000 ;
+      RECT 1.815000  2.275000  2.145000 2.635000 ;
+      RECT 1.855000  0.085000  2.185000 0.465000 ;
+      RECT 2.140000  1.665000  2.310000 1.910000 ;
+      RECT 2.140000  1.910000  3.170000 2.080000 ;
+      RECT 2.370000  0.255000  3.090000 0.655000 ;
+      RECT 2.735000  2.080000  3.170000 2.465000 ;
+      RECT 2.850000  0.825000  3.090000 0.935000 ;
+      RECT 3.340000  0.255000  3.510000 0.615000 ;
+      RECT 3.340000  0.615000  4.350000 0.785000 ;
+      RECT 3.340000  1.935000  4.415000 2.105000 ;
+      RECT 3.340000  2.105000  3.510000 2.465000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 3.680000  2.275000  4.010000 2.635000 ;
+      RECT 4.180000  0.255000  4.350000 0.615000 ;
+      RECT 4.180000  2.105000  4.415000 2.465000 ;
+      RECT 4.620000  0.085000  4.950000 0.490000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  0.255000  5.290000 0.615000 ;
+      RECT 5.120000  0.615000  6.130000 0.785000 ;
+      RECT 5.120000  1.935000  6.130000 2.105000 ;
+      RECT 5.120000  2.105000  5.290000 2.465000 ;
+      RECT 5.460000  0.085000  5.790000 0.445000 ;
+      RECT 5.460000  2.275000  5.790000 2.635000 ;
+      RECT 5.960000  0.255000  6.130000 0.615000 ;
+      RECT 5.960000  2.105000  6.130000 2.465000 ;
+      RECT 6.175000  0.955000  6.860000 1.125000 ;
+      RECT 6.345000  0.765000  6.860000 0.955000 ;
+      RECT 6.410000  2.125000  7.610000 2.465000 ;
+      RECT 6.465000  0.255000  7.475000 0.505000 ;
+      RECT 6.465000  0.505000  6.635000 0.595000 ;
+      RECT 7.305000  0.505000  7.475000 0.655000 ;
+      RECT 7.305000  0.655000  8.225000 0.825000 ;
+      RECT 7.440000  1.935000  8.105000 2.105000 ;
+      RECT 7.440000  2.105000  7.610000 2.125000 ;
+      RECT 7.705000  0.085000  8.035000 0.445000 ;
+      RECT 7.815000  2.275000  8.145000 2.635000 ;
+      RECT 7.935000  1.470000  8.225000 1.640000 ;
+      RECT 7.935000  1.640000  8.105000 1.935000 ;
+      RECT 8.055000  0.825000  8.225000 1.075000 ;
+      RECT 8.055000  1.075000  9.445000 1.245000 ;
+      RECT 8.055000  1.245000  8.225000 1.470000 ;
+      RECT 8.740000  1.795000  8.910000 2.635000 ;
+      RECT 8.820000  0.085000  8.990000 0.565000 ;
+      RECT 9.660000  0.085000  9.830000 0.565000 ;
+      RECT 9.660000  1.795000  9.830000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.910000  0.765000 3.080000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.610000  0.765000 6.780000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.850000 0.735000 3.140000 0.780000 ;
+      RECT 2.850000 0.780000 6.840000 0.920000 ;
+      RECT 2.850000 0.920000 3.140000 0.965000 ;
+      RECT 6.550000 0.735000 6.840000 0.780000 ;
+      RECT 6.550000 0.920000 6.840000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_4
+MACRO sky130_fd_sc_hd__fa_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.275000 ;
+        RECT 0.910000 1.275000 1.080000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.105000 1.240000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.230000 1.030000 2.620000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.250000 0.955000 4.625000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.310000 1.105000 4.480000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 1.035000 6.325000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.150000 1.105000 6.320000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.010000 1.075000 1.300000 1.120000 ;
+        RECT 1.010000 1.120000 6.380000 1.260000 ;
+        RECT 1.010000 1.260000 1.300000 1.305000 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 4.250000 1.075000 4.540000 1.120000 ;
+        RECT 4.250000 1.260000 4.540000 1.305000 ;
+        RECT 6.090000 1.075000 6.380000 1.120000 ;
+        RECT 6.090000 1.260000 6.380000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.445000 1.700000 1.880000 ;
+      LAYER mcon ;
+        RECT 1.530000 1.445000 1.700000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200000 1.435000 3.560000 1.765000 ;
+      LAYER mcon ;
+        RECT 3.390000 1.445000 3.560000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.635000 1.445000 6.055000 1.765000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.445000 5.860000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.470000 1.415000 1.760000 1.460000 ;
+        RECT 1.470000 1.460000 5.920000 1.600000 ;
+        RECT 1.470000 1.600000 1.760000 1.645000 ;
+        RECT 3.330000 1.415000 3.620000 1.460000 ;
+        RECT 3.330000 1.600000 3.620000 1.645000 ;
+        RECT 5.630000 1.415000 5.920000 1.460000 ;
+        RECT 5.630000 1.600000 5.920000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.670000 1.105000 2.040000 1.275000 ;
+        RECT 1.870000 1.275000 2.040000 1.595000 ;
+        RECT 1.870000 1.595000 2.960000 1.765000 ;
+        RECT 2.790000 0.965000 3.955000 1.250000 ;
+        RECT 2.790000 1.250000 2.960000 1.595000 ;
+        RECT 3.785000 1.250000 3.955000 1.515000 ;
+        RECT 3.785000 1.515000 5.405000 1.685000 ;
+        RECT 5.155000 1.685000 5.405000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.830000 ;
+        RECT 0.085000 0.830000 0.260000 1.485000 ;
+        RECT 0.085000 1.485000 0.345000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.840000 0.255000 7.240000 0.810000 ;
+        RECT 6.840000 1.485000 7.240000 2.465000 ;
+        RECT 6.910000 0.810000 7.240000 1.485000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  0.635000 1.710000 0.805000 ;
+      RECT 0.515000  0.805000 0.685000 0.995000 ;
+      RECT 0.515000  1.325000 0.685000 1.625000 ;
+      RECT 0.515000  1.625000 1.105000 1.945000 ;
+      RECT 0.515000  2.150000 0.765000 2.635000 ;
+      RECT 0.935000  1.945000 1.105000 2.065000 ;
+      RECT 0.935000  2.065000 1.710000 2.465000 ;
+      RECT 1.110000  0.255000 1.710000 0.635000 ;
+      RECT 1.470000  0.805000 1.710000 0.935000 ;
+      RECT 1.960000  0.255000 2.130000 0.615000 ;
+      RECT 1.960000  0.615000 2.970000 0.785000 ;
+      RECT 1.960000  1.935000 3.035000 2.105000 ;
+      RECT 1.960000  2.105000 2.130000 2.465000 ;
+      RECT 2.300000  0.085000 2.630000 0.445000 ;
+      RECT 2.300000  2.275000 2.630000 2.635000 ;
+      RECT 2.800000  0.255000 2.970000 0.615000 ;
+      RECT 2.800000  2.105000 3.035000 2.465000 ;
+      RECT 3.240000  0.085000 3.570000 0.490000 ;
+      RECT 3.240000  2.255000 3.570000 2.635000 ;
+      RECT 3.740000  0.255000 3.910000 0.615000 ;
+      RECT 3.740000  0.615000 4.750000 0.785000 ;
+      RECT 3.740000  1.935000 4.750000 2.105000 ;
+      RECT 3.740000  2.105000 3.910000 2.465000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.080000  2.275000 4.410000 2.635000 ;
+      RECT 4.580000  0.255000 4.750000 0.615000 ;
+      RECT 4.580000  2.105000 4.750000 2.465000 ;
+      RECT 4.795000  0.955000 5.460000 1.125000 ;
+      RECT 4.965000  0.765000 5.460000 0.955000 ;
+      RECT 5.085000  0.255000 6.095000 0.505000 ;
+      RECT 5.085000  0.505000 5.255000 0.595000 ;
+      RECT 5.085000  2.125000 6.170000 2.465000 ;
+      RECT 5.925000  0.505000 6.095000 0.615000 ;
+      RECT 5.925000  0.615000 6.665000 0.785000 ;
+      RECT 6.000000  1.935000 6.665000 2.105000 ;
+      RECT 6.000000  2.105000 6.170000 2.125000 ;
+      RECT 6.265000  0.085000 6.595000 0.445000 ;
+      RECT 6.340000  2.275000 6.670000 2.635000 ;
+      RECT 6.495000  0.785000 6.665000 0.995000 ;
+      RECT 6.495000  0.995000 6.740000 1.325000 ;
+      RECT 6.495000  1.325000 6.665000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  0.765000 5.400000 0.935000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 5.460000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 5.170000 0.735000 5.460000 0.780000 ;
+      RECT 5.170000 0.920000 5.460000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_1
+MACRO sky130_fd_sc_hd__fa_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.995000 1.755000 1.275000 ;
+        RECT 1.245000 1.275000 1.505000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.030000 3.075000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.905000 1.105000 3.075000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 0.955000 5.080000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.765000 1.105000 4.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.105000 0.995000 6.960000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.145000 1.105000 6.315000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000 1.755000 1.120000 ;
+        RECT 1.465000 1.120000 6.375000 1.260000 ;
+        RECT 1.465000 1.260000 1.755000 1.305000 ;
+        RECT 2.845000 1.075000 3.135000 1.120000 ;
+        RECT 2.845000 1.260000 3.135000 1.305000 ;
+        RECT 4.705000 1.075000 4.995000 1.120000 ;
+        RECT 4.705000 1.260000 4.995000 1.305000 ;
+        RECT 6.085000 1.075000 6.375000 1.120000 ;
+        RECT 6.085000 1.260000 6.375000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.645000 1.445000 2.155000 1.690000 ;
+      LAYER mcon ;
+        RECT 1.985000 1.445000 2.155000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.655000 1.435000 4.070000 1.745000 ;
+      LAYER mcon ;
+        RECT 3.845000 1.445000 4.015000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.445000 6.835000 1.735000 ;
+      LAYER mcon ;
+        RECT 6.605000 1.445000 6.775000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.925000 1.415000 2.215000 1.460000 ;
+        RECT 1.925000 1.460000 6.835000 1.600000 ;
+        RECT 1.925000 1.600000 2.215000 1.645000 ;
+        RECT 3.785000 1.415000 4.075000 1.460000 ;
+        RECT 3.785000 1.600000 4.075000 1.645000 ;
+        RECT 6.545000 1.415000 6.835000 1.460000 ;
+        RECT 6.545000 1.600000 6.835000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.475500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.125000 1.105000 2.495000 1.275000 ;
+        RECT 2.325000 1.275000 2.495000 1.570000 ;
+        RECT 2.325000 1.570000 3.415000 1.740000 ;
+        RECT 3.245000 0.965000 4.465000 1.250000 ;
+        RECT 3.245000 1.250000 3.415000 1.570000 ;
+        RECT 4.295000 1.250000 4.465000 1.435000 ;
+        RECT 4.295000 1.435000 4.655000 1.515000 ;
+        RECT 4.295000 1.515000 5.920000 1.685000 ;
+        RECT 5.670000 1.355000 5.920000 1.515000 ;
+        RECT 5.670000 1.685000 5.920000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 0.690000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.415000 ;
+        RECT 0.085000 1.415000 0.735000 1.585000 ;
+        RECT 0.520000 0.315000 0.850000 0.485000 ;
+        RECT 0.520000 0.485000 0.690000 0.735000 ;
+        RECT 0.565000 1.585000 0.735000 1.780000 ;
+        RECT 0.565000 1.780000 0.810000 1.950000 ;
+        RECT 0.600000 1.950000 0.810000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.523500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.395000 0.255000 7.725000 0.485000 ;
+        RECT 7.395000 1.795000 7.645000 1.965000 ;
+        RECT 7.395000 1.965000 7.565000 2.465000 ;
+        RECT 7.475000 0.485000 7.725000 0.735000 ;
+        RECT 7.475000 0.735000 8.195000 0.905000 ;
+        RECT 7.475000 1.415000 8.195000 1.585000 ;
+        RECT 7.475000 1.585000 7.645000 1.795000 ;
+        RECT 7.970000 0.905000 8.195000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.565000 ;
+      RECT 0.180000  1.795000 0.350000 2.635000 ;
+      RECT 0.540000  1.075000 1.075000 1.245000 ;
+      RECT 0.905000  0.655000 2.165000 0.825000 ;
+      RECT 0.905000  0.825000 1.075000 1.075000 ;
+      RECT 0.905000  1.245000 1.075000 1.430000 ;
+      RECT 0.905000  1.430000 1.110000 1.495000 ;
+      RECT 0.905000  1.495000 1.475000 1.600000 ;
+      RECT 0.940000  1.600000 1.475000 1.665000 ;
+      RECT 0.980000  2.275000 1.310000 2.635000 ;
+      RECT 1.020000  0.085000 1.350000 0.465000 ;
+      RECT 1.305000  1.665000 1.475000 1.910000 ;
+      RECT 1.305000  1.910000 2.245000 2.080000 ;
+      RECT 1.535000  0.255000 2.165000 0.655000 ;
+      RECT 1.900000  2.080000 2.245000 2.465000 ;
+      RECT 1.925000  0.825000 2.165000 0.935000 ;
+      RECT 2.415000  0.255000 2.585000 0.615000 ;
+      RECT 2.415000  0.615000 3.425000 0.785000 ;
+      RECT 2.415000  1.935000 3.490000 2.105000 ;
+      RECT 2.415000  2.105000 2.585000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.445000 ;
+      RECT 2.755000  2.275000 3.085000 2.635000 ;
+      RECT 3.255000  0.255000 3.425000 0.615000 ;
+      RECT 3.255000  2.105000 3.490000 2.465000 ;
+      RECT 3.695000  0.085000 4.025000 0.490000 ;
+      RECT 3.695000  1.915000 4.025000 2.635000 ;
+      RECT 4.195000  0.255000 4.365000 0.615000 ;
+      RECT 4.195000  0.615000 5.205000 0.785000 ;
+      RECT 4.195000  1.935000 5.205000 2.105000 ;
+      RECT 4.195000  2.105000 4.365000 2.465000 ;
+      RECT 4.535000  0.085000 4.865000 0.445000 ;
+      RECT 4.535000  2.275000 4.865000 2.635000 ;
+      RECT 5.035000  0.255000 5.205000 0.615000 ;
+      RECT 5.035000  2.105000 5.205000 2.465000 ;
+      RECT 5.250000  0.955000 5.935000 1.125000 ;
+      RECT 5.420000  0.765000 5.935000 0.955000 ;
+      RECT 5.485000  2.125000 6.685000 2.465000 ;
+      RECT 5.540000  0.255000 6.550000 0.505000 ;
+      RECT 5.540000  0.505000 5.710000 0.595000 ;
+      RECT 6.380000  0.505000 6.550000 0.655000 ;
+      RECT 6.380000  0.655000 7.300000 0.825000 ;
+      RECT 6.515000  1.935000 7.180000 2.105000 ;
+      RECT 6.515000  2.105000 6.685000 2.125000 ;
+      RECT 6.780000  0.085000 7.110000 0.445000 ;
+      RECT 6.890000  2.275000 7.220000 2.635000 ;
+      RECT 7.010000  1.470000 7.300000 1.640000 ;
+      RECT 7.010000  1.640000 7.180000 1.935000 ;
+      RECT 7.130000  0.825000 7.300000 1.075000 ;
+      RECT 7.130000  1.075000 7.800000 1.245000 ;
+      RECT 7.130000  1.245000 7.300000 1.470000 ;
+      RECT 7.815000  1.795000 7.985000 2.635000 ;
+      RECT 7.895000  0.085000 8.065000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.685000  0.765000 5.855000 0.935000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 5.915000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 5.625000 0.735000 5.915000 0.780000 ;
+      RECT 5.625000 0.920000 5.915000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_2
+MACRO sky130_fd_sc_hd__mux2i_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 0.995000 1.070000 1.105000 ;
+        RECT 0.560000 1.105000 1.240000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 3.550000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  1.237500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.845000 1.075000 5.930000 1.290000 ;
+        RECT 5.760000 1.290000 5.930000 1.425000 ;
+        RECT 5.760000 1.425000 7.850000 1.595000 ;
+        RECT 7.680000 0.995000 7.850000 1.425000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  2.194500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.315000 3.785000 0.485000 ;
+        RECT 0.095000 0.485000 0.320000 2.255000 ;
+        RECT 0.095000 2.255000 3.785000 2.425000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.515000  0.655000 1.700000 0.825000 ;
+      RECT 0.515000  1.575000 5.580000 1.745000 ;
+      RECT 1.355000  0.825000 1.700000 0.935000 ;
+      RECT 2.195000  0.655000 5.485000 0.825000 ;
+      RECT 2.195000  1.915000 7.165000 2.085000 ;
+      RECT 3.975000  0.085000 4.305000 0.465000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.475000  0.255000 4.645000 0.655000 ;
+      RECT 4.815000  0.085000 5.145000 0.465000 ;
+      RECT 4.815000  2.255000 5.145000 2.635000 ;
+      RECT 5.315000  0.255000 5.485000 0.655000 ;
+      RECT 5.655000  0.085000 5.980000 0.590000 ;
+      RECT 5.655000  2.255000 5.985000 2.635000 ;
+      RECT 6.150000  0.255000 6.325000 0.715000 ;
+      RECT 6.150000  0.715000 7.165000 0.905000 ;
+      RECT 6.150000  0.905000 6.450000 0.935000 ;
+      RECT 6.155000  1.795000 6.325000 1.915000 ;
+      RECT 6.155000  2.085000 6.325000 2.465000 ;
+      RECT 6.495000  2.255000 6.825000 2.635000 ;
+      RECT 6.545000  0.085000 6.795000 0.545000 ;
+      RECT 6.730000  1.075000 7.510000 1.245000 ;
+      RECT 6.995000  0.510000 7.165000 0.715000 ;
+      RECT 6.995000  1.795000 7.165000 1.915000 ;
+      RECT 6.995000  2.085000 7.165000 2.465000 ;
+      RECT 7.340000  0.655000 8.195000 0.825000 ;
+      RECT 7.340000  0.825000 7.510000 1.075000 ;
+      RECT 7.435000  0.085000 7.765000 0.465000 ;
+      RECT 7.435000  2.255000 7.765000 2.635000 ;
+      RECT 7.935000  0.255000 8.195000 0.655000 ;
+      RECT 7.935000  1.795000 8.195000 2.465000 ;
+      RECT 8.020000  0.825000 8.195000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.150000  0.765000 6.320000 0.935000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 6.380000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 6.090000 0.735000 6.380000 0.780000 ;
+      RECT 6.090000 0.920000 6.380000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_4
+MACRO sky130_fd_sc_hd__mux2i_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.560000 1.275000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 0.995000 4.635000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.325000 ;
+        RECT 0.580000 0.725000 0.780000 0.995000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  1.691250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.295000 4.975000 0.465000 ;
+        RECT 2.715000 2.255000 4.975000 2.425000 ;
+        RECT 4.750000 1.785000 4.975000 2.255000 ;
+        RECT 4.805000 0.465000 4.975000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.675000 ;
+      RECT 0.085000  0.675000 0.260000 1.495000 ;
+      RECT 0.085000  1.495000 1.395000 1.665000 ;
+      RECT 0.085000  1.665000 0.260000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.835000 0.545000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.935000  1.835000 1.735000 2.005000 ;
+      RECT 1.015000  0.575000 1.255000 0.935000 ;
+      RECT 1.225000  1.155000 1.985000 1.325000 ;
+      RECT 1.225000  1.325000 1.395000 1.495000 ;
+      RECT 1.355000  2.255000 1.685000 2.635000 ;
+      RECT 1.435000  0.085000 1.685000 0.885000 ;
+      RECT 1.565000  1.495000 3.465000 1.665000 ;
+      RECT 1.565000  1.665000 1.735000 1.835000 ;
+      RECT 1.655000  1.075000 1.985000 1.155000 ;
+      RECT 1.855000  0.295000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 3.465000 0.905000 ;
+      RECT 1.855000  2.135000 2.080000 2.465000 ;
+      RECT 1.910000  1.835000 2.885000 1.915000 ;
+      RECT 1.910000  1.915000 4.350000 2.005000 ;
+      RECT 1.910000  2.005000 2.080000 2.135000 ;
+      RECT 2.275000  0.085000 2.445000 0.545000 ;
+      RECT 2.275000  2.175000 2.525000 2.635000 ;
+      RECT 2.715000  2.005000 4.350000 2.085000 ;
+      RECT 3.135000  0.655000 3.465000 0.735000 ;
+      RECT 3.135000  1.665000 3.465000 1.715000 ;
+      RECT 3.850000  0.655000 4.345000 0.825000 ;
+      RECT 3.850000  0.825000 4.105000 0.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.080000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_2
+MACRO sky130_fd_sc_hd__mux2i_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.420000 1.285000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.995000 1.125000 1.155000 ;
+        RECT 0.955000 1.155000 1.205000 1.325000 ;
+        RECT 1.035000 1.325000 1.205000 1.445000 ;
+        RECT 1.035000 1.445000 1.235000 2.110000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.760000 3.595000 1.620000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.595000 0.780000 1.455000 ;
+        RECT 0.590000 1.455000 0.840000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 1.805000 0.425000 ;
+      RECT 0.085000  0.425000 0.440000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.885000 ;
+      RECT 0.120000  1.455000 0.420000 2.295000 ;
+      RECT 0.120000  2.295000 1.575000 2.465000 ;
+      RECT 0.955000  0.655000 1.520000 0.715000 ;
+      RECT 0.955000  0.715000 2.620000 0.825000 ;
+      RECT 0.965000  0.425000 1.805000 0.465000 ;
+      RECT 1.295000  0.825000 2.620000 0.885000 ;
+      RECT 1.385000  1.075000 3.085000 1.310000 ;
+      RECT 1.405000  1.480000 2.615000 1.650000 ;
+      RECT 1.405000  1.650000 1.575000 2.295000 ;
+      RECT 1.745000  1.835000 1.975000 2.635000 ;
+      RECT 1.975000  0.085000 2.145000 0.545000 ;
+      RECT 2.285000  1.650000 2.615000 2.465000 ;
+      RECT 2.385000  0.255000 2.620000 0.715000 ;
+      RECT 2.800000  0.255000 3.165000 0.485000 ;
+      RECT 2.800000  0.485000 3.085000 1.075000 ;
+      RECT 2.860000  1.310000 3.085000 2.465000 ;
+      RECT 3.295000  1.835000 3.590000 2.635000 ;
+      RECT 3.335000  0.085000 3.555000 0.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2i_1
+MACRO sky130_fd_sc_hd__nand2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.765000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.845000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 2.215000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.655000 2.215000 0.905000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.935000 0.905000 2.215000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.185000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.105000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.775000  0.465000 2.105000 0.485000 ;
+      RECT 1.855000  1.835000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_2
+MACRO sky130_fd_sc_hd__nand2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.055000 0.430000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 1.485000 0.865000 2.465000 ;
+        RECT 0.600000 0.255000 1.295000 0.885000 ;
+        RECT 0.600000 0.885000 0.770000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 0.395000 0.885000 ;
+      RECT 0.085000  1.495000 0.365000 2.635000 ;
+      RECT 1.035000  1.495000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_1
+MACRO sky130_fd_sc_hd__nand2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.055000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.730000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 3.365000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.910000 1.075000 2.445000 1.495000 ;
+        RECT 2.195000 0.635000 3.365000 0.805000 ;
+        RECT 2.195000 0.805000 2.445000 1.075000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 2.025000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 1.265000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.545000 ;
+      RECT 1.775000  0.255000 3.785000 0.465000 ;
+      RECT 1.775000  0.465000 2.025000 0.715000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  0.465000 3.785000 0.885000 ;
+      RECT 3.535000  1.835000 3.785000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_4
+MACRO sky130_fd_sc_hd__nand2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.075000 6.305000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.510000 1.075000 3.365000 1.295000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.862000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.465000 6.725000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 3.640000 1.075000 4.120000 1.465000 ;
+        RECT 3.875000 0.655000 6.725000 0.905000 ;
+        RECT 3.875000 0.905000 4.120000 1.075000 ;
+        RECT 3.875000 1.665000 4.205000 2.465000 ;
+        RECT 4.715000 1.665000 5.045000 2.465000 ;
+        RECT 5.555000 1.665000 5.885000 2.465000 ;
+        RECT 6.395000 1.665000 6.725000 2.465000 ;
+        RECT 6.475000 0.905000 6.725000 1.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.705000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.255000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.275000  0.085000 2.445000 0.565000 ;
+      RECT 2.615000  0.255000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.115000  0.085000 3.285000 0.565000 ;
+      RECT 3.455000  0.255000 7.270000 0.485000 ;
+      RECT 3.455000  0.485000 3.705000 0.735000 ;
+      RECT 3.535000  1.835000 3.705000 2.635000 ;
+      RECT 4.375000  1.835000 4.545000 2.635000 ;
+      RECT 5.215000  1.835000 5.385000 2.635000 ;
+      RECT 6.055000  1.835000 6.225000 2.635000 ;
+      RECT 6.895000  0.485000 7.270000 0.905000 ;
+      RECT 6.915000  1.495000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_8
+MACRO sky130_fd_sc_hd__a32oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.230000 1.075000 1.595000 1.255000 ;
+        RECT 1.405000 0.345000 1.705000 0.765000 ;
+        RECT 1.405000 0.765000 1.595000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 0.995000 2.165000 1.325000 ;
+        RECT 1.965000 0.415000 2.165000 0.995000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.015000 2.750000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.995000 1.025000 1.425000 ;
+        RECT 0.855000 1.425000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.575500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 1.165000 0.805000 ;
+        RECT 0.515000 0.805000 0.685000 1.785000 ;
+        RECT 0.515000 1.785000 0.865000 2.085000 ;
+        RECT 0.915000 0.295000 1.165000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.835000 0.345000 2.255000 ;
+      RECT 0.085000  2.255000 1.345000 2.465000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 1.095000  1.785000 2.185000 1.955000 ;
+      RECT 1.095000  1.955000 1.345000 2.255000 ;
+      RECT 1.555000  2.135000 1.805000 2.635000 ;
+      RECT 2.015000  1.745000 2.185000 1.785000 ;
+      RECT 2.015000  1.955000 2.185000 2.465000 ;
+      RECT 2.355000  0.085000 2.695000 0.805000 ;
+      RECT 2.355000  1.495000 2.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_1
+MACRO sky130_fd_sc_hd__a32oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 5.465000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.075000 7.695000 1.300000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.295000 1.075000 9.985000 1.280000 ;
+        RECT 9.805000 0.755000 9.985000 1.075000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.585000 0.995000 3.555000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.750000 1.305000 ;
+        RECT 0.110000 1.305000 0.330000 1.965000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 3.365000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 1.355000 1.745000 1.685000 2.085000 ;
+        RECT 1.975000 0.990000 2.365000 1.575000 ;
+        RECT 1.975000 1.745000 2.525000 2.085000 ;
+        RECT 2.195000 0.635000 5.565000 0.805000 ;
+        RECT 2.195000 0.805000 2.365000 0.990000 ;
+        RECT 3.035000 1.745000 3.365000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.095000  2.255000  3.705000 2.425000 ;
+      RECT 0.175000  0.255000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  2.025000 0.805000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 1.015000  0.255000  1.185000 0.635000 ;
+      RECT 1.355000  0.085000  1.685000 0.465000 ;
+      RECT 1.855000  0.295000  3.785000 0.465000 ;
+      RECT 1.855000  0.465000  2.025000 0.635000 ;
+      RECT 3.535000  1.575000  9.925000 1.745000 ;
+      RECT 3.535000  1.745000  3.705000 2.255000 ;
+      RECT 3.895000  1.915000  4.225000 2.635000 ;
+      RECT 3.975000  0.295000  7.805000 0.465000 ;
+      RECT 4.395000  1.745000  4.565000 2.465000 ;
+      RECT 4.770000  1.915000  5.440000 2.635000 ;
+      RECT 5.640000  1.745000  5.810000 2.465000 ;
+      RECT 6.215000  0.635000  9.505000 0.805000 ;
+      RECT 6.215000  1.915000  6.545000 2.635000 ;
+      RECT 6.715000  1.745000  6.885000 2.465000 ;
+      RECT 7.055000  1.915000  7.385000 2.635000 ;
+      RECT 7.555000  1.745000  7.725000 2.465000 ;
+      RECT 7.995000  0.085000  8.325000 0.465000 ;
+      RECT 8.415000  1.915000  8.745000 2.635000 ;
+      RECT 8.495000  0.255000  8.665000 0.635000 ;
+      RECT 8.835000  0.085000  9.165000 0.465000 ;
+      RECT 8.915000  1.745000  9.085000 2.465000 ;
+      RECT 9.255000  1.915000  9.585000 2.635000 ;
+      RECT 9.335000  0.255000  9.505000 0.635000 ;
+      RECT 9.685000  0.085000 10.025000 0.465000 ;
+      RECT 9.755000  1.745000  9.925000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_4
+MACRO sky130_fd_sc_hd__a32oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.075000 3.220000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.075000 4.480000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.715000 1.075000 5.860000 1.625000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.080000 1.725000 1.285000 ;
+        RECT 1.175000 1.075000 1.505000 1.080000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.825000 1.285000 ;
+        RECT 0.145000 1.285000 0.325000 1.625000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.955000 0.845000 2.125000 ;
+        RECT 0.595000 1.455000 2.180000 1.625000 ;
+        RECT 0.595000 1.625000 0.765000 1.955000 ;
+        RECT 1.355000 0.655000 3.100000 0.825000 ;
+        RECT 1.435000 1.625000 1.605000 2.125000 ;
+        RECT 1.965000 0.825000 2.180000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.095000  0.295000 0.425000 0.465000 ;
+      RECT 0.175000  0.465000 0.345000 0.715000 ;
+      RECT 0.175000  0.715000 1.185000 0.885000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.295000 2.115000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.855000  1.795000 2.025000 1.915000 ;
+      RECT 1.855000  1.915000 5.805000 2.085000 ;
+      RECT 1.855000  2.085000 2.025000 2.295000 ;
+      RECT 2.270000  2.255000 2.940000 2.635000 ;
+      RECT 2.350000  0.295000 4.370000 0.465000 ;
+      RECT 3.180000  1.795000 3.350000 1.915000 ;
+      RECT 3.180000  2.085000 3.350000 2.465000 ;
+      RECT 3.550000  2.255000 4.220000 2.635000 ;
+      RECT 3.620000  0.635000 5.390000 0.805000 ;
+      RECT 4.390000  1.795000 4.560000 1.915000 ;
+      RECT 4.390000  2.085000 4.560000 2.465000 ;
+      RECT 4.555000  0.085000 4.890000 0.465000 ;
+      RECT 4.765000  2.255000 5.435000 2.635000 ;
+      RECT 5.060000  0.275000 5.390000 0.635000 ;
+      RECT 5.560000  0.085000 5.885000 0.885000 ;
+      RECT 5.635000  1.795000 5.805000 1.915000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_2
+MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.765000 2.125000 1.095000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.690000 0.415000 4.975000 0.745000 ;
+        RECT 4.690000 1.670000 4.975000 2.455000 ;
+        RECT 4.805000 0.745000 4.975000 1.670000 ;
+    END
+  END Q
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.145500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END SLEEP_B
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.130000 ;
+      RECT 0.610000  1.130000 0.810000 1.460000 ;
+      RECT 0.610000  1.460000 0.780000 1.795000 ;
+      RECT 0.980000  0.740000 1.185000 0.910000 ;
+      RECT 0.980000  0.910000 1.150000 1.825000 ;
+      RECT 0.980000  1.825000 1.185000 1.915000 ;
+      RECT 0.980000  1.915000 2.845000 1.965000 ;
+      RECT 1.015000  0.345000 1.185000 0.740000 ;
+      RECT 1.015000  1.965000 2.845000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.320000  1.240000 1.490000 1.525000 ;
+      RECT 1.320000  1.525000 2.335000 1.695000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.255000 1.850000 2.635000 ;
+      RECT 2.050000  1.355000 2.335000 1.525000 ;
+      RECT 2.295000  0.705000 2.675000 1.035000 ;
+      RECT 2.310000  2.255000 3.185000 2.425000 ;
+      RECT 2.380000  0.365000 3.040000 0.535000 ;
+      RECT 2.505000  1.035000 2.675000 1.575000 ;
+      RECT 2.505000  1.575000 2.845000 1.915000 ;
+      RECT 2.870000  0.535000 3.040000 0.995000 ;
+      RECT 2.870000  0.995000 3.780000 1.165000 ;
+      RECT 3.015000  1.165000 3.780000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.255000 ;
+      RECT 3.265000  0.085000 3.595000 0.530000 ;
+      RECT 3.355000  2.135000 3.525000 2.635000 ;
+      RECT 3.420000  1.535000 4.125000 1.865000 ;
+      RECT 3.835000  0.415000 4.125000 0.745000 ;
+      RECT 3.835000  1.865000 4.125000 2.435000 ;
+      RECT 3.950000  0.745000 4.125000 1.535000 ;
+      RECT 4.295000  0.085000 4.465000 0.715000 ;
+      RECT 4.295000  1.570000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputisolatch_1
+MACRO sky130_fd_sc_hd__o21ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 1.055000 0.450000 1.445000 ;
+        RECT 0.120000 1.445000 2.095000 1.615000 ;
+        RECT 1.600000 1.075000 2.095000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.620000 1.075000 1.420000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 0.765000 3.130000 1.400000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.742000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.785000 2.645000 1.965000 ;
+        RECT 0.995000 1.965000 1.295000 2.125000 ;
+        RECT 2.410000 1.965000 2.645000 2.465000 ;
+        RECT 2.435000 0.595000 2.645000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.255000 0.435000 0.715000 ;
+      RECT 0.105000  0.715000 2.265000 0.885000 ;
+      RECT 0.105000  1.785000 0.435000 2.635000 ;
+      RECT 0.605000  1.785000 0.825000 2.295000 ;
+      RECT 0.605000  2.295000 1.715000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.965000  0.255000 1.295000 0.715000 ;
+      RECT 1.525000  0.085000 1.695000 0.545000 ;
+      RECT 1.525000  2.135000 1.715000 2.295000 ;
+      RECT 1.910000  2.175000 2.240000 2.635000 ;
+      RECT 1.935000  0.255000 3.125000 0.425000 ;
+      RECT 1.935000  0.425000 2.265000 0.715000 ;
+      RECT 2.815000  0.425000 3.125000 0.595000 ;
+      RECT 2.815000  1.570000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_2
+MACRO sky130_fd_sc_hd__o21ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.410000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.325000 ;
+        RECT 0.590000 1.325000 0.785000 2.375000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.202500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.295000 1.750000 1.655000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.517000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.505000 1.315000 1.785000 ;
+        RECT 0.965000 1.785000 1.295000 2.465000 ;
+        RECT 1.145000 0.955000 1.665000 1.125000 ;
+        RECT 1.145000 1.125000 1.315000 1.505000 ;
+        RECT 1.495000 0.390000 1.665000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.265000 0.380000 0.615000 ;
+      RECT 0.090000  0.615000 1.305000 0.785000 ;
+      RECT 0.090000  1.495000 0.410000 2.635000 ;
+      RECT 0.575000  0.085000 0.905000 0.445000 ;
+      RECT 1.075000  0.310000 1.305000 0.615000 ;
+      RECT 1.495000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_1
+MACRO sky130_fd_sc_hd__o21ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.415000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 1.100000 1.005000 1.340000 ;
+        RECT 0.605000 1.340000 0.775000 1.645000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 1.355000 1.730000 1.685000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.290500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.510000 1.345000 1.680000 ;
+        RECT 0.965000 1.680000 1.300000 2.465000 ;
+        RECT 1.175000 0.955000 1.740000 1.125000 ;
+        RECT 1.175000 1.125000 1.345000 1.510000 ;
+        RECT 1.455000 0.280000 1.740000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.120000  0.280000 0.380000 0.615000 ;
+      RECT 0.120000  0.615000 1.285000 0.785000 ;
+      RECT 0.145000  1.825000 0.475000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.050000  0.280000 1.285000 0.615000 ;
+      RECT 1.470000  1.855000 1.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_0
+MACRO sky130_fd_sc_hd__o21ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.015000 1.475000 1.320000 ;
+        RECT 0.575000 1.320000 1.475000 1.515000 ;
+        RECT 0.575000 1.515000 3.695000 1.685000 ;
+        RECT 3.445000 0.990000 3.695000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.070000 3.275000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.905000 1.015000 5.255000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.855000 5.150000 2.025000 ;
+        RECT 3.935000 1.445000 5.835000 1.700000 ;
+        RECT 3.935000 1.700000 5.150000 1.855000 ;
+        RECT 4.030000 0.615000 5.835000 0.845000 ;
+        RECT 4.080000 2.025000 5.150000 2.085000 ;
+        RECT 4.080000 2.085000 4.290000 2.465000 ;
+        RECT 4.960000 2.085000 5.150000 2.465000 ;
+        RECT 5.425000 0.845000 5.835000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.615000 3.860000 0.820000 ;
+      RECT 0.120000  1.820000 0.405000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 0.575000  1.915000 1.670000 2.085000 ;
+      RECT 0.575000  2.085000 0.810000 2.465000 ;
+      RECT 0.980000  2.255000 1.310000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.445000 ;
+      RECT 1.480000  2.085000 1.670000 2.275000 ;
+      RECT 1.480000  2.275000 3.460000 2.465000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 3.130000  0.085000 3.460000 0.445000 ;
+      RECT 3.630000  0.255000 5.650000 0.445000 ;
+      RECT 3.630000  0.445000 3.860000 0.615000 ;
+      RECT 3.630000  2.195000 3.910000 2.635000 ;
+      RECT 4.460000  2.255000 4.790000 2.635000 ;
+      RECT 5.320000  1.880000 5.650000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_4
+MACRO sky130_fd_sc_hd__dlrbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.380000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.560000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.470000 0.995000 5.455000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.300000 1.165000 ;
+      RECT 3.480000  1.165000 4.300000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.090000 2.635000 ;
+      RECT 3.840000  1.535000 5.875000 1.765000 ;
+      RECT 3.840000  1.765000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.875000 0.825000 ;
+      RECT 4.260000  2.135000 4.590000 2.635000 ;
+      RECT 4.760000  1.865000 4.970000 2.435000 ;
+      RECT 5.135000  0.085000 5.875000 0.485000 ;
+      RECT 5.150000  1.935000 5.890000 2.635000 ;
+      RECT 5.625000  0.825000 5.875000 1.535000 ;
+      RECT 6.580000  0.255000 6.750000 0.985000 ;
+      RECT 6.580000  0.985000 6.830000 0.995000 ;
+      RECT 6.580000  0.995000 7.390000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_1
+MACRO sky130_fd_sc_hd__dlrbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.536250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.415000 5.910000 0.655000 ;
+        RECT 5.650000 0.655000 5.950000 0.685000 ;
+        RECT 5.650000 0.685000 5.975000 0.825000 ;
+        RECT 5.650000 1.495000 5.975000 1.660000 ;
+        RECT 5.650000 1.660000 5.915000 2.465000 ;
+        RECT 5.740000 0.825000 5.975000 0.860000 ;
+        RECT 5.790000 0.860000 5.975000 0.885000 ;
+        RECT 5.790000 0.885000 6.355000 1.325000 ;
+        RECT 5.790000 1.325000 5.975000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.500000 0.255000 7.755000 0.825000 ;
+        RECT 7.500000 1.445000 7.755000 2.465000 ;
+        RECT 7.545000 0.825000 7.755000 1.055000 ;
+        RECT 7.545000 1.055000 8.195000 1.325000 ;
+        RECT 7.545000 1.325000 7.755000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.390000 0.995000 5.140000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.780000 1.070000 ;
+      RECT 0.605000  1.070000 0.840000 1.400000 ;
+      RECT 0.605000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.825000 ;
+      RECT 3.820000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.495000 5.480000 1.665000 ;
+      RECT 3.840000  1.665000 4.930000 1.865000 ;
+      RECT 4.340000  0.415000 4.560000 0.655000 ;
+      RECT 4.340000  0.655000 5.480000 0.825000 ;
+      RECT 4.760000  1.865000 4.930000 2.435000 ;
+      RECT 5.100000  0.085000 5.480000 0.485000 ;
+      RECT 5.100000  1.855000 5.350000 2.635000 ;
+      RECT 5.310000  0.825000 5.480000 0.995000 ;
+      RECT 5.310000  0.995000 5.620000 1.325000 ;
+      RECT 5.310000  1.325000 5.480000 1.495000 ;
+      RECT 6.085000  0.085000 6.355000 0.545000 ;
+      RECT 6.085000  1.830000 6.355000 2.635000 ;
+      RECT 6.525000  0.255000 6.855000 0.995000 ;
+      RECT 6.525000  0.995000 7.375000 1.325000 ;
+      RECT 6.525000  1.325000 6.855000 2.465000 ;
+      RECT 7.025000  0.085000 7.330000 0.545000 ;
+      RECT 7.035000  1.835000 7.330000 2.635000 ;
+      RECT 7.925000  0.085000 8.195000 0.885000 ;
+      RECT 7.925000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0p_1
+MACRO sky130_fd_sc_hd__inv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.735000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.565000 0.255000 0.895000 0.725000 ;
+        RECT 0.565000 0.725000 2.170000 0.905000 ;
+        RECT 0.565000 1.495000 2.170000 1.665000 ;
+        RECT 0.565000 1.665000 0.895000 2.465000 ;
+        RECT 1.405000 0.255000 1.735000 0.725000 ;
+        RECT 1.405000 1.665000 2.170000 1.685000 ;
+        RECT 1.405000 1.685000 1.735000 2.465000 ;
+        RECT 1.905000 0.905000 2.170000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.395000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.545000 ;
+      RECT 1.065000  1.835000 1.235000 2.635000 ;
+      RECT 1.905000  0.085000 2.155000 0.550000 ;
+      RECT 1.905000  2.175000 2.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_4
+MACRO sky130_fd_sc_hd__inv_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 2.615000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.685000 1.495000 3.135000 1.665000 ;
+        RECT 0.685000 1.665000 1.015000 2.465000 ;
+        RECT 0.765000 0.255000 0.935000 0.725000 ;
+        RECT 0.765000 0.725000 3.135000 0.905000 ;
+        RECT 1.525000 1.665000 1.855000 2.465000 ;
+        RECT 1.605000 0.255000 1.775000 0.725000 ;
+        RECT 2.365000 1.665000 3.135000 1.685000 ;
+        RECT 2.365000 1.685000 2.695000 2.465000 ;
+        RECT 2.445000 0.255000 2.615000 0.725000 ;
+        RECT 2.785000 0.905000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.425000 2.635000 ;
+      RECT 1.185000  0.085000 1.355000 0.545000 ;
+      RECT 1.185000  1.835000 1.355000 2.635000 ;
+      RECT 2.025000  0.085000 2.195000 0.545000 ;
+      RECT 2.025000  1.835000 2.195000 2.635000 ;
+      RECT 2.785000  0.085000 3.035000 0.550000 ;
+      RECT 2.865000  2.175000 3.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_6
+MACRO sky130_fd_sc_hd__inv_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.970000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 5.270000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 5.895000 0.905000 ;
+        RECT 0.085000 0.905000 0.510000 1.495000 ;
+        RECT 0.085000 1.495000 5.895000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 4.040000 0.255000 4.370000 0.715000 ;
+        RECT 4.040000 1.665000 4.370000 2.465000 ;
+        RECT 4.880000 0.255000 5.210000 0.715000 ;
+        RECT 4.880000 1.665000 5.210000 2.465000 ;
+        RECT 5.545000 0.905000 5.895000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 3.870000 0.545000 ;
+      RECT 3.700000  1.835000 3.870000 2.635000 ;
+      RECT 4.540000  0.085000 4.710000 0.545000 ;
+      RECT 4.540000  1.835000 4.710000 2.635000 ;
+      RECT 5.555000  0.085000 5.895000 0.545000 ;
+      RECT 5.555000  1.835000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_12
+MACRO sky130_fd_sc_hd__inv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.320000 1.075000 0.650000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.720000 0.255000 1.050000 0.885000 ;
+        RECT 0.720000 1.485000 1.050000 2.465000 ;
+        RECT 0.820000 0.885000 1.050000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.320000  0.085000 0.550000 0.905000 ;
+      RECT 0.340000  1.495000 0.550000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_1
+MACRO sky130_fd_sc_hd__inv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 5.525000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 0.255000 0.910000 0.715000 ;
+        RECT 0.580000 0.715000 6.790000 0.905000 ;
+        RECT 0.580000 1.495000 6.790000 1.665000 ;
+        RECT 0.580000 1.665000 0.910000 2.465000 ;
+        RECT 1.420000 0.255000 1.750000 0.715000 ;
+        RECT 1.420000 1.665000 1.750000 2.465000 ;
+        RECT 2.260000 0.255000 2.590000 0.715000 ;
+        RECT 2.260000 1.665000 2.590000 2.465000 ;
+        RECT 3.100000 0.255000 3.430000 0.715000 ;
+        RECT 3.100000 1.665000 3.430000 2.465000 ;
+        RECT 3.940000 0.255000 4.270000 0.715000 ;
+        RECT 3.940000 1.665000 4.270000 2.465000 ;
+        RECT 4.780000 0.255000 5.110000 0.715000 ;
+        RECT 4.780000 1.665000 5.110000 2.465000 ;
+        RECT 5.620000 0.255000 5.950000 0.715000 ;
+        RECT 5.620000 1.665000 5.950000 2.465000 ;
+        RECT 6.460000 0.255000 6.790000 0.715000 ;
+        RECT 6.460000 0.905000 6.790000 1.495000 ;
+        RECT 6.460000 1.665000 6.790000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.180000  0.085000 0.410000 0.885000 ;
+      RECT 0.200000  1.485000 0.410000 2.635000 ;
+      RECT 1.080000  0.085000 1.250000 0.545000 ;
+      RECT 1.080000  1.835000 1.250000 2.635000 ;
+      RECT 1.920000  0.085000 2.090000 0.545000 ;
+      RECT 1.920000  1.835000 2.090000 2.635000 ;
+      RECT 2.760000  0.085000 2.930000 0.545000 ;
+      RECT 2.760000  1.835000 2.930000 2.635000 ;
+      RECT 3.600000  0.085000 3.770000 0.545000 ;
+      RECT 3.600000  1.835000 3.770000 2.635000 ;
+      RECT 4.440000  0.085000 4.610000 0.545000 ;
+      RECT 4.440000  1.835000 4.610000 2.635000 ;
+      RECT 5.280000  0.085000 5.450000 0.545000 ;
+      RECT 5.280000  1.835000 5.450000 2.635000 ;
+      RECT 6.120000  0.085000 6.290000 0.545000 ;
+      RECT 6.120000  1.835000 6.290000 2.635000 ;
+      RECT 6.960000  0.085000 7.170000 0.885000 ;
+      RECT 6.960000  1.835000 7.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_16
+MACRO sky130_fd_sc_hd__inv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 3.535000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 4.055000 0.905000 ;
+        RECT 0.085000 0.905000 0.430000 1.495000 ;
+        RECT 0.085000 1.495000 4.055000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 3.735000 0.905000 4.055000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 4.005000 0.545000 ;
+      RECT 3.700000  1.835000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_8
+MACRO sky130_fd_sc_hd__inv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.435000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.855000 0.885000 ;
+        RECT 0.525000 1.485000 0.855000 2.465000 ;
+        RECT 0.605000 0.885000 0.855000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.125000  0.085000 0.355000 0.905000 ;
+      RECT 0.125000  1.495000 0.355000 2.635000 ;
+      RECT 1.025000  0.085000 1.235000 0.905000 ;
+      RECT 1.025000  1.495000 1.235000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_2
+MACRO sky130_fd_sc_hd__o32a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.175000 1.075000 ;
+        RECT 1.005000 1.075000 1.255000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.810000 1.325000 ;
+        RECT 1.485000 1.325000 1.810000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.255000 1.660000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.995000 3.595000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.795000 1.660000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.504000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.595000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.470000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.445000  0.995000 0.635000 1.075000 ;
+      RECT 0.445000  1.075000 0.810000 1.325000 ;
+      RECT 0.640000  1.325000 0.810000 1.495000 ;
+      RECT 0.640000  1.495000 1.315000 1.665000 ;
+      RECT 0.685000  1.835000 0.975000 2.635000 ;
+      RECT 0.765000  0.085000 0.935000 0.645000 ;
+      RECT 1.140000  0.255000 1.470000 0.655000 ;
+      RECT 1.140000  0.655000 2.540000 0.825000 ;
+      RECT 1.145000  1.665000 1.315000 2.295000 ;
+      RECT 1.145000  2.295000 2.510000 2.465000 ;
+      RECT 1.645000  0.085000 1.975000 0.485000 ;
+      RECT 2.180000  1.835000 3.135000 2.085000 ;
+      RECT 2.180000  2.085000 2.510000 2.295000 ;
+      RECT 2.210000  0.255000 3.595000 0.465000 ;
+      RECT 2.210000  0.465000 2.540000 0.655000 ;
+      RECT 2.710000  0.635000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.835000 ;
+      RECT 3.305000  0.465000 3.595000 0.735000 ;
+      RECT 3.305000  1.495000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_1
+MACRO sky130_fd_sc_hd__o32a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.715000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.160000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.995000 2.635000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 1.075000 4.055000 1.245000 ;
+        RECT 3.725000 1.245000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.995000 3.155000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.995000 1.325000 1.785000 ;
+      RECT 1.015000  1.785000 3.525000 1.955000 ;
+      RECT 1.015000  2.125000 1.525000 2.635000 ;
+      RECT 1.095000  0.085000 1.425000 0.825000 ;
+      RECT 1.695000  0.255000 2.025000 0.655000 ;
+      RECT 1.695000  0.655000 3.025000 0.825000 ;
+      RECT 2.195000  0.085000 2.525000 0.485000 ;
+      RECT 2.695000  0.255000 4.055000 0.425000 ;
+      RECT 2.695000  0.425000 3.025000 0.655000 ;
+      RECT 2.695000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  0.595000 3.525000 0.825000 ;
+      RECT 3.325000  0.825000 3.525000 1.785000 ;
+      RECT 3.695000  0.425000 4.055000 0.905000 ;
+      RECT 3.695000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_2
+MACRO sky130_fd_sc_hd__o32a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.625000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.870000 1.075000 4.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 5.260000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.305000 0.255000 6.635000 0.715000 ;
+        RECT 6.305000 0.715000 8.135000 0.905000 ;
+        RECT 6.305000 1.495000 8.135000 1.665000 ;
+        RECT 6.305000 1.665000 6.635000 2.465000 ;
+        RECT 7.145000 0.255000 7.475000 0.715000 ;
+        RECT 7.145000 1.665000 7.475000 2.465000 ;
+        RECT 7.645000 0.905000 8.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 2.965000 0.885000 ;
+      RECT 0.085000  1.445000 1.265000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.515000  0.085000 2.545000 0.465000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.665000 1.265000 2.295000 ;
+      RECT 0.935000  2.295000 2.105000 2.465000 ;
+      RECT 1.435000  1.445000 2.625000 1.690000 ;
+      RECT 1.435000  1.690000 1.605000 2.045000 ;
+      RECT 1.775000  1.860000 2.105000 2.295000 ;
+      RECT 2.295000  1.690000 2.625000 2.295000 ;
+      RECT 2.295000  2.295000 3.465000 2.465000 ;
+      RECT 2.715000  0.255000 5.695000 0.465000 ;
+      RECT 2.715000  0.465000 2.965000 0.635000 ;
+      RECT 2.795000  1.105000 3.645000 1.275000 ;
+      RECT 2.795000  1.275000 2.965000 2.045000 ;
+      RECT 3.135000  1.445000 3.465000 2.295000 ;
+      RECT 3.455000  0.635000 5.775000 0.805000 ;
+      RECT 3.455000  0.805000 3.645000 1.105000 ;
+      RECT 3.655000  1.445000 3.985000 1.785000 ;
+      RECT 3.655000  1.785000 4.825000 1.955000 ;
+      RECT 3.655000  1.955000 3.985000 2.465000 ;
+      RECT 4.155000  2.125000 4.325000 2.635000 ;
+      RECT 4.400000  0.805000 4.620000 1.445000 ;
+      RECT 4.400000  1.445000 5.195000 1.615000 ;
+      RECT 4.495000  1.955000 4.825000 2.285000 ;
+      RECT 4.495000  2.285000 5.695000 2.465000 ;
+      RECT 5.025000  1.615000 5.195000 2.115000 ;
+      RECT 5.365000  1.445000 5.695000 2.285000 ;
+      RECT 5.520000  0.805000 5.775000 1.075000 ;
+      RECT 5.520000  1.075000 7.475000 1.245000 ;
+      RECT 5.520000  1.245000 6.135000 1.265000 ;
+      RECT 5.965000  0.085000 6.135000 0.885000 ;
+      RECT 5.965000  1.835000 6.135000 2.635000 ;
+      RECT 6.805000  0.085000 6.975000 0.545000 ;
+      RECT 6.805000  1.835000 6.975000 2.635000 ;
+      RECT 7.645000  0.085000 7.900000 0.545000 ;
+      RECT 7.645000  1.835000 7.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_4
+MACRO sky130_fd_sc_hd__tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.375000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.375000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_1
+MACRO sky130_fd_sc_hd__tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.835000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.775000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.835000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_2
+MACRO sky130_fd_sc_hd__dlxbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.660000 ;
+        RECT 5.215000 0.660000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.710000 ;
+        RECT 5.215000 1.710000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.905000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.050000 0.255000 7.305000 0.825000 ;
+        RECT 7.050000 1.445000 7.305000 2.465000 ;
+        RECT 7.095000 0.825000 7.305000 1.055000 ;
+        RECT 7.095000 1.055000 7.735000 1.325000 ;
+        RECT 7.095000 1.325000 7.305000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.905000 0.545000 ;
+      RECT 5.635000  1.835000 5.905000 2.635000 ;
+      RECT 6.075000  0.255000 6.405000 0.995000 ;
+      RECT 6.075000  0.995000 6.925000 1.325000 ;
+      RECT 6.075000  1.325000 6.405000 2.465000 ;
+      RECT 6.585000  0.085000 6.880000 0.545000 ;
+      RECT 6.585000  1.835000 6.880000 2.635000 ;
+      RECT 7.475000  0.085000 7.735000 0.885000 ;
+      RECT 7.475000  1.495000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_2
+MACRO sky130_fd_sc_hd__dlxbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.955000 1.785000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.415000 5.480000 0.745000 ;
+        RECT 5.140000 1.670000 5.480000 2.465000 ;
+        RECT 5.310000 0.745000 5.480000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.505000 6.815000 2.465000 ;
+        RECT 6.625000 0.825000 6.815000 1.505000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.480000  1.495000 2.165000 1.665000 ;
+      RECT 1.480000  1.665000 1.810000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.165000 0.785000 ;
+      RECT 1.875000  0.085000 2.230000 0.445000 ;
+      RECT 1.980000  1.835000 2.295000 2.635000 ;
+      RECT 1.995000  0.785000 2.165000 0.905000 ;
+      RECT 1.995000  0.905000 2.365000 1.235000 ;
+      RECT 1.995000  1.235000 2.165000 1.495000 ;
+      RECT 2.495000  1.355000 2.780000 2.005000 ;
+      RECT 2.565000  0.705000 3.120000 1.035000 ;
+      RECT 2.790000  0.365000 3.525000 0.535000 ;
+      RECT 2.920000  2.105000 3.620000 2.115000 ;
+      RECT 2.920000  2.115000 3.615000 2.130000 ;
+      RECT 2.920000  2.130000 3.610000 2.275000 ;
+      RECT 2.950000  1.035000 3.120000 1.415000 ;
+      RECT 2.950000  1.415000 3.290000 1.910000 ;
+      RECT 3.355000  0.535000 3.525000 0.995000 ;
+      RECT 3.355000  0.995000 4.225000 1.165000 ;
+      RECT 3.360000  2.075000 3.630000 2.090000 ;
+      RECT 3.360000  2.090000 3.625000 2.105000 ;
+      RECT 3.375000  2.060000 3.630000 2.075000 ;
+      RECT 3.420000  2.030000 3.630000 2.060000 ;
+      RECT 3.430000  2.015000 3.630000 2.030000 ;
+      RECT 3.460000  1.165000 4.225000 1.325000 ;
+      RECT 3.460000  1.325000 3.630000 2.015000 ;
+      RECT 3.765000  0.085000 4.095000 0.610000 ;
+      RECT 3.780000  2.175000 3.950000 2.635000 ;
+      RECT 3.800000  1.535000 4.580000 1.620000 ;
+      RECT 3.800000  1.620000 4.550000 1.865000 ;
+      RECT 4.300000  0.415000 4.470000 0.660000 ;
+      RECT 4.300000  0.660000 4.580000 0.840000 ;
+      RECT 4.300000  1.865000 4.550000 2.435000 ;
+      RECT 4.395000  0.840000 4.580000 0.995000 ;
+      RECT 4.395000  0.995000 5.140000 1.325000 ;
+      RECT 4.395000  1.325000 4.580000 1.535000 ;
+      RECT 4.640000  0.085000 4.970000 0.495000 ;
+      RECT 4.720000  1.830000 4.970000 2.635000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.455000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.495000  1.785000 2.665000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.955000  1.445000 3.125000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.185000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.725000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.435000 1.755000 2.725000 1.800000 ;
+      RECT 2.435000 1.940000 2.725000 1.985000 ;
+      RECT 2.895000 1.415000 3.185000 1.460000 ;
+      RECT 2.895000 1.600000 3.185000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_1
+MACRO sky130_fd_sc_hd__buf_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 1.660000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.275000 0.255000 2.445000 0.735000 ;
+        RECT 2.275000 0.735000 6.645000 0.905000 ;
+        RECT 2.275000 1.445000 6.645000 1.615000 ;
+        RECT 2.275000 1.615000 2.445000 2.465000 ;
+        RECT 3.115000 0.255000 3.285000 0.735000 ;
+        RECT 3.115000 1.615000 3.285000 2.465000 ;
+        RECT 3.955000 0.255000 4.125000 0.735000 ;
+        RECT 3.955000 1.615000 4.125000 2.465000 ;
+        RECT 4.710000 0.905000 6.645000 1.445000 ;
+        RECT 4.795000 0.255000 4.965000 0.735000 ;
+        RECT 4.795000 1.615000 4.965000 2.465000 ;
+        RECT 5.635000 0.255000 5.805000 0.735000 ;
+        RECT 5.635000 1.615000 5.805000 2.465000 ;
+        RECT 6.475000 0.255000 6.645000 0.735000 ;
+        RECT 6.475000 1.615000 6.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.570000 -0.085000 0.740000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.565000 ;
+      RECT 0.175000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.445000 2.015000 1.615000 ;
+      RECT 0.515000  1.615000 0.845000 2.465000 ;
+      RECT 0.595000  0.255000 0.765000 0.735000 ;
+      RECT 0.595000  0.735000 2.015000 0.905000 ;
+      RECT 0.935000  0.085000 1.265000 0.565000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  1.615000 1.685000 2.465000 ;
+      RECT 1.435000  0.260000 1.605000 0.735000 ;
+      RECT 1.775000  0.085000 2.105000 0.565000 ;
+      RECT 1.840000  0.905000 2.015000 1.075000 ;
+      RECT 1.840000  1.075000 4.465000 1.245000 ;
+      RECT 1.840000  1.245000 2.015000 1.445000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.565000 ;
+      RECT 2.615000  1.835000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.565000 ;
+      RECT 3.455000  1.835000 3.785000 2.635000 ;
+      RECT 4.295000  0.085000 4.625000 0.565000 ;
+      RECT 4.295000  1.835000 4.625000 2.635000 ;
+      RECT 5.135000  0.085000 5.465000 0.565000 ;
+      RECT 5.135000  1.835000 5.465000 2.635000 ;
+      RECT 5.975000  0.085000 6.305000 0.565000 ;
+      RECT 5.975000  1.835000 6.305000 2.635000 ;
+      RECT 6.815000  0.085000 7.145000 0.885000 ;
+      RECT 6.815000  1.485000 7.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_12
+MACRO sky130_fd_sc_hd__buf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.470000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 0.255000 1.185000 0.735000 ;
+        RECT 1.015000 0.735000 2.025000 0.905000 ;
+        RECT 1.015000 1.445000 2.025000 1.615000 ;
+        RECT 1.015000 1.615000 1.185000 2.465000 ;
+        RECT 1.530000 0.905000 2.025000 1.445000 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.485000 0.810000 1.655000 ;
+      RECT 0.095000  1.655000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 0.810000 0.905000 ;
+      RECT 0.525000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.835000 0.835000 2.635000 ;
+      RECT 0.640000  0.905000 0.810000 1.075000 ;
+      RECT 0.640000  1.075000 1.140000 1.245000 ;
+      RECT 0.640000  1.245000 0.810000 1.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.355000  1.835000 1.685000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.885000 ;
+      RECT 2.195000  1.485000 2.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_4
+MACRO sky130_fd_sc_hd__buf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.985000 0.445000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.560000 1.295000 2.465000 ;
+        RECT 1.035000 0.255000 1.295000 0.760000 ;
+        RECT 1.115000 0.760000 1.295000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.165000  1.535000 0.840000 1.705000 ;
+      RECT 0.165000  1.705000 0.345000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.670000  0.805000 0.840000 1.060000 ;
+      RECT 0.670000  1.060000 0.945000 1.390000 ;
+      RECT 0.670000  1.390000 0.840000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_1
+MACRO sky130_fd_sc_hd__buf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.440000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 0.255000 1.315000 0.830000 ;
+        RECT 1.060000 1.560000 1.315000 2.465000 ;
+        RECT 1.145000 0.830000 1.315000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.890000 0.805000 ;
+      RECT 0.175000  1.535000 0.890000 1.705000 ;
+      RECT 0.175000  1.705000 0.345000 2.465000 ;
+      RECT 0.560000  0.085000 0.890000 0.465000 ;
+      RECT 0.560000  1.875000 0.890000 2.635000 ;
+      RECT 0.720000  0.805000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 0.975000 1.325000 ;
+      RECT 0.720000  1.325000 0.890000 1.535000 ;
+      RECT 1.490000  0.085000 1.750000 0.925000 ;
+      RECT 1.490000  1.485000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_2
+MACRO sky130_fd_sc_hd__buf_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.280000 1.075000 1.185000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.255000 1.865000 0.735000 ;
+        RECT 1.695000 0.735000 3.545000 0.905000 ;
+        RECT 1.695000 1.445000 3.545000 1.615000 ;
+        RECT 1.695000 1.615000 1.865000 2.465000 ;
+        RECT 2.210000 0.905000 3.545000 1.445000 ;
+        RECT 2.535000 0.255000 2.705000 0.735000 ;
+        RECT 2.535000 1.615000 2.705000 2.465000 ;
+        RECT 3.375000 0.255000 3.545000 0.735000 ;
+        RECT 3.375000 1.615000 3.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.435000  0.085000 0.605000 0.565000 ;
+      RECT 0.435000  1.485000 0.605000 2.635000 ;
+      RECT 0.775000  0.255000 1.105000 0.735000 ;
+      RECT 0.775000  0.735000 1.525000 0.905000 ;
+      RECT 0.775000  1.485000 1.525000 1.655000 ;
+      RECT 0.775000  1.655000 1.105000 2.465000 ;
+      RECT 1.275000  0.085000 1.445000 0.565000 ;
+      RECT 1.275000  1.835000 1.515000 2.635000 ;
+      RECT 1.355000  0.905000 1.525000 1.075000 ;
+      RECT 1.355000  1.075000 1.825000 1.245000 ;
+      RECT 1.355000  1.245000 1.525000 1.485000 ;
+      RECT 2.035000  0.085000 2.365000 0.565000 ;
+      RECT 2.035000  1.835000 2.365000 2.635000 ;
+      RECT 2.875000  0.085000 3.205000 0.565000 ;
+      RECT 2.875000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.885000 ;
+      RECT 3.715000  1.485000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_6
+MACRO sky130_fd_sc_hd__buf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 0.735000 4.545000 0.905000 ;
+        RECT 1.855000 1.445000 4.545000 1.615000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+        RECT 2.695000 0.255000 2.865000 0.735000 ;
+        RECT 2.695000 1.615000 2.865000 2.465000 ;
+        RECT 3.535000 0.255000 3.705000 0.735000 ;
+        RECT 3.535000 1.615000 3.705000 2.465000 ;
+        RECT 4.290000 0.905000 4.545000 1.445000 ;
+        RECT 4.375000 0.255000 4.545000 0.735000 ;
+        RECT 4.375000 1.615000 4.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_8
+MACRO sky130_fd_sc_hd__buf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 2.485000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 0.255000  3.285000 0.260000 ;
+        RECT 3.035000 0.260000  3.365000 0.735000 ;
+        RECT 3.035000 0.735000 10.035000 0.905000 ;
+        RECT 3.035000 1.445000 10.035000 1.615000 ;
+        RECT 3.035000 1.615000  3.365000 2.465000 ;
+        RECT 3.875000 0.260000  4.205000 0.735000 ;
+        RECT 3.875000 1.615000  4.205000 2.465000 ;
+        RECT 3.955000 0.255000  4.125000 0.260000 ;
+        RECT 4.715000 0.260000  5.045000 0.735000 ;
+        RECT 4.715000 1.615000  5.045000 2.465000 ;
+        RECT 4.795000 0.255000  4.965000 0.260000 ;
+        RECT 5.555000 0.260000  5.885000 0.735000 ;
+        RECT 5.555000 1.615000  5.885000 2.465000 ;
+        RECT 6.395000 0.260000  6.725000 0.735000 ;
+        RECT 6.395000 1.615000  6.725000 2.465000 ;
+        RECT 7.235000 0.260000  7.565000 0.735000 ;
+        RECT 7.235000 1.615000  7.565000 2.465000 ;
+        RECT 8.075000 0.260000  8.405000 0.735000 ;
+        RECT 8.075000 1.615000  8.405000 2.465000 ;
+        RECT 8.915000 0.260000  9.245000 0.735000 ;
+        RECT 8.915000 1.615000  9.245000 2.465000 ;
+        RECT 9.655000 0.905000 10.035000 1.445000 ;
+        RECT 9.760000 0.365000 10.035000 0.735000 ;
+        RECT 9.760000 1.615000 10.035000 2.360000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.905000 ;
+      RECT 0.175000  1.445000  0.345000 2.635000 ;
+      RECT 0.515000  0.260000  0.845000 0.735000 ;
+      RECT 0.515000  0.735000  2.865000 0.905000 ;
+      RECT 0.515000  1.445000  2.865000 1.615000 ;
+      RECT 0.515000  1.615000  0.845000 2.465000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.355000  0.260000  1.685000 0.735000 ;
+      RECT 1.355000  1.615000  1.685000 2.465000 ;
+      RECT 1.855000  0.085000  2.025000 0.565000 ;
+      RECT 1.855000  1.835000  2.025000 2.635000 ;
+      RECT 2.195000  0.260000  2.525000 0.735000 ;
+      RECT 2.195000  1.615000  2.525000 2.465000 ;
+      RECT 2.690000  0.905000  2.865000 1.075000 ;
+      RECT 2.690000  1.075000  9.410000 1.275000 ;
+      RECT 2.690000  1.275000  2.865000 1.445000 ;
+      RECT 2.695000  0.085000  2.865000 0.565000 ;
+      RECT 2.695000  1.835000  2.865000 2.635000 ;
+      RECT 3.535000  0.085000  3.705000 0.565000 ;
+      RECT 3.535000  1.835000  3.705000 2.635000 ;
+      RECT 4.375000  0.085000  4.545000 0.565000 ;
+      RECT 4.375000  1.835000  4.545000 2.635000 ;
+      RECT 5.215000  0.085000  5.385000 0.565000 ;
+      RECT 5.215000  1.835000  5.385000 2.635000 ;
+      RECT 6.055000  0.085000  6.225000 0.565000 ;
+      RECT 6.055000  1.835000  6.225000 2.635000 ;
+      RECT 6.895000  0.085000  7.065000 0.565000 ;
+      RECT 6.895000  1.835000  7.065000 2.635000 ;
+      RECT 7.735000  0.085000  7.905000 0.565000 ;
+      RECT 7.735000  1.835000  7.905000 2.635000 ;
+      RECT 8.575000  0.085000  8.745000 0.565000 ;
+      RECT 8.575000  1.835000  8.745000 2.635000 ;
+      RECT 9.415000  0.085000  9.585000 0.565000 ;
+      RECT 9.415000  1.835000  9.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_16
+MACRO sky130_fd_sc_hd__o21ba_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.100000 1.075000 3.595000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 2.930000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+        RECT 0.595000 1.325000 0.775000 1.695000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.255000 1.240000 0.595000 ;
+        RECT 0.945000 0.595000 1.115000 1.495000 ;
+        RECT 0.945000 1.495000 1.350000 1.695000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.430000 0.345000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 0.395000 1.865000 ;
+      RECT 0.085000  1.865000 1.935000 2.035000 ;
+      RECT 0.520000  2.205000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.775000 0.825000 ;
+      RECT 1.285000  0.890000 1.595000 1.060000 ;
+      RECT 1.285000  1.060000 1.455000 1.325000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.415000  2.205000 2.230000 2.635000 ;
+      RECT 1.425000  0.655000 2.275000 0.825000 ;
+      RECT 1.425000  0.825000 1.595000 0.890000 ;
+      RECT 1.765000  0.995000 1.935000 1.865000 ;
+      RECT 1.940000  0.255000 2.275000 0.655000 ;
+      RECT 2.105000  0.825000 2.275000 1.455000 ;
+      RECT 2.105000  1.455000 2.725000 2.035000 ;
+      RECT 2.400000  2.035000 2.725000 2.465000 ;
+      RECT 2.445000  0.365000 2.745000 0.735000 ;
+      RECT 2.445000  0.735000 3.590000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.200000  1.875000 3.530000 2.635000 ;
+      RECT 3.255000  0.270000 3.590000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_2
+MACRO sky130_fd_sc_hd__o21ba_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.990000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.820000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.885000 1.285000 ;
+        RECT 0.605000 1.285000 0.885000 1.705000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.255000 1.385000 0.725000 ;
+        RECT 1.055000 0.725000 2.225000 0.905000 ;
+        RECT 1.055000 0.905000 1.455000 1.445000 ;
+        RECT 1.055000 1.445000 2.225000 1.705000 ;
+        RECT 1.895000 0.255000 2.225000 0.725000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.265000 0.545000 0.855000 ;
+      RECT 0.085000  0.855000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.435000 1.875000 ;
+      RECT 0.085000  1.875000 2.565000 2.045000 ;
+      RECT 0.085000  2.045000 0.435000 2.465000 ;
+      RECT 0.635000  2.215000 0.965000 2.635000 ;
+      RECT 0.715000  0.085000 0.885000 0.905000 ;
+      RECT 1.475000  2.215000 1.805000 2.635000 ;
+      RECT 1.555000  0.085000 1.725000 0.555000 ;
+      RECT 1.625000  1.075000 2.565000 1.275000 ;
+      RECT 2.315000  2.215000 2.645000 2.635000 ;
+      RECT 2.395000  0.085000 2.565000 0.555000 ;
+      RECT 2.395000  0.725000 3.585000 0.895000 ;
+      RECT 2.395000  0.895000 2.565000 1.075000 ;
+      RECT 2.395000  1.445000 2.905000 1.615000 ;
+      RECT 2.395000  1.615000 2.565000 1.875000 ;
+      RECT 2.735000  1.075000 3.135000 1.245000 ;
+      RECT 2.735000  1.245000 2.905000 1.445000 ;
+      RECT 2.805000  0.255000 4.005000 0.475000 ;
+      RECT 2.815000  1.795000 4.380000 1.965000 ;
+      RECT 2.815000  1.965000 2.985000 2.465000 ;
+      RECT 3.200000  2.135000 3.450000 2.635000 ;
+      RECT 3.235000  0.645000 3.585000 0.725000 ;
+      RECT 3.395000  0.895000 3.585000 1.795000 ;
+      RECT 3.685000  2.135000 3.925000 2.295000 ;
+      RECT 3.685000  2.295000 4.765000 2.465000 ;
+      RECT 3.755000  0.475000 4.005000 0.725000 ;
+      RECT 3.755000  0.725000 5.710000 0.905000 ;
+      RECT 4.135000  1.445000 4.380000 1.795000 ;
+      RECT 4.135000  1.965000 4.380000 2.125000 ;
+      RECT 4.175000  0.085000 4.345000 0.555000 ;
+      RECT 4.515000  0.255000 4.845000 0.725000 ;
+      RECT 4.595000  1.455000 5.710000 1.665000 ;
+      RECT 4.595000  1.665000 4.765000 2.295000 ;
+      RECT 4.935000  1.835000 5.265000 2.635000 ;
+      RECT 5.015000  0.085000 5.185000 0.555000 ;
+      RECT 5.355000  0.265000 5.710000 0.725000 ;
+      RECT 5.435000  1.665000 5.710000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_4
+MACRO sky130_fd_sc_hd__o21ba_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.950000 1.075000 3.595000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.780000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.030000 0.995000 1.360000 1.325000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.450000 0.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.340000 1.480000 ;
+        RECT 0.085000 1.480000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.510000  0.995000 0.860000 1.325000 ;
+      RECT 0.595000  1.325000 0.860000 1.865000 ;
+      RECT 0.595000  1.865000 2.575000 2.035000 ;
+      RECT 0.595000  2.205000 1.005000 2.635000 ;
+      RECT 0.710000  0.085000 0.880000 0.825000 ;
+      RECT 1.075000  1.525000 1.700000 1.695000 ;
+      RECT 1.160000  0.450000 1.330000 0.655000 ;
+      RECT 1.160000  0.655000 1.700000 0.825000 ;
+      RECT 1.530000  0.825000 1.700000 1.525000 ;
+      RECT 1.750000  2.215000 2.080000 2.635000 ;
+      RECT 1.870000  0.255000 2.040000 1.455000 ;
+      RECT 1.870000  1.455000 2.575000 1.865000 ;
+      RECT 2.250000  2.035000 2.575000 2.465000 ;
+      RECT 2.270000  0.255000 2.600000 0.735000 ;
+      RECT 2.270000  0.735000 3.440000 0.905000 ;
+      RECT 2.770000  0.085000 2.940000 0.555000 ;
+      RECT 3.050000  1.535000 3.380000 2.635000 ;
+      RECT 3.110000  0.270000 3.440000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.075000 5.245000 0.200000 5.395000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT 4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER nwell ;
+      RECT -0.190000 1.305000 0.650000 4.135000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+MACRO sky130_fd_sc_hd__dlymetal6s2s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.255000 1.670000 0.825000 ;
+        RECT 1.245000 1.495000 2.150000 1.675000 ;
+        RECT 1.245000 1.675000 1.670000 2.465000 ;
+        RECT 1.320000 0.825000 1.670000 0.995000 ;
+        RECT 1.320000 0.995000 2.150000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.840000  1.845000 2.670000 2.040000 ;
+      RECT 1.840000  2.040000 2.115000 2.465000 ;
+      RECT 1.860000  0.255000 2.115000 0.655000 ;
+      RECT 1.860000  0.655000 2.670000 0.825000 ;
+      RECT 2.285000  0.085000 2.670000 0.485000 ;
+      RECT 2.285000  2.210000 2.670000 2.635000 ;
+      RECT 2.320000  0.825000 2.670000 0.995000 ;
+      RECT 2.320000  0.995000 2.745000 1.325000 ;
+      RECT 2.320000  1.325000 2.670000 1.845000 ;
+      RECT 2.840000  0.255000 3.085000 0.825000 ;
+      RECT 2.840000  1.495000 3.565000 1.675000 ;
+      RECT 2.840000  1.675000 3.085000 2.465000 ;
+      RECT 2.915000  0.825000 3.085000 0.995000 ;
+      RECT 2.915000  0.995000 3.565000 1.495000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s2s_1
+MACRO sky130_fd_sc_hd__o2111ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 1.075000 5.435000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.075000 4.455000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.200000 1.075000 3.185000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.790000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.355000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.302000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.615000 0.935000 0.905000 ;
+        RECT 0.605000 0.905000 0.865000 1.495000 ;
+        RECT 0.605000 1.495000 4.005000 1.665000 ;
+        RECT 0.605000 1.665000 0.865000 2.465000 ;
+        RECT 1.535000 1.665000 1.725000 2.465000 ;
+        RECT 2.395000 1.665000 2.575000 2.465000 ;
+        RECT 3.815000 1.665000 4.005000 2.105000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.260000 1.300000 0.445000 ;
+      RECT 0.175000  0.445000 0.435000 0.865000 ;
+      RECT 0.175000  1.525000 0.425000 2.635000 ;
+      RECT 1.035000  1.835000 1.365000 2.635000 ;
+      RECT 1.115000  0.445000 1.300000 0.735000 ;
+      RECT 1.115000  0.735000 2.275000 0.905000 ;
+      RECT 1.470000  0.255000 3.210000 0.445000 ;
+      RECT 1.470000  0.445000 1.775000 0.530000 ;
+      RECT 1.470000  0.530000 1.760000 0.565000 ;
+      RECT 1.895000  1.840000 2.225000 2.635000 ;
+      RECT 1.925000  0.620000 2.275000 0.735000 ;
+      RECT 2.450000  0.655000 5.435000 0.840000 ;
+      RECT 2.755000  1.835000 3.085000 2.635000 ;
+      RECT 2.880000  0.445000 3.210000 0.485000 ;
+      RECT 3.310000  1.835000 3.570000 2.275000 ;
+      RECT 3.310000  2.275000 4.500000 2.465000 ;
+      RECT 3.380000  0.365000 3.570000 0.655000 ;
+      RECT 3.740000  0.085000 4.070000 0.485000 ;
+      RECT 4.240000  0.365000 4.430000 0.650000 ;
+      RECT 4.240000  0.650000 5.435000 0.655000 ;
+      RECT 4.240000  1.515000 5.360000 1.685000 ;
+      RECT 4.240000  1.685000 4.500000 2.275000 ;
+      RECT 4.600000  0.085000 4.930000 0.480000 ;
+      RECT 4.670000  1.855000 4.930000 2.635000 ;
+      RECT 5.100000  0.365000 5.435000 0.650000 ;
+      RECT 5.100000  1.685000 5.360000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_2
+MACRO sky130_fd_sc_hd__o2111ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.005000 3.115000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.615000 1.615000 ;
+        RECT 2.270000 1.615000 2.615000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.815000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.255000 1.355000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.815000 1.615000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.857250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.690000 0.885000 ;
+        RECT 0.085000 0.885000 0.315000 1.785000 ;
+        RECT 0.085000 1.785000 2.095000 2.025000 ;
+        RECT 0.790000 2.025000 1.025000 2.465000 ;
+        RECT 1.750000 2.025000 2.095000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.290000  2.195000 0.620000 2.635000 ;
+      RECT 1.210000  2.255000 1.540000 2.635000 ;
+      RECT 1.750000  0.255000 2.095000 0.625000 ;
+      RECT 1.750000  0.625000 3.115000 0.825000 ;
+      RECT 2.285000  0.085000 2.615000 0.455000 ;
+      RECT 2.785000  0.255000 3.115000 0.625000 ;
+      RECT 2.785000  1.795000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_1
+MACRO sky130_fd_sc_hd__o2111ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.820000 1.075000 9.575000 1.340000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.110000 1.075000 7.325000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 5.455000 1.345000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.075000 3.550000 1.345000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.755000 1.345000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.984350 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.645000 1.685000 0.815000 ;
+        RECT 0.085000 0.815000 0.375000 1.515000 ;
+        RECT 0.085000 1.515000 7.390000 1.685000 ;
+        RECT 0.085000 1.685000 0.360000 2.465000 ;
+        RECT 1.015000 1.685000 1.195000 2.465000 ;
+        RECT 1.845000 1.685000 2.035000 2.465000 ;
+        RECT 2.685000 1.685000 2.875000 2.465000 ;
+        RECT 3.525000 1.685000 3.715000 2.465000 ;
+        RECT 4.570000 1.685000 4.760000 2.465000 ;
+        RECT 5.410000 1.685000 5.600000 2.465000 ;
+        RECT 6.285000 1.685000 6.480000 2.100000 ;
+        RECT 7.045000 1.685000 7.390000 1.720000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  0.285000 2.025000 0.475000 ;
+      RECT 0.530000  1.855000 0.845000 2.635000 ;
+      RECT 1.390000  1.855000 1.675000 2.635000 ;
+      RECT 1.855000  0.475000 2.025000 0.615000 ;
+      RECT 1.855000  0.615000 3.785000 0.825000 ;
+      RECT 2.195000  0.255000 5.565000 0.445000 ;
+      RECT 2.205000  1.855000 2.515000 2.635000 ;
+      RECT 3.045000  1.855000 3.355000 2.635000 ;
+      RECT 3.975000  0.655000 9.440000 0.905000 ;
+      RECT 4.075000  1.855000 4.400000 2.635000 ;
+      RECT 4.930000  1.855000 5.220000 2.635000 ;
+      RECT 5.785000  1.855000 6.115000 2.270000 ;
+      RECT 5.785000  2.270000 7.005000 2.465000 ;
+      RECT 6.100000  0.085000 6.430000 0.485000 ;
+      RECT 6.705000  1.890000 8.235000 2.060000 ;
+      RECT 6.705000  2.060000 7.005000 2.270000 ;
+      RECT 6.960000  0.085000 7.290000 0.485000 ;
+      RECT 7.555000  2.230000 7.885000 2.635000 ;
+      RECT 7.825000  0.085000 8.155000 0.485000 ;
+      RECT 8.045000  1.515000 9.080000 1.685000 ;
+      RECT 8.045000  1.685000 8.235000 1.890000 ;
+      RECT 8.055000  2.060000 8.235000 2.465000 ;
+      RECT 8.410000  1.855000 8.720000 2.635000 ;
+      RECT 8.665000  0.085000 8.995000 0.485000 ;
+      RECT 8.890000  1.685000 9.080000 2.465000 ;
+      RECT 9.265000  1.535000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_4
+MACRO sky130_fd_sc_hd__nor4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.395000 1.075000 1.805000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 3.750000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 5.685000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.810000 1.075000 8.655000 1.285000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 7.245000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.195000 0.255000 2.525000 0.725000 ;
+        RECT 3.035000 0.255000 3.365000 0.725000 ;
+        RECT 4.395000 0.255000 4.725000 0.725000 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 0.905000 6.465000 1.455000 ;
+        RECT 6.115000 1.455000 7.205000 1.625000 ;
+        RECT 6.115000 1.625000 6.365000 2.125000 ;
+        RECT 6.915000 0.255000 7.245000 0.725000 ;
+        RECT 6.955000 1.625000 7.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.095000  1.455000 2.065000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.645000 2.635000 ;
+      RECT 1.815000  1.625000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 3.745000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 2.235000  1.455000 5.525000 1.625000 ;
+      RECT 2.235000  1.625000 2.485000 2.125000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 2.865000 0.555000 ;
+      RECT 3.075000  1.625000 3.325000 2.125000 ;
+      RECT 3.495000  1.795000 3.745000 2.295000 ;
+      RECT 3.535000  0.085000 4.225000 0.555000 ;
+      RECT 4.015000  1.795000 4.265000 2.295000 ;
+      RECT 4.015000  2.295000 7.625000 2.465000 ;
+      RECT 4.435000  1.625000 4.685000 2.125000 ;
+      RECT 4.855000  1.795000 5.105000 2.295000 ;
+      RECT 4.895000  0.085000 5.065000 0.555000 ;
+      RECT 5.275000  1.625000 5.525000 2.125000 ;
+      RECT 5.695000  1.455000 5.945000 2.295000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.295000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.635000  1.075000 7.640000 1.285000 ;
+      RECT 7.375000  1.795000 7.625000 2.295000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.470000  0.735000 8.185000 0.905000 ;
+      RECT 7.470000  0.905000 7.640000 1.075000 ;
+      RECT 7.470000  1.285000 7.640000 1.455000 ;
+      RECT 7.470000  1.455000 8.185000 1.625000 ;
+      RECT 7.810000  0.255000 8.185000 0.735000 ;
+      RECT 7.850000  1.625000 8.185000 2.465000 ;
+      RECT 8.355000  0.085000 8.585000 0.905000 ;
+      RECT 8.355000  1.455000 8.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_4
+MACRO sky130_fd_sc_hd__nor4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.240000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.075000 2.635000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 1.075000 3.535000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.805000 1.075000 5.435000 1.285000 ;
+        RECT 5.185000 1.285000 5.435000 1.955000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 3.920000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.750000 0.255000 3.080000 0.725000 ;
+        RECT 3.590000 0.255000 3.920000 0.725000 ;
+        RECT 3.630000 1.455000 4.035000 1.625000 ;
+        RECT 3.630000 1.625000 3.880000 2.125000 ;
+        RECT 3.715000 0.905000 3.920000 1.075000 ;
+        RECT 3.715000 1.075000 4.035000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.455000 2.105000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.605000 2.295000 ;
+      RECT 1.395000  2.295000 3.040000 2.465000 ;
+      RECT 1.775000  1.625000 2.105000 2.125000 ;
+      RECT 1.855000  0.085000 2.580000 0.555000 ;
+      RECT 2.275000  1.455000 3.460000 1.625000 ;
+      RECT 2.275000  1.625000 2.660000 2.125000 ;
+      RECT 2.830000  1.795000 3.040000 2.295000 ;
+      RECT 3.210000  1.625000 3.460000 2.295000 ;
+      RECT 3.210000  2.295000 4.295000 2.465000 ;
+      RECT 3.250000  0.085000 3.420000 0.555000 ;
+      RECT 4.050000  1.795000 4.295000 2.295000 ;
+      RECT 4.090000  0.085000 4.295000 0.895000 ;
+      RECT 4.320000  1.075000 4.635000 1.245000 ;
+      RECT 4.465000  0.380000 4.820000 0.905000 ;
+      RECT 4.465000  0.905000 4.635000 1.075000 ;
+      RECT 4.465000  1.245000 4.635000 2.035000 ;
+      RECT 4.465000  2.035000 4.820000 2.450000 ;
+      RECT 4.990000  0.085000 5.240000 0.825000 ;
+      RECT 4.990000  2.135000 5.240000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_2
+MACRO sky130_fd_sc_hd__nor4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.995000 1.785000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.985000 0.995000 1.285000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.795000 1.615000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.871000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.655000 1.925000 0.825000 ;
+        RECT 0.085000 0.825000 0.345000 2.450000 ;
+        RECT 0.855000 0.300000 1.055000 0.655000 ;
+        RECT 1.725000 0.310000 1.925000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.355000  0.085000 0.685000 0.480000 ;
+      RECT 0.525000  0.995000 0.745000 1.795000 ;
+      RECT 0.525000  1.795000 3.135000 2.005000 ;
+      RECT 1.225000  0.085000 1.555000 0.485000 ;
+      RECT 2.095000  0.085000 2.425000 0.825000 ;
+      RECT 2.095000  2.185000 2.425000 2.635000 ;
+      RECT 2.660000  0.405000 2.830000 0.655000 ;
+      RECT 2.660000  0.655000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+MACRO sky130_fd_sc_hd__o22ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.215000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.220000 1.075000 1.585000 1.245000 ;
+        RECT 1.405000 1.245000 1.585000 1.445000 ;
+        RECT 1.405000 1.445000 1.725000 1.615000 ;
+        RECT 1.525000 1.615000 1.725000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.665000 0.325000 1.990000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.995000 1.005000 1.415000 ;
+        RECT 0.835000 1.415000 1.235000 1.665000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.650250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 0.645000 0.845000 0.825000 ;
+        RECT 0.495000 0.825000 0.665000 1.835000 ;
+        RECT 0.495000 1.835000 1.335000 2.045000 ;
+        RECT 0.835000 2.045000 1.335000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 1.345000 0.475000 ;
+      RECT 0.135000  2.175000 0.345000 2.635000 ;
+      RECT 1.015000  0.475000 1.345000 0.695000 ;
+      RECT 1.015000  0.695000 2.215000 0.825000 ;
+      RECT 1.185000  0.825000 2.215000 0.865000 ;
+      RECT 1.535000  0.085000 1.705000 0.525000 ;
+      RECT 1.875000  0.280000 2.215000 0.695000 ;
+      RECT 1.895000  1.455000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_1
+MACRO sky130_fd_sc_hd__o22ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.165000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.555000 1.075000 3.225000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.985000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.155000 1.075000 1.925000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 2.340000 0.905000 ;
+        RECT 1.375000 0.645000 1.705000 0.725000 ;
+        RECT 1.415000 1.445000 3.065000 1.625000 ;
+        RECT 1.415000 1.625000 1.665000 2.125000 ;
+        RECT 2.095000 0.905000 2.340000 1.445000 ;
+        RECT 2.815000 1.625000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.305000 2.680000 0.475000 ;
+      RECT 0.090000  0.475000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 1.245000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.085000 2.465000 ;
+      RECT 1.835000  1.795000 2.085000 2.295000 ;
+      RECT 2.395000  1.795000 2.645000 2.295000 ;
+      RECT 2.395000  2.295000 3.485000 2.465000 ;
+      RECT 2.510000  0.475000 2.680000 0.725000 ;
+      RECT 2.510000  0.725000 4.365000 0.905000 ;
+      RECT 2.855000  0.085000 3.025000 0.555000 ;
+      RECT 3.195000  0.255000 3.525000 0.725000 ;
+      RECT 3.235000  1.455000 4.330000 1.625000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.655000  1.795000 3.905000 2.635000 ;
+      RECT 3.695000  0.085000 3.865000 0.555000 ;
+      RECT 4.035000  0.255000 4.365000 0.725000 ;
+      RECT 4.075000  1.625000 4.330000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_2
+MACRO sky130_fd_sc_hd__o22ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 1.415000 1.275000 ;
+        RECT 1.150000 1.275000 1.415000 1.445000 ;
+        RECT 1.150000 1.445000 3.575000 1.615000 ;
+        RECT 3.275000 1.075000 3.605000 1.245000 ;
+        RECT 3.275000 1.245000 3.575000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.685000 1.075000 3.095000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 0.995000 4.940000 1.445000 ;
+        RECT 4.295000 1.445000 6.935000 1.615000 ;
+        RECT 6.715000 0.995000 6.935000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.110000 1.075000 6.460000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 1.785000 3.915000 1.955000 ;
+        RECT 1.845000 1.955000 2.095000 2.125000 ;
+        RECT 2.685000 1.955000 2.935000 2.125000 ;
+        RECT 3.745000 1.445000 4.125000 1.615000 ;
+        RECT 3.745000 1.615000 3.915000 1.785000 ;
+        RECT 3.955000 0.645000 7.275000 0.820000 ;
+        RECT 3.955000 0.820000 4.125000 1.445000 ;
+        RECT 5.255000 1.785000 7.275000 1.955000 ;
+        RECT 5.255000 1.955000 5.505000 2.125000 ;
+        RECT 6.095000 1.955000 6.345000 2.125000 ;
+        RECT 7.105000 0.820000 7.275000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.125000  0.255000 0.455000 0.725000 ;
+      RECT 0.125000  0.725000 1.295000 0.735000 ;
+      RECT 0.125000  0.735000 3.785000 0.905000 ;
+      RECT 0.165000  1.445000 0.415000 2.635000 ;
+      RECT 0.585000  1.445000 0.835000 1.785000 ;
+      RECT 0.585000  1.785000 1.675000 1.955000 ;
+      RECT 0.585000  1.955000 0.835000 2.465000 ;
+      RECT 0.625000  0.085000 0.795000 0.555000 ;
+      RECT 0.965000  0.255000 1.295000 0.725000 ;
+      RECT 1.005000  2.125000 1.255000 2.635000 ;
+      RECT 1.425000  1.955000 1.675000 2.295000 ;
+      RECT 1.425000  2.295000 3.395000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.555000 ;
+      RECT 1.805000  0.255000 2.135000 0.725000 ;
+      RECT 1.805000  0.725000 2.975000 0.735000 ;
+      RECT 2.265000  2.125000 2.515000 2.295000 ;
+      RECT 2.305000  0.085000 2.475000 0.555000 ;
+      RECT 2.645000  0.255000 2.975000 0.725000 ;
+      RECT 3.105000  2.125000 3.395000 2.295000 ;
+      RECT 3.145000  0.085000 3.315000 0.555000 ;
+      RECT 3.485000  0.255000 7.245000 0.475000 ;
+      RECT 3.485000  0.475000 3.785000 0.735000 ;
+      RECT 3.565000  2.125000 3.785000 2.635000 ;
+      RECT 3.955000  2.125000 4.255000 2.465000 ;
+      RECT 4.085000  1.785000 5.085000 1.955000 ;
+      RECT 4.085000  1.955000 4.255000 2.125000 ;
+      RECT 4.425000  2.125000 4.665000 2.635000 ;
+      RECT 4.835000  1.955000 5.085000 2.295000 ;
+      RECT 4.835000  2.295000 6.765000 2.465000 ;
+      RECT 5.675000  2.125000 5.925000 2.295000 ;
+      RECT 6.515000  2.135000 6.765000 2.295000 ;
+      RECT 6.935000  2.125000 7.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_4
+MACRO sky130_fd_sc_hd__edfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.465000 0.305000 10.795000 2.420000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.810000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.810000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.810000 0.995000 ;
+      RECT  8.640000  0.995000  9.510000 1.325000 ;
+      RECT  8.640000  1.325000  8.810000 2.165000 ;
+      RECT  8.980000  1.530000  9.880000 1.905000 ;
+      RECT  8.980000  2.135000  9.240000 2.635000 ;
+      RECT  9.050000  0.085000  9.365000 0.615000 ;
+      RECT  9.540000  1.905000  9.880000 2.465000 ;
+      RECT  9.550000  0.300000  9.880000 0.825000 ;
+      RECT  9.690000  0.825000  9.880000 1.530000 ;
+      RECT 10.050000  0.085000 10.295000 0.900000 ;
+      RECT 10.050000  1.465000 10.295000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.700000  0.765000  9.870000 0.935000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.575000 1.755000 0.865000 1.800000 ;
+      RECT 0.575000 1.800000 8.030000 1.940000 ;
+      RECT 0.575000 1.940000 0.865000 1.985000 ;
+      RECT 0.955000 1.415000 1.245000 1.460000 ;
+      RECT 0.955000 1.460000 8.450000 1.600000 ;
+      RECT 0.955000 1.600000 1.245000 1.645000 ;
+      RECT 1.295000 0.395000 4.415000 0.580000 ;
+      RECT 1.295000 0.580000 1.585000 0.625000 ;
+      RECT 3.745000 0.735000 4.035000 0.780000 ;
+      RECT 3.745000 0.780000 9.930000 0.920000 ;
+      RECT 3.745000 0.920000 4.035000 0.965000 ;
+      RECT 4.125000 0.580000 4.415000 0.625000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.150000 1.755000 5.440000 1.800000 ;
+      RECT 5.150000 1.940000 5.440000 1.985000 ;
+      RECT 7.740000 1.755000 8.030000 1.800000 ;
+      RECT 7.740000 1.940000 8.030000 1.985000 ;
+      RECT 8.160000 1.415000 8.450000 1.460000 ;
+      RECT 8.160000 1.600000 8.450000 1.645000 ;
+      RECT 9.640000 0.735000 9.930000 0.780000 ;
+      RECT 9.640000 0.920000 9.930000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxtp_1
+MACRO sky130_fd_sc_hd__ebufn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 0.620000 1.305000 0.995000 ;
+        RECT 0.970000 0.995000 1.430000 1.325000 ;
+        RECT 0.970000 1.325000 1.305000 1.695000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.995000 1.445000 9.575000 1.725000 ;
+        RECT 6.275000 0.615000 9.575000 0.855000 ;
+        RECT 9.325000 0.855000 9.575000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.445000 0.825000 ;
+      RECT 0.085000  1.785000 0.445000 2.635000 ;
+      RECT 0.600000  0.995000 0.800000 1.615000 ;
+      RECT 0.615000  0.280000 0.800000 0.995000 ;
+      RECT 0.615000  1.615000 0.800000 2.465000 ;
+      RECT 0.970000  0.085000 1.305000 0.445000 ;
+      RECT 0.970000  1.865000 1.305000 2.635000 ;
+      RECT 1.475000  0.255000 1.985000 0.825000 ;
+      RECT 1.475000  1.495000 1.825000 2.465000 ;
+      RECT 1.600000  0.825000 1.985000 1.025000 ;
+      RECT 1.600000  1.025000 5.925000 1.275000 ;
+      RECT 1.600000  1.275000 1.825000 1.495000 ;
+      RECT 1.995000  1.895000 9.575000 2.065000 ;
+      RECT 1.995000  2.065000 2.245000 2.465000 ;
+      RECT 2.155000  0.255000 2.485000 0.655000 ;
+      RECT 2.155000  0.655000 6.105000 0.855000 ;
+      RECT 2.415000  2.235000 2.745000 2.635000 ;
+      RECT 2.655000  0.085000 2.985000 0.485000 ;
+      RECT 2.915000  2.065000 3.085000 2.465000 ;
+      RECT 3.155000  0.275000 3.325000 0.655000 ;
+      RECT 3.255000  2.235000 3.585000 2.635000 ;
+      RECT 3.495000  0.085000 3.825000 0.485000 ;
+      RECT 3.755000  2.065000 3.925000 2.465000 ;
+      RECT 3.995000  0.255000 4.165000 0.655000 ;
+      RECT 4.095000  2.235000 4.425000 2.635000 ;
+      RECT 4.335000  0.085000 4.665000 0.485000 ;
+      RECT 4.595000  2.065000 4.765000 2.465000 ;
+      RECT 4.835000  0.275000 5.005000 0.655000 ;
+      RECT 4.935000  2.235000 5.265000 2.635000 ;
+      RECT 5.175000  0.085000 5.505000 0.485000 ;
+      RECT 5.435000  2.065000 9.575000 2.465000 ;
+      RECT 5.675000  0.255000 9.575000 0.445000 ;
+      RECT 5.675000  0.445000 6.105000 0.655000 ;
+      RECT 6.175000  1.025000 9.155000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.105000 0.775000 1.275000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.580000  1.105000 6.750000 1.275000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.075000 0.835000 1.120000 ;
+      RECT 0.545000 1.120000 6.810000 1.260000 ;
+      RECT 0.545000 1.260000 0.835000 1.305000 ;
+      RECT 6.520000 1.075000 6.810000 1.120000 ;
+      RECT 6.520000 1.260000 6.810000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_8
+MACRO sky130_fd_sc_hd__ebufn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.355000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 1.075000 1.240000 1.630000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.601000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 1.495000 3.595000 2.465000 ;
+        RECT 3.125000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 0.825000 3.595000 1.495000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.185000 0.825000 ;
+      RECT 0.085000  1.785000 0.740000 2.005000 ;
+      RECT 0.085000  2.005000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 0.525000  0.825000 0.740000 1.785000 ;
+      RECT 1.015000  0.255000 2.025000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.615000 ;
+      RECT 1.015000  1.800000 1.805000 2.005000 ;
+      RECT 1.015000  2.005000 1.270000 2.460000 ;
+      RECT 1.355000  0.635000 1.685000 0.885000 ;
+      RECT 1.410000  0.885000 1.685000 1.075000 ;
+      RECT 1.410000  1.075000 2.535000 1.325000 ;
+      RECT 1.410000  1.325000 1.805000 1.800000 ;
+      RECT 1.440000  2.175000 1.805000 2.635000 ;
+      RECT 1.855000  0.465000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 2.955000 0.905000 ;
+      RECT 2.195000  0.085000 2.955000 0.565000 ;
+      RECT 2.705000  0.905000 2.955000 0.995000 ;
+      RECT 2.705000  0.995000 3.085000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ebufn_1
+MACRO sky130_fd_sc_hd__ebufn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.425000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 1.445000 5.895000 1.725000 ;
+        RECT 4.145000 0.615000 5.895000 0.855000 ;
+        RECT 5.675000 0.855000 5.895000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.665000 ;
+      RECT 0.085000  0.665000 0.320000 1.765000 ;
+      RECT 0.085000  1.765000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.930000 0.595000 ;
+      RECT 0.515000  1.845000 0.930000 2.635000 ;
+      RECT 1.100000  0.255000 1.725000 0.595000 ;
+      RECT 1.100000  1.595000 1.725000 1.765000 ;
+      RECT 1.100000  1.765000 1.355000 2.465000 ;
+      RECT 1.450000  0.595000 1.725000 1.025000 ;
+      RECT 1.450000  1.025000 3.810000 1.275000 ;
+      RECT 1.450000  1.275000 1.725000 1.595000 ;
+      RECT 1.565000  1.935000 5.895000 2.105000 ;
+      RECT 1.565000  2.105000 1.810000 2.465000 ;
+      RECT 1.895000  0.255000 2.175000 0.655000 ;
+      RECT 1.895000  0.655000 3.975000 0.855000 ;
+      RECT 1.895000  1.895000 5.895000 1.935000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.345000  0.085000 2.675000 0.485000 ;
+      RECT 2.480000  2.105000 2.650000 2.465000 ;
+      RECT 2.820000  2.275000 3.150000 2.635000 ;
+      RECT 2.845000  0.275000 3.015000 0.655000 ;
+      RECT 3.185000  0.085000 3.515000 0.485000 ;
+      RECT 3.320000  2.105000 5.895000 2.465000 ;
+      RECT 3.685000  0.255000 5.735000 0.445000 ;
+      RECT 3.685000  0.445000 3.975000 0.655000 ;
+      RECT 3.980000  1.025000 5.505000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.105000 4.480000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 4.540000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 4.250000 1.075000 4.540000 1.120000 ;
+      RECT 4.250000 1.260000 4.540000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_4
+MACRO sky130_fd_sc_hd__ebufn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.275000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 1.445000 4.055000 1.625000 ;
+        RECT 1.905000 1.625000 3.625000 1.765000 ;
+        RECT 3.295000 0.635000 4.055000 0.855000 ;
+        RECT 3.295000 1.765000 3.625000 2.125000 ;
+        RECT 3.825000 0.855000 4.055000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 0.320000 1.845000 ;
+      RECT 0.085000  1.845000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.850000 0.595000 ;
+      RECT 0.515000  1.845000 0.950000 2.635000 ;
+      RECT 1.020000  0.255000 1.730000 0.595000 ;
+      RECT 1.120000  1.445000 1.735000 1.765000 ;
+      RECT 1.120000  1.765000 1.410000 2.465000 ;
+      RECT 1.450000  0.595000 1.730000 1.025000 ;
+      RECT 1.450000  1.025000 2.965000 1.275000 ;
+      RECT 1.450000  1.275000 1.735000 1.445000 ;
+      RECT 1.600000  1.935000 3.125000 2.105000 ;
+      RECT 1.600000  2.105000 1.810000 2.465000 ;
+      RECT 1.900000  0.255000 2.170000 0.655000 ;
+      RECT 1.900000  0.655000 3.125000 0.855000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.340000  0.085000 2.670000 0.485000 ;
+      RECT 2.480000  2.105000 3.125000 2.295000 ;
+      RECT 2.480000  2.295000 4.055000 2.465000 ;
+      RECT 2.840000  0.275000 4.050000 0.465000 ;
+      RECT 2.840000  0.465000 3.125000 0.655000 ;
+      RECT 3.245000  1.025000 3.655000 1.275000 ;
+      RECT 3.795000  1.795000 4.055000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.380000  1.105000 3.550000 1.275000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 3.610000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 3.320000 1.075000 3.610000 1.120000 ;
+      RECT 3.320000 1.260000 3.610000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_2
+MACRO sky130_fd_sc_hd__nor3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.075000 2.690000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 1.075000 4.300000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.285000 0.725000 ;
+        RECT 0.955000 0.725000 6.760000 0.905000 ;
+        RECT 1.795000 0.255000 2.125000 0.725000 ;
+        RECT 3.155000 0.255000 3.485000 0.725000 ;
+        RECT 3.995000 0.255000 4.325000 0.725000 ;
+        RECT 4.835000 0.255000 5.165000 0.725000 ;
+        RECT 4.875000 1.455000 6.760000 1.625000 ;
+        RECT 4.875000 1.625000 5.125000 2.125000 ;
+        RECT 5.675000 0.255000 6.005000 0.725000 ;
+        RECT 5.715000 1.625000 5.965000 2.125000 ;
+        RECT 6.420000 0.905000 6.760000 1.455000 ;
+        RECT 6.515000 0.315000 6.760000 0.725000 ;
+        RECT 6.555000 1.625000 6.760000 2.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.110000  0.255000 0.445000 0.735000 ;
+      RECT 0.110000  0.735000 0.785000 0.905000 ;
+      RECT 0.110000  1.455000 4.705000 1.625000 ;
+      RECT 0.110000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.905000 0.785000 1.455000 ;
+      RECT 0.995000  1.795000 4.285000 1.965000 ;
+      RECT 0.995000  1.965000 1.245000 2.465000 ;
+      RECT 1.415000  2.135000 1.665000 2.635000 ;
+      RECT 1.455000  0.085000 1.625000 0.555000 ;
+      RECT 1.835000  1.965000 2.085000 2.465000 ;
+      RECT 2.255000  2.135000 2.505000 2.635000 ;
+      RECT 2.295000  0.085000 2.985000 0.555000 ;
+      RECT 2.775000  2.135000 3.025000 2.295000 ;
+      RECT 2.775000  2.295000 6.385000 2.465000 ;
+      RECT 3.195000  1.965000 3.445000 2.125000 ;
+      RECT 3.615000  2.135000 3.865000 2.295000 ;
+      RECT 3.655000  0.085000 3.825000 0.555000 ;
+      RECT 4.035000  1.965000 4.285000 2.125000 ;
+      RECT 4.455000  1.795000 4.705000 2.295000 ;
+      RECT 4.495000  0.085000 4.665000 0.555000 ;
+      RECT 4.535000  1.075000 6.125000 1.285000 ;
+      RECT 4.535000  1.285000 4.705000 1.455000 ;
+      RECT 5.295000  1.795000 5.545000 2.295000 ;
+      RECT 5.335000  0.085000 5.505000 0.555000 ;
+      RECT 6.135000  1.795000 6.385000 2.295000 ;
+      RECT 6.175000  0.085000 6.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_4
+MACRO sky130_fd_sc_hd__nor3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.640000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.030000 1.075000 4.515000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.105000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 2.815000 0.905000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.480000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.375000  1.455000 2.645000 2.295000 ;
+      RECT 3.235000  1.075000 3.860000 1.285000 ;
+      RECT 3.235000  1.455000 3.480000 2.295000 ;
+      RECT 3.275000  0.085000 3.480000 0.895000 ;
+      RECT 3.690000  0.380000 4.045000 0.905000 ;
+      RECT 3.690000  0.905000 3.860000 1.075000 ;
+      RECT 3.690000  1.285000 3.860000 1.455000 ;
+      RECT 3.690000  1.455000 4.045000 1.870000 ;
+      RECT 4.215000  0.085000 4.505000 0.825000 ;
+      RECT 4.215000  1.540000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_2
+MACRO sky130_fd_sc_hd__nor3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.475000 0.995000 1.815000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.995000 1.305000 1.615000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.335000 1.615000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.716500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 0.655000 ;
+        RECT 0.085000 0.655000 1.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.255000 1.445000 ;
+        RECT 0.085000 1.445000 0.545000 2.455000 ;
+        RECT 1.275000 0.310000 1.445000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.425000  1.075000 0.885000 1.245000 ;
+      RECT 0.715000  1.245000 0.885000 1.785000 ;
+      RECT 0.715000  1.785000 2.675000 1.955000 ;
+      RECT 0.775000  0.085000 1.105000 0.485000 ;
+      RECT 1.615000  0.085000 1.945000 0.825000 ;
+      RECT 1.615000  2.125000 1.945000 2.635000 ;
+      RECT 2.180000  0.405000 2.350000 0.655000 ;
+      RECT 2.180000  0.655000 2.675000 0.825000 ;
+      RECT 2.505000  0.825000 2.675000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_1
+MACRO sky130_fd_sc_hd__einvn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.275000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.325000 1.385000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.694800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.445000 3.135000 1.695000 ;
+        RECT 2.365000 0.595000 2.695000 0.845000 ;
+        RECT 2.365000 0.845000 2.615000 1.445000 ;
+        RECT 2.785000 1.695000 3.135000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.840000 0.825000 ;
+      RECT 0.085000  1.555000 0.895000 1.725000 ;
+      RECT 0.085000  1.725000 0.345000 2.465000 ;
+      RECT 0.495000  0.825000 0.840000 0.995000 ;
+      RECT 0.495000  0.995000 2.035000 1.275000 ;
+      RECT 0.495000  1.275000 0.895000 1.555000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  1.895000 0.895000 2.635000 ;
+      RECT 1.015000  0.255000 1.280000 0.655000 ;
+      RECT 1.015000  0.655000 2.195000 0.825000 ;
+      RECT 1.070000  1.445000 1.775000 1.865000 ;
+      RECT 1.070000  1.865000 2.615000 2.085000 ;
+      RECT 1.070000  2.085000 1.240000 2.465000 ;
+      RECT 1.410000  2.255000 2.275000 2.635000 ;
+      RECT 1.450000  0.085000 1.780000 0.485000 ;
+      RECT 1.950000  0.255000 3.135000 0.425000 ;
+      RECT 1.950000  0.425000 2.195000 0.655000 ;
+      RECT 2.445000  2.085000 2.615000 2.465000 ;
+      RECT 2.865000  0.425000 3.135000 0.775000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_2
+MACRO sky130_fd_sc_hd__einvn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.530000 0.620000 4.975000 1.325000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.620000 4.360000 1.480000 ;
+        RECT 3.190000 1.480000 3.520000 2.075000 ;
+        RECT 4.030000 1.480000 4.360000 2.075000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.845000 0.825000 ;
+      RECT 0.085000  1.495000 0.845000 1.665000 ;
+      RECT 0.085000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 3.020000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 2.995000 0.825000 ;
+      RECT 1.015000  1.495000 3.020000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.640000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.810000  1.665000 3.020000 2.295000 ;
+      RECT 2.810000  2.295000 4.975000 2.465000 ;
+      RECT 2.825000  0.255000 4.975000 0.450000 ;
+      RECT 2.825000  0.450000 2.995000 0.655000 ;
+      RECT 3.690000  1.650000 3.860000 2.295000 ;
+      RECT 4.530000  1.650000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_4
+MACRO sky130_fd_sc_hd__einvn_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.765000 1.755000 1.955000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.650000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.275600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.160000 0.255000 1.755000 0.595000 ;
+        RECT 1.160000 0.595000 1.330000 2.125000 ;
+        RECT 1.160000 2.125000 1.755000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.360000 0.655000 ;
+      RECT 0.085000  0.655000 0.990000 0.825000 ;
+      RECT 0.085000  1.895000 0.990000 2.065000 ;
+      RECT 0.085000  2.065000 0.400000 2.465000 ;
+      RECT 0.530000  0.085000 0.990000 0.485000 ;
+      RECT 0.570000  2.235000 0.990000 2.635000 ;
+      RECT 0.820000  0.825000 0.990000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_0
+MACRO sky130_fd_sc_hd__einvn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.765000 2.215000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.510000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 1.785000 2.215000 2.465000 ;
+        RECT 1.620000 0.255000 2.215000 0.595000 ;
+        RECT 1.620000 0.595000 1.800000 1.785000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.370000 0.615000 ;
+      RECT 0.085000  0.615000 1.450000 0.785000 ;
+      RECT 0.085000  1.895000 0.870000 2.065000 ;
+      RECT 0.085000  2.065000 0.370000 2.465000 ;
+      RECT 0.540000  0.085000 1.440000 0.445000 ;
+      RECT 0.540000  2.235000 0.870000 2.635000 ;
+      RECT 0.685000  0.785000 1.450000 1.615000 ;
+      RECT 0.685000  1.615000 0.870000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_1
+MACRO sky130_fd_sc_hd__einvn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 0.995000 7.800000 1.285000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.620000 8.195000 0.825000 ;
+        RECT 4.870000 1.455000 8.195000 1.625000 ;
+        RECT 4.870000 1.625000 5.200000 2.125000 ;
+        RECT 5.710000 1.625000 6.040000 2.125000 ;
+        RECT 6.550000 1.625000 6.880000 2.125000 ;
+        RECT 7.390000 1.625000 7.720000 2.125000 ;
+        RECT 7.970000 0.825000 8.195000 1.455000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 0.845000 0.825000 ;
+      RECT 0.090000  1.495000 0.845000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 4.475000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 4.700000 0.825000 ;
+      RECT 1.015000  1.495000 4.700000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.580000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.750000  1.665000 2.920000 2.465000 ;
+      RECT 2.795000  0.255000 2.965000 0.655000 ;
+      RECT 3.090000  1.835000 3.420000 2.635000 ;
+      RECT 3.135000  0.085000 3.465000 0.485000 ;
+      RECT 3.590000  1.665000 3.760000 2.465000 ;
+      RECT 3.635000  0.255000 3.805000 0.655000 ;
+      RECT 3.930000  1.835000 4.280000 2.635000 ;
+      RECT 3.975000  0.085000 4.315000 0.485000 ;
+      RECT 4.450000  1.665000 4.700000 2.295000 ;
+      RECT 4.450000  2.295000 8.195000 2.465000 ;
+      RECT 4.485000  0.255000 8.195000 0.450000 ;
+      RECT 4.485000  0.450000 4.700000 0.655000 ;
+      RECT 5.370000  1.795000 5.540000 2.295000 ;
+      RECT 6.210000  1.795000 6.380000 2.295000 ;
+      RECT 7.050000  1.795000 7.220000 2.295000 ;
+      RECT 7.890000  1.795000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_8
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+MACRO sky130_fd_sc_hd__a41o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.995000 1.915000 1.325000 ;
+        RECT 1.535000 1.325000 1.835000 1.620000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.700000 0.415000 2.650000 0.600000 ;
+        RECT 2.225000 0.600000 2.445000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.995000 3.085000 1.625000 ;
+        RECT 2.880000 0.395000 3.085000 0.995000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 0.995000 3.570000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.635000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.300000 0.425000 0.560000 ;
+        RECT 0.085000 0.560000 0.345000 2.165000 ;
+        RECT 0.085000 2.165000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  0.735000 1.530000 0.810000 ;
+      RECT 0.515000  0.810000 1.335000 0.905000 ;
+      RECT 0.515000  0.905000 0.685000 1.825000 ;
+      RECT 0.515000  1.825000 1.365000 1.995000 ;
+      RECT 0.595000  0.085000 0.925000 0.565000 ;
+      RECT 0.595000  2.175000 0.845000 2.635000 ;
+      RECT 1.035000  1.995000 1.365000 2.425000 ;
+      RECT 1.115000  0.300000 1.530000 0.735000 ;
+      RECT 1.535000  1.795000 3.505000 1.965000 ;
+      RECT 1.535000  1.965000 1.705000 2.465000 ;
+      RECT 1.915000  2.175000 2.165000 2.635000 ;
+      RECT 2.375000  1.965000 2.545000 2.465000 ;
+      RECT 2.845000  2.175000 3.095000 2.635000 ;
+      RECT 3.255000  0.085000 3.595000 0.810000 ;
+      RECT 3.335000  1.965000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_1
+MACRO sky130_fd_sc_hd__a41o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.785000 0.730000 4.005000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.085000 1.075000 3.550000 1.245000 ;
+        RECT 3.335000 0.745000 3.550000 1.075000 ;
+        RECT 3.335000 1.245000 3.550000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 2.855000 1.435000 ;
+        RECT 2.685000 1.435000 3.090000 1.625000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 2.335000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.730000 1.295000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.295000 0.765000 0.755000 ;
+        RECT 0.595000 0.755000 0.785000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.980000  0.635000 2.545000 0.805000 ;
+      RECT 0.980000  0.805000 1.150000 1.495000 ;
+      RECT 0.980000  1.495000 1.785000 1.665000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  1.665000 1.785000 2.425000 ;
+      RECT 1.495000  0.255000 1.705000 0.635000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 1.955000  1.795000 3.965000 1.965000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.335000  2.175000 2.585000 2.635000 ;
+      RECT 2.375000  0.295000 4.045000 0.465000 ;
+      RECT 2.375000  0.465000 2.545000 0.635000 ;
+      RECT 2.795000  1.965000 2.965000 2.465000 ;
+      RECT 3.335000  2.175000 3.585000 2.635000 ;
+      RECT 3.795000  1.965000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_2
+MACRO sky130_fd_sc_hd__a41o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.065000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 4.975000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.075000 6.185000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.495000 1.075000 7.505000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.075000 3.145000 1.280000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.635000 1.605000 0.805000 ;
+        RECT 0.150000 0.805000 0.320000 1.575000 ;
+        RECT 0.150000 1.575000 1.605000 1.745000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.490000  1.075000 1.945000 1.245000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.125000 0.465000 ;
+      RECT 1.775000  0.645000 3.905000 0.815000 ;
+      RECT 1.775000  0.815000 1.945000 1.075000 ;
+      RECT 1.775000  1.245000 1.945000 1.455000 ;
+      RECT 1.775000  1.455000 2.965000 1.625000 ;
+      RECT 1.775000  1.915000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 2.465000 0.645000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.375000  2.295000 3.405000 2.465000 ;
+      RECT 2.635000  0.085000 2.965000 0.465000 ;
+      RECT 2.715000  1.955000 3.045000 2.125000 ;
+      RECT 2.795000  1.625000 2.965000 1.955000 ;
+      RECT 3.155000  0.295000 4.245000 0.465000 ;
+      RECT 3.235000  1.535000 7.370000 1.705000 ;
+      RECT 3.235000  1.705000 3.405000 2.295000 ;
+      RECT 3.575000  1.915000 3.905000 2.635000 ;
+      RECT 4.075000  0.465000 4.245000 0.645000 ;
+      RECT 4.075000  0.645000 5.165000 0.815000 ;
+      RECT 4.075000  1.705000 4.245000 2.465000 ;
+      RECT 4.415000  0.295000 6.105000 0.465000 ;
+      RECT 4.415000  1.915000 4.745000 2.635000 ;
+      RECT 4.935000  1.705000 5.105000 2.465000 ;
+      RECT 5.345000  1.915000 6.035000 2.635000 ;
+      RECT 5.355000  0.645000 7.285000 0.815000 ;
+      RECT 6.275000  1.705000 6.445000 2.465000 ;
+      RECT 6.615000  0.085000 6.945000 0.465000 ;
+      RECT 6.615000  1.915000 6.945000 2.635000 ;
+      RECT 7.115000  0.255000 7.285000 0.645000 ;
+      RECT 7.115000  1.705000 7.285000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_4
+MACRO sky130_fd_sc_hd__a21boi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.765000 2.170000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.340000 0.765000 2.615000 1.435000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.470000 1.200000 0.895000 1.955000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.392200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.200000 1.610000 1.655000 ;
+        RECT 1.065000 1.655000 1.305000 2.465000 ;
+        RECT 1.315000 0.255000 1.610000 1.200000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.280000 0.380000 0.780000 ;
+      RECT 0.095000  0.780000 1.145000 1.030000 ;
+      RECT 0.095000  1.030000 0.300000 2.085000 ;
+      RECT 0.095000  2.085000 0.355000 2.465000 ;
+      RECT 0.525000  2.175000 0.855000 2.635000 ;
+      RECT 0.550000  0.085000 1.145000 0.610000 ;
+      RECT 1.475000  1.825000 2.665000 2.005000 ;
+      RECT 1.475000  2.005000 1.805000 2.465000 ;
+      RECT 1.975000  2.175000 2.165000 2.635000 ;
+      RECT 2.335000  0.085000 2.665000 0.595000 ;
+      RECT 2.335000  2.005000 2.665000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_0
+MACRO sky130_fd_sc_hd__a21boi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.065000 4.970000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.030000 1.065000 3.375000 1.480000 ;
+        RECT 3.030000 1.480000 6.450000 1.705000 ;
+        RECT 5.205000 1.075000 6.450000 1.480000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.650000 1.615000 ;
+        RECT 0.480000 0.995000 0.650000 1.075000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 0.370000 1.465000 0.615000 ;
+        RECT 1.275000 0.615000 2.325000 0.695000 ;
+        RECT 1.275000 0.695000 4.885000 0.865000 ;
+        RECT 1.560000 1.585000 2.860000 1.705000 ;
+        RECT 1.560000 1.705000 2.725000 2.035000 ;
+        RECT 2.135000 0.255000 2.325000 0.615000 ;
+        RECT 2.570000 0.865000 4.885000 0.895000 ;
+        RECT 2.570000 0.895000 2.860000 1.585000 ;
+        RECT 3.255000 0.675000 4.885000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.615000 ;
+      RECT 0.090000  0.615000 1.105000 0.795000 ;
+      RECT 0.125000  1.785000 0.990000 2.005000 ;
+      RECT 0.125000  2.005000 0.455000 2.465000 ;
+      RECT 0.625000  2.175000 0.885000 2.635000 ;
+      RECT 0.720000  0.085000 1.105000 0.445000 ;
+      RECT 0.820000  0.795000 1.105000 1.035000 ;
+      RECT 0.820000  1.035000 2.400000 1.345000 ;
+      RECT 0.820000  1.345000 0.990000 1.785000 ;
+      RECT 1.160000  1.795000 1.355000 2.215000 ;
+      RECT 1.160000  2.215000 3.095000 2.465000 ;
+      RECT 1.635000  0.085000 1.965000 0.445000 ;
+      RECT 1.935000  2.205000 3.095000 2.215000 ;
+      RECT 2.495000  0.085000 3.085000 0.525000 ;
+      RECT 2.895000  1.875000 6.605000 2.105000 ;
+      RECT 2.895000  2.105000 3.095000 2.205000 ;
+      RECT 3.265000  0.255000 5.315000 0.505000 ;
+      RECT 3.265000  2.275000 3.595000 2.635000 ;
+      RECT 4.125000  2.275000 4.455000 2.635000 ;
+      RECT 4.625000  2.105000 4.815000 2.465000 ;
+      RECT 4.985000  2.275000 5.315000 2.635000 ;
+      RECT 5.055000  0.505000 5.315000 0.735000 ;
+      RECT 5.055000  0.735000 6.175000 0.905000 ;
+      RECT 5.485000  0.085000 5.675000 0.565000 ;
+      RECT 5.485000  2.105000 5.665000 2.465000 ;
+      RECT 5.845000  0.255000 6.175000 0.735000 ;
+      RECT 5.845000  2.275000 6.175000 2.635000 ;
+      RECT 6.345000  0.085000 6.605000 0.885000 ;
+      RECT 6.345000  2.105000 6.605000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_4
+MACRO sky130_fd_sc_hd__a21boi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.995000 2.155000 1.345000 ;
+        RECT 1.945000 0.375000 2.155000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.995000 2.640000 1.345000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.975000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.045000 1.580000 1.345000 ;
+        RECT 1.045000 1.345000 1.375000 2.455000 ;
+        RECT 1.335000 0.265000 1.765000 0.795000 ;
+        RECT 1.335000 0.795000 1.580000 1.045000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.845000 0.855000 2.045000 ;
+      RECT 0.095000  2.045000 0.355000 2.435000 ;
+      RECT 0.365000  0.265000 0.745000 0.715000 ;
+      RECT 0.515000  0.715000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.525000  2.225000 0.855000 2.635000 ;
+      RECT 0.925000  0.085000 1.155000 0.865000 ;
+      RECT 1.545000  1.525000 2.585000 1.725000 ;
+      RECT 1.545000  1.725000 1.735000 2.455000 ;
+      RECT 1.905000  1.905000 2.235000 2.635000 ;
+      RECT 2.325000  0.085000 2.655000 0.815000 ;
+      RECT 2.415000  1.725000 2.585000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_1
+MACRO sky130_fd_sc_hd__a21boi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.605000 0.995000 3.215000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 2.425000 1.245000 ;
+        RECT 2.100000 1.245000 2.425000 1.495000 ;
+        RECT 2.100000 1.495000 3.675000 1.675000 ;
+        RECT 3.385000 1.035000 3.795000 1.295000 ;
+        RECT 3.385000 1.295000 3.675000 1.495000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.765000 0.425000 1.805000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.255000 1.720000 0.615000 ;
+        RECT 1.520000 0.615000 3.060000 0.785000 ;
+        RECT 1.520000 0.785000 1.715000 2.115000 ;
+        RECT 2.730000 0.255000 3.060000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  2.080000 0.425000 2.635000 ;
+      RECT 0.265000  0.360000 0.795000 0.530000 ;
+      RECT 0.595000  0.530000 0.795000 1.070000 ;
+      RECT 0.595000  1.070000 1.325000 1.285000 ;
+      RECT 0.595000  1.285000 0.855000 2.265000 ;
+      RECT 0.985000  0.085000 1.225000 0.885000 ;
+      RECT 1.045000  1.795000 1.350000 2.285000 ;
+      RECT 1.045000  2.285000 2.215000 2.465000 ;
+      RECT 1.885000  1.855000 3.920000 2.025000 ;
+      RECT 1.885000  2.025000 2.215000 2.285000 ;
+      RECT 1.940000  0.085000 2.270000 0.445000 ;
+      RECT 2.385000  2.195000 2.555000 2.635000 ;
+      RECT 2.810000  2.025000 3.920000 2.105000 ;
+      RECT 2.810000  2.105000 2.980000 2.465000 ;
+      RECT 3.160000  2.275000 3.490000 2.635000 ;
+      RECT 3.635000  0.085000 3.930000 0.865000 ;
+      RECT 3.660000  2.105000 3.920000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_2
+MACRO sky130_fd_sc_hd__a22oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 3.100000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 1.075000 4.500000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.485000 2.160000 1.655000 ;
+        RECT 0.095000 1.655000 0.345000 2.465000 ;
+        RECT 0.935000 1.655000 1.265000 2.125000 ;
+        RECT 1.355000 0.675000 3.045000 0.845000 ;
+        RECT 1.775000 1.655000 2.160000 2.125000 ;
+        RECT 1.870000 0.845000 2.160000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 0.345000 0.680000 ;
+      RECT 0.095000  0.680000 1.185000 0.850000 ;
+      RECT 0.515000  0.085000 0.845000 0.510000 ;
+      RECT 0.515000  1.825000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 2.625000 2.465000 ;
+      RECT 1.015000  0.255000 2.105000 0.505000 ;
+      RECT 1.015000  0.505000 1.185000 0.680000 ;
+      RECT 1.435000  1.825000 1.605000 2.295000 ;
+      RECT 2.295000  0.255000 3.385000 0.505000 ;
+      RECT 2.375000  1.485000 4.305000 1.655000 ;
+      RECT 2.375000  1.655000 2.625000 2.295000 ;
+      RECT 2.795000  1.825000 2.965000 2.635000 ;
+      RECT 3.135000  1.655000 3.465000 2.465000 ;
+      RECT 3.215000  0.505000 3.385000 0.680000 ;
+      RECT 3.215000  0.680000 4.375000 0.850000 ;
+      RECT 3.555000  0.085000 3.885000 0.510000 ;
+      RECT 3.635000  1.825000 3.805000 2.635000 ;
+      RECT 3.975000  1.655000 4.305000 2.465000 ;
+      RECT 4.055000  0.255000 4.375000 0.680000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_2
+MACRO sky130_fd_sc_hd__a22oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 5.685000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.910000 1.075000 7.735000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.040000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.895000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.445000 3.325000 1.625000 ;
+        RECT 0.595000 1.625000 0.805000 2.125000 ;
+        RECT 1.395000 1.625000 1.645000 2.125000 ;
+        RECT 2.195000 0.645000 5.565000 0.885000 ;
+        RECT 2.195000 0.885000 2.445000 1.445000 ;
+        RECT 2.235000 1.625000 2.485000 2.125000 ;
+        RECT 3.075000 1.625000 3.325000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.455000 0.425000 2.295000 ;
+      RECT 0.090000  2.295000 4.265000 2.465000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 2.025000 0.905000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.795000 1.225000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 3.785000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.725000 ;
+      RECT 1.815000  1.795000 2.065000 2.295000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 3.495000  1.455000 7.625000 1.625000 ;
+      RECT 3.495000  1.625000 4.265000 2.295000 ;
+      RECT 3.975000  0.255000 5.985000 0.475000 ;
+      RECT 4.435000  1.795000 4.685000 2.635000 ;
+      RECT 4.855000  1.625000 5.105000 2.465000 ;
+      RECT 5.275000  1.795000 5.525000 2.635000 ;
+      RECT 5.695000  1.625000 5.945000 2.465000 ;
+      RECT 5.735000  0.475000 5.985000 0.725000 ;
+      RECT 5.735000  0.725000 7.665000 0.905000 ;
+      RECT 6.115000  1.795000 6.365000 2.635000 ;
+      RECT 6.155000  0.085000 6.325000 0.555000 ;
+      RECT 6.495000  0.255000 6.825000 0.725000 ;
+      RECT 6.535000  1.625000 6.785000 2.465000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 6.995000  0.085000 7.165000 0.555000 ;
+      RECT 7.335000  0.255000 7.665000 0.725000 ;
+      RECT 7.375000  1.625000 7.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_4
+MACRO sky130_fd_sc_hd__a22oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.675000 1.700000 1.075000 ;
+        RECT 1.490000 1.075000 1.840000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.995000 2.335000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.275000 ;
+        RECT 0.990000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.858000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.445000 1.840000 1.495000 ;
+        RECT 0.095000 1.495000 2.675000 1.625000 ;
+        RECT 0.095000 1.625000 0.425000 2.295000 ;
+        RECT 0.095000 2.295000 1.265000 2.465000 ;
+        RECT 0.820000 0.255000 2.125000 0.505000 ;
+        RECT 0.935000 2.255000 1.265000 2.295000 ;
+        RECT 1.615000 1.625000 2.675000 1.665000 ;
+        RECT 1.945000 0.505000 2.125000 0.655000 ;
+        RECT 1.945000 0.655000 2.675000 0.825000 ;
+        RECT 2.505000 0.825000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.595000 ;
+      RECT 0.595000  1.795000 1.475000 1.835000 ;
+      RECT 0.595000  1.835000 2.125000 2.035000 ;
+      RECT 0.595000  2.035000 1.210000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 1.435000  2.255000 1.810000 2.635000 ;
+      RECT 1.955000  2.035000 2.125000 2.165000 ;
+      RECT 2.305000  0.085000 2.635000 0.485000 ;
+      RECT 2.360000  1.855000 2.625000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_1
+MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvpwrvgnd_1
+MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0n_1
+MACRO sky130_fd_sc_hd__or4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.640000 0.995000 3.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.505000 2.125000 3.145000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.415000 4.080000 0.760000 ;
+        RECT 3.805000 1.495000 4.080000 2.465000 ;
+        RECT 3.910000 0.760000 4.080000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.865000 ;
+      RECT 0.085000  1.865000 1.940000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.660000  0.085000 0.830000 0.825000 ;
+      RECT 0.995000  1.525000 1.600000 1.695000 ;
+      RECT 1.080000  0.450000 1.250000 0.655000 ;
+      RECT 1.080000  0.655000 1.600000 0.825000 ;
+      RECT 1.410000  0.825000 1.600000 1.075000 ;
+      RECT 1.410000  1.075000 1.855000 1.245000 ;
+      RECT 1.410000  1.245000 1.600000 1.525000 ;
+      RECT 1.495000  0.085000 1.850000 0.485000 ;
+      RECT 1.535000  2.205000 2.280000 2.375000 ;
+      RECT 1.770000  1.415000 2.420000 1.585000 ;
+      RECT 1.770000  1.585000 1.940000 1.865000 ;
+      RECT 2.025000  0.305000 2.195000 0.655000 ;
+      RECT 2.025000  0.655000 3.635000 0.825000 ;
+      RECT 2.110000  1.785000 3.145000 1.955000 ;
+      RECT 2.110000  1.955000 2.280000 2.205000 ;
+      RECT 2.250000  0.995000 2.420000 1.415000 ;
+      RECT 2.395000  0.085000 2.725000 0.485000 ;
+      RECT 2.895000  0.305000 3.065000 0.655000 ;
+      RECT 2.975000  1.495000 3.635000 1.665000 ;
+      RECT 2.975000  1.665000 3.145000 1.785000 ;
+      RECT 3.235000  0.085000 3.615000 0.485000 ;
+      RECT 3.315000  1.835000 3.595000 2.635000 ;
+      RECT 3.465000  0.825000 3.635000 0.995000 ;
+      RECT 3.465000  0.995000 3.740000 1.325000 ;
+      RECT 3.465000  1.325000 3.635000 1.495000 ;
+      RECT 4.250000  0.085000 4.420000 1.025000 ;
+      RECT 4.250000  1.440000 4.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_2
+MACRO sky130_fd_sc_hd__or4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.235000 0.995000 3.405000 1.445000 ;
+        RECT 3.235000 1.445000 3.670000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 0.995000 3.005000 1.450000 ;
+        RECT 2.795000 1.450000 3.005000 1.785000 ;
+        RECT 2.795000 1.785000 3.115000 2.375000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.875000 1.455000 5.435000 1.625000 ;
+        RECT 3.875000 1.625000 4.125000 2.465000 ;
+        RECT 3.915000 0.255000 4.165000 0.725000 ;
+        RECT 3.915000 0.725000 5.435000 0.905000 ;
+        RECT 4.675000 0.255000 5.005000 0.725000 ;
+        RECT 4.715000 1.625000 4.965000 2.465000 ;
+        RECT 5.205000 0.905000 5.435000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.295000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.595000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.595000 0.825000 ;
+      RECT 1.125000  2.035000 1.295000 2.295000 ;
+      RECT 1.125000  2.295000 2.445000 2.465000 ;
+      RECT 1.405000  0.825000 1.595000 0.995000 ;
+      RECT 1.405000  0.995000 1.695000 1.325000 ;
+      RECT 1.405000  1.325000 1.595000 1.525000 ;
+      RECT 1.510000  1.955000 2.105000 2.125000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 1.935000  0.655000 3.745000 0.825000 ;
+      RECT 1.935000  0.825000 2.105000 1.955000 ;
+      RECT 2.095000  0.305000 2.265000 0.655000 ;
+      RECT 2.275000  0.995000 2.445000 2.295000 ;
+      RECT 2.465000  0.085000 2.795000 0.485000 ;
+      RECT 2.965000  0.305000 3.135000 0.655000 ;
+      RECT 3.355000  0.085000 3.735000 0.485000 ;
+      RECT 3.400000  1.795000 3.650000 2.635000 ;
+      RECT 3.575000  0.825000 3.745000 1.075000 ;
+      RECT 3.575000  1.075000 5.035000 1.245000 ;
+      RECT 4.295000  1.795000 4.545000 2.635000 ;
+      RECT 4.335000  0.085000 4.505000 0.555000 ;
+      RECT 5.135000  1.795000 5.385000 2.635000 ;
+      RECT 5.175000  0.085000 5.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_4
+MACRO sky130_fd_sc_hd__or4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 3.270000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 2.125000 3.120000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 0.415000 4.055000 0.760000 ;
+        RECT 3.780000 1.495000 4.055000 2.465000 ;
+        RECT 3.885000 0.760000 4.055000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.915000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.575000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 1.830000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.470000  0.085000 1.845000 0.485000 ;
+      RECT 1.510000  2.205000 2.255000 2.375000 ;
+      RECT 1.745000  1.415000 2.395000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.865000 ;
+      RECT 2.015000  0.305000 2.185000 0.655000 ;
+      RECT 2.015000  0.655000 3.610000 0.825000 ;
+      RECT 2.085000  1.785000 3.120000 1.955000 ;
+      RECT 2.085000  1.955000 2.255000 2.205000 ;
+      RECT 2.225000  0.995000 2.395000 1.415000 ;
+      RECT 2.370000  0.085000 2.700000 0.485000 ;
+      RECT 2.870000  0.305000 3.040000 0.655000 ;
+      RECT 2.950000  1.495000 3.610000 1.665000 ;
+      RECT 2.950000  1.665000 3.120000 1.785000 ;
+      RECT 3.210000  0.085000 3.590000 0.485000 ;
+      RECT 3.290000  1.835000 3.570000 2.635000 ;
+      RECT 3.440000  0.825000 3.610000 0.995000 ;
+      RECT 3.440000  0.995000 3.715000 1.325000 ;
+      RECT 3.440000  1.325000 3.610000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_1
+MACRO sky130_fd_sc_hd__mux2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 0.765000 2.445000 1.280000 ;
+        RECT 2.275000 1.280000 2.445000 1.315000 ;
+        RECT 2.275000 1.315000 3.090000 1.625000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.625000 0.735000 3.090000 1.025000 ;
+        RECT 2.900000 0.420000 3.090000 0.735000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 0.755000 3.550000 1.625000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.765000 0.750000 ;
+        RECT 0.515000 0.750000 0.685000 1.595000 ;
+        RECT 0.515000 1.595000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.855000  0.995000 1.165000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.995000  0.635000 1.605000 0.805000 ;
+      RECT 0.995000  0.805000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.835000 ;
+      RECT 0.995000  1.835000 1.655000 2.005000 ;
+      RECT 1.025000  2.175000 1.315000 2.635000 ;
+      RECT 1.335000  0.995000 1.505000 1.495000 ;
+      RECT 1.335000  1.495000 1.995000 1.665000 ;
+      RECT 1.435000  0.295000 2.730000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.635000 ;
+      RECT 1.485000  2.005000 1.655000 2.255000 ;
+      RECT 1.485000  2.255000 2.795000 2.425000 ;
+      RECT 1.825000  1.665000 1.995000 1.835000 ;
+      RECT 1.825000  1.835000 4.050000 2.005000 ;
+      RECT 3.325000  2.175000 3.545000 2.635000 ;
+      RECT 3.350000  0.085000 3.550000 0.585000 ;
+      RECT 3.715000  2.005000 4.050000 2.465000 ;
+      RECT 3.720000  0.255000 4.050000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_2
+MACRO sky130_fd_sc_hd__mux2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.180000 0.645000 6.895000 0.815000 ;
+        RECT 5.180000 0.815000 5.350000 1.325000 ;
+        RECT 5.305000 0.425000 5.890000 0.645000 ;
+        RECT 6.725000 0.815000 6.895000 0.995000 ;
+        RECT 6.725000 0.995000 7.195000 1.165000 ;
+        RECT 7.025000 1.165000 7.195000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.105000 4.475000 1.275000 ;
+        RECT 4.305000 0.995000 4.475000 1.105000 ;
+        RECT 4.305000 1.275000 4.475000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.290000 1.105000 4.460000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 0.995000 8.245000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.960000 1.105000 8.130000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.230000 1.075000 4.520000 1.120000 ;
+        RECT 4.230000 1.120000 8.190000 1.260000 ;
+        RECT 4.230000 1.260000 4.520000 1.305000 ;
+        RECT 7.900000 1.075000 8.190000 1.120000 ;
+        RECT 7.900000 1.260000 8.190000 1.305000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.739500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.795000 0.995000 3.965000 1.495000 ;
+        RECT 3.795000 1.495000 6.035000 1.665000 ;
+        RECT 5.670000 0.995000 6.035000 1.495000 ;
+      LAYER mcon ;
+        RECT 5.670000 1.445000 5.840000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.215000 0.995000 9.510000 1.615000 ;
+      LAYER mcon ;
+        RECT 9.340000 1.445000 9.510000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.610000 1.415000 5.900000 1.460000 ;
+        RECT 5.610000 1.460000 9.570000 1.600000 ;
+        RECT 5.610000 1.600000 5.900000 1.645000 ;
+        RECT 9.280000 1.415000 9.570000 1.460000 ;
+        RECT 9.280000 1.600000 9.570000 1.645000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 3.285000 0.805000 ;
+        RECT 0.595000 0.805000 0.815000 1.575000 ;
+        RECT 0.595000 1.575000 3.285000 1.745000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.295000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+        RECT 2.275000 0.255000 2.445000 0.635000 ;
+        RECT 2.275000 1.745000 2.445000 2.465000 ;
+        RECT 3.115000 0.295000 3.285000 0.635000 ;
+        RECT 3.115000 1.745000 3.285000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.090000  1.915000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 0.985000  1.075000 3.625000 1.245000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.465000 ;
+      RECT 3.455000  0.635000 4.920000 0.805000 ;
+      RECT 3.455000  0.805000 3.625000 1.075000 ;
+      RECT 3.455000  1.245000 3.625000 1.835000 ;
+      RECT 3.455000  1.835000 8.225000 2.005000 ;
+      RECT 3.455000  2.255000 3.785000 2.635000 ;
+      RECT 3.955000  0.295000 5.125000 0.465000 ;
+      RECT 3.955000  2.255000 5.905000 2.425000 ;
+      RECT 4.750000  0.805000 4.920000 0.935000 ;
+      RECT 6.060000  0.085000 6.390000 0.465000 ;
+      RECT 6.075000  2.175000 6.245000 2.635000 ;
+      RECT 6.345000  0.995000 6.515000 1.495000 ;
+      RECT 6.345000  1.495000 8.855000 1.665000 ;
+      RECT 6.480000  2.255000 8.645000 2.425000 ;
+      RECT 6.575000  0.295000 7.865000 0.465000 ;
+      RECT 7.115000  0.635000 7.670000 0.805000 ;
+      RECT 7.500000  0.805000 7.670000 0.935000 ;
+      RECT 8.685000  0.645000 9.485000 0.815000 ;
+      RECT 8.685000  0.815000 8.855000 1.495000 ;
+      RECT 8.685000  1.665000 8.855000 1.915000 ;
+      RECT 8.685000  1.915000 9.485000 2.085000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 9.315000  0.295000 9.485000 0.645000 ;
+      RECT 9.315000  1.795000 9.485000 1.915000 ;
+      RECT 9.315000  2.085000 9.485000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 4.750000  0.765000 4.920000 0.935000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.500000  0.765000 7.670000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.690000 0.735000 4.980000 0.780000 ;
+      RECT 4.690000 0.780000 7.730000 0.920000 ;
+      RECT 4.690000 0.920000 4.980000 0.965000 ;
+      RECT 7.440000 0.735000 7.730000 0.780000 ;
+      RECT 7.440000 0.920000 7.730000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2_8
+MACRO sky130_fd_sc_hd__mux2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.255000 2.265000 1.415000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 0.815000 1.785000 1.615000 ;
+        RECT 1.615000 1.615000 2.625000 1.785000 ;
+        RECT 2.435000 0.255000 2.625000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.105000 1.325000 ;
+        RECT 0.935000 1.325000 1.105000 2.295000 ;
+        RECT 0.935000 2.295000 2.965000 2.465000 ;
+        RECT 2.795000 1.440000 3.545000 1.630000 ;
+        RECT 2.795000 1.630000 2.965000 2.295000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.255000 0.345000 0.825000 ;
+        RECT 0.090000 0.825000 0.260000 1.495000 ;
+        RECT 0.090000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.420000 -0.085000 0.590000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.655000 1.445000 0.825000 ;
+      RECT 0.515000  0.825000 0.685000 0.995000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 1.270000  0.255000 1.800000 0.620000 ;
+      RECT 1.270000  0.620000 1.445000 0.655000 ;
+      RECT 1.275000  0.825000 1.445000 1.955000 ;
+      RECT 1.275000  1.955000 2.400000 2.125000 ;
+      RECT 2.805000  0.085000 3.315000 0.620000 ;
+      RECT 2.825000  0.895000 4.055000 1.065000 ;
+      RECT 3.135000  1.875000 3.305000 2.635000 ;
+      RECT 3.535000  0.290000 3.780000 0.895000 ;
+      RECT 3.540000  1.875000 4.055000 2.285000 ;
+      RECT 3.715000  1.065000 4.055000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_1
+MACRO sky130_fd_sc_hd__mux2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.750000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.435000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.740000 1.325000 ;
+        RECT 0.570000 0.635000 2.850000 0.805000 ;
+        RECT 0.570000 0.805000 0.740000 0.995000 ;
+        RECT 2.680000 0.805000 2.850000 0.995000 ;
+        RECT 2.680000 0.995000 3.395000 1.325000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.915000 0.255000 4.085000 0.635000 ;
+        RECT 3.915000 0.635000 5.430000 0.805000 ;
+        RECT 3.915000 1.575000 5.430000 1.745000 ;
+        RECT 3.915000 1.745000 4.085000 2.465000 ;
+        RECT 4.755000 0.255000 4.925000 0.635000 ;
+        RECT 4.755000 1.745000 4.925000 2.465000 ;
+        RECT 5.200000 0.805000 5.430000 1.575000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.295000 0.345000 0.625000 ;
+      RECT 0.090000  0.625000 0.260000 1.495000 ;
+      RECT 0.090000  1.495000 1.080000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.835000 0.820000 2.635000 ;
+      RECT 0.910000  0.995000 1.080000 1.495000 ;
+      RECT 0.990000  1.935000 1.340000 2.275000 ;
+      RECT 0.990000  2.275000 2.770000 2.445000 ;
+      RECT 1.530000  1.935000 3.245000 2.105000 ;
+      RECT 1.975000  0.295000 3.230000 0.465000 ;
+      RECT 1.980000  1.595000 3.735000 1.765000 ;
+      RECT 3.060000  0.465000 3.230000 0.655000 ;
+      RECT 3.060000  0.655000 3.735000 0.825000 ;
+      RECT 3.075000  2.105000 3.245000 2.465000 ;
+      RECT 3.415000  0.085000 3.745000 0.465000 ;
+      RECT 3.415000  2.255000 3.745000 2.635000 ;
+      RECT 3.565000  0.825000 3.735000 1.075000 ;
+      RECT 3.565000  1.075000 5.030000 1.245000 ;
+      RECT 3.565000  1.245000 3.735000 1.595000 ;
+      RECT 3.565000  1.765000 3.735000 1.785000 ;
+      RECT 4.255000  0.085000 4.585000 0.465000 ;
+      RECT 4.255000  1.915000 4.585000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.465000 ;
+      RECT 5.095000  1.915000 5.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_4
+MACRO sky130_fd_sc_hd__sdfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.510000 1.560000 12.780000 2.465000 ;
+        RECT 12.520000 0.255000 12.780000 0.760000 ;
+        RECT 12.600000 0.760000 12.780000 1.560000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.650000  1.535000 12.325000 1.705000 ;
+      RECT 11.650000  1.705000 11.830000 2.465000 ;
+      RECT 11.660000  0.255000 11.830000 0.635000 ;
+      RECT 11.660000  0.635000 12.325000 0.805000 ;
+      RECT 12.010000  0.085000 12.340000 0.465000 ;
+      RECT 12.010000  1.875000 12.340000 2.635000 ;
+      RECT 12.155000  0.805000 12.325000 1.060000 ;
+      RECT 12.155000  1.060000 12.430000 1.390000 ;
+      RECT 12.155000  1.390000 12.325000 1.535000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_1
+MACRO sky130_fd_sc_hd__sdfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.575000 0.265000 11.925000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.435000 1.535000 12.825000 2.080000 ;
+        RECT 12.445000 0.310000 12.825000 0.825000 ;
+        RECT 12.525000 2.080000 12.825000 2.465000 ;
+        RECT 12.655000 0.825000 12.825000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.530000 2.910000 ;
+        RECT  4.405000 1.305000 13.530000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 1.055000 ;
+      RECT 10.345000  1.055000 11.060000 1.295000 ;
+      RECT 10.375000  1.295000 11.060000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.715000  0.345000 10.885000 0.715000 ;
+      RECT 10.715000  0.715000 11.405000 0.885000 ;
+      RECT 10.715000  1.795000 11.405000 1.865000 ;
+      RECT 10.715000  1.865000 12.265000 2.035000 ;
+      RECT 10.715000  2.035000 10.890000 2.465000 ;
+      RECT 11.090000  0.085000 11.365000 0.545000 ;
+      RECT 11.090000  2.205000 11.420000 2.635000 ;
+      RECT 11.230000  0.885000 11.405000 1.795000 ;
+      RECT 11.550000  2.035000 12.265000 2.085000 ;
+      RECT 12.025000  2.255000 12.355000 2.635000 ;
+      RECT 12.095000  0.995000 12.485000 1.325000 ;
+      RECT 12.095000  1.325000 12.265000 1.865000 ;
+      RECT 12.105000  0.085000 12.275000 0.825000 ;
+      RECT 12.995000  0.085000 13.165000 0.930000 ;
+      RECT 12.995000  1.495000 13.245000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_2
+MACRO sky130_fd_sc_hd__a211oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.035000 3.080000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.035000 4.500000 1.285000 ;
+        RECT 4.175000 1.285000 4.500000 1.655000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.035000 1.785000 1.285000 ;
+        RECT 1.035000 1.285000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.405000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.826000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.575000 0.255000 0.835000 0.655000 ;
+        RECT 0.575000 0.655000 3.145000 0.855000 ;
+        RECT 0.575000 0.855000 0.855000 1.785000 ;
+        RECT 0.575000 1.785000 0.905000 2.105000 ;
+        RECT 1.505000 0.285000 1.695000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.145000  0.085000 0.395000 0.815000 ;
+      RECT 0.145000  1.785000 0.405000 2.285000 ;
+      RECT 0.145000  2.285000 2.215000 2.455000 ;
+      RECT 1.005000  0.085000 1.335000 0.475000 ;
+      RECT 1.075000  1.785000 1.265000 2.255000 ;
+      RECT 1.075000  2.255000 2.215000 2.285000 ;
+      RECT 1.435000  1.455000 3.975000 1.655000 ;
+      RECT 1.435000  1.655000 1.765000 2.075000 ;
+      RECT 1.865000  0.085000 2.195000 0.475000 ;
+      RECT 1.935000  1.835000 2.215000 2.255000 ;
+      RECT 2.385000  0.265000 3.495000 0.475000 ;
+      RECT 2.435000  1.835000 2.665000 2.635000 ;
+      RECT 2.845000  1.655000 3.115000 2.465000 ;
+      RECT 3.295000  1.835000 3.525000 2.635000 ;
+      RECT 3.325000  0.475000 3.495000 0.635000 ;
+      RECT 3.325000  0.635000 4.435000 0.855000 ;
+      RECT 3.675000  0.085000 4.005000 0.455000 ;
+      RECT 3.705000  1.655000 3.975000 2.465000 ;
+      RECT 4.155000  1.835000 4.385000 2.635000 ;
+      RECT 4.185000  0.265000 4.435000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_2
+MACRO sky130_fd_sc_hd__a211oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.075000 3.005000 1.245000 ;
+        RECT 1.660000 1.035000 3.005000 1.075000 ;
+        RECT 1.660000 1.245000 3.005000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.035000 1.385000 1.445000 ;
+        RECT 0.100000 1.445000 3.575000 1.625000 ;
+        RECT 3.245000 1.035000 3.575000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.745000 1.035000 4.755000 1.275000 ;
+        RECT 3.745000 1.275000 4.460000 1.615000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.445000 4.000000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.590000 0.995000 6.935000 1.325000 ;
+        RECT 6.590000 1.325000 6.760000 1.615000 ;
+      LAYER mcon ;
+        RECT 6.590000 1.445000 6.760000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 1.415000 4.060000 1.460000 ;
+        RECT 3.770000 1.460000 6.820000 1.600000 ;
+        RECT 3.770000 1.600000 4.060000 1.645000 ;
+        RECT 6.530000 1.415000 6.820000 1.460000 ;
+        RECT 6.530000 1.600000 6.820000 1.645000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.000000 1.035000 6.350000 1.275000 ;
+        RECT 6.130000 1.275000 6.350000 1.695000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.685000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.675000 3.330000 0.695000 ;
+        RECT 1.775000 0.695000 7.275000 0.825000 ;
+        RECT 1.775000 0.825000 6.355000 0.865000 ;
+        RECT 3.875000 0.255000 4.195000 0.615000 ;
+        RECT 3.875000 0.615000 5.045000 0.625000 ;
+        RECT 3.875000 0.625000 7.275000 0.695000 ;
+        RECT 4.875000 0.255000 5.045000 0.615000 ;
+        RECT 5.170000 1.865000 7.275000 2.085000 ;
+        RECT 5.715000 0.255000 5.885000 0.615000 ;
+        RECT 5.715000 0.615000 7.275000 0.625000 ;
+        RECT 6.930000 1.495000 7.275000 1.865000 ;
+        RECT 7.105000 0.825000 7.275000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.395000 0.585000 ;
+      RECT 0.095000  1.795000 3.705000 2.085000 ;
+      RECT 0.095000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.565000  0.530000 0.775000 0.695000 ;
+      RECT 0.565000  0.695000 1.605000 0.865000 ;
+      RECT 0.950000  0.085000 1.185000 0.525000 ;
+      RECT 1.015000  2.085000 3.705000 2.105000 ;
+      RECT 1.015000  2.105000 1.185000 2.465000 ;
+      RECT 1.355000  0.255000 3.365000 0.505000 ;
+      RECT 1.355000  0.505000 1.605000 0.695000 ;
+      RECT 1.355000  2.275000 1.685000 2.635000 ;
+      RECT 1.855000  2.105000 2.025000 2.465000 ;
+      RECT 2.195000  2.275000 2.525000 2.635000 ;
+      RECT 2.695000  2.105000 2.865000 2.465000 ;
+      RECT 3.035000  2.275000 3.365000 2.635000 ;
+      RECT 3.535000  0.085000 3.705000 0.525000 ;
+      RECT 3.535000  2.105000 3.705000 2.255000 ;
+      RECT 3.535000  2.255000 7.270000 2.465000 ;
+      RECT 3.875000  1.785000 4.910000 2.085000 ;
+      RECT 4.365000  0.085000 4.695000 0.445000 ;
+      RECT 4.630000  1.445000 5.960000 1.695000 ;
+      RECT 4.630000  1.695000 4.910000 1.785000 ;
+      RECT 5.215000  0.085000 5.545000 0.445000 ;
+      RECT 6.055000  0.085000 6.385000 0.445000 ;
+      RECT 6.915000  0.085000 7.270000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_4
+MACRO sky130_fd_sc_hd__a211oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.265000 0.855000 0.995000 ;
+        RECT 0.605000 0.995000 1.245000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.765000 0.435000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+        RECT 1.525000 1.325000 1.755000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 2.235000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.619250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.180000 0.265000 1.365000 0.625000 ;
+        RECT 1.180000 0.625000 2.660000 0.815000 ;
+        RECT 1.935000 1.785000 2.660000 2.455000 ;
+        RECT 2.055000 0.265000 2.280000 0.625000 ;
+        RECT 2.445000 0.815000 2.660000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.595000 ;
+      RECT 0.250000  1.525000 1.355000 1.725000 ;
+      RECT 0.250000  1.725000 0.500000 2.455000 ;
+      RECT 0.670000  1.905000 1.000000 2.635000 ;
+      RECT 1.170000  1.725000 1.355000 2.455000 ;
+      RECT 1.545000  0.085000 1.875000 0.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_1
+MACRO sky130_fd_sc_hd__o31ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+        RECT 1.460000 1.325000 1.700000 2.405000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.330000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.006000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.260000 2.675000 0.825000 ;
+        RECT 1.945000 0.825000 2.160000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.440000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.515000  0.255000 0.845000 0.735000 ;
+      RECT 0.515000  0.735000 1.700000 0.905000 ;
+      RECT 1.015000  0.085000 1.185000 0.565000 ;
+      RECT 1.370000  0.255000 1.700000 0.735000 ;
+      RECT 2.330000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_1
+MACRO sky130_fd_sc_hd__o31ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.240000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 1.055000 2.220000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.055000 3.205000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.755000 4.515000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.063500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.495000 4.515000 1.665000 ;
+        RECT 2.335000 1.665000 2.665000 2.125000 ;
+        RECT 3.175000 1.665000 3.505000 2.465000 ;
+        RECT 3.675000 0.595000 4.005000 1.495000 ;
+        RECT 4.175000 1.665000 4.515000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 3.505000 0.885000 ;
+      RECT 0.090000  1.495000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  1.835000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.665000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.965000 0.545000 ;
+      RECT 1.455000  1.835000 1.625000 2.295000 ;
+      RECT 1.455000  2.295000 3.005000 2.465000 ;
+      RECT 1.795000  1.665000 2.125000 2.125000 ;
+      RECT 2.175000  0.255000 2.505000 0.715000 ;
+      RECT 2.675000  0.085000 3.005000 0.545000 ;
+      RECT 2.835000  1.835000 3.005000 2.295000 ;
+      RECT 3.175000  0.255000 4.515000 0.425000 ;
+      RECT 3.175000  0.425000 3.505000 0.715000 ;
+      RECT 3.675000  1.835000 4.005000 2.635000 ;
+      RECT 4.175000  0.425000 4.515000 0.585000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_2
+MACRO sky130_fd_sc_hd__o31ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.780000 1.425000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.055000 3.605000 1.425000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.055000 5.940000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.055000 7.735000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.683800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.445000 7.735000 1.695000 ;
+        RECT 5.770000 1.695000 5.940000 2.465000 ;
+        RECT 6.110000 0.645000 7.280000 0.885000 ;
+        RECT 6.110000 0.885000 6.295000 1.445000 ;
+        RECT 6.610000 1.695000 6.780000 2.465000 ;
+        RECT 7.450000 1.695000 7.735000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 5.940000 0.885000 ;
+      RECT 0.090000  1.595000 2.125000 1.895000 ;
+      RECT 0.090000  1.895000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  2.065000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.895000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.625000 0.545000 ;
+      RECT 1.455000  2.065000 1.625000 2.635000 ;
+      RECT 1.795000  0.255000 2.125000 0.715000 ;
+      RECT 1.795000  1.895000 2.125000 2.205000 ;
+      RECT 1.795000  2.205000 3.885000 2.465000 ;
+      RECT 2.295000  0.085000 2.465000 0.545000 ;
+      RECT 2.295000  1.595000 3.605000 1.765000 ;
+      RECT 2.295000  1.765000 2.465000 2.035000 ;
+      RECT 2.635000  0.255000 2.965000 0.715000 ;
+      RECT 2.635000  1.935000 2.965000 2.205000 ;
+      RECT 3.135000  0.085000 3.305000 0.545000 ;
+      RECT 3.135000  1.765000 3.605000 1.865000 ;
+      RECT 3.135000  1.865000 5.600000 2.035000 ;
+      RECT 3.475000  0.255000 3.805000 0.715000 ;
+      RECT 3.995000  0.085000 4.640000 0.545000 ;
+      RECT 4.080000  2.035000 5.600000 2.465000 ;
+      RECT 4.810000  0.395000 4.980000 0.715000 ;
+      RECT 5.150000  0.085000 5.600000 0.545000 ;
+      RECT 5.770000  0.255000 7.735000 0.475000 ;
+      RECT 5.770000  0.475000 5.940000 0.715000 ;
+      RECT 6.110000  1.890000 6.440000 2.635000 ;
+      RECT 6.950000  1.890000 7.280000 2.635000 ;
+      RECT 7.450000  0.475000 7.735000 0.885000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_4
+MACRO sky130_ef_sc_hd__fakediode_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_ef_sc_hd__fakediode_2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 0.920 BY 2.720 ;
+  PIN DIODE
+    PORT
+      LAYER li1 ;
+        RECT 0.085 0.255 0.835 2.465 ;
+    END
+  END DIODE
+  PIN VGND
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 -0.085 0.920 0.085 ;
+      LAYER mcon ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+        RECT 0.605 -0.085 0.775 0.085 ;
+      LAYER met1 ;
+        RECT 0.000 -0.240 0.920 0.240 ;
+    END
+  END VGND
+  PIN VPWR
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 2.635 0.920 2.805 ;
+      LAYER mcon ;
+        RECT 0.145 2.635 0.315 2.805 ;
+        RECT 0.605 2.635 0.775 2.805 ;
+      LAYER met1 ;
+        RECT 0.000 2.480 0.920 2.960 ;
+    END
+  END VPWR
+  PIN VPB
+    PORT
+      LAYER nwell ;
+        RECT -0.190 1.305 1.110 2.910 ;
+    END
+  END VPB
+  PIN VNB
+    PORT
+      LAYER pwell ;
+        RECT 0.145 -0.085 0.315 0.085 ;
+    END
+  END VNB
+END sky130_ef_sc_hd__fakediode_2
+MACRO user_proj_example
+  CLASS BLOCK ;
+  FOREIGN user_proj_example ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 598.760 BY 600.000 ;
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1.860 597.600 2.140 600.000 ;
+    END
+  END io_in[0]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 159.640 597.600 159.920 600.000 ;
+    END
+  END io_in[10]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 175.280 597.600 175.560 600.000 ;
+    END
+  END io_in[11]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 190.920 597.600 191.200 600.000 ;
+    END
+  END io_in[12]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 207.020 597.600 207.300 600.000 ;
+    END
+  END io_in[13]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 222.660 597.600 222.940 600.000 ;
+    END
+  END io_in[14]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 238.300 597.600 238.580 600.000 ;
+    END
+  END io_in[15]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 254.400 597.600 254.680 600.000 ;
+    END
+  END io_in[16]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 270.040 597.600 270.320 600.000 ;
+    END
+  END io_in[17]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 285.680 597.600 285.960 600.000 ;
+    END
+  END io_in[18]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 301.780 597.600 302.060 600.000 ;
+    END
+  END io_in[19]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.500 597.600 17.780 600.000 ;
+    END
+  END io_in[1]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 317.420 597.600 317.700 600.000 ;
+    END
+  END io_in[20]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 333.060 597.600 333.340 600.000 ;
+    END
+  END io_in[21]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 348.700 597.600 348.980 600.000 ;
+    END
+  END io_in[22]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 364.800 597.600 365.080 600.000 ;
+    END
+  END io_in[23]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 380.440 597.600 380.720 600.000 ;
+    END
+  END io_in[24]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 396.080 597.600 396.360 600.000 ;
+    END
+  END io_in[25]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 412.180 597.600 412.460 600.000 ;
+    END
+  END io_in[26]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 427.820 597.600 428.100 600.000 ;
+    END
+  END io_in[27]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 443.460 597.600 443.740 600.000 ;
+    END
+  END io_in[28]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 459.560 597.600 459.840 600.000 ;
+    END
+  END io_in[29]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.140 597.600 33.420 600.000 ;
+    END
+  END io_in[2]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 475.200 597.600 475.480 600.000 ;
+    END
+  END io_in[30]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 490.840 597.600 491.120 600.000 ;
+    END
+  END io_in[31]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 506.940 597.600 507.220 600.000 ;
+    END
+  END io_in[32]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 522.580 597.600 522.860 600.000 ;
+    END
+  END io_in[33]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 538.220 597.600 538.500 600.000 ;
+    END
+  END io_in[34]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 554.320 597.600 554.600 600.000 ;
+    END
+  END io_in[35]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 569.960 597.600 570.240 600.000 ;
+    END
+  END io_in[36]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 585.600 597.600 585.880 600.000 ;
+    END
+  END io_in[37]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 48.780 597.600 49.060 600.000 ;
+    END
+  END io_in[3]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.880 597.600 65.160 600.000 ;
+    END
+  END io_in[4]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 80.520 597.600 80.800 600.000 ;
+    END
+  END io_in[5]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 96.160 597.600 96.440 600.000 ;
+    END
+  END io_in[6]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 112.260 597.600 112.540 600.000 ;
+    END
+  END io_in[7]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 127.900 597.600 128.180 600.000 ;
+    END
+  END io_in[8]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 143.540 597.600 143.820 600.000 ;
+    END
+  END io_in[9]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 6.920 597.600 7.200 600.000 ;
+    END
+  END io_oeb[0]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 164.700 597.600 164.980 600.000 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 180.340 597.600 180.620 600.000 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 196.440 597.600 196.720 600.000 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 212.080 597.600 212.360 600.000 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 227.720 597.600 228.000 600.000 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 243.820 597.600 244.100 600.000 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 259.460 597.600 259.740 600.000 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 275.100 597.600 275.380 600.000 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 291.200 597.600 291.480 600.000 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 306.840 597.600 307.120 600.000 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 22.560 597.600 22.840 600.000 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 322.480 597.600 322.760 600.000 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 338.580 597.600 338.860 600.000 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 354.220 597.600 354.500 600.000 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 369.860 597.600 370.140 600.000 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 385.960 597.600 386.240 600.000 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 401.600 597.600 401.880 600.000 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 417.240 597.600 417.520 600.000 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 432.880 597.600 433.160 600.000 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 448.980 597.600 449.260 600.000 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 464.620 597.600 464.900 600.000 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 38.660 597.600 38.940 600.000 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 480.260 597.600 480.540 600.000 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 496.360 597.600 496.640 600.000 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 512.000 597.600 512.280 600.000 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 527.640 597.600 527.920 600.000 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 543.740 597.600 544.020 600.000 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 559.380 597.600 559.660 600.000 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 575.020 597.600 575.300 600.000 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 591.120 597.600 591.400 600.000 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 54.300 597.600 54.580 600.000 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 69.940 597.600 70.220 600.000 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 86.040 597.600 86.320 600.000 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 101.680 597.600 101.960 600.000 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 117.320 597.600 117.600 600.000 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 132.960 597.600 133.240 600.000 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 149.060 597.600 149.340 600.000 ;
+    END
+  END io_oeb[9]
+  PIN io_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 11.980 597.600 12.260 600.000 ;
+    END
+  END io_out[0]
+  PIN io_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 170.220 597.600 170.500 600.000 ;
+    END
+  END io_out[10]
+  PIN io_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 185.860 597.600 186.140 600.000 ;
+    END
+  END io_out[11]
+  PIN io_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 201.500 597.600 201.780 600.000 ;
+    END
+  END io_out[12]
+  PIN io_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 217.140 597.600 217.420 600.000 ;
+    END
+  END io_out[13]
+  PIN io_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 233.240 597.600 233.520 600.000 ;
+    END
+  END io_out[14]
+  PIN io_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 248.880 597.600 249.160 600.000 ;
+    END
+  END io_out[15]
+  PIN io_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 264.520 597.600 264.800 600.000 ;
+    END
+  END io_out[16]
+  PIN io_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 280.620 597.600 280.900 600.000 ;
+    END
+  END io_out[17]
+  PIN io_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 296.260 597.600 296.540 600.000 ;
+    END
+  END io_out[18]
+  PIN io_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 311.900 597.600 312.180 600.000 ;
+    END
+  END io_out[19]
+  PIN io_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 28.080 597.600 28.360 600.000 ;
+    END
+  END io_out[1]
+  PIN io_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 328.000 597.600 328.280 600.000 ;
+    END
+  END io_out[20]
+  PIN io_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 343.640 597.600 343.920 600.000 ;
+    END
+  END io_out[21]
+  PIN io_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 359.280 597.600 359.560 600.000 ;
+    END
+  END io_out[22]
+  PIN io_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 375.380 597.600 375.660 600.000 ;
+    END
+  END io_out[23]
+  PIN io_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 391.020 597.600 391.300 600.000 ;
+    END
+  END io_out[24]
+  PIN io_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 406.660 597.600 406.940 600.000 ;
+    END
+  END io_out[25]
+  PIN io_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 422.760 597.600 423.040 600.000 ;
+    END
+  END io_out[26]
+  PIN io_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 438.400 597.600 438.680 600.000 ;
+    END
+  END io_out[27]
+  PIN io_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 454.040 597.600 454.320 600.000 ;
+    END
+  END io_out[28]
+  PIN io_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 470.140 597.600 470.420 600.000 ;
+    END
+  END io_out[29]
+  PIN io_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.720 597.600 44.000 600.000 ;
+    END
+  END io_out[2]
+  PIN io_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 485.780 597.600 486.060 600.000 ;
+    END
+  END io_out[30]
+  PIN io_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 501.420 597.600 501.700 600.000 ;
+    END
+  END io_out[31]
+  PIN io_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 517.060 597.600 517.340 600.000 ;
+    END
+  END io_out[32]
+  PIN io_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 533.160 597.600 533.440 600.000 ;
+    END
+  END io_out[33]
+  PIN io_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 548.800 597.600 549.080 600.000 ;
+    END
+  END io_out[34]
+  PIN io_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 564.440 597.600 564.720 600.000 ;
+    END
+  END io_out[35]
+  PIN io_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 580.540 597.600 580.820 600.000 ;
+    END
+  END io_out[36]
+  PIN io_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 596.180 597.600 596.460 600.000 ;
+    END
+  END io_out[37]
+  PIN io_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 59.360 597.600 59.640 600.000 ;
+    END
+  END io_out[3]
+  PIN io_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 75.460 597.600 75.740 600.000 ;
+    END
+  END io_out[4]
+  PIN io_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 91.100 597.600 91.380 600.000 ;
+    END
+  END io_out[5]
+  PIN io_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 106.740 597.600 107.020 600.000 ;
+    END
+  END io_out[6]
+  PIN io_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 122.840 597.600 123.120 600.000 ;
+    END
+  END io_out[7]
+  PIN io_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 138.480 597.600 138.760 600.000 ;
+    END
+  END io_out[8]
+  PIN io_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 154.120 597.600 154.400 600.000 ;
+    END
+  END io_out[9]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 129.740 0.000 130.020 2.400 ;
+    END
+  END la_data_in[0]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 496.820 0.000 497.100 2.400 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 500.500 0.000 500.780 2.400 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 504.180 0.000 504.460 2.400 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 507.860 0.000 508.140 2.400 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 511.540 0.000 511.820 2.400 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 515.220 0.000 515.500 2.400 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 518.900 0.000 519.180 2.400 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 522.580 0.000 522.860 2.400 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 526.260 0.000 526.540 2.400 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 529.940 0.000 530.220 2.400 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 166.080 0.000 166.360 2.400 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 533.620 0.000 533.900 2.400 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 537.300 0.000 537.580 2.400 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 540.980 0.000 541.260 2.400 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 544.660 0.000 544.940 2.400 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 548.340 0.000 548.620 2.400 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 552.020 0.000 552.300 2.400 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 555.700 0.000 555.980 2.400 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 559.380 0.000 559.660 2.400 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 563.060 0.000 563.340 2.400 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 566.740 0.000 567.020 2.400 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 169.760 0.000 170.040 2.400 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 570.420 0.000 570.700 2.400 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 574.100 0.000 574.380 2.400 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 577.780 0.000 578.060 2.400 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 581.460 0.000 581.740 2.400 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 585.140 0.000 585.420 2.400 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 588.820 0.000 589.100 2.400 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 592.500 0.000 592.780 2.400 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 596.180 0.000 596.460 2.400 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 173.440 0.000 173.720 2.400 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 177.120 0.000 177.400 2.400 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 180.800 0.000 181.080 2.400 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 184.480 0.000 184.760 2.400 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 188.160 0.000 188.440 2.400 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 191.840 0.000 192.120 2.400 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 195.520 0.000 195.800 2.400 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 199.200 0.000 199.480 2.400 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 133.420 0.000 133.700 2.400 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 202.880 0.000 203.160 2.400 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 206.560 0.000 206.840 2.400 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 210.240 0.000 210.520 2.400 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 213.920 0.000 214.200 2.400 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 217.600 0.000 217.880 2.400 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 221.280 0.000 221.560 2.400 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.960 0.000 225.240 2.400 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 228.640 0.000 228.920 2.400 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 232.320 0.000 232.600 2.400 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 236.000 0.000 236.280 2.400 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 137.100 0.000 137.380 2.400 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 239.680 0.000 239.960 2.400 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 243.360 0.000 243.640 2.400 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 247.040 0.000 247.320 2.400 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 250.720 0.000 251.000 2.400 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 254.400 0.000 254.680 2.400 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 258.080 0.000 258.360 2.400 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 261.760 0.000 262.040 2.400 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 265.440 0.000 265.720 2.400 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 269.120 0.000 269.400 2.400 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 272.800 0.000 273.080 2.400 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 140.780 0.000 141.060 2.400 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 276.480 0.000 276.760 2.400 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 280.160 0.000 280.440 2.400 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 283.840 0.000 284.120 2.400 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 287.520 0.000 287.800 2.400 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 291.200 0.000 291.480 2.400 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 294.880 0.000 295.160 2.400 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 298.560 0.000 298.840 2.400 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 302.240 0.000 302.520 2.400 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 305.920 0.000 306.200 2.400 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 309.600 0.000 309.880 2.400 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 144.460 0.000 144.740 2.400 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 313.280 0.000 313.560 2.400 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 316.960 0.000 317.240 2.400 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 320.640 0.000 320.920 2.400 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 324.320 0.000 324.600 2.400 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 328.000 0.000 328.280 2.400 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 331.680 0.000 331.960 2.400 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 335.360 0.000 335.640 2.400 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 339.040 0.000 339.320 2.400 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 342.720 0.000 343.000 2.400 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 346.400 0.000 346.680 2.400 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 148.140 0.000 148.420 2.400 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 350.080 0.000 350.360 2.400 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 353.760 0.000 354.040 2.400 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 357.440 0.000 357.720 2.400 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 361.120 0.000 361.400 2.400 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 364.800 0.000 365.080 2.400 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 368.480 0.000 368.760 2.400 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 372.160 0.000 372.440 2.400 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 375.380 0.000 375.660 2.400 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 379.060 0.000 379.340 2.400 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 382.740 0.000 383.020 2.400 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 151.360 0.000 151.640 2.400 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 386.420 0.000 386.700 2.400 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 390.100 0.000 390.380 2.400 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 393.780 0.000 394.060 2.400 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 397.460 0.000 397.740 2.400 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 401.140 0.000 401.420 2.400 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 404.820 0.000 405.100 2.400 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 408.500 0.000 408.780 2.400 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 412.180 0.000 412.460 2.400 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 415.860 0.000 416.140 2.400 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 419.540 0.000 419.820 2.400 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 155.040 0.000 155.320 2.400 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 423.220 0.000 423.500 2.400 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 426.900 0.000 427.180 2.400 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 430.580 0.000 430.860 2.400 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 434.260 0.000 434.540 2.400 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 437.940 0.000 438.220 2.400 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 441.620 0.000 441.900 2.400 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 445.300 0.000 445.580 2.400 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 448.980 0.000 449.260 2.400 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 452.660 0.000 452.940 2.400 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 456.340 0.000 456.620 2.400 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 158.720 0.000 159.000 2.400 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 460.020 0.000 460.300 2.400 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 463.700 0.000 463.980 2.400 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 467.380 0.000 467.660 2.400 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 471.060 0.000 471.340 2.400 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 474.740 0.000 475.020 2.400 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 478.420 0.000 478.700 2.400 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 482.100 0.000 482.380 2.400 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 485.780 0.000 486.060 2.400 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 489.460 0.000 489.740 2.400 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 493.140 0.000 493.420 2.400 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 162.400 0.000 162.680 2.400 ;
+    END
+  END la_data_in[9]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 130.660 0.000 130.940 2.400 ;
+    END
+  END la_data_out[0]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 498.200 0.000 498.480 2.400 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 501.880 0.000 502.160 2.400 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 505.560 0.000 505.840 2.400 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 509.240 0.000 509.520 2.400 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 512.920 0.000 513.200 2.400 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 516.600 0.000 516.880 2.400 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 520.280 0.000 520.560 2.400 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 523.960 0.000 524.240 2.400 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 527.180 0.000 527.460 2.400 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 530.860 0.000 531.140 2.400 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 167.460 0.000 167.740 2.400 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 534.540 0.000 534.820 2.400 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 538.220 0.000 538.500 2.400 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 541.900 0.000 542.180 2.400 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 545.580 0.000 545.860 2.400 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 549.260 0.000 549.540 2.400 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 552.940 0.000 553.220 2.400 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 556.620 0.000 556.900 2.400 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 560.300 0.000 560.580 2.400 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 563.980 0.000 564.260 2.400 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 567.660 0.000 567.940 2.400 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 171.140 0.000 171.420 2.400 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 571.340 0.000 571.620 2.400 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 575.020 0.000 575.300 2.400 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 578.700 0.000 578.980 2.400 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 582.380 0.000 582.660 2.400 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 586.060 0.000 586.340 2.400 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 589.740 0.000 590.020 2.400 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 593.420 0.000 593.700 2.400 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 597.100 0.000 597.380 2.400 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 174.820 0.000 175.100 2.400 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 178.500 0.000 178.780 2.400 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 182.180 0.000 182.460 2.400 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 185.860 0.000 186.140 2.400 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 189.540 0.000 189.820 2.400 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 193.220 0.000 193.500 2.400 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 196.900 0.000 197.180 2.400 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 200.580 0.000 200.860 2.400 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 134.340 0.000 134.620 2.400 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 204.260 0.000 204.540 2.400 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 207.940 0.000 208.220 2.400 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 211.620 0.000 211.900 2.400 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 215.300 0.000 215.580 2.400 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 218.980 0.000 219.260 2.400 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 222.660 0.000 222.940 2.400 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 226.340 0.000 226.620 2.400 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 230.020 0.000 230.300 2.400 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 233.700 0.000 233.980 2.400 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 237.380 0.000 237.660 2.400 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 138.020 0.000 138.300 2.400 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 241.060 0.000 241.340 2.400 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 244.740 0.000 245.020 2.400 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 248.420 0.000 248.700 2.400 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 252.100 0.000 252.380 2.400 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 255.780 0.000 256.060 2.400 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 259.460 0.000 259.740 2.400 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 263.140 0.000 263.420 2.400 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 266.820 0.000 267.100 2.400 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 270.500 0.000 270.780 2.400 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 274.180 0.000 274.460 2.400 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 141.700 0.000 141.980 2.400 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 277.860 0.000 278.140 2.400 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 281.540 0.000 281.820 2.400 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 285.220 0.000 285.500 2.400 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 288.900 0.000 289.180 2.400 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 292.580 0.000 292.860 2.400 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 296.260 0.000 296.540 2.400 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 299.940 0.000 300.220 2.400 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 303.160 0.000 303.440 2.400 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 306.840 0.000 307.120 2.400 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 310.520 0.000 310.800 2.400 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 145.380 0.000 145.660 2.400 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 314.200 0.000 314.480 2.400 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 317.880 0.000 318.160 2.400 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 321.560 0.000 321.840 2.400 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 325.240 0.000 325.520 2.400 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 328.920 0.000 329.200 2.400 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 332.600 0.000 332.880 2.400 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 336.280 0.000 336.560 2.400 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 339.960 0.000 340.240 2.400 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 343.640 0.000 343.920 2.400 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 347.320 0.000 347.600 2.400 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 149.060 0.000 149.340 2.400 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 351.000 0.000 351.280 2.400 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 354.680 0.000 354.960 2.400 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 358.360 0.000 358.640 2.400 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 362.040 0.000 362.320 2.400 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 365.720 0.000 366.000 2.400 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 369.400 0.000 369.680 2.400 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 373.080 0.000 373.360 2.400 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 376.760 0.000 377.040 2.400 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 380.440 0.000 380.720 2.400 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 384.120 0.000 384.400 2.400 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 152.740 0.000 153.020 2.400 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 387.800 0.000 388.080 2.400 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 391.480 0.000 391.760 2.400 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 395.160 0.000 395.440 2.400 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 398.840 0.000 399.120 2.400 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 402.520 0.000 402.800 2.400 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 406.200 0.000 406.480 2.400 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 409.880 0.000 410.160 2.400 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 413.560 0.000 413.840 2.400 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 417.240 0.000 417.520 2.400 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 420.920 0.000 421.200 2.400 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 156.420 0.000 156.700 2.400 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 424.600 0.000 424.880 2.400 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 428.280 0.000 428.560 2.400 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 431.960 0.000 432.240 2.400 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 435.640 0.000 435.920 2.400 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 439.320 0.000 439.600 2.400 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 443.000 0.000 443.280 2.400 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 446.680 0.000 446.960 2.400 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 450.360 0.000 450.640 2.400 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 454.040 0.000 454.320 2.400 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 457.720 0.000 458.000 2.400 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 160.100 0.000 160.380 2.400 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 461.400 0.000 461.680 2.400 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 465.080 0.000 465.360 2.400 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 468.760 0.000 469.040 2.400 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 472.440 0.000 472.720 2.400 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 476.120 0.000 476.400 2.400 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 479.800 0.000 480.080 2.400 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 483.480 0.000 483.760 2.400 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 487.160 0.000 487.440 2.400 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 490.840 0.000 491.120 2.400 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 494.520 0.000 494.800 2.400 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 163.780 0.000 164.060 2.400 ;
+    END
+  END la_data_out[9]
+  PIN la_oen[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 132.040 0.000 132.320 2.400 ;
+    END
+  END la_oen[0]
+  PIN la_oen[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 499.120 0.000 499.400 2.400 ;
+    END
+  END la_oen[100]
+  PIN la_oen[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 502.800 0.000 503.080 2.400 ;
+    END
+  END la_oen[101]
+  PIN la_oen[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 506.480 0.000 506.760 2.400 ;
+    END
+  END la_oen[102]
+  PIN la_oen[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 510.160 0.000 510.440 2.400 ;
+    END
+  END la_oen[103]
+  PIN la_oen[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 513.840 0.000 514.120 2.400 ;
+    END
+  END la_oen[104]
+  PIN la_oen[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 517.520 0.000 517.800 2.400 ;
+    END
+  END la_oen[105]
+  PIN la_oen[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 521.200 0.000 521.480 2.400 ;
+    END
+  END la_oen[106]
+  PIN la_oen[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 524.880 0.000 525.160 2.400 ;
+    END
+  END la_oen[107]
+  PIN la_oen[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 528.560 0.000 528.840 2.400 ;
+    END
+  END la_oen[108]
+  PIN la_oen[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 532.240 0.000 532.520 2.400 ;
+    END
+  END la_oen[109]
+  PIN la_oen[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 168.840 0.000 169.120 2.400 ;
+    END
+  END la_oen[10]
+  PIN la_oen[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 535.920 0.000 536.200 2.400 ;
+    END
+  END la_oen[110]
+  PIN la_oen[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 539.600 0.000 539.880 2.400 ;
+    END
+  END la_oen[111]
+  PIN la_oen[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 543.280 0.000 543.560 2.400 ;
+    END
+  END la_oen[112]
+  PIN la_oen[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 546.960 0.000 547.240 2.400 ;
+    END
+  END la_oen[113]
+  PIN la_oen[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 550.640 0.000 550.920 2.400 ;
+    END
+  END la_oen[114]
+  PIN la_oen[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 554.320 0.000 554.600 2.400 ;
+    END
+  END la_oen[115]
+  PIN la_oen[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 558.000 0.000 558.280 2.400 ;
+    END
+  END la_oen[116]
+  PIN la_oen[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 561.680 0.000 561.960 2.400 ;
+    END
+  END la_oen[117]
+  PIN la_oen[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 565.360 0.000 565.640 2.400 ;
+    END
+  END la_oen[118]
+  PIN la_oen[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 569.040 0.000 569.320 2.400 ;
+    END
+  END la_oen[119]
+  PIN la_oen[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 172.520 0.000 172.800 2.400 ;
+    END
+  END la_oen[11]
+  PIN la_oen[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 572.720 0.000 573.000 2.400 ;
+    END
+  END la_oen[120]
+  PIN la_oen[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 576.400 0.000 576.680 2.400 ;
+    END
+  END la_oen[121]
+  PIN la_oen[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 580.080 0.000 580.360 2.400 ;
+    END
+  END la_oen[122]
+  PIN la_oen[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 583.760 0.000 584.040 2.400 ;
+    END
+  END la_oen[123]
+  PIN la_oen[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 587.440 0.000 587.720 2.400 ;
+    END
+  END la_oen[124]
+  PIN la_oen[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 591.120 0.000 591.400 2.400 ;
+    END
+  END la_oen[125]
+  PIN la_oen[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 594.800 0.000 595.080 2.400 ;
+    END
+  END la_oen[126]
+  PIN la_oen[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 598.480 0.000 598.760 2.400 ;
+    END
+  END la_oen[127]
+  PIN la_oen[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 176.200 0.000 176.480 2.400 ;
+    END
+  END la_oen[12]
+  PIN la_oen[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 179.880 0.000 180.160 2.400 ;
+    END
+  END la_oen[13]
+  PIN la_oen[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 183.560 0.000 183.840 2.400 ;
+    END
+  END la_oen[14]
+  PIN la_oen[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 187.240 0.000 187.520 2.400 ;
+    END
+  END la_oen[15]
+  PIN la_oen[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 190.920 0.000 191.200 2.400 ;
+    END
+  END la_oen[16]
+  PIN la_oen[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 194.600 0.000 194.880 2.400 ;
+    END
+  END la_oen[17]
+  PIN la_oen[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 198.280 0.000 198.560 2.400 ;
+    END
+  END la_oen[18]
+  PIN la_oen[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 201.960 0.000 202.240 2.400 ;
+    END
+  END la_oen[19]
+  PIN la_oen[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 135.720 0.000 136.000 2.400 ;
+    END
+  END la_oen[1]
+  PIN la_oen[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 205.640 0.000 205.920 2.400 ;
+    END
+  END la_oen[20]
+  PIN la_oen[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 209.320 0.000 209.600 2.400 ;
+    END
+  END la_oen[21]
+  PIN la_oen[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 213.000 0.000 213.280 2.400 ;
+    END
+  END la_oen[22]
+  PIN la_oen[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 216.680 0.000 216.960 2.400 ;
+    END
+  END la_oen[23]
+  PIN la_oen[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 220.360 0.000 220.640 2.400 ;
+    END
+  END la_oen[24]
+  PIN la_oen[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.040 0.000 224.320 2.400 ;
+    END
+  END la_oen[25]
+  PIN la_oen[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 227.260 0.000 227.540 2.400 ;
+    END
+  END la_oen[26]
+  PIN la_oen[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 230.940 0.000 231.220 2.400 ;
+    END
+  END la_oen[27]
+  PIN la_oen[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 234.620 0.000 234.900 2.400 ;
+    END
+  END la_oen[28]
+  PIN la_oen[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 238.300 0.000 238.580 2.400 ;
+    END
+  END la_oen[29]
+  PIN la_oen[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 139.400 0.000 139.680 2.400 ;
+    END
+  END la_oen[2]
+  PIN la_oen[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 241.980 0.000 242.260 2.400 ;
+    END
+  END la_oen[30]
+  PIN la_oen[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 245.660 0.000 245.940 2.400 ;
+    END
+  END la_oen[31]
+  PIN la_oen[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 249.340 0.000 249.620 2.400 ;
+    END
+  END la_oen[32]
+  PIN la_oen[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 253.020 0.000 253.300 2.400 ;
+    END
+  END la_oen[33]
+  PIN la_oen[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 256.700 0.000 256.980 2.400 ;
+    END
+  END la_oen[34]
+  PIN la_oen[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 260.380 0.000 260.660 2.400 ;
+    END
+  END la_oen[35]
+  PIN la_oen[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 264.060 0.000 264.340 2.400 ;
+    END
+  END la_oen[36]
+  PIN la_oen[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 267.740 0.000 268.020 2.400 ;
+    END
+  END la_oen[37]
+  PIN la_oen[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 271.420 0.000 271.700 2.400 ;
+    END
+  END la_oen[38]
+  PIN la_oen[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 275.100 0.000 275.380 2.400 ;
+    END
+  END la_oen[39]
+  PIN la_oen[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 143.080 0.000 143.360 2.400 ;
+    END
+  END la_oen[3]
+  PIN la_oen[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 278.780 0.000 279.060 2.400 ;
+    END
+  END la_oen[40]
+  PIN la_oen[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 282.460 0.000 282.740 2.400 ;
+    END
+  END la_oen[41]
+  PIN la_oen[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 286.140 0.000 286.420 2.400 ;
+    END
+  END la_oen[42]
+  PIN la_oen[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 289.820 0.000 290.100 2.400 ;
+    END
+  END la_oen[43]
+  PIN la_oen[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 293.500 0.000 293.780 2.400 ;
+    END
+  END la_oen[44]
+  PIN la_oen[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 297.180 0.000 297.460 2.400 ;
+    END
+  END la_oen[45]
+  PIN la_oen[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 300.860 0.000 301.140 2.400 ;
+    END
+  END la_oen[46]
+  PIN la_oen[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 304.540 0.000 304.820 2.400 ;
+    END
+  END la_oen[47]
+  PIN la_oen[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 308.220 0.000 308.500 2.400 ;
+    END
+  END la_oen[48]
+  PIN la_oen[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 311.900 0.000 312.180 2.400 ;
+    END
+  END la_oen[49]
+  PIN la_oen[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 146.760 0.000 147.040 2.400 ;
+    END
+  END la_oen[4]
+  PIN la_oen[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 315.580 0.000 315.860 2.400 ;
+    END
+  END la_oen[50]
+  PIN la_oen[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 319.260 0.000 319.540 2.400 ;
+    END
+  END la_oen[51]
+  PIN la_oen[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 322.940 0.000 323.220 2.400 ;
+    END
+  END la_oen[52]
+  PIN la_oen[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 326.620 0.000 326.900 2.400 ;
+    END
+  END la_oen[53]
+  PIN la_oen[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 330.300 0.000 330.580 2.400 ;
+    END
+  END la_oen[54]
+  PIN la_oen[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 333.980 0.000 334.260 2.400 ;
+    END
+  END la_oen[55]
+  PIN la_oen[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 337.660 0.000 337.940 2.400 ;
+    END
+  END la_oen[56]
+  PIN la_oen[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 341.340 0.000 341.620 2.400 ;
+    END
+  END la_oen[57]
+  PIN la_oen[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 345.020 0.000 345.300 2.400 ;
+    END
+  END la_oen[58]
+  PIN la_oen[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 348.700 0.000 348.980 2.400 ;
+    END
+  END la_oen[59]
+  PIN la_oen[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 150.440 0.000 150.720 2.400 ;
+    END
+  END la_oen[5]
+  PIN la_oen[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 352.380 0.000 352.660 2.400 ;
+    END
+  END la_oen[60]
+  PIN la_oen[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 356.060 0.000 356.340 2.400 ;
+    END
+  END la_oen[61]
+  PIN la_oen[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 359.740 0.000 360.020 2.400 ;
+    END
+  END la_oen[62]
+  PIN la_oen[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 363.420 0.000 363.700 2.400 ;
+    END
+  END la_oen[63]
+  PIN la_oen[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 367.100 0.000 367.380 2.400 ;
+    END
+  END la_oen[64]
+  PIN la_oen[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 370.780 0.000 371.060 2.400 ;
+    END
+  END la_oen[65]
+  PIN la_oen[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 374.460 0.000 374.740 2.400 ;
+    END
+  END la_oen[66]
+  PIN la_oen[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 378.140 0.000 378.420 2.400 ;
+    END
+  END la_oen[67]
+  PIN la_oen[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 381.820 0.000 382.100 2.400 ;
+    END
+  END la_oen[68]
+  PIN la_oen[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 385.500 0.000 385.780 2.400 ;
+    END
+  END la_oen[69]
+  PIN la_oen[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 154.120 0.000 154.400 2.400 ;
+    END
+  END la_oen[6]
+  PIN la_oen[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 389.180 0.000 389.460 2.400 ;
+    END
+  END la_oen[70]
+  PIN la_oen[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 392.860 0.000 393.140 2.400 ;
+    END
+  END la_oen[71]
+  PIN la_oen[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 396.540 0.000 396.820 2.400 ;
+    END
+  END la_oen[72]
+  PIN la_oen[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 400.220 0.000 400.500 2.400 ;
+    END
+  END la_oen[73]
+  PIN la_oen[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 403.900 0.000 404.180 2.400 ;
+    END
+  END la_oen[74]
+  PIN la_oen[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 407.580 0.000 407.860 2.400 ;
+    END
+  END la_oen[75]
+  PIN la_oen[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 411.260 0.000 411.540 2.400 ;
+    END
+  END la_oen[76]
+  PIN la_oen[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 414.940 0.000 415.220 2.400 ;
+    END
+  END la_oen[77]
+  PIN la_oen[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 418.620 0.000 418.900 2.400 ;
+    END
+  END la_oen[78]
+  PIN la_oen[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 422.300 0.000 422.580 2.400 ;
+    END
+  END la_oen[79]
+  PIN la_oen[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 157.800 0.000 158.080 2.400 ;
+    END
+  END la_oen[7]
+  PIN la_oen[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 425.980 0.000 426.260 2.400 ;
+    END
+  END la_oen[80]
+  PIN la_oen[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 429.660 0.000 429.940 2.400 ;
+    END
+  END la_oen[81]
+  PIN la_oen[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 433.340 0.000 433.620 2.400 ;
+    END
+  END la_oen[82]
+  PIN la_oen[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 437.020 0.000 437.300 2.400 ;
+    END
+  END la_oen[83]
+  PIN la_oen[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 440.700 0.000 440.980 2.400 ;
+    END
+  END la_oen[84]
+  PIN la_oen[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 444.380 0.000 444.660 2.400 ;
+    END
+  END la_oen[85]
+  PIN la_oen[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 448.060 0.000 448.340 2.400 ;
+    END
+  END la_oen[86]
+  PIN la_oen[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 451.280 0.000 451.560 2.400 ;
+    END
+  END la_oen[87]
+  PIN la_oen[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 454.960 0.000 455.240 2.400 ;
+    END
+  END la_oen[88]
+  PIN la_oen[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 458.640 0.000 458.920 2.400 ;
+    END
+  END la_oen[89]
+  PIN la_oen[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 161.480 0.000 161.760 2.400 ;
+    END
+  END la_oen[8]
+  PIN la_oen[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 462.320 0.000 462.600 2.400 ;
+    END
+  END la_oen[90]
+  PIN la_oen[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 466.000 0.000 466.280 2.400 ;
+    END
+  END la_oen[91]
+  PIN la_oen[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 469.680 0.000 469.960 2.400 ;
+    END
+  END la_oen[92]
+  PIN la_oen[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 473.360 0.000 473.640 2.400 ;
+    END
+  END la_oen[93]
+  PIN la_oen[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 477.040 0.000 477.320 2.400 ;
+    END
+  END la_oen[94]
+  PIN la_oen[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 480.720 0.000 481.000 2.400 ;
+    END
+  END la_oen[95]
+  PIN la_oen[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 484.400 0.000 484.680 2.400 ;
+    END
+  END la_oen[96]
+  PIN la_oen[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 488.080 0.000 488.360 2.400 ;
+    END
+  END la_oen[97]
+  PIN la_oen[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 491.760 0.000 492.040 2.400 ;
+    END
+  END la_oen[98]
+  PIN la_oen[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 495.440 0.000 495.720 2.400 ;
+    END
+  END la_oen[99]
+  PIN la_oen[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 165.160 0.000 165.440 2.400 ;
+    END
+  END la_oen[9]
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 0.020 0.000 0.300 2.400 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 0.940 0.000 1.220 2.400 ;
+    END
+  END wb_rst_i
+  PIN wbs_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2.320 0.000 2.600 2.400 ;
+    END
+  END wbs_ack_o
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 6.920 0.000 7.200 2.400 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 48.780 0.000 49.060 2.400 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 52.460 0.000 52.740 2.400 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 56.140 0.000 56.420 2.400 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 59.820 0.000 60.100 2.400 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 63.500 0.000 63.780 2.400 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 67.180 0.000 67.460 2.400 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 70.860 0.000 71.140 2.400 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 74.540 0.000 74.820 2.400 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 78.220 0.000 78.500 2.400 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 81.900 0.000 82.180 2.400 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 11.980 0.000 12.260 2.400 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 85.580 0.000 85.860 2.400 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 89.260 0.000 89.540 2.400 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 92.940 0.000 93.220 2.400 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 96.620 0.000 96.900 2.400 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 100.300 0.000 100.580 2.400 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 103.980 0.000 104.260 2.400 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 107.660 0.000 107.940 2.400 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 111.340 0.000 111.620 2.400 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 115.020 0.000 115.300 2.400 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 118.700 0.000 118.980 2.400 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.040 0.000 17.320 2.400 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 122.380 0.000 122.660 2.400 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 126.060 0.000 126.340 2.400 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 21.640 0.000 21.920 2.400 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 26.700 0.000 26.980 2.400 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 30.380 0.000 30.660 2.400 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 34.060 0.000 34.340 2.400 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 37.740 0.000 38.020 2.400 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 41.420 0.000 41.700 2.400 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 45.100 0.000 45.380 2.400 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 3.240 0.000 3.520 2.400 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 8.300 0.000 8.580 2.400 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 50.160 0.000 50.440 2.400 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 53.840 0.000 54.120 2.400 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 57.520 0.000 57.800 2.400 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 61.200 0.000 61.480 2.400 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.880 0.000 65.160 2.400 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 68.560 0.000 68.840 2.400 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 72.240 0.000 72.520 2.400 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 75.460 0.000 75.740 2.400 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 79.140 0.000 79.420 2.400 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 82.820 0.000 83.100 2.400 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 13.360 0.000 13.640 2.400 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 86.500 0.000 86.780 2.400 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 90.180 0.000 90.460 2.400 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 93.860 0.000 94.140 2.400 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 97.540 0.000 97.820 2.400 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 101.220 0.000 101.500 2.400 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 104.900 0.000 105.180 2.400 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 108.580 0.000 108.860 2.400 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 112.260 0.000 112.540 2.400 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 115.940 0.000 116.220 2.400 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 119.620 0.000 119.900 2.400 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.960 0.000 18.240 2.400 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 123.300 0.000 123.580 2.400 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 126.980 0.000 127.260 2.400 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 23.020 0.000 23.300 2.400 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 28.080 0.000 28.360 2.400 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 31.760 0.000 32.040 2.400 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 35.440 0.000 35.720 2.400 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 39.120 0.000 39.400 2.400 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 42.800 0.000 43.080 2.400 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 46.480 0.000 46.760 2.400 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 9.680 0.000 9.960 2.400 ;
+    END
+  END wbs_dat_o[0]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 51.080 0.000 51.360 2.400 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 54.760 0.000 55.040 2.400 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 58.440 0.000 58.720 2.400 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 62.120 0.000 62.400 2.400 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 65.800 0.000 66.080 2.400 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 69.480 0.000 69.760 2.400 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 73.160 0.000 73.440 2.400 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 76.840 0.000 77.120 2.400 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 80.520 0.000 80.800 2.400 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 84.200 0.000 84.480 2.400 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 14.280 0.000 14.560 2.400 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 87.880 0.000 88.160 2.400 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 91.560 0.000 91.840 2.400 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 95.240 0.000 95.520 2.400 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 98.920 0.000 99.200 2.400 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 102.600 0.000 102.880 2.400 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 106.280 0.000 106.560 2.400 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 109.960 0.000 110.240 2.400 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 113.640 0.000 113.920 2.400 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 117.320 0.000 117.600 2.400 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 121.000 0.000 121.280 2.400 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 19.340 0.000 19.620 2.400 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 124.680 0.000 124.960 2.400 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 128.360 0.000 128.640 2.400 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 24.400 0.000 24.680 2.400 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 29.000 0.000 29.280 2.400 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 32.680 0.000 32.960 2.400 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 36.360 0.000 36.640 2.400 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 40.040 0.000 40.320 2.400 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.720 0.000 44.000 2.400 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 47.400 0.000 47.680 2.400 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 10.600 0.000 10.880 2.400 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 15.660 0.000 15.940 2.400 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 20.720 0.000 21.000 2.400 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 25.320 0.000 25.600 2.400 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 4.620 0.000 4.900 2.400 ;
+    END
+  END wbs_stb_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 6.000 0.000 6.280 2.400 ;
+    END
+  END wbs_we_i
+  PIN VPWR
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 20.510 10.640 22.110 587.760 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 97.310 10.640 98.910 587.760 ;
+    END
+  END VGND
+  OBS
+      LAYER li1 ;
+        RECT 4.990 6.545 593.790 587.605 ;
+      LAYER met1 ;
+        RECT 0.000 5.140 597.400 587.760 ;
+      LAYER met2 ;
+        RECT 0.030 597.320 1.580 597.600 ;
+        RECT 2.420 597.320 6.640 597.600 ;
+        RECT 7.480 597.320 11.700 597.600 ;
+        RECT 12.540 597.320 17.220 597.600 ;
+        RECT 18.060 597.320 22.280 597.600 ;
+        RECT 23.120 597.320 27.800 597.600 ;
+        RECT 28.640 597.320 32.860 597.600 ;
+        RECT 33.700 597.320 38.380 597.600 ;
+        RECT 39.220 597.320 43.440 597.600 ;
+        RECT 44.280 597.320 48.500 597.600 ;
+        RECT 49.340 597.320 54.020 597.600 ;
+        RECT 54.860 597.320 59.080 597.600 ;
+        RECT 59.920 597.320 64.600 597.600 ;
+        RECT 65.440 597.320 69.660 597.600 ;
+        RECT 70.500 597.320 75.180 597.600 ;
+        RECT 76.020 597.320 80.240 597.600 ;
+        RECT 81.080 597.320 85.760 597.600 ;
+        RECT 86.600 597.320 90.820 597.600 ;
+        RECT 91.660 597.320 95.880 597.600 ;
+        RECT 96.720 597.320 101.400 597.600 ;
+        RECT 102.240 597.320 106.460 597.600 ;
+        RECT 107.300 597.320 111.980 597.600 ;
+        RECT 112.820 597.320 117.040 597.600 ;
+        RECT 117.880 597.320 122.560 597.600 ;
+        RECT 123.400 597.320 127.620 597.600 ;
+        RECT 128.460 597.320 132.680 597.600 ;
+        RECT 133.520 597.320 138.200 597.600 ;
+        RECT 139.040 597.320 143.260 597.600 ;
+        RECT 144.100 597.320 148.780 597.600 ;
+        RECT 149.620 597.320 153.840 597.600 ;
+        RECT 154.680 597.320 159.360 597.600 ;
+        RECT 160.200 597.320 164.420 597.600 ;
+        RECT 165.260 597.320 169.940 597.600 ;
+        RECT 170.780 597.320 175.000 597.600 ;
+        RECT 175.840 597.320 180.060 597.600 ;
+        RECT 180.900 597.320 185.580 597.600 ;
+        RECT 186.420 597.320 190.640 597.600 ;
+        RECT 191.480 597.320 196.160 597.600 ;
+        RECT 197.000 597.320 201.220 597.600 ;
+        RECT 202.060 597.320 206.740 597.600 ;
+        RECT 207.580 597.320 211.800 597.600 ;
+        RECT 212.640 597.320 216.860 597.600 ;
+        RECT 217.700 597.320 222.380 597.600 ;
+        RECT 223.220 597.320 227.440 597.600 ;
+        RECT 228.280 597.320 232.960 597.600 ;
+        RECT 233.800 597.320 238.020 597.600 ;
+        RECT 238.860 597.320 243.540 597.600 ;
+        RECT 244.380 597.320 248.600 597.600 ;
+        RECT 249.440 597.320 254.120 597.600 ;
+        RECT 254.960 597.320 259.180 597.600 ;
+        RECT 260.020 597.320 264.240 597.600 ;
+        RECT 265.080 597.320 269.760 597.600 ;
+        RECT 270.600 597.320 274.820 597.600 ;
+        RECT 275.660 597.320 280.340 597.600 ;
+        RECT 281.180 597.320 285.400 597.600 ;
+        RECT 286.240 597.320 290.920 597.600 ;
+        RECT 291.760 597.320 295.980 597.600 ;
+        RECT 296.820 597.320 301.500 597.600 ;
+        RECT 302.340 597.320 306.560 597.600 ;
+        RECT 307.400 597.320 311.620 597.600 ;
+        RECT 312.460 597.320 317.140 597.600 ;
+        RECT 317.980 597.320 322.200 597.600 ;
+        RECT 323.040 597.320 327.720 597.600 ;
+        RECT 328.560 597.320 332.780 597.600 ;
+        RECT 333.620 597.320 338.300 597.600 ;
+        RECT 339.140 597.320 343.360 597.600 ;
+        RECT 344.200 597.320 348.420 597.600 ;
+        RECT 349.260 597.320 353.940 597.600 ;
+        RECT 354.780 597.320 359.000 597.600 ;
+        RECT 359.840 597.320 364.520 597.600 ;
+        RECT 365.360 597.320 369.580 597.600 ;
+        RECT 370.420 597.320 375.100 597.600 ;
+        RECT 375.940 597.320 380.160 597.600 ;
+        RECT 381.000 597.320 385.680 597.600 ;
+        RECT 386.520 597.320 390.740 597.600 ;
+        RECT 391.580 597.320 395.800 597.600 ;
+        RECT 396.640 597.320 401.320 597.600 ;
+        RECT 402.160 597.320 406.380 597.600 ;
+        RECT 407.220 597.320 411.900 597.600 ;
+        RECT 412.740 597.320 416.960 597.600 ;
+        RECT 417.800 597.320 422.480 597.600 ;
+        RECT 423.320 597.320 427.540 597.600 ;
+        RECT 428.380 597.320 432.600 597.600 ;
+        RECT 433.440 597.320 438.120 597.600 ;
+        RECT 438.960 597.320 443.180 597.600 ;
+        RECT 444.020 597.320 448.700 597.600 ;
+        RECT 449.540 597.320 453.760 597.600 ;
+        RECT 454.600 597.320 459.280 597.600 ;
+        RECT 460.120 597.320 464.340 597.600 ;
+        RECT 465.180 597.320 469.860 597.600 ;
+        RECT 470.700 597.320 474.920 597.600 ;
+        RECT 475.760 597.320 479.980 597.600 ;
+        RECT 480.820 597.320 485.500 597.600 ;
+        RECT 486.340 597.320 490.560 597.600 ;
+        RECT 491.400 597.320 496.080 597.600 ;
+        RECT 496.920 597.320 501.140 597.600 ;
+        RECT 501.980 597.320 506.660 597.600 ;
+        RECT 507.500 597.320 511.720 597.600 ;
+        RECT 512.560 597.320 516.780 597.600 ;
+        RECT 517.620 597.320 522.300 597.600 ;
+        RECT 523.140 597.320 527.360 597.600 ;
+        RECT 528.200 597.320 532.880 597.600 ;
+        RECT 533.720 597.320 537.940 597.600 ;
+        RECT 538.780 597.320 543.460 597.600 ;
+        RECT 544.300 597.320 548.520 597.600 ;
+        RECT 549.360 597.320 554.040 597.600 ;
+        RECT 554.880 597.320 559.100 597.600 ;
+        RECT 559.940 597.320 564.160 597.600 ;
+        RECT 565.000 597.320 569.680 597.600 ;
+        RECT 570.520 597.320 574.740 597.600 ;
+        RECT 575.580 597.320 580.260 597.600 ;
+        RECT 581.100 597.320 585.320 597.600 ;
+        RECT 586.160 597.320 590.840 597.600 ;
+        RECT 591.680 597.320 595.900 597.600 ;
+        RECT 596.740 597.320 597.370 597.600 ;
+        RECT 0.030 2.680 597.370 597.320 ;
+        RECT 0.580 2.400 0.660 2.680 ;
+        RECT 1.500 2.400 2.040 2.680 ;
+        RECT 2.880 2.400 2.960 2.680 ;
+        RECT 3.800 2.400 4.340 2.680 ;
+        RECT 5.180 2.400 5.720 2.680 ;
+        RECT 6.560 2.400 6.640 2.680 ;
+        RECT 7.480 2.400 8.020 2.680 ;
+        RECT 8.860 2.400 9.400 2.680 ;
+        RECT 10.240 2.400 10.320 2.680 ;
+        RECT 11.160 2.400 11.700 2.680 ;
+        RECT 12.540 2.400 13.080 2.680 ;
+        RECT 13.920 2.400 14.000 2.680 ;
+        RECT 14.840 2.400 15.380 2.680 ;
+        RECT 16.220 2.400 16.760 2.680 ;
+        RECT 17.600 2.400 17.680 2.680 ;
+        RECT 18.520 2.400 19.060 2.680 ;
+        RECT 19.900 2.400 20.440 2.680 ;
+        RECT 21.280 2.400 21.360 2.680 ;
+        RECT 22.200 2.400 22.740 2.680 ;
+        RECT 23.580 2.400 24.120 2.680 ;
+        RECT 24.960 2.400 25.040 2.680 ;
+        RECT 25.880 2.400 26.420 2.680 ;
+        RECT 27.260 2.400 27.800 2.680 ;
+        RECT 28.640 2.400 28.720 2.680 ;
+        RECT 29.560 2.400 30.100 2.680 ;
+        RECT 30.940 2.400 31.480 2.680 ;
+        RECT 32.320 2.400 32.400 2.680 ;
+        RECT 33.240 2.400 33.780 2.680 ;
+        RECT 34.620 2.400 35.160 2.680 ;
+        RECT 36.000 2.400 36.080 2.680 ;
+        RECT 36.920 2.400 37.460 2.680 ;
+        RECT 38.300 2.400 38.840 2.680 ;
+        RECT 39.680 2.400 39.760 2.680 ;
+        RECT 40.600 2.400 41.140 2.680 ;
+        RECT 41.980 2.400 42.520 2.680 ;
+        RECT 43.360 2.400 43.440 2.680 ;
+        RECT 44.280 2.400 44.820 2.680 ;
+        RECT 45.660 2.400 46.200 2.680 ;
+        RECT 47.040 2.400 47.120 2.680 ;
+        RECT 47.960 2.400 48.500 2.680 ;
+        RECT 49.340 2.400 49.880 2.680 ;
+        RECT 50.720 2.400 50.800 2.680 ;
+        RECT 51.640 2.400 52.180 2.680 ;
+        RECT 53.020 2.400 53.560 2.680 ;
+        RECT 54.400 2.400 54.480 2.680 ;
+        RECT 55.320 2.400 55.860 2.680 ;
+        RECT 56.700 2.400 57.240 2.680 ;
+        RECT 58.080 2.400 58.160 2.680 ;
+        RECT 59.000 2.400 59.540 2.680 ;
+        RECT 60.380 2.400 60.920 2.680 ;
+        RECT 61.760 2.400 61.840 2.680 ;
+        RECT 62.680 2.400 63.220 2.680 ;
+        RECT 64.060 2.400 64.600 2.680 ;
+        RECT 65.440 2.400 65.520 2.680 ;
+        RECT 66.360 2.400 66.900 2.680 ;
+        RECT 67.740 2.400 68.280 2.680 ;
+        RECT 69.120 2.400 69.200 2.680 ;
+        RECT 70.040 2.400 70.580 2.680 ;
+        RECT 71.420 2.400 71.960 2.680 ;
+        RECT 72.800 2.400 72.880 2.680 ;
+        RECT 73.720 2.400 74.260 2.680 ;
+        RECT 75.100 2.400 75.180 2.680 ;
+        RECT 76.020 2.400 76.560 2.680 ;
+        RECT 77.400 2.400 77.940 2.680 ;
+        RECT 78.780 2.400 78.860 2.680 ;
+        RECT 79.700 2.400 80.240 2.680 ;
+        RECT 81.080 2.400 81.620 2.680 ;
+        RECT 82.460 2.400 82.540 2.680 ;
+        RECT 83.380 2.400 83.920 2.680 ;
+        RECT 84.760 2.400 85.300 2.680 ;
+        RECT 86.140 2.400 86.220 2.680 ;
+        RECT 87.060 2.400 87.600 2.680 ;
+        RECT 88.440 2.400 88.980 2.680 ;
+        RECT 89.820 2.400 89.900 2.680 ;
+        RECT 90.740 2.400 91.280 2.680 ;
+        RECT 92.120 2.400 92.660 2.680 ;
+        RECT 93.500 2.400 93.580 2.680 ;
+        RECT 94.420 2.400 94.960 2.680 ;
+        RECT 95.800 2.400 96.340 2.680 ;
+        RECT 97.180 2.400 97.260 2.680 ;
+        RECT 98.100 2.400 98.640 2.680 ;
+        RECT 99.480 2.400 100.020 2.680 ;
+        RECT 100.860 2.400 100.940 2.680 ;
+        RECT 101.780 2.400 102.320 2.680 ;
+        RECT 103.160 2.400 103.700 2.680 ;
+        RECT 104.540 2.400 104.620 2.680 ;
+        RECT 105.460 2.400 106.000 2.680 ;
+        RECT 106.840 2.400 107.380 2.680 ;
+        RECT 108.220 2.400 108.300 2.680 ;
+        RECT 109.140 2.400 109.680 2.680 ;
+        RECT 110.520 2.400 111.060 2.680 ;
+        RECT 111.900 2.400 111.980 2.680 ;
+        RECT 112.820 2.400 113.360 2.680 ;
+        RECT 114.200 2.400 114.740 2.680 ;
+        RECT 115.580 2.400 115.660 2.680 ;
+        RECT 116.500 2.400 117.040 2.680 ;
+        RECT 117.880 2.400 118.420 2.680 ;
+        RECT 119.260 2.400 119.340 2.680 ;
+        RECT 120.180 2.400 120.720 2.680 ;
+        RECT 121.560 2.400 122.100 2.680 ;
+        RECT 122.940 2.400 123.020 2.680 ;
+        RECT 123.860 2.400 124.400 2.680 ;
+        RECT 125.240 2.400 125.780 2.680 ;
+        RECT 126.620 2.400 126.700 2.680 ;
+        RECT 127.540 2.400 128.080 2.680 ;
+        RECT 128.920 2.400 129.460 2.680 ;
+        RECT 130.300 2.400 130.380 2.680 ;
+        RECT 131.220 2.400 131.760 2.680 ;
+        RECT 132.600 2.400 133.140 2.680 ;
+        RECT 133.980 2.400 134.060 2.680 ;
+        RECT 134.900 2.400 135.440 2.680 ;
+        RECT 136.280 2.400 136.820 2.680 ;
+        RECT 137.660 2.400 137.740 2.680 ;
+        RECT 138.580 2.400 139.120 2.680 ;
+        RECT 139.960 2.400 140.500 2.680 ;
+        RECT 141.340 2.400 141.420 2.680 ;
+        RECT 142.260 2.400 142.800 2.680 ;
+        RECT 143.640 2.400 144.180 2.680 ;
+        RECT 145.020 2.400 145.100 2.680 ;
+        RECT 145.940 2.400 146.480 2.680 ;
+        RECT 147.320 2.400 147.860 2.680 ;
+        RECT 148.700 2.400 148.780 2.680 ;
+        RECT 149.620 2.400 150.160 2.680 ;
+        RECT 151.000 2.400 151.080 2.680 ;
+        RECT 151.920 2.400 152.460 2.680 ;
+        RECT 153.300 2.400 153.840 2.680 ;
+        RECT 154.680 2.400 154.760 2.680 ;
+        RECT 155.600 2.400 156.140 2.680 ;
+        RECT 156.980 2.400 157.520 2.680 ;
+        RECT 158.360 2.400 158.440 2.680 ;
+        RECT 159.280 2.400 159.820 2.680 ;
+        RECT 160.660 2.400 161.200 2.680 ;
+        RECT 162.040 2.400 162.120 2.680 ;
+        RECT 162.960 2.400 163.500 2.680 ;
+        RECT 164.340 2.400 164.880 2.680 ;
+        RECT 165.720 2.400 165.800 2.680 ;
+        RECT 166.640 2.400 167.180 2.680 ;
+        RECT 168.020 2.400 168.560 2.680 ;
+        RECT 169.400 2.400 169.480 2.680 ;
+        RECT 170.320 2.400 170.860 2.680 ;
+        RECT 171.700 2.400 172.240 2.680 ;
+        RECT 173.080 2.400 173.160 2.680 ;
+        RECT 174.000 2.400 174.540 2.680 ;
+        RECT 175.380 2.400 175.920 2.680 ;
+        RECT 176.760 2.400 176.840 2.680 ;
+        RECT 177.680 2.400 178.220 2.680 ;
+        RECT 179.060 2.400 179.600 2.680 ;
+        RECT 180.440 2.400 180.520 2.680 ;
+        RECT 181.360 2.400 181.900 2.680 ;
+        RECT 182.740 2.400 183.280 2.680 ;
+        RECT 184.120 2.400 184.200 2.680 ;
+        RECT 185.040 2.400 185.580 2.680 ;
+        RECT 186.420 2.400 186.960 2.680 ;
+        RECT 187.800 2.400 187.880 2.680 ;
+        RECT 188.720 2.400 189.260 2.680 ;
+        RECT 190.100 2.400 190.640 2.680 ;
+        RECT 191.480 2.400 191.560 2.680 ;
+        RECT 192.400 2.400 192.940 2.680 ;
+        RECT 193.780 2.400 194.320 2.680 ;
+        RECT 195.160 2.400 195.240 2.680 ;
+        RECT 196.080 2.400 196.620 2.680 ;
+        RECT 197.460 2.400 198.000 2.680 ;
+        RECT 198.840 2.400 198.920 2.680 ;
+        RECT 199.760 2.400 200.300 2.680 ;
+        RECT 201.140 2.400 201.680 2.680 ;
+        RECT 202.520 2.400 202.600 2.680 ;
+        RECT 203.440 2.400 203.980 2.680 ;
+        RECT 204.820 2.400 205.360 2.680 ;
+        RECT 206.200 2.400 206.280 2.680 ;
+        RECT 207.120 2.400 207.660 2.680 ;
+        RECT 208.500 2.400 209.040 2.680 ;
+        RECT 209.880 2.400 209.960 2.680 ;
+        RECT 210.800 2.400 211.340 2.680 ;
+        RECT 212.180 2.400 212.720 2.680 ;
+        RECT 213.560 2.400 213.640 2.680 ;
+        RECT 214.480 2.400 215.020 2.680 ;
+        RECT 215.860 2.400 216.400 2.680 ;
+        RECT 217.240 2.400 217.320 2.680 ;
+        RECT 218.160 2.400 218.700 2.680 ;
+        RECT 219.540 2.400 220.080 2.680 ;
+        RECT 220.920 2.400 221.000 2.680 ;
+        RECT 221.840 2.400 222.380 2.680 ;
+        RECT 223.220 2.400 223.760 2.680 ;
+        RECT 224.600 2.400 224.680 2.680 ;
+        RECT 225.520 2.400 226.060 2.680 ;
+        RECT 226.900 2.400 226.980 2.680 ;
+        RECT 227.820 2.400 228.360 2.680 ;
+        RECT 229.200 2.400 229.740 2.680 ;
+        RECT 230.580 2.400 230.660 2.680 ;
+        RECT 231.500 2.400 232.040 2.680 ;
+        RECT 232.880 2.400 233.420 2.680 ;
+        RECT 234.260 2.400 234.340 2.680 ;
+        RECT 235.180 2.400 235.720 2.680 ;
+        RECT 236.560 2.400 237.100 2.680 ;
+        RECT 237.940 2.400 238.020 2.680 ;
+        RECT 238.860 2.400 239.400 2.680 ;
+        RECT 240.240 2.400 240.780 2.680 ;
+        RECT 241.620 2.400 241.700 2.680 ;
+        RECT 242.540 2.400 243.080 2.680 ;
+        RECT 243.920 2.400 244.460 2.680 ;
+        RECT 245.300 2.400 245.380 2.680 ;
+        RECT 246.220 2.400 246.760 2.680 ;
+        RECT 247.600 2.400 248.140 2.680 ;
+        RECT 248.980 2.400 249.060 2.680 ;
+        RECT 249.900 2.400 250.440 2.680 ;
+        RECT 251.280 2.400 251.820 2.680 ;
+        RECT 252.660 2.400 252.740 2.680 ;
+        RECT 253.580 2.400 254.120 2.680 ;
+        RECT 254.960 2.400 255.500 2.680 ;
+        RECT 256.340 2.400 256.420 2.680 ;
+        RECT 257.260 2.400 257.800 2.680 ;
+        RECT 258.640 2.400 259.180 2.680 ;
+        RECT 260.020 2.400 260.100 2.680 ;
+        RECT 260.940 2.400 261.480 2.680 ;
+        RECT 262.320 2.400 262.860 2.680 ;
+        RECT 263.700 2.400 263.780 2.680 ;
+        RECT 264.620 2.400 265.160 2.680 ;
+        RECT 266.000 2.400 266.540 2.680 ;
+        RECT 267.380 2.400 267.460 2.680 ;
+        RECT 268.300 2.400 268.840 2.680 ;
+        RECT 269.680 2.400 270.220 2.680 ;
+        RECT 271.060 2.400 271.140 2.680 ;
+        RECT 271.980 2.400 272.520 2.680 ;
+        RECT 273.360 2.400 273.900 2.680 ;
+        RECT 274.740 2.400 274.820 2.680 ;
+        RECT 275.660 2.400 276.200 2.680 ;
+        RECT 277.040 2.400 277.580 2.680 ;
+        RECT 278.420 2.400 278.500 2.680 ;
+        RECT 279.340 2.400 279.880 2.680 ;
+        RECT 280.720 2.400 281.260 2.680 ;
+        RECT 282.100 2.400 282.180 2.680 ;
+        RECT 283.020 2.400 283.560 2.680 ;
+        RECT 284.400 2.400 284.940 2.680 ;
+        RECT 285.780 2.400 285.860 2.680 ;
+        RECT 286.700 2.400 287.240 2.680 ;
+        RECT 288.080 2.400 288.620 2.680 ;
+        RECT 289.460 2.400 289.540 2.680 ;
+        RECT 290.380 2.400 290.920 2.680 ;
+        RECT 291.760 2.400 292.300 2.680 ;
+        RECT 293.140 2.400 293.220 2.680 ;
+        RECT 294.060 2.400 294.600 2.680 ;
+        RECT 295.440 2.400 295.980 2.680 ;
+        RECT 296.820 2.400 296.900 2.680 ;
+        RECT 297.740 2.400 298.280 2.680 ;
+        RECT 299.120 2.400 299.660 2.680 ;
+        RECT 300.500 2.400 300.580 2.680 ;
+        RECT 301.420 2.400 301.960 2.680 ;
+        RECT 302.800 2.400 302.880 2.680 ;
+        RECT 303.720 2.400 304.260 2.680 ;
+        RECT 305.100 2.400 305.640 2.680 ;
+        RECT 306.480 2.400 306.560 2.680 ;
+        RECT 307.400 2.400 307.940 2.680 ;
+        RECT 308.780 2.400 309.320 2.680 ;
+        RECT 310.160 2.400 310.240 2.680 ;
+        RECT 311.080 2.400 311.620 2.680 ;
+        RECT 312.460 2.400 313.000 2.680 ;
+        RECT 313.840 2.400 313.920 2.680 ;
+        RECT 314.760 2.400 315.300 2.680 ;
+        RECT 316.140 2.400 316.680 2.680 ;
+        RECT 317.520 2.400 317.600 2.680 ;
+        RECT 318.440 2.400 318.980 2.680 ;
+        RECT 319.820 2.400 320.360 2.680 ;
+        RECT 321.200 2.400 321.280 2.680 ;
+        RECT 322.120 2.400 322.660 2.680 ;
+        RECT 323.500 2.400 324.040 2.680 ;
+        RECT 324.880 2.400 324.960 2.680 ;
+        RECT 325.800 2.400 326.340 2.680 ;
+        RECT 327.180 2.400 327.720 2.680 ;
+        RECT 328.560 2.400 328.640 2.680 ;
+        RECT 329.480 2.400 330.020 2.680 ;
+        RECT 330.860 2.400 331.400 2.680 ;
+        RECT 332.240 2.400 332.320 2.680 ;
+        RECT 333.160 2.400 333.700 2.680 ;
+        RECT 334.540 2.400 335.080 2.680 ;
+        RECT 335.920 2.400 336.000 2.680 ;
+        RECT 336.840 2.400 337.380 2.680 ;
+        RECT 338.220 2.400 338.760 2.680 ;
+        RECT 339.600 2.400 339.680 2.680 ;
+        RECT 340.520 2.400 341.060 2.680 ;
+        RECT 341.900 2.400 342.440 2.680 ;
+        RECT 343.280 2.400 343.360 2.680 ;
+        RECT 344.200 2.400 344.740 2.680 ;
+        RECT 345.580 2.400 346.120 2.680 ;
+        RECT 346.960 2.400 347.040 2.680 ;
+        RECT 347.880 2.400 348.420 2.680 ;
+        RECT 349.260 2.400 349.800 2.680 ;
+        RECT 350.640 2.400 350.720 2.680 ;
+        RECT 351.560 2.400 352.100 2.680 ;
+        RECT 352.940 2.400 353.480 2.680 ;
+        RECT 354.320 2.400 354.400 2.680 ;
+        RECT 355.240 2.400 355.780 2.680 ;
+        RECT 356.620 2.400 357.160 2.680 ;
+        RECT 358.000 2.400 358.080 2.680 ;
+        RECT 358.920 2.400 359.460 2.680 ;
+        RECT 360.300 2.400 360.840 2.680 ;
+        RECT 361.680 2.400 361.760 2.680 ;
+        RECT 362.600 2.400 363.140 2.680 ;
+        RECT 363.980 2.400 364.520 2.680 ;
+        RECT 365.360 2.400 365.440 2.680 ;
+        RECT 366.280 2.400 366.820 2.680 ;
+        RECT 367.660 2.400 368.200 2.680 ;
+        RECT 369.040 2.400 369.120 2.680 ;
+        RECT 369.960 2.400 370.500 2.680 ;
+        RECT 371.340 2.400 371.880 2.680 ;
+        RECT 372.720 2.400 372.800 2.680 ;
+        RECT 373.640 2.400 374.180 2.680 ;
+        RECT 375.020 2.400 375.100 2.680 ;
+        RECT 375.940 2.400 376.480 2.680 ;
+        RECT 377.320 2.400 377.860 2.680 ;
+        RECT 378.700 2.400 378.780 2.680 ;
+        RECT 379.620 2.400 380.160 2.680 ;
+        RECT 381.000 2.400 381.540 2.680 ;
+        RECT 382.380 2.400 382.460 2.680 ;
+        RECT 383.300 2.400 383.840 2.680 ;
+        RECT 384.680 2.400 385.220 2.680 ;
+        RECT 386.060 2.400 386.140 2.680 ;
+        RECT 386.980 2.400 387.520 2.680 ;
+        RECT 388.360 2.400 388.900 2.680 ;
+        RECT 389.740 2.400 389.820 2.680 ;
+        RECT 390.660 2.400 391.200 2.680 ;
+        RECT 392.040 2.400 392.580 2.680 ;
+        RECT 393.420 2.400 393.500 2.680 ;
+        RECT 394.340 2.400 394.880 2.680 ;
+        RECT 395.720 2.400 396.260 2.680 ;
+        RECT 397.100 2.400 397.180 2.680 ;
+        RECT 398.020 2.400 398.560 2.680 ;
+        RECT 399.400 2.400 399.940 2.680 ;
+        RECT 400.780 2.400 400.860 2.680 ;
+        RECT 401.700 2.400 402.240 2.680 ;
+        RECT 403.080 2.400 403.620 2.680 ;
+        RECT 404.460 2.400 404.540 2.680 ;
+        RECT 405.380 2.400 405.920 2.680 ;
+        RECT 406.760 2.400 407.300 2.680 ;
+        RECT 408.140 2.400 408.220 2.680 ;
+        RECT 409.060 2.400 409.600 2.680 ;
+        RECT 410.440 2.400 410.980 2.680 ;
+        RECT 411.820 2.400 411.900 2.680 ;
+        RECT 412.740 2.400 413.280 2.680 ;
+        RECT 414.120 2.400 414.660 2.680 ;
+        RECT 415.500 2.400 415.580 2.680 ;
+        RECT 416.420 2.400 416.960 2.680 ;
+        RECT 417.800 2.400 418.340 2.680 ;
+        RECT 419.180 2.400 419.260 2.680 ;
+        RECT 420.100 2.400 420.640 2.680 ;
+        RECT 421.480 2.400 422.020 2.680 ;
+        RECT 422.860 2.400 422.940 2.680 ;
+        RECT 423.780 2.400 424.320 2.680 ;
+        RECT 425.160 2.400 425.700 2.680 ;
+        RECT 426.540 2.400 426.620 2.680 ;
+        RECT 427.460 2.400 428.000 2.680 ;
+        RECT 428.840 2.400 429.380 2.680 ;
+        RECT 430.220 2.400 430.300 2.680 ;
+        RECT 431.140 2.400 431.680 2.680 ;
+        RECT 432.520 2.400 433.060 2.680 ;
+        RECT 433.900 2.400 433.980 2.680 ;
+        RECT 434.820 2.400 435.360 2.680 ;
+        RECT 436.200 2.400 436.740 2.680 ;
+        RECT 437.580 2.400 437.660 2.680 ;
+        RECT 438.500 2.400 439.040 2.680 ;
+        RECT 439.880 2.400 440.420 2.680 ;
+        RECT 441.260 2.400 441.340 2.680 ;
+        RECT 442.180 2.400 442.720 2.680 ;
+        RECT 443.560 2.400 444.100 2.680 ;
+        RECT 444.940 2.400 445.020 2.680 ;
+        RECT 445.860 2.400 446.400 2.680 ;
+        RECT 447.240 2.400 447.780 2.680 ;
+        RECT 448.620 2.400 448.700 2.680 ;
+        RECT 449.540 2.400 450.080 2.680 ;
+        RECT 450.920 2.400 451.000 2.680 ;
+        RECT 451.840 2.400 452.380 2.680 ;
+        RECT 453.220 2.400 453.760 2.680 ;
+        RECT 454.600 2.400 454.680 2.680 ;
+        RECT 455.520 2.400 456.060 2.680 ;
+        RECT 456.900 2.400 457.440 2.680 ;
+        RECT 458.280 2.400 458.360 2.680 ;
+        RECT 459.200 2.400 459.740 2.680 ;
+        RECT 460.580 2.400 461.120 2.680 ;
+        RECT 461.960 2.400 462.040 2.680 ;
+        RECT 462.880 2.400 463.420 2.680 ;
+        RECT 464.260 2.400 464.800 2.680 ;
+        RECT 465.640 2.400 465.720 2.680 ;
+        RECT 466.560 2.400 467.100 2.680 ;
+        RECT 467.940 2.400 468.480 2.680 ;
+        RECT 469.320 2.400 469.400 2.680 ;
+        RECT 470.240 2.400 470.780 2.680 ;
+        RECT 471.620 2.400 472.160 2.680 ;
+        RECT 473.000 2.400 473.080 2.680 ;
+        RECT 473.920 2.400 474.460 2.680 ;
+        RECT 475.300 2.400 475.840 2.680 ;
+        RECT 476.680 2.400 476.760 2.680 ;
+        RECT 477.600 2.400 478.140 2.680 ;
+        RECT 478.980 2.400 479.520 2.680 ;
+        RECT 480.360 2.400 480.440 2.680 ;
+        RECT 481.280 2.400 481.820 2.680 ;
+        RECT 482.660 2.400 483.200 2.680 ;
+        RECT 484.040 2.400 484.120 2.680 ;
+        RECT 484.960 2.400 485.500 2.680 ;
+        RECT 486.340 2.400 486.880 2.680 ;
+        RECT 487.720 2.400 487.800 2.680 ;
+        RECT 488.640 2.400 489.180 2.680 ;
+        RECT 490.020 2.400 490.560 2.680 ;
+        RECT 491.400 2.400 491.480 2.680 ;
+        RECT 492.320 2.400 492.860 2.680 ;
+        RECT 493.700 2.400 494.240 2.680 ;
+        RECT 495.080 2.400 495.160 2.680 ;
+        RECT 496.000 2.400 496.540 2.680 ;
+        RECT 497.380 2.400 497.920 2.680 ;
+        RECT 498.760 2.400 498.840 2.680 ;
+        RECT 499.680 2.400 500.220 2.680 ;
+        RECT 501.060 2.400 501.600 2.680 ;
+        RECT 502.440 2.400 502.520 2.680 ;
+        RECT 503.360 2.400 503.900 2.680 ;
+        RECT 504.740 2.400 505.280 2.680 ;
+        RECT 506.120 2.400 506.200 2.680 ;
+        RECT 507.040 2.400 507.580 2.680 ;
+        RECT 508.420 2.400 508.960 2.680 ;
+        RECT 509.800 2.400 509.880 2.680 ;
+        RECT 510.720 2.400 511.260 2.680 ;
+        RECT 512.100 2.400 512.640 2.680 ;
+        RECT 513.480 2.400 513.560 2.680 ;
+        RECT 514.400 2.400 514.940 2.680 ;
+        RECT 515.780 2.400 516.320 2.680 ;
+        RECT 517.160 2.400 517.240 2.680 ;
+        RECT 518.080 2.400 518.620 2.680 ;
+        RECT 519.460 2.400 520.000 2.680 ;
+        RECT 520.840 2.400 520.920 2.680 ;
+        RECT 521.760 2.400 522.300 2.680 ;
+        RECT 523.140 2.400 523.680 2.680 ;
+        RECT 524.520 2.400 524.600 2.680 ;
+        RECT 525.440 2.400 525.980 2.680 ;
+        RECT 526.820 2.400 526.900 2.680 ;
+        RECT 527.740 2.400 528.280 2.680 ;
+        RECT 529.120 2.400 529.660 2.680 ;
+        RECT 530.500 2.400 530.580 2.680 ;
+        RECT 531.420 2.400 531.960 2.680 ;
+        RECT 532.800 2.400 533.340 2.680 ;
+        RECT 534.180 2.400 534.260 2.680 ;
+        RECT 535.100 2.400 535.640 2.680 ;
+        RECT 536.480 2.400 537.020 2.680 ;
+        RECT 537.860 2.400 537.940 2.680 ;
+        RECT 538.780 2.400 539.320 2.680 ;
+        RECT 540.160 2.400 540.700 2.680 ;
+        RECT 541.540 2.400 541.620 2.680 ;
+        RECT 542.460 2.400 543.000 2.680 ;
+        RECT 543.840 2.400 544.380 2.680 ;
+        RECT 545.220 2.400 545.300 2.680 ;
+        RECT 546.140 2.400 546.680 2.680 ;
+        RECT 547.520 2.400 548.060 2.680 ;
+        RECT 548.900 2.400 548.980 2.680 ;
+        RECT 549.820 2.400 550.360 2.680 ;
+        RECT 551.200 2.400 551.740 2.680 ;
+        RECT 552.580 2.400 552.660 2.680 ;
+        RECT 553.500 2.400 554.040 2.680 ;
+        RECT 554.880 2.400 555.420 2.680 ;
+        RECT 556.260 2.400 556.340 2.680 ;
+        RECT 557.180 2.400 557.720 2.680 ;
+        RECT 558.560 2.400 559.100 2.680 ;
+        RECT 559.940 2.400 560.020 2.680 ;
+        RECT 560.860 2.400 561.400 2.680 ;
+        RECT 562.240 2.400 562.780 2.680 ;
+        RECT 563.620 2.400 563.700 2.680 ;
+        RECT 564.540 2.400 565.080 2.680 ;
+        RECT 565.920 2.400 566.460 2.680 ;
+        RECT 567.300 2.400 567.380 2.680 ;
+        RECT 568.220 2.400 568.760 2.680 ;
+        RECT 569.600 2.400 570.140 2.680 ;
+        RECT 570.980 2.400 571.060 2.680 ;
+        RECT 571.900 2.400 572.440 2.680 ;
+        RECT 573.280 2.400 573.820 2.680 ;
+        RECT 574.660 2.400 574.740 2.680 ;
+        RECT 575.580 2.400 576.120 2.680 ;
+        RECT 576.960 2.400 577.500 2.680 ;
+        RECT 578.340 2.400 578.420 2.680 ;
+        RECT 579.260 2.400 579.800 2.680 ;
+        RECT 580.640 2.400 581.180 2.680 ;
+        RECT 582.020 2.400 582.100 2.680 ;
+        RECT 582.940 2.400 583.480 2.680 ;
+        RECT 584.320 2.400 584.860 2.680 ;
+        RECT 585.700 2.400 585.780 2.680 ;
+        RECT 586.620 2.400 587.160 2.680 ;
+        RECT 588.000 2.400 588.540 2.680 ;
+        RECT 589.380 2.400 589.460 2.680 ;
+        RECT 590.300 2.400 590.840 2.680 ;
+        RECT 591.680 2.400 592.220 2.680 ;
+        RECT 593.060 2.400 593.140 2.680 ;
+        RECT 593.980 2.400 594.520 2.680 ;
+        RECT 595.360 2.400 595.900 2.680 ;
+        RECT 596.740 2.400 596.820 2.680 ;
+      LAYER met3 ;
+        RECT 0.915 9.695 559.710 587.685 ;
+      LAYER met4 ;
+        RECT 134.085 9.695 559.710 587.760 ;
+  END
+END user_proj_example
+MACRO obs_core_obs
+   CLASS BLOCK ;
+   FOREIGN obs_core_obs ;
+   ORIGIN 0.000 0.000 ;
+   SIZE 2915.2 BY 3515.2 ;
+   OBS
+        LAYER met1 ;
+             RECT 0.000 0.000 2915.2 3515.2 ;
+        LAYER met2 ;
+             RECT 0.000 0.000 2915.2 3515.2 ;
+        LAYER met3 ;
+             RECT 0.000 0.000 2915.2 3515.2 ;
+        LAYER met4 ;
+             RECT 0.000 0.000 2915.2 3515.2 ;
+        LAYER met5 ;
+             RECT 0.000 0.000 2915.2 3515.2 ;
+   END
+ END obs_core_obs
+END LIBRARY
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot
new file mode 100644
index 0000000..38c548b
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot
@@ -0,0 +1,56 @@
+digraph "user_project_wrapper" {
+label="user_project_wrapper";
+rankdir="LR";
+remincross=true;
+n1 [ shape=diamond, label="vssd2", color="black", fontcolor="black" ];
+n2 [ shape=diamond, label="vssd1", color="black", fontcolor="black" ];
+n3 [ shape=diamond, label="vccd2", color="black", fontcolor="black" ];
+n4 [ shape=diamond, label="vccd1", color="black", fontcolor="black" ];
+n5 [ shape=diamond, label="vssa2", color="black", fontcolor="black" ];
+n6 [ shape=diamond, label="vssa1", color="black", fontcolor="black" ];
+n7 [ shape=diamond, label="vdda2", color="black", fontcolor="black" ];
+n8 [ shape=diamond, label="vdda1", color="black", fontcolor="black" ];
+n9 [ shape=octagon, label="user_clock2", color="black", fontcolor="black" ];
+n10 [ shape=octagon, label="analog_io", color="black", fontcolor="black" ];
+n11 [ shape=octagon, label="io_oeb", color="black", fontcolor="black" ];
+n12 [ shape=octagon, label="io_out", color="black", fontcolor="black" ];
+n13 [ shape=octagon, label="io_in", color="black", fontcolor="black" ];
+n14 [ shape=octagon, label="la_oen", color="black", fontcolor="black" ];
+n15 [ shape=octagon, label="la_data_out", color="black", fontcolor="black" ];
+n16 [ shape=octagon, label="la_data_in", color="black", fontcolor="black" ];
+n17 [ shape=octagon, label="wbs_dat_o", color="black", fontcolor="black" ];
+n18 [ shape=octagon, label="wbs_ack_o", color="black", fontcolor="black" ];
+n19 [ shape=octagon, label="wbs_adr_i", color="black", fontcolor="black" ];
+n20 [ shape=octagon, label="wbs_dat_i", color="black", fontcolor="black" ];
+n21 [ shape=octagon, label="wbs_sel_i", color="black", fontcolor="black" ];
+n22 [ shape=octagon, label="wbs_we_i", color="black", fontcolor="black" ];
+n23 [ shape=octagon, label="wbs_cyc_i", color="black", fontcolor="black" ];
+n24 [ shape=octagon, label="wbs_stb_i", color="black", fontcolor="black" ];
+n25 [ shape=octagon, label="wb_rst_i", color="black", fontcolor="black" ];
+n26 [ shape=octagon, label="wb_clk_i", color="black", fontcolor="black" ];
+c27 [ shape=record, label="{{<p13> io_in|<p16> la_data_in|<p14> la_oen|<p26> wb_clk_i|<p25> wb_rst_i|<p19> wbs_adr_i|<p23> wbs_cyc_i|<p20> wbs_dat_i|<p21> wbs_sel_i|<p24> wbs_stb_i|<p22> wbs_we_i}|mprj\nuser_proj_example|{<p11> io_oeb|<p12> io_out|<p15> la_data_out|<p4> vccd1|<p3> vccd2|<p8> vdda1|<p7> vdda2|<p6> vssa1|<p5> vssa2|<p2> vssd1|<p1> vssd2|<p18> wbs_ack_o|<p17> wbs_dat_o}}" ];
+c27:p1:e -> n1:w [color="black", label=""];
+c27:p11:e -> n11:w [color="black", style="setlinewidth(3)", label=""];
+c27:p12:e -> n12:w [color="black", style="setlinewidth(3)", label=""];
+n13:e -> c27:p13:w [color="black", style="setlinewidth(3)", label=""];
+n14:e -> c27:p14:w [color="black", style="setlinewidth(3)", label=""];
+c27:p15:e -> n15:w [color="black", style="setlinewidth(3)", label=""];
+n16:e -> c27:p16:w [color="black", style="setlinewidth(3)", label=""];
+c27:p17:e -> n17:w [color="black", style="setlinewidth(3)", label=""];
+c27:p18:e -> n18:w [color="black", label=""];
+n19:e -> c27:p19:w [color="black", style="setlinewidth(3)", label=""];
+c27:p2:e -> n2:w [color="black", label=""];
+n20:e -> c27:p20:w [color="black", style="setlinewidth(3)", label=""];
+n21:e -> c27:p21:w [color="black", style="setlinewidth(3)", label=""];
+n22:e -> c27:p22:w [color="black", label=""];
+n23:e -> c27:p23:w [color="black", label=""];
+n24:e -> c27:p24:w [color="black", label=""];
+n25:e -> c27:p25:w [color="black", label=""];
+n26:e -> c27:p26:w [color="black", label=""];
+c27:p3:e -> n3:w [color="black", label=""];
+c27:p4:e -> n4:w [color="black", label=""];
+c27:p5:e -> n5:w [color="black", label=""];
+c27:p6:e -> n6:w [color="black", label=""];
+c27:p7:e -> n7:w [color="black", label=""];
+c27:p8:e -> n8:w [color="black", label=""];
+}
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info
new file mode 100644
index 0000000..948dbd2
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info
@@ -0,0 +1,12 @@
+li1 X 0.23 0.46
+li1 Y 0.17 0.34
+met1 X 0.17 0.34
+met1 Y 0.17 0.34
+met2 X 0.23 0.46
+met2 Y 0.23 0.46
+met3 X 0.34 0.68
+met3 Y 0.34 0.68
+met4 X 0.46 0.92
+met4 Y 0.46 0.92
+met5 X 1.70 3.40
+met5 Y 1.70 3.40
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib
new file mode 100644
index 0000000..ecf56dc
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib
@@ -0,0 +1,20123 @@
+library ("sky130_fd_sc_hd__tt_025C_1v80") {
+    define(def_sim_opt,library,string);
+    define(default_arc_mode,library,string);
+    define(default_constraint_arc_mode,library,string);
+    define(driver_model,library,string);
+    define(leakage_sim_opt,library,string);
+    define(min_pulse_width_mode,library,string);
+    define(simulator,library,string);
+    define(switching_power_split_model,library,string);
+    define(sim_opt,timing,string);
+    define(violation_delay_degrade_pct,timing,string);
+    technology("cmos");
+    delay_model : "table_lookup";
+    bus_naming_style : "%s[%d]";
+    time_unit : "1ns";
+    voltage_unit : "1V";
+    leakage_power_unit : "1nW";
+    current_unit : "1mA";
+    pulling_resistance_unit : "1kohm";
+    capacitive_load_unit(1.0000000000, "pf");
+    revision : 1.0000000000;
+    default_cell_leakage_power : 0.0000000000;
+    default_fanout_load : 0.0000000000;
+    default_inout_pin_cap : 0.0000000000;
+    default_input_pin_cap : 0.0000000000;
+    default_max_transition : 1.5000000000;
+    default_output_pin_cap : 0.0000000000;
+    default_arc_mode : "worst_edges";
+    default_constraint_arc_mode : "worst";
+    default_leakage_power_density : 0.0000000000;
+    default_operating_conditions : "tt_025C_1v80";
+    operating_conditions ("tt_025C_1v80") {
+        voltage : 1.8000000000;
+        process : 1.0000000000;
+        temperature : 25.000000000;
+        tree_type : "balanced_tree";
+    }
+    power_lut_template ("power_inputs_1") {
+        variable_1 : "input_transition_time";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+    }
+    power_lut_template ("power_outputs_1") {
+        variable_1 : "input_transition_time";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("constraint_3_0_1") {
+        variable_1 : "related_pin_transition";
+        index_1("1, 2, 3");
+    }
+    lu_table_template ("del_1_7_7") {
+        variable_1 : "input_net_transition";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("driver_waveform_template") {
+        variable_1 : "input_net_transition";
+        variable_2 : "normalized_voltage";
+        index_1("1, 2");
+        index_2("1, 2");
+    }
+    lu_table_template ("vio_3_3_1") {
+        variable_1 : "related_pin_transition";
+        variable_2 : "constrained_pin_transition";
+        index_1("1, 2, 3");
+        index_2("1, 2, 3");
+    }
+    normalized_driver_waveform ("driver_waveform_template") {
+        index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
+        index_2("0.0000000000, 0.5000000000, 1.0000000000");
+        driver_waveform_name : "ramp";
+        values("0.0000000000, 0.0083333333, 0.0166666670", \
+            "0.0000000000, 0.0192088180, 0.0384176350", \
+            "0.0000000000, 0.0442774400, 0.0885548810", \
+            "0.0000000000, 0.1020620700, 0.2041241500", \
+            "0.0000000000, 0.2352590100, 0.4705180100", \
+            "0.0000000000, 0.4166666700, 0.8333333300", \
+            "0.0000000000, 0.5422856800, 1.0845714000", \
+            "0.0000000000, 1.2500000000, 2.5000000000");
+    }
+    library_features("report_delay_calculation");
+    voltage_map("VSS", 0.0000000000);
+    voltage_map("KAPWR", 1.8000000000);
+    voltage_map("LOWLVPWR", 1.8000000000);
+    voltage_map("VGND", 0.0000000000);
+    voltage_map("VNB", 0.0000000000);
+    voltage_map("VPB", 1.8000000000);
+    voltage_map("VPWR", 1.8000000000);
+    voltage_map("VPWRIN", 1.8000000000);
+    driver_model : "ramp";
+    in_place_swap_mode : "match_footprint";
+    input_threshold_pct_fall : 50.000000000;
+    input_threshold_pct_rise : 50.000000000;
+    min_pulse_width_mode : "max";
+    nom_process : 1.0000000000;
+    nom_temperature : 25.000000000;
+    nom_voltage : 1.8000000000;
+    output_threshold_pct_fall : 50.000000000;
+    output_threshold_pct_rise : 50.000000000;
+    simulation : "true";
+    slew_derate_from_library : 1.0000000000;
+    slew_lower_threshold_pct_fall : 20.000000000;
+    slew_lower_threshold_pct_rise : 20.000000000;
+    slew_upper_threshold_pct_fall : 80.000000000;
+    slew_upper_threshold_pct_rise : 80.000000000;
+    switching_power_split_model : "true";
+
+/* removed sky130_fd_sc_hd__a2111o_1 */
+
+/* removed sky130_fd_sc_hd__a2111o_2 */
+
+    cell ("sky130_fd_sc_hd__a2111o_4") {
+        leakage_power () {
+            value : 0.0054313000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0118340000;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0250712000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0370519000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0252806000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0467636000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0250182000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0353823000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0054324000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0084080000;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020969000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0042066000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020850000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0040078000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019724000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020893000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0054327000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0081938000;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020969000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0042066000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020855000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0040078000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019724000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020893000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0022713000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0057660000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020096000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0022608000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020059000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0022651000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019466000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020131000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111o";
+        cell_leakage_power : 0.0091485880;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041120000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091843000, 0.0091789000, 0.0091663000, 0.0091665000, 0.0091668000, 0.0091678000, 0.0091699000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007162600, -0.007171300, -0.007191300, -0.007177900, -0.007146600, -0.007074600, -0.006908600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044800000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082704000, 0.0082618000, 0.0082421000, 0.0082419000, 0.0082416000, 0.0082410000, 0.0082394000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008197000, -0.008192400, -0.008181700, -0.008185200, -0.008193000, -0.008211000, -0.008252500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047410000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0098281000, 0.0098192000, 0.0097987000, 0.0097977000, 0.0097954000, 0.0097899000, 0.0097776000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006882700, -0.006935400, -0.007057100, -0.007089600, -0.007164600, -0.007337600, -0.007736200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047720000;
+        }
+        pin ("C1") {
+            capacitance : 0.0043980000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040410000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082912000, 0.0082878000, 0.0082801000, 0.0082801000, 0.0082800000, 0.0082797000, 0.0082794000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007180700, -0.007315800, -0.007627400, -0.007641400, -0.007673600, -0.007748000, -0.007919200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047560000;
+        }
+        pin ("D1") {
+            capacitance : 0.0043130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0050873000, 0.0050847000, 0.0050786000, 0.0051019000, 0.0051558000, 0.0052800000, 0.0055661000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003587000, -0.003579200, -0.003561200, -0.003562600, -0.003566000, -0.003573400, -0.003590700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047000000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1) | (D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0370431000, 0.0350998000, 0.0298243000, 0.0150922000, -0.036520400, -0.217091800, -0.812282800", \
+                        "0.0367890000, 0.0348262000, 0.0295256000, 0.0147655000, -0.036743700, -0.217342500, -0.812414000", \
+                        "0.0362817000, 0.0343347000, 0.0294153000, 0.0142798000, -0.037335900, -0.217877000, -0.813066500", \
+                        "0.0357731000, 0.0337640000, 0.0284689000, 0.0135311000, -0.037964700, -0.218513900, -0.813542400", \
+                        "0.0353114000, 0.0333889000, 0.0279938000, 0.0130309000, -0.038514600, -0.219067600, -0.814099500", \
+                        "0.0352202000, 0.0333423000, 0.0279620000, 0.0130431000, -0.038681400, -0.219343000, -0.814368300", \
+                        "0.0452960000, 0.0431922000, 0.0369365000, 0.0182128000, -0.039937300, -0.219501700, -0.814312600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0378468000, 0.0397922000, 0.0460762000, 0.0655750000, 0.1247708000, 0.3103613000, 0.8999013000", \
+                        "0.0376934000, 0.0396198000, 0.0458719000, 0.0653036000, 0.1246188000, 0.3096418000, 0.8987992000", \
+                        "0.0373458000, 0.0393472000, 0.0454998000, 0.0652333000, 0.1244342000, 0.3097854000, 0.8992468000", \
+                        "0.0370942000, 0.0389606000, 0.0452460000, 0.0648849000, 0.1240305000, 0.3095824000, 0.8990234000", \
+                        "0.0372350000, 0.0391143000, 0.0453372000, 0.0644245000, 0.1233493000, 0.3090626000, 0.8991017000", \
+                        "0.0394021000, 0.0411684000, 0.0468009000, 0.0647048000, 0.1231621000, 0.3078197000, 0.8988902000", \
+                        "0.0426433000, 0.0443353000, 0.0507613000, 0.0687756000, 0.1264536000, 0.3101726000, 0.9000545000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0430825000, 0.0410898000, 0.0356724000, 0.0207536000, -0.030850200, -0.212074400, -0.807594500", \
+                        "0.0428761000, 0.0409369000, 0.0356206000, 0.0205269000, -0.031111400, -0.212207700, -0.807715600", \
+                        "0.0429502000, 0.0409991000, 0.0355622000, 0.0205369000, -0.031380900, -0.212536500, -0.808055200", \
+                        "0.0422856000, 0.0402780000, 0.0349615000, 0.0199373000, -0.031757300, -0.212838400, -0.808388900", \
+                        "0.0419281000, 0.0399258000, 0.0346136000, 0.0196177000, -0.032231300, -0.213198500, -0.808576300", \
+                        "0.0420237000, 0.0400077000, 0.0346329000, 0.0195910000, -0.032394200, -0.213382800, -0.808744500", \
+                        "0.0505946000, 0.0484930000, 0.0421522000, 0.0236464000, -0.033142100, -0.213344500, -0.808521500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0386293000, 0.0405579000, 0.0468313000, 0.0663775000, 0.1255400000, 0.3104193000, 0.9002008000", \
+                        "0.0385139000, 0.0404205000, 0.0466813000, 0.0660757000, 0.1253177000, 0.3103760000, 0.9032879000", \
+                        "0.0382459000, 0.0401523000, 0.0463403000, 0.0658774000, 0.1249757000, 0.3102738000, 0.8995112000", \
+                        "0.0379147000, 0.0398002000, 0.0461112000, 0.0657450000, 0.1247783000, 0.3101180000, 0.8991192000", \
+                        "0.0381898000, 0.0401605000, 0.0463096000, 0.0654483000, 0.1246343000, 0.3093500000, 0.9016978000", \
+                        "0.0407860000, 0.0425737000, 0.0482729000, 0.0664494000, 0.1243317000, 0.3090972000, 0.8991015000", \
+                        "0.0428108000, 0.0445661000, 0.0501175000, 0.0682025000, 0.1256943000, 0.3105553000, 0.8986520000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0375007000, 0.0355553000, 0.0301077000, 0.0150807000, -0.036826500, -0.217752300, -0.813069700", \
+                        "0.0371931000, 0.0351892000, 0.0297945000, 0.0146990000, -0.037153800, -0.218033200, -0.813376800", \
+                        "0.0364590000, 0.0344844000, 0.0291999000, 0.0140888000, -0.037576400, -0.218423100, -0.813661800", \
+                        "0.0364061000, 0.0345024000, 0.0290023000, 0.0139636000, -0.037957900, -0.218864200, -0.814116700", \
+                        "0.0357090000, 0.0336994000, 0.0285635000, 0.0134469000, -0.038382900, -0.219294300, -0.814451900", \
+                        "0.0359428000, 0.0339137000, 0.0285238000, 0.0133868000, -0.038598800, -0.219374400, -0.814446700", \
+                        "0.0447162000, 0.0425787000, 0.0361847000, 0.0171906000, -0.039157400, -0.219265700, -0.814324700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0349719000, 0.0369699000, 0.0433398000, 0.0626883000, 0.1218261000, 0.3080500000, 0.9002615000", \
+                        "0.0349867000, 0.0369790000, 0.0433233000, 0.0627094000, 0.1218535000, 0.3064868000, 0.9003501000", \
+                        "0.0350080000, 0.0370468000, 0.0433456000, 0.0627084000, 0.1217315000, 0.3068702000, 0.8973238000", \
+                        "0.0348630000, 0.0368340000, 0.0430164000, 0.0621766000, 0.1211635000, 0.3065484000, 0.8970224000", \
+                        "0.0349607000, 0.0368107000, 0.0428607000, 0.0617782000, 0.1205394000, 0.3060511000, 0.8967277000", \
+                        "0.0365306000, 0.0383188000, 0.0440415000, 0.0624877000, 0.1204079000, 0.3049592000, 0.8957521000", \
+                        "0.0398922000, 0.0415317000, 0.0471981000, 0.0652671000, 0.1235346000, 0.3080686000, 0.8946060000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0339570000, 0.0320178000, 0.0266484000, 0.0115754000, -0.040186400, -0.220844200, -0.815726300", \
+                        "0.0336898000, 0.0317046000, 0.0263997000, 0.0113350000, -0.040451100, -0.221031300, -0.816046100", \
+                        "0.0332202000, 0.0312859000, 0.0259727000, 0.0110177000, -0.040813600, -0.221501200, -0.816475500", \
+                        "0.0329918000, 0.0310144000, 0.0256891000, 0.0103988000, -0.041220300, -0.221940700, -0.816927100", \
+                        "0.0323432000, 0.0304357000, 0.0251113000, 0.0101349000, -0.041610500, -0.222288800, -0.817293700", \
+                        "0.0325139000, 0.0305673000, 0.0254898000, 0.0105536000, -0.041687100, -0.222482700, -0.817374100", \
+                        "0.0415562000, 0.0393891000, 0.0329247000, 0.0137850000, -0.042334500, -0.222350300, -0.816796900");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0330249000, 0.0350280000, 0.0412725000, 0.0604756000, 0.1194725000, 0.3047105000, 0.8936072000", \
+                        "0.0331587000, 0.0351213000, 0.0413815000, 0.0606113000, 0.1195693000, 0.3059467000, 0.8948953000", \
+                        "0.0334022000, 0.0354031000, 0.0416408000, 0.0608067000, 0.1197893000, 0.3051785000, 0.8938583000", \
+                        "0.0331790000, 0.0351000000, 0.0412594000, 0.0602795000, 0.1192456000, 0.3048228000, 0.8982117000", \
+                        "0.0331629000, 0.0350317000, 0.0410159000, 0.0598460000, 0.1184984000, 0.3043212000, 0.8968101000", \
+                        "0.0342229000, 0.0360229000, 0.0417321000, 0.0602359000, 0.1182571000, 0.3030233000, 0.8962260000", \
+                        "0.0370409000, 0.0387308000, 0.0442581000, 0.0623741000, 0.1206597000, 0.3050319000, 0.8916636000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0322863000, 0.0302956000, 0.0247789000, 0.0100184000, -0.041787400, -0.222339900, -0.817159700", \
+                        "0.0318474000, 0.0299104000, 0.0245896000, 0.0095267000, -0.042122100, -0.222570300, -0.817504600", \
+                        "0.0314484000, 0.0294694000, 0.0240673000, 0.0092043000, -0.042559000, -0.223050700, -0.817878500", \
+                        "0.0309156000, 0.0289601000, 0.0236288000, 0.0086595000, -0.043047700, -0.223584000, -0.818279800", \
+                        "0.0307047000, 0.0287053000, 0.0233329000, 0.0083716000, -0.043375900, -0.223912100, -0.818570100", \
+                        "0.0314190000, 0.0294362000, 0.0239977000, 0.0096901000, -0.043000300, -0.223324000, -0.818131500", \
+                        "0.0445028000, 0.0422378000, 0.0356596000, 0.0166719000, -0.041675300, -0.221951000, -0.816626100");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0252292000, 0.0272266000, 0.0334461000, 0.0527404000, 0.1116176000, 0.2968349000, 0.8877106000", \
+                        "0.0252576000, 0.0272529000, 0.0334966000, 0.0527502000, 0.1117030000, 0.2978237000, 0.8875495000", \
+                        "0.0251661000, 0.0271509000, 0.0333350000, 0.0525200000, 0.1114021000, 0.2961668000, 0.8867464000", \
+                        "0.0249880000, 0.0269113000, 0.0329497000, 0.0519505000, 0.1108438000, 0.2959818000, 0.8864121000", \
+                        "0.0250726000, 0.0268820000, 0.0327630000, 0.0514884000, 0.1100945000, 0.2956256000, 0.8861587000", \
+                        "0.0262419000, 0.0280199000, 0.0337328000, 0.0522248000, 0.1098933000, 0.2945102000, 0.8862561000", \
+                        "0.0287704000, 0.0304465000, 0.0359998000, 0.0539533000, 0.1123027000, 0.2962995000, 0.8839073000");
+                }
+            }
+            max_capacitance : 0.5355930000;
+            max_transition : 1.4995610000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4115941000, 0.4175033000, 0.4329213000, 0.4673878000, 0.5380981000, 0.6840060000, 1.0310978000", \
+                        "0.4148444000, 0.4208039000, 0.4362171000, 0.4709618000, 0.5413902000, 0.6874268000, 1.0344630000", \
+                        "0.4240364000, 0.4299260000, 0.4452283000, 0.4797683000, 0.5504759000, 0.6966396000, 1.0435759000", \
+                        "0.4476691000, 0.4535678000, 0.4689522000, 0.5034552000, 0.5735579000, 0.7200097000, 1.0671127000", \
+                        "0.5006885000, 0.5065925000, 0.5217816000, 0.5565836000, 0.6268304000, 0.7731911000, 1.1202571000", \
+                        "0.6093019000, 0.6151657000, 0.6306063000, 0.6652667000, 0.7359207000, 0.8822514000, 1.2292292000", \
+                        "0.7955708000, 0.8019144000, 0.8185901000, 0.8554488000, 0.9303637000, 1.0832956000, 1.4359871000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.1155489000, 0.1203134000, 0.1330129000, 0.1638583000, 0.2420998000, 0.4686905000, 1.1810698000", \
+                        "0.1197018000, 0.1245155000, 0.1371148000, 0.1679199000, 0.2461037000, 0.4734624000, 1.1838098000", \
+                        "0.1298974000, 0.1346235000, 0.1471999000, 0.1780507000, 0.2561422000, 0.4826625000, 1.1942837000", \
+                        "0.1552305000, 0.1598858000, 0.1723547000, 0.2029143000, 0.2805815000, 0.5071073000, 1.2191946000", \
+                        "0.2088519000, 0.2136007000, 0.2262018000, 0.2565188000, 0.3336759000, 0.5596335000, 1.2697078000", \
+                        "0.2817954000, 0.2870962000, 0.3011684000, 0.3331898000, 0.4110781000, 0.6367311000, 1.3472002000", \
+                        "0.3553420000, 0.3623765000, 0.3793410000, 0.4170586000, 0.4976368000, 0.7214785000, 1.4311019000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0480871000, 0.0516464000, 0.0612945000, 0.0840995000, 0.1367413000, 0.2717778000, 0.6683779000", \
+                        "0.0481557000, 0.0516889000, 0.0614237000, 0.0847249000, 0.1370753000, 0.2719025000, 0.6696127000", \
+                        "0.0480849000, 0.0516376000, 0.0618651000, 0.0850511000, 0.1367214000, 0.2718762000, 0.6681152000", \
+                        "0.0484118000, 0.0516246000, 0.0613440000, 0.0837803000, 0.1372669000, 0.2714812000, 0.6689925000", \
+                        "0.0480523000, 0.0516124000, 0.0615392000, 0.0837779000, 0.1376039000, 0.2717303000, 0.6693000000", \
+                        "0.0490720000, 0.0526121000, 0.0624202000, 0.0847987000, 0.1371412000, 0.2716447000, 0.6694921000", \
+                        "0.0553412000, 0.0590917000, 0.0689578000, 0.0936347000, 0.1485734000, 0.2816013000, 0.6740966000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0273855000, 0.0310724000, 0.0420489000, 0.0728962000, 0.1688231000, 0.4833386000, 1.4953184000", \
+                        "0.0272884000, 0.0311188000, 0.0419613000, 0.0727831000, 0.1688928000, 0.4824634000, 1.4977052000", \
+                        "0.0272541000, 0.0309167000, 0.0417322000, 0.0725854000, 0.1684801000, 0.4832154000, 1.4979696000", \
+                        "0.0267420000, 0.0305250000, 0.0410955000, 0.0719168000, 0.1681389000, 0.4830277000, 1.4957076000", \
+                        "0.0280348000, 0.0315696000, 0.0420309000, 0.0721543000, 0.1672298000, 0.4825285000, 1.4988765000", \
+                        "0.0348975000, 0.0384332000, 0.0479786000, 0.0764804000, 0.1690848000, 0.4812143000, 1.4975465000", \
+                        "0.0479628000, 0.0516827000, 0.0633244000, 0.0906918000, 0.1740881000, 0.4832356000, 1.4952820000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4448634000, 0.4512119000, 0.4675791000, 0.5036939000, 0.5755290000, 0.7225767000, 1.0703615000", \
+                        "0.4490507000, 0.4554687000, 0.4718586000, 0.5078594000, 0.5790171000, 0.7264089000, 1.0745699000", \
+                        "0.4605443000, 0.4668559000, 0.4831666000, 0.5192426000, 0.5912253000, 0.7383091000, 1.0861898000", \
+                        "0.4862011000, 0.4924626000, 0.5089066000, 0.5448581000, 0.6169412000, 0.7642657000, 1.1119535000", \
+                        "0.5399827000, 0.5462258000, 0.5627007000, 0.5985560000, 0.6706983000, 0.8172709000, 1.1653039000", \
+                        "0.6470642000, 0.6534038000, 0.6698226000, 0.7058856000, 0.7780186000, 0.9253514000, 1.2731071000", \
+                        "0.8370855000, 0.8436525000, 0.8609594000, 0.8997531000, 0.9754298000, 1.1281145000, 1.4803317000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.1199637000, 0.1247155000, 0.1373762000, 0.1682281000, 0.2462877000, 0.4729104000, 1.1819367000", \
+                        "0.1241539000, 0.1289057000, 0.1415068000, 0.1722762000, 0.2502615000, 0.4772293000, 1.1889939000", \
+                        "0.1324321000, 0.1371600000, 0.1497774000, 0.1804953000, 0.2584480000, 0.4847056000, 1.1967375000", \
+                        "0.1514974000, 0.1561837000, 0.1687262000, 0.1993471000, 0.2770071000, 0.5034633000, 1.2155169000", \
+                        "0.1912431000, 0.1960713000, 0.2087525000, 0.2394528000, 0.3169678000, 0.5434870000, 1.2539781000", \
+                        "0.2526128000, 0.2578559000, 0.2716620000, 0.3036127000, 0.3821604000, 0.6080910000, 1.3173027000", \
+                        "0.3203928000, 0.3270385000, 0.3436597000, 0.3798863000, 0.4608751000, 0.6867595000, 1.3958078000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0521519000, 0.0558590000, 0.0660838000, 0.0878332000, 0.1402059000, 0.2737608000, 0.6712583000", \
+                        "0.0522502000, 0.0559862000, 0.0656455000, 0.0877887000, 0.1404759000, 0.2738836000, 0.6711888000", \
+                        "0.0521065000, 0.0560804000, 0.0655479000, 0.0875770000, 0.1398165000, 0.2735885000, 0.6712502000", \
+                        "0.0520543000, 0.0559837000, 0.0659450000, 0.0879500000, 0.1398222000, 0.2738454000, 0.6711001000", \
+                        "0.0523931000, 0.0560042000, 0.0658888000, 0.0879303000, 0.1397945000, 0.2738825000, 0.6716265000", \
+                        "0.0521955000, 0.0559536000, 0.0659648000, 0.0881366000, 0.1395719000, 0.2737408000, 0.6711478000", \
+                        "0.0587509000, 0.0625227000, 0.0724000000, 0.0956862000, 0.1485478000, 0.2804633000, 0.6757898000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0274192000, 0.0312213000, 0.0417866000, 0.0727488000, 0.1686087000, 0.4828856000, 1.4990658000", \
+                        "0.0272715000, 0.0310303000, 0.0418953000, 0.0726723000, 0.1687138000, 0.4825555000, 1.4995613000", \
+                        "0.0271192000, 0.0308935000, 0.0418020000, 0.0725791000, 0.1686530000, 0.4832557000, 1.4957356000", \
+                        "0.0268580000, 0.0306139000, 0.0412676000, 0.0722630000, 0.1683329000, 0.4828969000, 1.4979197000", \
+                        "0.0280082000, 0.0318064000, 0.0425007000, 0.0724488000, 0.1677774000, 0.4821093000, 1.4954128000", \
+                        "0.0325901000, 0.0362795000, 0.0469310000, 0.0761606000, 0.1697543000, 0.4818549000, 1.4991328000", \
+                        "0.0420402000, 0.0461143000, 0.0574055000, 0.0856753000, 0.1740334000, 0.4838551000, 1.4935611000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4260579000, 0.4323966000, 0.4487163000, 0.4847882000, 0.5568040000, 0.7041508000, 1.0520426000", \
+                        "0.4282617000, 0.4345690000, 0.4510168000, 0.4871672000, 0.5591589000, 0.7066823000, 1.0537284000", \
+                        "0.4369451000, 0.4429887000, 0.4595819000, 0.4955998000, 0.5668102000, 0.7142840000, 1.0627386000", \
+                        "0.4596542000, 0.4659618000, 0.4822875000, 0.5183359000, 0.5903281000, 0.7377422000, 1.0856083000", \
+                        "0.5117728000, 0.5180855000, 0.5344215000, 0.5704623000, 0.6424428000, 0.7897626000, 1.1376965000", \
+                        "0.6238796000, 0.6301412000, 0.6466553000, 0.6827395000, 0.7548828000, 0.9021879000, 1.2504355000", \
+                        "0.8321034000, 0.8390568000, 0.8569158000, 0.8965711000, 0.9740722000, 1.1284773000, 1.4812391000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0744878000, 0.0780968000, 0.0880337000, 0.1137975000, 0.1859537000, 0.4089993000, 1.1182703000", \
+                        "0.0791558000, 0.0827642000, 0.0926919000, 0.1184921000, 0.1904914000, 0.4135318000, 1.1226089000", \
+                        "0.0904908000, 0.0941425000, 0.1039857000, 0.1296799000, 0.2018417000, 0.4249385000, 1.1320305000", \
+                        "0.1163552000, 0.1199349000, 0.1296344000, 0.1550484000, 0.2270181000, 0.4503184000, 1.1575541000", \
+                        "0.1573870000, 0.1614313000, 0.1720743000, 0.1983847000, 0.2703751000, 0.4939892000, 1.2012703000", \
+                        "0.2039934000, 0.2091821000, 0.2223291000, 0.2515462000, 0.3244359000, 0.5472220000, 1.2577883000", \
+                        "0.2351040000, 0.2418778000, 0.2591554000, 0.2960127000, 0.3734746000, 0.5964407000, 1.3030648000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0521095000, 0.0560787000, 0.0655455000, 0.0875655000, 0.1397707000, 0.2737905000, 0.6710662000", \
+                        "0.0520471000, 0.0558503000, 0.0655094000, 0.0877114000, 0.1398383000, 0.2732096000, 0.6715268000", \
+                        "0.0523024000, 0.0562556000, 0.0654785000, 0.0877994000, 0.1406029000, 0.2739475000, 0.6711502000", \
+                        "0.0521535000, 0.0562051000, 0.0655470000, 0.0875649000, 0.1398186000, 0.2737783000, 0.6709121000", \
+                        "0.0520456000, 0.0558053000, 0.0655826000, 0.0876098000, 0.1400015000, 0.2735221000, 0.6717790000", \
+                        "0.0526572000, 0.0562642000, 0.0665058000, 0.0883732000, 0.1397664000, 0.2737919000, 0.6701952000", \
+                        "0.0612598000, 0.0651435000, 0.0760417000, 0.0999324000, 0.1529886000, 0.2828456000, 0.6786085000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0198037000, 0.0228179000, 0.0321572000, 0.0615093000, 0.1586521000, 0.4756767000, 1.4944943000", \
+                        "0.0198198000, 0.0227999000, 0.0320844000, 0.0614283000, 0.1587177000, 0.4750618000, 1.4944143000", \
+                        "0.0196803000, 0.0227173000, 0.0319789000, 0.0614144000, 0.1588066000, 0.4758108000, 1.4921978000", \
+                        "0.0198888000, 0.0228547000, 0.0320599000, 0.0612261000, 0.1584023000, 0.4750961000, 1.4939916000", \
+                        "0.0244362000, 0.0273059000, 0.0356048000, 0.0633472000, 0.1585914000, 0.4758947000, 1.4939372000", \
+                        "0.0328225000, 0.0356976000, 0.0440039000, 0.0693326000, 0.1609359000, 0.4749983000, 1.4905308000", \
+                        "0.0457933000, 0.0496005000, 0.0595614000, 0.0846516000, 0.1670669000, 0.4785687000, 1.4910705000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.3879921000, 0.3943458000, 0.4106597000, 0.4468968000, 0.5187451000, 0.6655091000, 1.0134882000", \
+                        "0.3900253000, 0.3964577000, 0.4128411000, 0.4488208000, 0.5211057000, 0.6675859000, 1.0158707000", \
+                        "0.3977841000, 0.4041448000, 0.4204827000, 0.4566127000, 0.5285767000, 0.6752152000, 1.0232879000", \
+                        "0.4202141000, 0.4265851000, 0.4429844000, 0.4790262000, 0.5511084000, 0.6985656000, 1.0456881000", \
+                        "0.4746845000, 0.4809805000, 0.4970734000, 0.5333782000, 0.6050709000, 0.7525278000, 1.1007366000", \
+                        "0.6023234000, 0.6092777000, 0.6250918000, 0.6615928000, 0.7330948000, 0.8810347000, 1.2288970000", \
+                        "0.8467784000, 0.8538470000, 0.8722110000, 0.9126857000, 0.9906848000, 1.1456123000, 1.4953718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0754043000, 0.0790623000, 0.0890920000, 0.1149562000, 0.1867036000, 0.4089906000, 1.1156904000", \
+                        "0.0800322000, 0.0836774000, 0.0937203000, 0.1196167000, 0.1915537000, 0.4139878000, 1.1215527000", \
+                        "0.0911567000, 0.0948162000, 0.1048271000, 0.1306075000, 0.2025511000, 0.4249992000, 1.1313385000", \
+                        "0.1160362000, 0.1196838000, 0.1296467000, 0.1553387000, 0.2271078000, 0.4498247000, 1.1587681000", \
+                        "0.1545259000, 0.1586868000, 0.1695713000, 0.1963022000, 0.2684931000, 0.4912278000, 1.1989528000", \
+                        "0.1962747000, 0.2016587000, 0.2149924000, 0.2449023000, 0.3182509000, 0.5409259000, 1.2504535000", \
+                        "0.2192432000, 0.2262179000, 0.2439352000, 0.2818392000, 0.3606363000, 0.5835799000, 1.2902825000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0522805000, 0.0556485000, 0.0660161000, 0.0885238000, 0.1411741000, 0.2740345000, 0.6720995000", \
+                        "0.0524252000, 0.0557000000, 0.0657058000, 0.0878135000, 0.1398764000, 0.2742993000, 0.6709960000", \
+                        "0.0522849000, 0.0557163000, 0.0657158000, 0.0891508000, 0.1393863000, 0.2734984000, 0.6710753000", \
+                        "0.0518516000, 0.0557694000, 0.0663125000, 0.0892823000, 0.1398731000, 0.2736480000, 0.6717066000", \
+                        "0.0524370000, 0.0562503000, 0.0654116000, 0.0879080000, 0.1403088000, 0.2739899000, 0.6715009000", \
+                        "0.0530770000, 0.0562914000, 0.0663907000, 0.0891292000, 0.1402306000, 0.2738280000, 0.6709798000", \
+                        "0.0647640000, 0.0687660000, 0.0796031000, 0.1022917000, 0.1538639000, 0.2835343000, 0.6787885000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0198304000, 0.0228452000, 0.0322018000, 0.0613072000, 0.1581851000, 0.4761771000, 1.4924715000", \
+                        "0.0197899000, 0.0228318000, 0.0321057000, 0.0613059000, 0.1579673000, 0.4764470000, 1.4944048000", \
+                        "0.0198202000, 0.0228212000, 0.0321892000, 0.0612604000, 0.1581291000, 0.4763507000, 1.4922742000", \
+                        "0.0202291000, 0.0232366000, 0.0324482000, 0.0614150000, 0.1582107000, 0.4763656000, 1.4957900000", \
+                        "0.0245618000, 0.0276892000, 0.0362075000, 0.0639145000, 0.1587554000, 0.4760822000, 1.4920548000", \
+                        "0.0336128000, 0.0368419000, 0.0449341000, 0.0705546000, 0.1614461000, 0.4754817000, 1.4926511000", \
+                        "0.0474977000, 0.0512071000, 0.0619531000, 0.0867678000, 0.1686170000, 0.4782090000, 1.4910144000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.3110585000, 0.3172504000, 0.3336273000, 0.3695194000, 0.4417545000, 0.5892191000, 0.9374352000", \
+                        "0.3127800000, 0.3191797000, 0.3355941000, 0.3715809000, 0.4434412000, 0.5912803000, 0.9391263000", \
+                        "0.3194079000, 0.3257943000, 0.3417628000, 0.3779203000, 0.4502843000, 0.5976453000, 0.9457015000", \
+                        "0.3398970000, 0.3462114000, 0.3626021000, 0.3984869000, 0.4708007000, 0.6182709000, 0.9662278000", \
+                        "0.3990611000, 0.4053723000, 0.4217635000, 0.4578828000, 0.5298072000, 0.6774880000, 1.0256809000", \
+                        "0.5436333000, 0.5497073000, 0.5654677000, 0.6000526000, 0.6718743000, 0.8174607000, 1.1653948000", \
+                        "0.8114407000, 0.8187266000, 0.8383094000, 0.8796452000, 0.9572032000, 1.1066177000, 1.4560900000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0688532000, 0.0725058000, 0.0825234000, 0.1084050000, 0.1800581000, 0.4019365000, 1.1124712000", \
+                        "0.0736062000, 0.0772628000, 0.0872979000, 0.1131922000, 0.1847907000, 0.4072825000, 1.1152105000", \
+                        "0.0848911000, 0.0885370000, 0.0985153000, 0.1243479000, 0.1961611000, 0.4180276000, 1.1246665000", \
+                        "0.1089366000, 0.1126230000, 0.1226063000, 0.1483408000, 0.2201861000, 0.4426174000, 1.1491034000", \
+                        "0.1438876000, 0.1482606000, 0.1593990000, 0.1863978000, 0.2587674000, 0.4815920000, 1.1883340000", \
+                        "0.1806834000, 0.1862489000, 0.2003267000, 0.2311591000, 0.3051920000, 0.5278019000, 1.2380603000", \
+                        "0.1983939000, 0.2056802000, 0.2244082000, 0.2643278000, 0.3449503000, 0.5675256000, 1.2747871000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0518893000, 0.0559179000, 0.0660463000, 0.0886613000, 0.1407176000, 0.2736480000, 0.6717947000", \
+                        "0.0522783000, 0.0557100000, 0.0657644000, 0.0877981000, 0.1399779000, 0.2737548000, 0.6703403000", \
+                        "0.0521889000, 0.0560053000, 0.0652399000, 0.0882672000, 0.1394243000, 0.2731839000, 0.6710925000", \
+                        "0.0522055000, 0.0560829000, 0.0654860000, 0.0882540000, 0.1400610000, 0.2735360000, 0.6720643000", \
+                        "0.0519813000, 0.0557948000, 0.0661301000, 0.0885273000, 0.1411355000, 0.2733639000, 0.6714394000", \
+                        "0.0511529000, 0.0546518000, 0.0645173000, 0.0868458000, 0.1389177000, 0.2746212000, 0.6718032000", \
+                        "0.0711283000, 0.0753986000, 0.0852996000, 0.1070884000, 0.1529148000, 0.2806175000, 0.6777284000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0194558000, 0.0224630000, 0.0317362000, 0.0608019000, 0.1576397000, 0.4758674000, 1.4979532000", \
+                        "0.0194579000, 0.0224621000, 0.0318045000, 0.0609183000, 0.1578312000, 0.4765524000, 1.4965551000", \
+                        "0.0194551000, 0.0225120000, 0.0317885000, 0.0610427000, 0.1578143000, 0.4752955000, 1.4956085000", \
+                        "0.0204835000, 0.0235030000, 0.0325711000, 0.0614602000, 0.1577602000, 0.4754265000, 1.4950411000", \
+                        "0.0255533000, 0.0283522000, 0.0370597000, 0.0644891000, 0.1585852000, 0.4758463000, 1.4952510000", \
+                        "0.0356467000, 0.0385614000, 0.0471194000, 0.0723618000, 0.1616285000, 0.4752353000, 1.4951923000", \
+                        "0.0506853000, 0.0546160000, 0.0653799000, 0.0908023000, 0.1710229000, 0.4771084000, 1.4912365000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2111oi_0 */
+
+/* removed sky130_fd_sc_hd__a2111oi_1 */
+
+/* removed sky130_fd_sc_hd__a2111oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2111oi_4") {
+        leakage_power () {
+            value : 0.0040425000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0065744000;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002728000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0040425000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0083402000;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002729000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0040425000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0079083000;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002732000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0003745000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0033081000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001722000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0003826000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0003510000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0003984000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001269000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0001883000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111oi";
+        cell_leakage_power : 0.0018227260;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0084230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181535000, 0.0181564000, 0.0181631000, 0.0181659000, 0.0181724000, 0.0181874000, 0.0182220000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012960300, -0.012981500, -0.013030400, -0.012991600, -0.012902200, -0.012696100, -0.012221000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087470000;
+        }
+        pin ("A2") {
+            capacitance : 0.0087170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0160182000, 0.0160123000, 0.0159988000, 0.0160009000, 0.0160057000, 0.0160169000, 0.0160426000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015953900, -0.015947400, -0.015932600, -0.015932900, -0.015933400, -0.015934800, -0.015937800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091580000;
+        }
+        pin ("B1") {
+            capacitance : 0.0084530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0230492000, 0.0230424000, 0.0230267000, 0.0230259000, 0.0230242000, 0.0230203000, 0.0230112000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013304300, -0.013419500, -0.013685000, -0.013751400, -0.013904400, -0.014257100, -0.015070100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090270000;
+        }
+        pin ("C1") {
+            capacitance : 0.0083860000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077220000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0168871000, 0.0168843000, 0.0168780000, 0.0168853000, 0.0169020000, 0.0169407000, 0.0170297000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.014006800, -0.014278900, -0.014906100, -0.014936600, -0.015006900, -0.015169100, -0.015542900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090500000;
+        }
+        pin ("D1") {
+            capacitance : 0.0084560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0076860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0070130000, 0.0070113000, 0.0070073000, 0.0070235000, 0.0070607000, 0.0071464000, 0.0073440000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006827100, -0.006833300, -0.006847400, -0.006844500, -0.006837800, -0.006822400, -0.006786900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092270000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0154412000, 0.0142968000, 0.0114620000, 0.0045753000, -0.012214800, -0.053262200, -0.153626400", \
+                        "0.0156108000, 0.0144557000, 0.0116597000, 0.0048107000, -0.011933100, -0.052933500, -0.153281000", \
+                        "0.0159635000, 0.0148388000, 0.0120726000, 0.0052760000, -0.011343700, -0.052240000, -0.152489400", \
+                        "0.0153489000, 0.0142404000, 0.0115016000, 0.0048894000, -0.011578100, -0.052281200, -0.152381200", \
+                        "0.0157784000, 0.0144773000, 0.0116643000, 0.0048014000, -0.011945800, -0.052381000, -0.152246700", \
+                        "0.0164497000, 0.0152845000, 0.0124526000, 0.0055693000, -0.011202500, -0.051692100, -0.152190100", \
+                        "0.0205497000, 0.0193247000, 0.0163903000, 0.0092023000, -0.007958400, -0.048913700, -0.150010600");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0484968000, 0.0496914000, 0.0525959000, 0.0596357000, 0.0766356000, 0.1175880000, 0.2169141000", \
+                        "0.0478011000, 0.0490473000, 0.0519275000, 0.0590651000, 0.0761616000, 0.1172805000, 0.2168211000", \
+                        "0.0470342000, 0.0482874000, 0.0511632000, 0.0582242000, 0.0753521000, 0.1167293000, 0.2165900000", \
+                        "0.0463877000, 0.0476024000, 0.0504947000, 0.0575168000, 0.0744937000, 0.1160013000, 0.2159778000", \
+                        "0.0458935000, 0.0470801000, 0.0499501000, 0.0568360000, 0.0738097000, 0.1150032000, 0.2148958000", \
+                        "0.0457446000, 0.0469101000, 0.0497468000, 0.0567781000, 0.0737387000, 0.1146090000, 0.2141973000", \
+                        "0.0466716000, 0.0478551000, 0.0506132000, 0.0574564000, 0.0741223000, 0.1148746000, 0.2147139000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0015452000, 0.0004271000, -0.002322300, -0.009175100, -0.026136200, -0.067514200, -0.168168100", \
+                        "0.0011328000, 4.400000e-05, -0.002652400, -0.009370000, -0.026121800, -0.067280800, -0.167789400", \
+                        "0.0004780000, -0.000602300, -0.003261400, -0.009832100, -0.026250400, -0.067116900, -0.167454100", \
+                        "-0.000247100, -0.001371600, -0.003942500, -0.010485900, -0.026778600, -0.067221700, -0.167206000", \
+                        "3.720000e-05, -0.001039900, -0.003729300, -0.010306400, -0.026946400, -0.067382700, -0.167191700", \
+                        "0.0008333000, -0.000335900, -0.003156200, -0.009790900, -0.026536200, -0.067238000, -0.167188200", \
+                        "0.0051264000, 0.0038580000, 0.0007854000, -0.006590700, -0.023712200, -0.065107300, -0.165455100");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0486991000, 0.0499202000, 0.0528926000, 0.0601570000, 0.0773388000, 0.1184517000, 0.2181123000", \
+                        "0.0478388000, 0.0490782000, 0.0520754000, 0.0593483000, 0.0765048000, 0.1179640000, 0.2178546000", \
+                        "0.0471685000, 0.0483604000, 0.0513619000, 0.0583555000, 0.0756045000, 0.1171491000, 0.2175230000", \
+                        "0.0466519000, 0.0478513000, 0.0505773000, 0.0576021000, 0.0747497000, 0.1163281000, 0.2165555000", \
+                        "0.0465485000, 0.0476917000, 0.0505014000, 0.0574066000, 0.0742342000, 0.1153859000, 0.2155768000", \
+                        "0.0489098000, 0.0500399000, 0.0527647000, 0.0596385000, 0.0751609000, 0.1158525000, 0.2150199000", \
+                        "0.0525890000, 0.0536673000, 0.0563581000, 0.0629656000, 0.0791350000, 0.1186919000, 0.2170144000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0289515000, 0.0277852000, 0.0249500000, 0.0180320000, 0.0012009000, -0.039767000, -0.139385700", \
+                        "0.0285124000, 0.0273514000, 0.0245357000, 0.0176653000, 0.0008155000, -0.040185300, -0.139800800", \
+                        "0.0279871000, 0.0268194000, 0.0240089000, 0.0170815000, 0.0002887000, -0.040656100, -0.140330800", \
+                        "0.0272963000, 0.0261262000, 0.0234167000, 0.0165357000, -0.000334000, -0.041277100, -0.140924100", \
+                        "0.0266524000, 0.0255056000, 0.0227632000, 0.0160802000, -0.000779200, -0.041662900, -0.141221100", \
+                        "0.0259030000, 0.0247516000, 0.0219209000, 0.0149855000, -0.001405000, -0.042280500, -0.141511300", \
+                        "0.0298226000, 0.0286787000, 0.0258159000, 0.0189346000, 0.0023602000, -0.039554900, -0.140887900");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0541483000, 0.0553531000, 0.0582765000, 0.0652318000, 0.0821347000, 0.1230572000, 0.2225209000", \
+                        "0.0533846000, 0.0546481000, 0.0575590000, 0.0648152000, 0.0817813000, 0.1228017000, 0.2235682000", \
+                        "0.0523511000, 0.0535825000, 0.0565565000, 0.0637449000, 0.0810308000, 0.1224125000, 0.2222211000", \
+                        "0.0516390000, 0.0528933000, 0.0558649000, 0.0629471000, 0.0800360000, 0.1216244000, 0.2217404000", \
+                        "0.0510761000, 0.0522727000, 0.0552109000, 0.0621918000, 0.0791393000, 0.1205729000, 0.2212182000", \
+                        "0.0509793000, 0.0521479000, 0.0549833000, 0.0619257000, 0.0787943000, 0.1203100000, 0.2199191000", \
+                        "0.0507873000, 0.0519839000, 0.0548267000, 0.0619069000, 0.0789040000, 0.1193148000, 0.2197019000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0315479000, 0.0303827000, 0.0275664000, 0.0206151000, 0.0037727000, -0.037177900, -0.136825400", \
+                        "0.0310447000, 0.0298852000, 0.0270705000, 0.0201761000, 0.0033141000, -0.037660700, -0.137256900", \
+                        "0.0304988000, 0.0293362000, 0.0265010000, 0.0195944000, 0.0027592000, -0.038243600, -0.137869100", \
+                        "0.0299641000, 0.0288264000, 0.0260037000, 0.0191485000, 0.0022765000, -0.038758900, -0.138429900", \
+                        "0.0295944000, 0.0284363000, 0.0256246000, 0.0188127000, 0.0021027000, -0.038885900, -0.138508100", \
+                        "0.0290952000, 0.0278977000, 0.0251905000, 0.0183363000, 0.0014346000, -0.039280700, -0.138986200", \
+                        "0.0321254000, 0.0309306000, 0.0280252000, 0.0211643000, 0.0039671000, -0.037644700, -0.138626300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0688265000, 0.0699564000, 0.0728051000, 0.0797369000, 0.0965005000, 0.1373680000, 0.2367023000", \
+                        "0.0682578000, 0.0694514000, 0.0723245000, 0.0792632000, 0.0960643000, 0.1369882000, 0.2363721000", \
+                        "0.0676682000, 0.0687894000, 0.0717129000, 0.0787108000, 0.0955975000, 0.1366311000, 0.2360693000", \
+                        "0.0670096000, 0.0682062000, 0.0711050000, 0.0781153000, 0.0950286000, 0.1361706000, 0.2358075000", \
+                        "0.0664946000, 0.0676989000, 0.0705179000, 0.0775102000, 0.0944155000, 0.1355356000, 0.2353233000", \
+                        "0.0662482000, 0.0674313000, 0.0702900000, 0.0772253000, 0.0940702000, 0.1350269000, 0.2347841000", \
+                        "0.0661768000, 0.0673355000, 0.0702512000, 0.0772227000, 0.0940449000, 0.1349469000, 0.2346230000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0204779000, 0.0192959000, 0.0164681000, 0.0095953000, -0.007221300, -0.048055700, -0.148067600", \
+                        "0.0204909000, 0.0193328000, 0.0164881000, 0.0095890000, -0.007169800, -0.048023200, -0.148019400", \
+                        "0.0204836000, 0.0193243000, 0.0165165000, 0.0096892000, -0.007101200, -0.047928100, -0.147890000", \
+                        "0.0198848000, 0.0187425000, 0.0159410000, 0.0091291000, -0.007504600, -0.048182600, -0.148114300", \
+                        "0.0194189000, 0.0182738000, 0.0154896000, 0.0087082000, -0.007607800, -0.048240700, -0.148060500", \
+                        "0.0209419000, 0.0198013000, 0.0169821000, 0.0100759000, -0.006809300, -0.047973500, -0.148038700", \
+                        "0.0254725000, 0.0242618000, 0.0213377000, 0.0142095000, -0.002861800, -0.044357600, -0.145506800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0519259000, 0.0531336000, 0.0559949000, 0.0630608000, 0.0799414000, 0.1208429000, 0.2203961000", \
+                        "0.0512559000, 0.0524645000, 0.0554056000, 0.0624154000, 0.0794814000, 0.1205470000, 0.2200400000", \
+                        "0.0504344000, 0.0516079000, 0.0545148000, 0.0616494000, 0.0787614000, 0.1200581000, 0.2197832000", \
+                        "0.0497831000, 0.0509902000, 0.0538733000, 0.0608974000, 0.0779379000, 0.1192651000, 0.2192973000", \
+                        "0.0492809000, 0.0504344000, 0.0532595000, 0.0602150000, 0.0771750000, 0.1183517000, 0.2182724000", \
+                        "0.0490579000, 0.0501926000, 0.0530133000, 0.0599999000, 0.0767595000, 0.1178181000, 0.2176222000", \
+                        "0.0492126000, 0.0503577000, 0.0532131000, 0.0601983000, 0.0770146000, 0.1180387000, 0.2176914000");
+                }
+            }
+            max_capacitance : 0.1052960000;
+            max_transition : 1.5000270000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0345311000, 0.0362170000, 0.0401163000, 0.0492228000, 0.0699652000, 0.1162848000, 0.2204881000", \
+                        "0.0391834000, 0.0408276000, 0.0446358000, 0.0536352000, 0.0741424000, 0.1202989000, 0.2244269000", \
+                        "0.0508691000, 0.0523195000, 0.0558915000, 0.0644440000, 0.0844361000, 0.1300924000, 0.2343200000", \
+                        "0.0750916000, 0.0769049000, 0.0814274000, 0.0911035000, 0.1098633000, 0.1541844000, 0.2569184000", \
+                        "0.1069953000, 0.1095456000, 0.1155179000, 0.1287897000, 0.1562429000, 0.2092952000, 0.3121709000", \
+                        "0.1412854000, 0.1449778000, 0.1536307000, 0.1732886000, 0.2126793000, 0.2908991000, 0.4304660000", \
+                        "0.1510325000, 0.1565964000, 0.1693347000, 0.1979827000, 0.2581545000, 0.3761315000, 0.5872233000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2353509000, 0.2421938000, 0.2593210000, 0.2997171000, 0.3959593000, 0.6277714000, 1.1920388000", \
+                        "0.2374875000, 0.2448798000, 0.2617631000, 0.3030369000, 0.4001110000, 0.6331309000, 1.2056589000", \
+                        "0.2469594000, 0.2538633000, 0.2706934000, 0.3126838000, 0.4109569000, 0.6453312000, 1.2115007000", \
+                        "0.2739980000, 0.2809072000, 0.2976949000, 0.3388343000, 0.4371444000, 0.6715829000, 1.2395489000", \
+                        "0.3329486000, 0.3396131000, 0.3564089000, 0.3962631000, 0.4929899000, 0.7288193000, 1.2980973000", \
+                        "0.4419191000, 0.4504237000, 0.4679979000, 0.5120699000, 0.6105537000, 0.8458660000, 1.4118720000", \
+                        "0.6256540000, 0.6349273000, 0.6568119000, 0.7089941000, 0.8250341000, 1.0835384000, 1.6564784000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0479751000, 0.0499923000, 0.0548804000, 0.0664691000, 0.0934509000, 0.1554311000, 0.3004395000", \
+                        "0.0466602000, 0.0487171000, 0.0537314000, 0.0655752000, 0.0927113000, 0.1549011000, 0.3002123000", \
+                        "0.0467610000, 0.0485734000, 0.0530461000, 0.0641601000, 0.0910385000, 0.1537764000, 0.2996791000", \
+                        "0.0583282000, 0.0599124000, 0.0640868000, 0.0728720000, 0.0954204000, 0.1530548000, 0.2989613000", \
+                        "0.0821621000, 0.0842941000, 0.0893949000, 0.1013961000, 0.1244672000, 0.1749544000, 0.3038638000", \
+                        "0.1286859000, 0.1315295000, 0.1380450000, 0.1526260000, 0.1838950000, 0.2450402000, 0.3696662000", \
+                        "0.2102025000, 0.2144825000, 0.2245761000, 0.2465321000, 0.2917096000, 0.3766607000, 0.5332474000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1508708000, 0.1597921000, 0.1808156000, 0.2332620000, 0.3611033000, 0.6716003000, 1.4267170000", \
+                        "0.1506659000, 0.1595097000, 0.1815766000, 0.2337034000, 0.3611288000, 0.6712646000, 1.4398693000", \
+                        "0.1512074000, 0.1602650000, 0.1815984000, 0.2334239000, 0.3610691000, 0.6716039000, 1.4266620000", \
+                        "0.1515202000, 0.1600124000, 0.1813181000, 0.2342364000, 0.3613007000, 0.6717157000, 1.4268736000", \
+                        "0.1526616000, 0.1614800000, 0.1818437000, 0.2342612000, 0.3610091000, 0.6717903000, 1.4315611000", \
+                        "0.1716144000, 0.1799262000, 0.1997020000, 0.2479943000, 0.3694374000, 0.6741422000, 1.4273401000", \
+                        "0.2187338000, 0.2274530000, 0.2480916000, 0.3001059000, 0.4239132000, 0.7153298000, 1.4421089000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0405000000, 0.0420575000, 0.0458598000, 0.0548314000, 0.0753532000, 0.1217469000, 0.2262497000", \
+                        "0.0449303000, 0.0465725000, 0.0503719000, 0.0593207000, 0.0797776000, 0.1256937000, 0.2299401000", \
+                        "0.0542539000, 0.0557999000, 0.0594117000, 0.0680994000, 0.0883877000, 0.1342769000, 0.2385359000", \
+                        "0.0728919000, 0.0746480000, 0.0788332000, 0.0880391000, 0.1080755000, 0.1531723000, 0.2572513000", \
+                        "0.1019200000, 0.1040840000, 0.1092008000, 0.1209501000, 0.1455240000, 0.1957236000, 0.3008409000", \
+                        "0.1359729000, 0.1391698000, 0.1470820000, 0.1631693000, 0.1981414000, 0.2665399000, 0.3913713000", \
+                        "0.1519715000, 0.1567375000, 0.1679287000, 0.1924280000, 0.2458690000, 0.3476654000, 0.5282499000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2878613000, 0.2947414000, 0.3112910000, 0.3508005000, 0.4477843000, 0.6812966000, 1.2480867000", \
+                        "0.2905748000, 0.2976880000, 0.3146083000, 0.3547770000, 0.4517767000, 0.6854425000, 1.2526180000", \
+                        "0.3011534000, 0.3079187000, 0.3254424000, 0.3659690000, 0.4634438000, 0.6977926000, 1.2654783000", \
+                        "0.3273903000, 0.3345845000, 0.3516507000, 0.3921476000, 0.4897137000, 0.7249425000, 1.2942378000", \
+                        "0.3834274000, 0.3908038000, 0.4071529000, 0.4478085000, 0.5450220000, 0.7798123000, 1.3494686000", \
+                        "0.4892964000, 0.4966734000, 0.5145891000, 0.5571964000, 0.6547243000, 0.8890880000, 1.4579685000", \
+                        "0.6661491000, 0.6744327000, 0.6950243000, 0.7445721000, 0.8564838000, 1.1096198000, 1.6831935000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0471845000, 0.0492456000, 0.0541498000, 0.0658212000, 0.0930451000, 0.1548477000, 0.3000765000", \
+                        "0.0465059000, 0.0485105000, 0.0534301000, 0.0651971000, 0.0922532000, 0.1544742000, 0.2999120000", \
+                        "0.0464123000, 0.0482705000, 0.0530192000, 0.0643399000, 0.0914166000, 0.1539336000, 0.2994428000", \
+                        "0.0534027000, 0.0551640000, 0.0591529000, 0.0690326000, 0.0935084000, 0.1535018000, 0.2990981000", \
+                        "0.0726287000, 0.0743250000, 0.0787967000, 0.0889706000, 0.1117746000, 0.1652872000, 0.3016546000", \
+                        "0.1116540000, 0.1137762000, 0.1194919000, 0.1312315000, 0.1572027000, 0.2148929000, 0.3385614000", \
+                        "0.1828975000, 0.1858125000, 0.1929121000, 0.2092859000, 0.2435306000, 0.3140454000, 0.4543378000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1972737000, 0.2066901000, 0.2275939000, 0.2811552000, 0.4089064000, 0.7213269000, 1.4796754000", \
+                        "0.1981734000, 0.2071144000, 0.2279522000, 0.2803881000, 0.4091268000, 0.7212038000, 1.4788198000", \
+                        "0.1976336000, 0.2069356000, 0.2289198000, 0.2803909000, 0.4091096000, 0.7211629000, 1.4793199000", \
+                        "0.1982738000, 0.2072247000, 0.2279003000, 0.2818620000, 0.4091894000, 0.7236458000, 1.4809260000", \
+                        "0.1986605000, 0.2073942000, 0.2291516000, 0.2805238000, 0.4104062000, 0.7212222000, 1.4843994000", \
+                        "0.2129944000, 0.2212571000, 0.2415320000, 0.2915272000, 0.4158711000, 0.7219157000, 1.4820536000", \
+                        "0.2574702000, 0.2667840000, 0.2891973000, 0.3402587000, 0.4665422000, 0.7595592000, 1.4964138000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0232670000, 0.0243025000, 0.0266350000, 0.0322352000, 0.0449255000, 0.0733494000, 0.1381523000", \
+                        "0.0283898000, 0.0293405000, 0.0316671000, 0.0371043000, 0.0496123000, 0.0779726000, 0.1427368000", \
+                        "0.0405032000, 0.0415034000, 0.0438087000, 0.0489537000, 0.0609012000, 0.0888826000, 0.1535724000", \
+                        "0.0589413000, 0.0603612000, 0.0636477000, 0.0706609000, 0.0856236000, 0.1143309000, 0.1784337000", \
+                        "0.0806831000, 0.0827108000, 0.0874930000, 0.0981776000, 0.1204393000, 0.1627615000, 0.2373349000", \
+                        "0.0955709000, 0.0986887000, 0.1060581000, 0.1230955000, 0.1575317000, 0.2225474000, 0.3363409000", \
+                        "0.0789200000, 0.0837265000, 0.0950041000, 0.1206165000, 0.1731621000, 0.2744872000, 0.4519131000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2667752000, 0.2738141000, 0.2906936000, 0.3311000000, 0.4282533000, 0.6625288000, 1.2301800000", \
+                        "0.2682914000, 0.2753814000, 0.2924381000, 0.3332392000, 0.4312493000, 0.6659610000, 1.2338021000", \
+                        "0.2765708000, 0.2836507000, 0.3006388000, 0.3412621000, 0.4399291000, 0.6757598000, 1.2447140000", \
+                        "0.3003460000, 0.3075450000, 0.3245714000, 0.3646288000, 0.4631864000, 0.6993614000, 1.2694898000", \
+                        "0.3549939000, 0.3615327000, 0.3783913000, 0.4190482000, 0.5168930000, 0.7521273000, 1.3223395000", \
+                        "0.4627497000, 0.4705041000, 0.4891456000, 0.5332484000, 0.6327103000, 0.8673931000, 1.4367217000", \
+                        "0.6489581000, 0.6584707000, 0.6817360000, 0.7367960000, 0.8570201000, 1.1208520000, 1.6973067000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0329807000, 0.0340119000, 0.0365923000, 0.0429887000, 0.0582021000, 0.0948677000, 0.1833936000", \
+                        "0.0322481000, 0.0332538000, 0.0357524000, 0.0421622000, 0.0577219000, 0.0945554000, 0.1832448000", \
+                        "0.0353979000, 0.0362211000, 0.0382993000, 0.0437550000, 0.0576510000, 0.0939929000, 0.1830313000", \
+                        "0.0493811000, 0.0502387000, 0.0522775000, 0.0574417000, 0.0684667000, 0.0991059000, 0.1826755000", \
+                        "0.0763466000, 0.0775570000, 0.0805006000, 0.0868476000, 0.1016157000, 0.1319510000, 0.2017943000", \
+                        "0.1253554000, 0.1271295000, 0.1312685000, 0.1406856000, 0.1610254000, 0.2027025000, 0.2817965000", \
+                        "0.2124326000, 0.2151707000, 0.2217000000, 0.2357070000, 0.2675837000, 0.3285233000, 0.4412154000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1971377000, 0.2066019000, 0.2274341000, 0.2815671000, 0.4091720000, 0.7236763000, 1.4843411000", \
+                        "0.1975099000, 0.2060431000, 0.2284339000, 0.2804759000, 0.4089257000, 0.7211686000, 1.4794209000", \
+                        "0.1972916000, 0.2067462000, 0.2275980000, 0.2814789000, 0.4090635000, 0.7213468000, 1.4797065000", \
+                        "0.1983215000, 0.2072287000, 0.2278241000, 0.2810769000, 0.4089680000, 0.7213614000, 1.4799418000", \
+                        "0.1988496000, 0.2079437000, 0.2296270000, 0.2821194000, 0.4089692000, 0.7212198000, 1.4793403000", \
+                        "0.2260729000, 0.2344829000, 0.2533418000, 0.3018118000, 0.4227037000, 0.7234812000, 1.4816286000", \
+                        "0.2962616000, 0.3052713000, 0.3268635000, 0.3777011000, 0.4974418000, 0.7796483000, 1.5000274000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0220852000, 0.0229603000, 0.0249152000, 0.0295701000, 0.0401644000, 0.0646455000, 0.1227497000", \
+                        "0.0268757000, 0.0277287000, 0.0296794000, 0.0341841000, 0.0447651000, 0.0692749000, 0.1273291000", \
+                        "0.0376513000, 0.0385949000, 0.0407761000, 0.0452970000, 0.0556801000, 0.0801295000, 0.1382348000", \
+                        "0.0520796000, 0.0534712000, 0.0567037000, 0.0638392000, 0.0785802000, 0.1053140000, 0.1633206000", \
+                        "0.0658946000, 0.0685094000, 0.0733797000, 0.0844150000, 0.1069312000, 0.1485652000, 0.2213156000", \
+                        "0.0682692000, 0.0716558000, 0.0795310000, 0.0966298000, 0.1325048000, 0.1977530000, 0.3109825000", \
+                        "0.0273170000, 0.0325441000, 0.0447534000, 0.0720278000, 0.1289483000, 0.2317970000, 0.4095903000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2219286000, 0.2290668000, 0.2460704000, 0.2867501000, 0.3843346000, 0.6185715000, 1.1875927000", \
+                        "0.2226666000, 0.2298478000, 0.2469787000, 0.2883983000, 0.3865844000, 0.6218995000, 1.1913367000", \
+                        "0.2300187000, 0.2374273000, 0.2546996000, 0.2956104000, 0.3941402000, 0.6302543000, 1.1997092000", \
+                        "0.2535664000, 0.2607474000, 0.2778995000, 0.3183240000, 0.4165167000, 0.6527250000, 1.2232957000", \
+                        "0.3096253000, 0.3165056000, 0.3335860000, 0.3736652000, 0.4713296000, 0.7066211000, 1.2767906000", \
+                        "0.4248784000, 0.4332623000, 0.4526021000, 0.4996460000, 0.6023835000, 0.8373432000, 1.4065799000", \
+                        "0.6288982000, 0.6403791000, 0.6673723000, 0.7282684000, 0.8605415000, 1.1333692000, 1.7141745000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0235072000, 0.0244522000, 0.0267772000, 0.0324765000, 0.0461254000, 0.0788893000, 0.1587961000", \
+                        "0.0230648000, 0.0239901000, 0.0263055000, 0.0321228000, 0.0459675000, 0.0788547000, 0.1587321000", \
+                        "0.0267489000, 0.0275068000, 0.0295301000, 0.0344719000, 0.0468945000, 0.0787328000, 0.1588029000", \
+                        "0.0409663000, 0.0418514000, 0.0439628000, 0.0488515000, 0.0595327000, 0.0859656000, 0.1597907000", \
+                        "0.0672613000, 0.0681978000, 0.0711002000, 0.0777111000, 0.0918629000, 0.1210741000, 0.1823092000", \
+                        "0.1139782000, 0.1156944000, 0.1199971000, 0.1301762000, 0.1506145000, 0.1915803000, 0.2661135000", \
+                        "0.2005359000, 0.2033587000, 0.2099698000, 0.2247250000, 0.2553599000, 0.3165200000, 0.4233003000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1973544000, 0.2066313000, 0.2274880000, 0.2802686000, 0.4090384000, 0.7213601000, 1.4808271000", \
+                        "0.1976940000, 0.2068784000, 0.2275930000, 0.2804606000, 0.4104909000, 0.7236591000, 1.4814060000", \
+                        "0.1977378000, 0.2068543000, 0.2282967000, 0.2801768000, 0.4091568000, 0.7211325000, 1.4818939000", \
+                        "0.1975237000, 0.2067706000, 0.2275449000, 0.2805006000, 0.4089464000, 0.7217061000, 1.4789646000", \
+                        "0.2014467000, 0.2097801000, 0.2302731000, 0.2822721000, 0.4091510000, 0.7214947000, 1.4791811000", \
+                        "0.2393933000, 0.2466926000, 0.2654157000, 0.3115244000, 0.4282701000, 0.7277478000, 1.4790151000", \
+                        "0.3310665000, 0.3402099000, 0.3616438000, 0.4143988000, 0.5365574000, 0.7995751000, 1.4965519000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0161569000, 0.0168754000, 0.0185615000, 0.0225092000, 0.0317548000, 0.0535000000, 0.1060772000", \
+                        "0.0208282000, 0.0215484000, 0.0232680000, 0.0271944000, 0.0364622000, 0.0583377000, 0.1109989000", \
+                        "0.0287182000, 0.0298258000, 0.0323080000, 0.0376217000, 0.0475032000, 0.0694245000, 0.1220420000", \
+                        "0.0374615000, 0.0391427000, 0.0429541000, 0.0511946000, 0.0670931000, 0.0950021000, 0.1474669000", \
+                        "0.0425844000, 0.0452832000, 0.0514007000, 0.0643833000, 0.0901437000, 0.1331765000, 0.2054551000", \
+                        "0.0311951000, 0.0354964000, 0.0455826000, 0.0658835000, 0.1062681000, 0.1761996000, 0.2884404000", \
+                        "-0.031164200, -0.024276700, -0.008511000, 0.0246778000, 0.0891399000, 0.2004354000, 0.3805528000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1418014000, 0.1488970000, 0.1666060000, 0.2081663000, 0.3070887000, 0.5426214000, 1.1112122000", \
+                        "0.1422476000, 0.1495284000, 0.1664453000, 0.2089141000, 0.3080244000, 0.5446540000, 1.1139785000", \
+                        "0.1499350000, 0.1567758000, 0.1742202000, 0.2148991000, 0.3141047000, 0.5513657000, 1.1222427000", \
+                        "0.1739214000, 0.1806494000, 0.1965225000, 0.2370693000, 0.3352521000, 0.5719791000, 1.1437113000", \
+                        "0.2394765000, 0.2452138000, 0.2599680000, 0.2974057000, 0.3936158000, 0.6287223000, 1.1991291000", \
+                        "0.3730779000, 0.3813429000, 0.4003889000, 0.4440176000, 0.5407672000, 0.7692256000, 1.3351927000", \
+                        "0.5882214000, 0.6000276000, 0.6282198000, 0.6927974000, 0.8300472000, 1.1012853000, 1.6584791000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0124167000, 0.0133210000, 0.0156505000, 0.0212962000, 0.0346389000, 0.0648213000, 0.1362390000", \
+                        "0.0130989000, 0.0139303000, 0.0160849000, 0.0213875000, 0.0346828000, 0.0648147000, 0.1363119000", \
+                        "0.0202272000, 0.0209297000, 0.0226240000, 0.0262580000, 0.0372059000, 0.0651689000, 0.1362677000", \
+                        "0.0355992000, 0.0364339000, 0.0382776000, 0.0427766000, 0.0529490000, 0.0748193000, 0.1380495000", \
+                        "0.0630573000, 0.0640997000, 0.0666878000, 0.0726953000, 0.0855752000, 0.1125876000, 0.1644155000", \
+                        "0.1125305000, 0.1139477000, 0.1170297000, 0.1258133000, 0.1442521000, 0.1814121000, 0.2527015000", \
+                        "0.2038305000, 0.2059747000, 0.2109711000, 0.2237230000, 0.2505027000, 0.3069522000, 0.4068186000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1919651000, 0.2016277000, 0.2244716000, 0.2791912000, 0.4096578000, 0.7233397000, 1.4844077000", \
+                        "0.1910709000, 0.2002506000, 0.2231019000, 0.2776904000, 0.4090987000, 0.7207641000, 1.4816465000", \
+                        "0.1888859000, 0.1987328000, 0.2215779000, 0.2768189000, 0.4086638000, 0.7211313000, 1.4800223000", \
+                        "0.1833146000, 0.1924057000, 0.2161689000, 0.2741539000, 0.4066425000, 0.7217537000, 1.4788292000", \
+                        "0.1906062000, 0.1993470000, 0.2192240000, 0.2719825000, 0.4005863000, 0.7208312000, 1.4794048000", \
+                        "0.2387513000, 0.2487646000, 0.2724144000, 0.3177764000, 0.4288639000, 0.7217739000, 1.4794257000", \
+                        "0.3233296000, 0.3346813000, 0.3616530000, 0.4236127000, 0.5560842000, 0.8235763000, 1.4995479000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211o_1 */
+
+/* removed sky130_fd_sc_hd__a211o_2 */
+
+    cell ("sky130_fd_sc_hd__a211o_4") {
+        leakage_power () {
+            value : 0.0033710000;
+            when : "!A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0098061000;
+            when : "!A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "!A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "!A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0033711000;
+            when : "!A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0107815000;
+            when : "!A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "!A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "!A1&A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0033720000;
+            when : "A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0104498000;
+            when : "A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0022448000;
+            when : "A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0044171000;
+            when : "A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021068000;
+            when : "A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0022659000;
+            when : "A1&A2&B1&!C1";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__a211o";
+        cell_leakage_power : 0.0043549920;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041770000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0087844000, 0.0087905000, 0.0088045000, 0.0088028000, 0.0087988000, 0.0087896000, 0.0087685000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006940900, -0.006947100, -0.006961200, -0.006948800, -0.006920300, -0.006854500, -0.006703000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045470000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047860000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082288000, 0.0082254000, 0.0082177000, 0.0082178000, 0.0082181000, 0.0082188000, 0.0082204000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008218100, -0.008216500, -0.008212700, -0.008214200, -0.008217700, -0.008225700, -0.008244200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050090000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045050000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0077562000, 0.0077565000, 0.0077572000, 0.0077598000, 0.0077660000, 0.0077802000, 0.0078129000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006955100, -0.007100300, -0.007435000, -0.007444000, -0.007464600, -0.007512200, -0.007621800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0052080000;
+        }
+        pin ("C1") {
+            capacitance : 0.0044290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051831000, 0.0051775000, 0.0051647000, 0.0051795000, 0.0052138000, 0.0052927000, 0.0054745000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003443200, -0.003448300, -0.003460100, -0.003463300, -0.003470500, -0.003487100, -0.003525300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047710000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0322366000, 0.0302823000, 0.0248279000, 0.0094306000, -0.044650200, -0.234971500, -0.859179000", \
+                        "0.0319452000, 0.0299994000, 0.0245276000, 0.0091660000, -0.044943400, -0.235196200, -0.859442000", \
+                        "0.0315587000, 0.0295940000, 0.0239852000, 0.0086813000, -0.045427100, -0.235671300, -0.859908600", \
+                        "0.0311294000, 0.0292645000, 0.0237727000, 0.0082858000, -0.045830700, -0.236155700, -0.860359000", \
+                        "0.0307593000, 0.0288234000, 0.0232542000, 0.0078733000, -0.046392500, -0.236565900, -0.860871800", \
+                        "0.0309979000, 0.0289888000, 0.0233394000, 0.0077548000, -0.046513300, -0.236773700, -0.860912000", \
+                        "0.0412584000, 0.0391754000, 0.0327867000, 0.0137215000, -0.046081700, -0.236869900, -0.860900800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0358321000, 0.0378453000, 0.0442643000, 0.0643075000, 0.1255932000, 0.3187886000, 0.9371956000", \
+                        "0.0357061000, 0.0376208000, 0.0440536000, 0.0640619000, 0.1254495000, 0.3180666000, 0.9355197000", \
+                        "0.0354528000, 0.0374393000, 0.0438628000, 0.0638494000, 0.1251442000, 0.3179808000, 0.9362197000", \
+                        "0.0353372000, 0.0373293000, 0.0436037000, 0.0634959000, 0.1245722000, 0.3174247000, 0.9382001000", \
+                        "0.0355908000, 0.0375132000, 0.0438121000, 0.0632414000, 0.1236452000, 0.3173482000, 0.9357538000", \
+                        "0.0372837000, 0.0390865000, 0.0449146000, 0.0636648000, 0.1235029000, 0.3155146000, 0.9375613000", \
+                        "0.0402401000, 0.0419649000, 0.0476608000, 0.0664073000, 0.1247814000, 0.3172446000, 0.9333955000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0388081000, 0.0368478000, 0.0312879000, 0.0161034000, -0.038226000, -0.228689200, -0.852861800", \
+                        "0.0388851000, 0.0369405000, 0.0313777000, 0.0159348000, -0.038461100, -0.228928900, -0.853078500", \
+                        "0.0383306000, 0.0363692000, 0.0308024000, 0.0155273000, -0.038716000, -0.229167500, -0.853331100", \
+                        "0.0379444000, 0.0360708000, 0.0305727000, 0.0151858000, -0.039098500, -0.229377900, -0.853531500", \
+                        "0.0377875000, 0.0359094000, 0.0303897000, 0.0149368000, -0.039406400, -0.229906900, -0.853854800", \
+                        "0.0379917000, 0.0359354000, 0.0302192000, 0.0149090000, -0.039456600, -0.229981000, -0.853991400", \
+                        "0.0487253000, 0.0466167000, 0.0402500000, 0.0211483000, -0.039033300, -0.230110400, -0.854027500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0371342000, 0.0391607000, 0.0454953000, 0.0655306000, 0.1268459000, 0.3195929000, 0.9371482000", \
+                        "0.0369710000, 0.0389137000, 0.0452903000, 0.0653077000, 0.1265614000, 0.3195008000, 0.9380592000", \
+                        "0.0366122000, 0.0385979000, 0.0450162000, 0.0650067000, 0.1262577000, 0.3190832000, 0.9372443000", \
+                        "0.0366085000, 0.0385489000, 0.0449533000, 0.0648352000, 0.1258742000, 0.3188115000, 0.9371064000", \
+                        "0.0363940000, 0.0383395000, 0.0445965000, 0.0639752000, 0.1252599000, 0.3184400000, 0.9358991000", \
+                        "0.0378470000, 0.0396619000, 0.0455784000, 0.0645139000, 0.1244030000, 0.3170427000, 0.9361182000", \
+                        "0.0406575000, 0.0423947000, 0.0480541000, 0.0667897000, 0.1269176000, 0.3188760000, 0.9337865000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0349991000, 0.0330485000, 0.0276174000, 0.0120792000, -0.042267600, -0.232769200, -0.856923300", \
+                        "0.0343230000, 0.0323731000, 0.0268161000, 0.0115481000, -0.042652000, -0.233088400, -0.857250100", \
+                        "0.0341569000, 0.0320580000, 0.0264899000, 0.0111268000, -0.043202400, -0.233605800, -0.857673400", \
+                        "0.0335394000, 0.0316242000, 0.0259706000, 0.0106103000, -0.043722200, -0.233975800, -0.858125300", \
+                        "0.0331254000, 0.0312136000, 0.0256728000, 0.0102231000, -0.044139600, -0.234454100, -0.858492100", \
+                        "0.0336789000, 0.0315251000, 0.0257275000, 0.0100326000, -0.044434100, -0.234676700, -0.858709800", \
+                        "0.0455546000, 0.0433790000, 0.0368587000, 0.0174462000, -0.043330400, -0.234337100, -0.858160300");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0323189000, 0.0342946000, 0.0405127000, 0.0597846000, 0.1197641000, 0.3115664000, 0.9287061000", \
+                        "0.0323618000, 0.0343690000, 0.0405841000, 0.0598435000, 0.1198887000, 0.3112516000, 0.9297896000", \
+                        "0.0325377000, 0.0345110000, 0.0407503000, 0.0599526000, 0.1200496000, 0.3115101000, 0.9300385000", \
+                        "0.0322009000, 0.0341291000, 0.0402289000, 0.0593853000, 0.1195764000, 0.3118091000, 0.9315314000", \
+                        "0.0323330000, 0.0341207000, 0.0399170000, 0.0589817000, 0.1188819000, 0.3107754000, 0.9295041000", \
+                        "0.0332604000, 0.0350398000, 0.0408480000, 0.0596606000, 0.1189334000, 0.3101296000, 0.9279700000", \
+                        "0.0363276000, 0.0379671000, 0.0436678000, 0.0619664000, 0.1220409000, 0.3137059000, 0.9283750000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0322925000, 0.0304839000, 0.0250289000, 0.0096642000, -0.044693000, -0.235137200, -0.859213300", \
+                        "0.0321046000, 0.0301830000, 0.0246468000, 0.0092171000, -0.045114200, -0.235483700, -0.859573500", \
+                        "0.0314025000, 0.0295666000, 0.0239825000, 0.0086047000, -0.045600900, -0.235943100, -0.860154200", \
+                        "0.0310072000, 0.0290426000, 0.0235712000, 0.0081655000, -0.046094100, -0.236508200, -0.860599100", \
+                        "0.0307371000, 0.0288258000, 0.0233036000, 0.0079065000, -0.046508900, -0.236944400, -0.860823800", \
+                        "0.0326261000, 0.0306511000, 0.0247789000, 0.0089381000, -0.045710200, -0.235805200, -0.859761700", \
+                        "0.0464652000, 0.0442177000, 0.0376299000, 0.0180290000, -0.042715500, -0.234164800, -0.858128000");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0257314000, 0.0277222000, 0.0341068000, 0.0535940000, 0.1139127000, 0.3059156000, 0.9201938000", \
+                        "0.0257258000, 0.0277341000, 0.0340505000, 0.0535849000, 0.1139353000, 0.3060225000, 0.9220799000", \
+                        "0.0255962000, 0.0275840000, 0.0338497000, 0.0533008000, 0.1136834000, 0.3075420000, 0.9204270000", \
+                        "0.0252476000, 0.0271591000, 0.0333280000, 0.0525872000, 0.1130867000, 0.3060837000, 0.9242634000", \
+                        "0.0254932000, 0.0273017000, 0.0330918000, 0.0521805000, 0.1122592000, 0.3050259000, 0.9238082000", \
+                        "0.0264709000, 0.0282508000, 0.0340303000, 0.0529474000, 0.1122653000, 0.3039954000, 0.9239495000", \
+                        "0.0295100000, 0.0310320000, 0.0365463000, 0.0549085000, 0.1150527000, 0.3068675000, 0.9211552000");
+                }
+            }
+            max_capacitance : 0.5593970000;
+            max_transition : 1.5038380000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2856875000, 0.2907152000, 0.3038002000, 0.3336763000, 0.3953710000, 0.5298653000, 0.8767365000", \
+                        "0.2897101000, 0.2946884000, 0.3077783000, 0.3376397000, 0.3994204000, 0.5339537000, 0.8809217000", \
+                        "0.3008832000, 0.3057412000, 0.3187812000, 0.3486222000, 0.4104058000, 0.5449607000, 0.8919538000", \
+                        "0.3276211000, 0.3325058000, 0.3455298000, 0.3752545000, 0.4370445000, 0.5715335000, 0.9184025000", \
+                        "0.3844702000, 0.3894142000, 0.4023747000, 0.4322060000, 0.4941514000, 0.6286189000, 0.9756872000", \
+                        "0.4989101000, 0.5040336000, 0.5174861000, 0.5479965000, 0.6104372000, 0.7455878000, 1.0928406000", \
+                        "0.7011541000, 0.7067448000, 0.7214533000, 0.7547786000, 0.8237358000, 0.9665440000, 1.3192777000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0870568000, 0.0909593000, 0.1015945000, 0.1287866000, 0.2019244000, 0.4245159000, 1.1333072000", \
+                        "0.0911613000, 0.0950457000, 0.1056855000, 0.1328349000, 0.2061697000, 0.4291782000, 1.1391758000", \
+                        "0.1014643000, 0.1053385000, 0.1159254000, 0.1429754000, 0.2162203000, 0.4393680000, 1.1498124000", \
+                        "0.1258936000, 0.1297045000, 0.1401067000, 0.1667886000, 0.2394457000, 0.4618272000, 1.1707414000", \
+                        "0.1675881000, 0.1715945000, 0.1822803000, 0.2091956000, 0.2820890000, 0.5046221000, 1.2133975000", \
+                        "0.2166980000, 0.2216004000, 0.2339987000, 0.2619284000, 0.3352502000, 0.5576050000, 1.2678381000", \
+                        "0.2512288000, 0.2576838000, 0.2737073000, 0.3085520000, 0.3832113000, 0.6056036000, 1.3137018000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0395493000, 0.0425731000, 0.0509027000, 0.0713082000, 0.1206289000, 0.2545721000, 0.6867869000", \
+                        "0.0396083000, 0.0426168000, 0.0509258000, 0.0713888000, 0.1205623000, 0.2545313000, 0.6859112000", \
+                        "0.0398908000, 0.0427884000, 0.0510599000, 0.0713834000, 0.1203893000, 0.2543065000, 0.6861525000", \
+                        "0.0398880000, 0.0429261000, 0.0512620000, 0.0707105000, 0.1204592000, 0.2544822000, 0.6868598000", \
+                        "0.0396150000, 0.0425976000, 0.0515378000, 0.0712927000, 0.1202607000, 0.2538110000, 0.6868153000", \
+                        "0.0425603000, 0.0454232000, 0.0535827000, 0.0737196000, 0.1226405000, 0.2554626000, 0.6854725000", \
+                        "0.0504605000, 0.0537204000, 0.0627603000, 0.0837482000, 0.1352099000, 0.2684315000, 0.6920695000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0221420000, 0.0253788000, 0.0350325000, 0.0644038000, 0.1599166000, 0.4763629000, 1.5006503000", \
+                        "0.0220745000, 0.0254608000, 0.0349854000, 0.0643152000, 0.1599054000, 0.4763859000, 1.5018790000", \
+                        "0.0219934000, 0.0253035000, 0.0348202000, 0.0641945000, 0.1599498000, 0.4771170000, 1.5007886000", \
+                        "0.0215959000, 0.0247730000, 0.0345702000, 0.0639018000, 0.1595833000, 0.4769025000, 1.5004827000", \
+                        "0.0244742000, 0.0276922000, 0.0368462000, 0.0652750000, 0.1600285000, 0.4757880000, 1.5009133000", \
+                        "0.0316981000, 0.0348820000, 0.0434008000, 0.0701915000, 0.1619774000, 0.4755160000, 1.4978976000", \
+                        "0.0439959000, 0.0479741000, 0.0582612000, 0.0830331000, 0.1675975000, 0.4780273000, 1.4994200000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2947404000, 0.2998014000, 0.3130372000, 0.3423936000, 0.4029793000, 0.5342904000, 0.8785426000", \
+                        "0.2995128000, 0.3045240000, 0.3178222000, 0.3473564000, 0.4078730000, 0.5391741000, 0.8834115000", \
+                        "0.3119515000, 0.3170078000, 0.3302422000, 0.3598966000, 0.4202167000, 0.5515271000, 0.8957877000", \
+                        "0.3400740000, 0.3451296000, 0.3584006000, 0.3879574000, 0.4487248000, 0.5794059000, 0.9239829000", \
+                        "0.3979464000, 0.4030038000, 0.4161824000, 0.4456933000, 0.5062855000, 0.6377213000, 0.9820627000", \
+                        "0.5136720000, 0.5189028000, 0.5325164000, 0.5624919000, 0.6238005000, 0.7553355000, 1.0994171000", \
+                        "0.7155839000, 0.7213721000, 0.7364562000, 0.7699874000, 0.8371058000, 0.9762655000, 1.3252539000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0919621000, 0.0958728000, 0.1065032000, 0.1336478000, 0.2068716000, 0.4290899000, 1.1368835000", \
+                        "0.0962468000, 0.1000905000, 0.1107211000, 0.1378956000, 0.2111282000, 0.4341549000, 1.1422930000", \
+                        "0.1055451000, 0.1094313000, 0.1200296000, 0.1471154000, 0.2203695000, 0.4434668000, 1.1538521000", \
+                        "0.1270807000, 0.1309170000, 0.1413932000, 0.1682033000, 0.2411985000, 0.4642995000, 1.1746963000", \
+                        "0.1663586000, 0.1704235000, 0.1813711000, 0.2087338000, 0.2812732000, 0.5037598000, 1.2114370000", \
+                        "0.2193578000, 0.2241095000, 0.2363330000, 0.2653826000, 0.3386695000, 0.5607617000, 1.2726694000", \
+                        "0.2660851000, 0.2721988000, 0.2877465000, 0.3218159000, 0.3981853000, 0.6208490000, 1.3280050000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0399153000, 0.0429451000, 0.0511477000, 0.0711413000, 0.1178846000, 0.2498336000, 0.6840482000", \
+                        "0.0399771000, 0.0428513000, 0.0509184000, 0.0709225000, 0.1171143000, 0.2496866000, 0.6836586000", \
+                        "0.0399164000, 0.0429465000, 0.0511406000, 0.0698012000, 0.1178867000, 0.2498139000, 0.6840331000", \
+                        "0.0402358000, 0.0432961000, 0.0509044000, 0.0707649000, 0.1171320000, 0.2495772000, 0.6822868000", \
+                        "0.0402614000, 0.0434810000, 0.0517350000, 0.0701564000, 0.1182133000, 0.2501686000, 0.6821884000", \
+                        "0.0424804000, 0.0454344000, 0.0532435000, 0.0721925000, 0.1191672000, 0.2503546000, 0.6847166000", \
+                        "0.0505379000, 0.0537991000, 0.0625121000, 0.0828114000, 0.1314729000, 0.2618848000, 0.6877049000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0220719000, 0.0253359000, 0.0350170000, 0.0643221000, 0.1601706000, 0.4768894000, 1.5016929000", \
+                        "0.0221197000, 0.0254232000, 0.0350479000, 0.0642975000, 0.1602991000, 0.4761465000, 1.4995321000", \
+                        "0.0220779000, 0.0253586000, 0.0349067000, 0.0642437000, 0.1601298000, 0.4771194000, 1.5007138000", \
+                        "0.0218905000, 0.0251249000, 0.0347010000, 0.0640408000, 0.1600192000, 0.4770311000, 1.4992503000", \
+                        "0.0240649000, 0.0272985000, 0.0365176000, 0.0653659000, 0.1598889000, 0.4767225000, 1.5018399000", \
+                        "0.0296268000, 0.0327797000, 0.0424360000, 0.0693618000, 0.1619229000, 0.4759914000, 1.5004781000", \
+                        "0.0406895000, 0.0445766000, 0.0545610000, 0.0810396000, 0.1661865000, 0.4784668000, 1.5000430000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2751185000, 0.2801948000, 0.2934522000, 0.3230257000, 0.3836559000, 0.5148733000, 0.8591881000", \
+                        "0.2780202000, 0.2830934000, 0.2963526000, 0.3260194000, 0.3863829000, 0.5177151000, 0.8620067000", \
+                        "0.2874797000, 0.2926251000, 0.3058590000, 0.3354859000, 0.3962107000, 0.5270501000, 0.8709657000", \
+                        "0.3118258000, 0.3168998000, 0.3301195000, 0.3596421000, 0.4204821000, 0.5513047000, 0.8959385000", \
+                        "0.3696403000, 0.3747238000, 0.3879025000, 0.4173840000, 0.4781049000, 0.6093613000, 0.9539698000", \
+                        "0.4976659000, 0.5028265000, 0.5167283000, 0.5473300000, 0.6087907000, 0.7403285000, 1.0845896000", \
+                        "0.7277179000, 0.7338088000, 0.7498294000, 0.7850099000, 0.8541070000, 0.9945134000, 1.3446726000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0641276000, 0.0673347000, 0.0762717000, 0.1001156000, 0.1695035000, 0.3894394000, 1.0988571000", \
+                        "0.0688170000, 0.0720353000, 0.0809709000, 0.1048315000, 0.1743784000, 0.3942491000, 1.1016815000", \
+                        "0.0797849000, 0.0830214000, 0.0919498000, 0.1157029000, 0.1853582000, 0.4053166000, 1.1126370000", \
+                        "0.1037092000, 0.1069615000, 0.1159059000, 0.1397282000, 0.2092109000, 0.4295811000, 1.1371222000", \
+                        "0.1370553000, 0.1409217000, 0.1509485000, 0.1759518000, 0.2458683000, 0.4665454000, 1.1737853000", \
+                        "0.1705527000, 0.1755878000, 0.1882528000, 0.2166583000, 0.2876653000, 0.5090986000, 1.2178673000", \
+                        "0.1813109000, 0.1880057000, 0.2048736000, 0.2412281000, 0.3174280000, 0.5380643000, 1.2436114000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0399733000, 0.0430311000, 0.0515516000, 0.0707541000, 0.1168975000, 0.2496567000, 0.6836965000", \
+                        "0.0399176000, 0.0429511000, 0.0511648000, 0.0698079000, 0.1178293000, 0.2495296000, 0.6838731000", \
+                        "0.0399188000, 0.0428882000, 0.0511631000, 0.0701808000, 0.1176901000, 0.2501305000, 0.6841683000", \
+                        "0.0402234000, 0.0428939000, 0.0510426000, 0.0698359000, 0.1174895000, 0.2496598000, 0.6823333000", \
+                        "0.0402486000, 0.0429500000, 0.0513386000, 0.0699309000, 0.1172787000, 0.2491601000, 0.6838548000", \
+                        "0.0439201000, 0.0470331000, 0.0549710000, 0.0739028000, 0.1199637000, 0.2519704000, 0.6843851000", \
+                        "0.0565186000, 0.0599273000, 0.0683941000, 0.0887207000, 0.1354372000, 0.2633751000, 0.6901671000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0178087000, 0.0206291000, 0.0295061000, 0.0585200000, 0.1556262000, 0.4741025000, 1.5011904000", \
+                        "0.0178121000, 0.0206827000, 0.0295447000, 0.0585504000, 0.1557912000, 0.4733520000, 1.4977766000", \
+                        "0.0178160000, 0.0205849000, 0.0295516000, 0.0584993000, 0.1556400000, 0.4735015000, 1.4974745000", \
+                        "0.0188272000, 0.0215192000, 0.0301720000, 0.0587197000, 0.1557986000, 0.4737341000, 1.4975263000", \
+                        "0.0238424000, 0.0263464000, 0.0344322000, 0.0612809000, 0.1559380000, 0.4734331000, 1.4968941000", \
+                        "0.0333320000, 0.0358511000, 0.0438703000, 0.0681013000, 0.1579896000, 0.4735695000, 1.4999765000", \
+                        "0.0466599000, 0.0505911000, 0.0605936000, 0.0844909000, 0.1650072000, 0.4759026000, 1.4929707000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2345037000, 0.2395532000, 0.2529028000, 0.2824408000, 0.3429973000, 0.4743609000, 0.8186568000", \
+                        "0.2368269000, 0.2419679000, 0.2552630000, 0.2848683000, 0.3455166000, 0.4770112000, 0.8209336000", \
+                        "0.2447472000, 0.2498002000, 0.2628241000, 0.2924909000, 0.3529225000, 0.4842410000, 0.8286449000", \
+                        "0.2693265000, 0.2743672000, 0.2876051000, 0.3171219000, 0.3777202000, 0.5092421000, 0.8532542000", \
+                        "0.3328171000, 0.3379156000, 0.3511336000, 0.3807395000, 0.4413456000, 0.5728198000, 0.9172853000", \
+                        "0.4810669000, 0.4863471000, 0.5000815000, 0.5302728000, 0.5911433000, 0.7209153000, 1.0649812000", \
+                        "0.7338798000, 0.7403906000, 0.7575583000, 0.7947115000, 0.8641746000, 1.0008790000, 1.3498923000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0616369000, 0.0649783000, 0.0742901000, 0.0987160000, 0.1685097000, 0.3881851000, 1.0960394000", \
+                        "0.0662534000, 0.0696063000, 0.0788887000, 0.1034282000, 0.1732460000, 0.3929230000, 1.1139482000", \
+                        "0.0773148000, 0.0806414000, 0.0898821000, 0.1143149000, 0.1843586000, 0.4045158000, 1.1132999000", \
+                        "0.0999440000, 0.1033689000, 0.1127314000, 0.1371624000, 0.2073858000, 0.4283673000, 1.1341664000", \
+                        "0.1301315000, 0.1342994000, 0.1447946000, 0.1705622000, 0.2411686000, 0.4624461000, 1.1686591000", \
+                        "0.1573492000, 0.1627031000, 0.1763241000, 0.2061366000, 0.2780827000, 0.4986991000, 1.2068481000", \
+                        "0.1570861000, 0.1640671000, 0.1822407000, 0.2209569000, 0.2993921000, 0.5195087000, 1.2267019000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0400509000, 0.0428737000, 0.0510754000, 0.0709754000, 0.1170794000, 0.2497207000, 0.6840254000", \
+                        "0.0399623000, 0.0430360000, 0.0511577000, 0.0700017000, 0.1172588000, 0.2500031000, 0.6844618000", \
+                        "0.0400599000, 0.0430569000, 0.0509788000, 0.0707152000, 0.1178490000, 0.2493851000, 0.6831913000", \
+                        "0.0399166000, 0.0429725000, 0.0508312000, 0.0707839000, 0.1169752000, 0.2502215000, 0.6842946000", \
+                        "0.0402251000, 0.0430459000, 0.0507812000, 0.0698500000, 0.1171400000, 0.2496105000, 0.6832820000", \
+                        "0.0448358000, 0.0478526000, 0.0564413000, 0.0733712000, 0.1187520000, 0.2518399000, 0.6847739000", \
+                        "0.0637558000, 0.0672903000, 0.0762557000, 0.0966580000, 0.1383432000, 0.2614313000, 0.6891412000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0182508000, 0.0210669000, 0.0300391000, 0.0588733000, 0.1553422000, 0.4737125000, 1.5009366000", \
+                        "0.0182249000, 0.0210988000, 0.0300378000, 0.0589251000, 0.1558124000, 0.4761699000, 1.5038376000", \
+                        "0.0182313000, 0.0210784000, 0.0300926000, 0.0588997000, 0.1557027000, 0.4761833000, 1.4992977000", \
+                        "0.0198243000, 0.0225180000, 0.0311881000, 0.0594178000, 0.1558606000, 0.4753937000, 1.5033070000", \
+                        "0.0253861000, 0.0279727000, 0.0360848000, 0.0625571000, 0.1564769000, 0.4749359000, 1.5019243000", \
+                        "0.0358669000, 0.0387199000, 0.0467705000, 0.0708261000, 0.1591203000, 0.4737829000, 1.4984619000", \
+                        "0.0502490000, 0.0545919000, 0.0652988000, 0.0899610000, 0.1682293000, 0.4751127000, 1.4962180000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211oi_1 */
+
+/* removed sky130_fd_sc_hd__a211oi_2 */
+
+/* removed sky130_fd_sc_hd__a211oi_4 */
+
+/* removed sky130_fd_sc_hd__a21bo_1 */
+
+/* removed sky130_fd_sc_hd__a21bo_2 */
+
+    cell ("sky130_fd_sc_hd__a21bo_4") {
+        leakage_power () {
+            value : 0.0083995000;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0093676000;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0090397000;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0075080000;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0029896000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21bo";
+        cell_leakage_power : 0.0068585080;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042300000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075869000, 0.0075855000, 0.0075821000, 0.0075834000, 0.0075866000, 0.0075938000, 0.0076105000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007591200, -0.007585700, -0.007573200, -0.007575600, -0.007581300, -0.007594300, -0.007624300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045930000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082730000, 0.0082754000, 0.0082810000, 0.0082783000, 0.0082720000, 0.0082576000, 0.0082244000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008297100, -0.008289300, -0.008271400, -0.008266600, -0.008255700, -0.008230500, -0.008172500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050270000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0023800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0150112000, 0.0148767000, 0.0145667000, 0.0147210000, 0.0150768000, 0.0158968000, 0.0177870000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045746000, 0.0044631000, 0.0042062000, 0.0043370000, 0.0046384000, 0.0053330000, 0.0069343000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025040000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (!B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0287793000, 0.0271694000, 0.0220928000, 0.0080520000, -0.040900100, -0.206192300, -0.730139000", \
+                        "0.0284898000, 0.0268578000, 0.0217968000, 0.0077329000, -0.041169300, -0.206484400, -0.730406200", \
+                        "0.0280752000, 0.0263928000, 0.0213284000, 0.0072575000, -0.041584400, -0.206885400, -0.730785400", \
+                        "0.0276595000, 0.0260156000, 0.0208948000, 0.0067593000, -0.042059400, -0.207346300, -0.731212700", \
+                        "0.0273730000, 0.0257394000, 0.0205815000, 0.0063134000, -0.042680000, -0.207770100, -0.731619000", \
+                        "0.0274773000, 0.0256214000, 0.0200771000, 0.0051556000, -0.043275500, -0.208016000, -0.731728900", \
+                        "0.0351097000, 0.0331327000, 0.0273658000, 0.0102528000, -0.042476700, -0.208205400, -0.731476800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0333402000, 0.0351655000, 0.0410453000, 0.0590654000, 0.1131127000, 0.2794436000, 0.7979701000", \
+                        "0.0330529000, 0.0349316000, 0.0408465000, 0.0588127000, 0.1128753000, 0.2792588000, 0.7974006000", \
+                        "0.0327559000, 0.0346593000, 0.0405588000, 0.0584922000, 0.1125829000, 0.2790510000, 0.7973509000", \
+                        "0.0326359000, 0.0345006000, 0.0403115000, 0.0580010000, 0.1119062000, 0.2782930000, 0.7969835000", \
+                        "0.0325387000, 0.0343185000, 0.0400873000, 0.0576573000, 0.1112481000, 0.2779141000, 0.7969836000", \
+                        "0.0339698000, 0.0357156000, 0.0412736000, 0.0584154000, 0.1112888000, 0.2773279000, 0.7969349000", \
+                        "0.0366732000, 0.0383493000, 0.0436714000, 0.0606443000, 0.1129905000, 0.2791802000, 0.7965250000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0339691000, 0.0323885000, 0.0273396000, 0.0131172000, -0.036043700, -0.201253800, -0.725046400", \
+                        "0.0338321000, 0.0321215000, 0.0270626000, 0.0129102000, -0.036236300, -0.201454300, -0.725246400", \
+                        "0.0334752000, 0.0318821000, 0.0268585000, 0.0126001000, -0.036453200, -0.201736100, -0.725527300", \
+                        "0.0331887000, 0.0315567000, 0.0264820000, 0.0123323000, -0.036788900, -0.202035600, -0.725830600", \
+                        "0.0329923000, 0.0313791000, 0.0263054000, 0.0119304000, -0.037213200, -0.202393600, -0.726119300", \
+                        "0.0322166000, 0.0303558000, 0.0250221000, 0.0108796000, -0.037733200, -0.202653200, -0.726238700", \
+                        "0.0405651000, 0.0386272000, 0.0328419000, 0.0157682000, -0.037011600, -0.202233500, -0.725604600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0340306000, 0.0358822000, 0.0418081000, 0.0596959000, 0.1137801000, 0.2801414000, 0.7984925000", \
+                        "0.0337596000, 0.0356374000, 0.0415791000, 0.0594662000, 0.1135741000, 0.2796059000, 0.7982059000", \
+                        "0.0334470000, 0.0353312000, 0.0412274000, 0.0591395000, 0.1132261000, 0.2793379000, 0.7978198000", \
+                        "0.0332315000, 0.0351187000, 0.0408322000, 0.0585830000, 0.1126540000, 0.2789831000, 0.7975082000", \
+                        "0.0330020000, 0.0348102000, 0.0406174000, 0.0581032000, 0.1119671000, 0.2784653000, 0.7973982000", \
+                        "0.0336277000, 0.0354219000, 0.0409976000, 0.0582639000, 0.1113727000, 0.2772210000, 0.7964655000", \
+                        "0.0357862000, 0.0374931000, 0.0428547000, 0.0596898000, 0.1132096000, 0.2791582000, 0.7961487000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0324016000, 0.0308023000, 0.0257737000, 0.0115719000, -0.037551200, -0.202780300, -0.726471400", \
+                        "0.0322602000, 0.0306923000, 0.0256258000, 0.0114791000, -0.037570200, -0.202772500, -0.726554600", \
+                        "0.0322285000, 0.0306345000, 0.0255468000, 0.0113821000, -0.037686400, -0.202883000, -0.726596400", \
+                        "0.0315412000, 0.0299254000, 0.0249078000, 0.0106471000, -0.038502000, -0.203658200, -0.727305700", \
+                        "0.0308948000, 0.0292294000, 0.0242289000, 0.0099391000, -0.039075400, -0.204335300, -0.727961200", \
+                        "0.0361274000, 0.0343958000, 0.0291395000, 0.0127474000, -0.039511700, -0.204840500, -0.728459500", \
+                        "0.0375030000, 0.0357779000, 0.0305623000, 0.0141698000, -0.038087400, -0.204487200, -0.728510300");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0296901000, 0.0315954000, 0.0374580000, 0.0551122000, 0.1085342000, 0.2741842000, 0.7926498000", \
+                        "0.0297196000, 0.0316132000, 0.0374604000, 0.0550639000, 0.1084750000, 0.2741530000, 0.7902036000", \
+                        "0.0297005000, 0.0315931000, 0.0374314000, 0.0550478000, 0.1084938000, 0.2740096000, 0.7934591000", \
+                        "0.0293089000, 0.0312025000, 0.0370426000, 0.0546486000, 0.1081160000, 0.2739454000, 0.7894757000", \
+                        "0.0287299000, 0.0306083000, 0.0364361000, 0.0540292000, 0.1074659000, 0.2731660000, 0.7893720000", \
+                        "0.0280174000, 0.0298118000, 0.0353718000, 0.0530533000, 0.1064970000, 0.2720843000, 0.7915000000", \
+                        "0.0287192000, 0.0304776000, 0.0360719000, 0.0533972000, 0.1070237000, 0.2732195000, 0.7892881000");
+                }
+            }
+            max_capacitance : 0.4751690000;
+            max_transition : 1.5028070000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1742197000, 0.1780640000, 0.1880849000, 0.2105681000, 0.2566490000, 0.3601659000, 0.6396088000", \
+                        "0.1788503000, 0.1827494000, 0.1927525000, 0.2152181000, 0.2615883000, 0.3648690000, 0.6444100000", \
+                        "0.1910125000, 0.1948932000, 0.2048582000, 0.2272935000, 0.2733362000, 0.3770075000, 0.6564735000", \
+                        "0.2180152000, 0.2219428000, 0.2319173000, 0.2542085000, 0.3003956000, 0.4040419000, 0.6836128000", \
+                        "0.2768746000, 0.2807476000, 0.2907441000, 0.3130421000, 0.3593770000, 0.4629205000, 0.7425008000", \
+                        "0.3892839000, 0.3936184000, 0.4047327000, 0.4292694000, 0.4788290000, 0.5853579000, 0.8656543000", \
+                        "0.5849097000, 0.5899720000, 0.6030840000, 0.6318827000, 0.6886934000, 0.8035936000, 1.0888854000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0812039000, 0.0850591000, 0.0954708000, 0.1222509000, 0.1959267000, 0.4189616000, 1.1148638000", \
+                        "0.0851859000, 0.0890557000, 0.0994616000, 0.1262328000, 0.1998155000, 0.4226112000, 1.1168256000", \
+                        "0.0952106000, 0.0991258000, 0.1095270000, 0.1362918000, 0.2100338000, 0.4332018000, 1.1263553000", \
+                        "0.1184577000, 0.1223091000, 0.1326109000, 0.1591327000, 0.2328353000, 0.4556872000, 1.1503005000", \
+                        "0.1542216000, 0.1582682000, 0.1690266000, 0.1961616000, 0.2696312000, 0.4929969000, 1.1881556000", \
+                        "0.1936795000, 0.1986486000, 0.2109398000, 0.2390957000, 0.3128864000, 0.5362993000, 1.2308347000", \
+                        "0.2144158000, 0.2209255000, 0.2368774000, 0.2709901000, 0.3457119000, 0.5685626000, 1.2621847000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0267205000, 0.0290676000, 0.0356235000, 0.0515748000, 0.0912549000, 0.2032114000, 0.5688400000", \
+                        "0.0266218000, 0.0290910000, 0.0356017000, 0.0512859000, 0.0910307000, 0.2034048000, 0.5685457000", \
+                        "0.0265148000, 0.0289401000, 0.0353127000, 0.0512335000, 0.0913416000, 0.2034733000, 0.5692317000", \
+                        "0.0265820000, 0.0290210000, 0.0354396000, 0.0511558000, 0.0912500000, 0.2031310000, 0.5689907000", \
+                        "0.0267277000, 0.0292150000, 0.0356133000, 0.0513482000, 0.0912542000, 0.2037851000, 0.5689327000", \
+                        "0.0323046000, 0.0345760000, 0.0415241000, 0.0584577000, 0.0971136000, 0.2075183000, 0.5697293000", \
+                        "0.0441596000, 0.0467431000, 0.0545901000, 0.0718980000, 0.1120691000, 0.2209788000, 0.5733417000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0209235000, 0.0244787000, 0.0346636000, 0.0657264000, 0.1665099000, 0.4874191000, 1.5000967000", \
+                        "0.0210245000, 0.0244326000, 0.0346178000, 0.0655984000, 0.1660945000, 0.4885085000, 1.4965013000", \
+                        "0.0209917000, 0.0244279000, 0.0345868000, 0.0657684000, 0.1664739000, 0.4883761000, 1.4995049000", \
+                        "0.0211358000, 0.0244984000, 0.0346708000, 0.0658532000, 0.1664428000, 0.4881575000, 1.4963880000", \
+                        "0.0238646000, 0.0272311000, 0.0370887000, 0.0677095000, 0.1669990000, 0.4881817000, 1.4973883000", \
+                        "0.0308081000, 0.0341815000, 0.0437360000, 0.0717744000, 0.1685215000, 0.4884014000, 1.4990746000", \
+                        "0.0431141000, 0.0469133000, 0.0572300000, 0.0839376000, 0.1727752000, 0.4896490000, 1.4983087000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1797980000, 0.1836751000, 0.1935369000, 0.2152691000, 0.2600786000, 0.3611437000, 0.6395937000", \
+                        "0.1849727000, 0.1888569000, 0.1987461000, 0.2205022000, 0.2653114000, 0.3663918000, 0.6448422000", \
+                        "0.1978486000, 0.2017258000, 0.2114740000, 0.2332370000, 0.2781423000, 0.3792207000, 0.6575198000", \
+                        "0.2257639000, 0.2296417000, 0.2394864000, 0.2610704000, 0.3058354000, 0.4070586000, 0.6856051000", \
+                        "0.2860129000, 0.2898971000, 0.2997135000, 0.3213620000, 0.3662129000, 0.4676187000, 0.7461193000", \
+                        "0.4026601000, 0.4069690000, 0.4178959000, 0.4417051000, 0.4894851000, 0.5932344000, 0.8721475000", \
+                        "0.5997753000, 0.6050404000, 0.6183561000, 0.6468679000, 0.7019378000, 0.8128681000, 1.0953696000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0860955000, 0.0899547000, 0.1003823000, 0.1270803000, 0.2005793000, 0.4234437000, 1.1160106000", \
+                        "0.0902399000, 0.0941002000, 0.1045153000, 0.1313040000, 0.2049885000, 0.4274504000, 1.1218353000", \
+                        "0.0993672000, 0.1032885000, 0.1136900000, 0.1404546000, 0.2141426000, 0.4371498000, 1.1309083000", \
+                        "0.1199406000, 0.1237990000, 0.1341179000, 0.1606593000, 0.2341188000, 0.4571609000, 1.1511158000", \
+                        "0.1552446000, 0.1593357000, 0.1702255000, 0.1974764000, 0.2710906000, 0.4944444000, 1.1901591000", \
+                        "0.1996959000, 0.2045362000, 0.2168433000, 0.2457953000, 0.3201915000, 0.5433026000, 1.2377342000", \
+                        "0.2328524000, 0.2391623000, 0.2548964000, 0.2886088000, 0.3656588000, 0.5890500000, 1.2818829000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0264639000, 0.0288509000, 0.0350456000, 0.0497332000, 0.0877827000, 0.2002396000, 0.5658528000", \
+                        "0.0263749000, 0.0286927000, 0.0347541000, 0.0497043000, 0.0877778000, 0.2002828000, 0.5659527000", \
+                        "0.0265453000, 0.0289328000, 0.0351228000, 0.0500041000, 0.0885391000, 0.2005314000, 0.5660239000", \
+                        "0.0262255000, 0.0285650000, 0.0346183000, 0.0501055000, 0.0886324000, 0.2005065000, 0.5667364000", \
+                        "0.0265447000, 0.0286830000, 0.0347922000, 0.0498333000, 0.0885925000, 0.2003341000, 0.5662483000", \
+                        "0.0319563000, 0.0344159000, 0.0405070000, 0.0563036000, 0.0935921000, 0.2035677000, 0.5683297000", \
+                        "0.0437548000, 0.0467956000, 0.0536869000, 0.0703166000, 0.1088737000, 0.2163804000, 0.5726232000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0209982000, 0.0244754000, 0.0346353000, 0.0658166000, 0.1659043000, 0.4889789000, 1.4998146000", \
+                        "0.0209773000, 0.0244497000, 0.0345894000, 0.0656563000, 0.1664779000, 0.4882972000, 1.4949160000", \
+                        "0.0210344000, 0.0244291000, 0.0345518000, 0.0657166000, 0.1664803000, 0.4879909000, 1.4977577000", \
+                        "0.0210953000, 0.0245372000, 0.0348379000, 0.0659311000, 0.1659517000, 0.4888517000, 1.4979752000", \
+                        "0.0234715000, 0.0269156000, 0.0369016000, 0.0674132000, 0.1670476000, 0.4874444000, 1.4988806000", \
+                        "0.0294439000, 0.0329116000, 0.0424406000, 0.0713575000, 0.1685258000, 0.4873001000, 1.4993654000", \
+                        "0.0400162000, 0.0441677000, 0.0550432000, 0.0825176000, 0.1726244000, 0.4898127000, 1.4936906000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1890289000, 0.1928648000, 0.2027495000, 0.2246165000, 0.2694354000, 0.3706662000, 0.6491117000", \
+                        "0.1935168000, 0.1974356000, 0.2073392000, 0.2291864000, 0.2737517000, 0.3749880000, 0.6535471000", \
+                        "0.2037843000, 0.2076755000, 0.2175529000, 0.2392710000, 0.2842107000, 0.3853059000, 0.6635714000", \
+                        "0.2236644000, 0.2275304000, 0.2374358000, 0.2591964000, 0.3041446000, 0.4053242000, 0.6839452000", \
+                        "0.2522212000, 0.2561030000, 0.2659503000, 0.2877348000, 0.3324453000, 0.4336784000, 0.7122307000", \
+                        "0.2842631000, 0.2881492000, 0.2980409000, 0.3198236000, 0.3646624000, 0.4658789000, 0.7446369000", \
+                        "0.3059309000, 0.3098112000, 0.3196793000, 0.3413907000, 0.3860739000, 0.4876290000, 0.7659757000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1418139000, 0.1453436000, 0.1549130000, 0.1801688000, 0.2524746000, 0.4737471000, 1.1724953000", \
+                        "0.1469704000, 0.1504676000, 0.1600721000, 0.1853471000, 0.2576038000, 0.4797613000, 1.1711611000", \
+                        "0.1596621000, 0.1631629000, 0.1727294000, 0.1979014000, 0.2702188000, 0.4916235000, 1.1847316000", \
+                        "0.1910541000, 0.1945636000, 0.2041682000, 0.2293195000, 0.3013612000, 0.5227239000, 1.2166573000", \
+                        "0.2587478000, 0.2622743000, 0.2719039000, 0.2970790000, 0.3691051000, 0.5909195000, 1.2907644000", \
+                        "0.3708572000, 0.3745136000, 0.3844050000, 0.4099484000, 0.4823748000, 0.7042895000, 1.3968923000", \
+                        "0.5488578000, 0.5529336000, 0.5636424000, 0.5900229000, 0.6628344000, 0.8847304000, 1.5768501000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0265096000, 0.0286590000, 0.0349137000, 0.0498453000, 0.0884511000, 0.2003135000, 0.5678873000", \
+                        "0.0261802000, 0.0285673000, 0.0346230000, 0.0499121000, 0.0886664000, 0.2005183000, 0.5667364000", \
+                        "0.0264940000, 0.0289281000, 0.0350364000, 0.0500665000, 0.0884474000, 0.2004743000, 0.5660328000", \
+                        "0.0265495000, 0.0287951000, 0.0350933000, 0.0499720000, 0.0877874000, 0.2004595000, 0.5672240000", \
+                        "0.0263916000, 0.0287207000, 0.0347942000, 0.0495707000, 0.0885794000, 0.2001880000, 0.5677520000", \
+                        "0.0264334000, 0.0288223000, 0.0348116000, 0.0502055000, 0.0883921000, 0.1997533000, 0.5681511000", \
+                        "0.0268616000, 0.0291927000, 0.0351966000, 0.0501015000, 0.0887583000, 0.2006360000, 0.5673585000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0194658000, 0.0226169000, 0.0322660000, 0.0633327000, 0.1643276000, 0.4868352000, 1.5026870000", \
+                        "0.0194885000, 0.0225686000, 0.0323452000, 0.0632799000, 0.1645645000, 0.4868954000, 1.4960473000", \
+                        "0.0195035000, 0.0226362000, 0.0323479000, 0.0633297000, 0.1643599000, 0.4864986000, 1.5028071000", \
+                        "0.0194972000, 0.0226186000, 0.0323408000, 0.0633526000, 0.1642046000, 0.4860489000, 1.4986129000", \
+                        "0.0198830000, 0.0229833000, 0.0326203000, 0.0634512000, 0.1641609000, 0.4871501000, 1.5012728000", \
+                        "0.0215170000, 0.0245371000, 0.0339653000, 0.0643597000, 0.1648631000, 0.4861204000, 1.5006558000", \
+                        "0.0252759000, 0.0281310000, 0.0371671000, 0.0661540000, 0.1657219000, 0.4864348000, 1.4941253000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21boi_0 */
+
+/* removed sky130_fd_sc_hd__a21boi_1 */
+
+/* removed sky130_fd_sc_hd__a21boi_2 */
+
+    cell ("sky130_fd_sc_hd__a21boi_4") {
+        leakage_power () {
+            value : 0.0127454000;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041562000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0146596000;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041568000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0138528000;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041568000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0134462000;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0008047000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 18.768000000;
+        cell_footprint : "sky130_fd_sc_hd__a21boi";
+        cell_leakage_power : 0.0084973050;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0085800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0151147000, 0.0150977000, 0.0150585000, 0.0150657000, 0.0150822000, 0.0151202000, 0.0152080000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015057900, -0.015057100, -0.015055100, -0.015058900, -0.015067700, -0.015087800, -0.015134400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089270000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087590000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162072000, 0.0162163000, 0.0162375000, 0.0162370000, 0.0162359000, 0.0162333000, 0.0162274000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016314700, -0.016287700, -0.016225500, -0.016226000, -0.016227100, -0.016229800, -0.016236000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0097110000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0024730000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0223864000, 0.0222207000, 0.0218388000, 0.0220458000, 0.0225232000, 0.0236236000, 0.0261601000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0076559000, 0.0075393000, 0.0072705000, 0.0074519000, 0.0078701000, 0.0088341000, 0.0110560000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0026060000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&B1_N) | (!A2&B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0179400000, 0.0165514000, 0.0126924000, 0.0021153000, -0.026998700, -0.107292700, -0.328600700", \
+                        "0.0173839000, 0.0160152000, 0.0121979000, 0.0016559000, -0.027356500, -0.107687000, -0.329087900", \
+                        "0.0166422000, 0.0152691000, 0.0114868000, 0.0010903000, -0.027860100, -0.108094200, -0.329416300", \
+                        "0.0157621000, 0.0144430000, 0.0107372000, 0.0004370000, -0.028415600, -0.108500900, -0.329641800", \
+                        "0.0155883000, 0.0142394000, 0.0104373000, 0.0001570000, -0.028857800, -0.108736600, -0.329979100", \
+                        "0.0175168000, 0.0161445000, 0.0123130000, 0.0011070000, -0.028157700, -0.108440400, -0.329641800", \
+                        "0.0218922000, 0.0203808000, 0.0163483000, 0.0051489000, -0.025144600, -0.106767200, -0.329298500");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0273046000, 0.0289106000, 0.0331072000, 0.0443030000, 0.0736493000, 0.1535120000, 0.3729338000", \
+                        "0.0264476000, 0.0279858000, 0.0322617000, 0.0435424000, 0.0732495000, 0.1534320000, 0.3724521000", \
+                        "0.0257749000, 0.0272622000, 0.0313671000, 0.0425115000, 0.0725294000, 0.1530507000, 0.3722575000", \
+                        "0.0253493000, 0.0267916000, 0.0307914000, 0.0418194000, 0.0714141000, 0.1531168000, 0.3719285000", \
+                        "0.0249085000, 0.0263533000, 0.0303152000, 0.0410525000, 0.0706078000, 0.1516758000, 0.3733080000", \
+                        "0.0248423000, 0.0262696000, 0.0301605000, 0.0410028000, 0.0702580000, 0.1507261000, 0.3701028000", \
+                        "0.0252941000, 0.0266002000, 0.0302000000, 0.0405826000, 0.0703921000, 0.1507848000, 0.3709306000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0190111000, 0.0175916000, 0.0137469000, 0.0031532000, -0.025982900, -0.106255400, -0.327576000", \
+                        "0.0184312000, 0.0170459000, 0.0132000000, 0.0026725000, -0.026437900, -0.106709900, -0.328037400", \
+                        "0.0176615000, 0.0162706000, 0.0124773000, 0.0020149000, -0.026958900, -0.107230100, -0.328454100", \
+                        "0.0167216000, 0.0153775000, 0.0117108000, 0.0013952000, -0.027453700, -0.107564100, -0.328757700", \
+                        "0.0168695000, 0.0154749000, 0.0115563000, 0.0008289000, -0.027996100, -0.107678300, -0.328763200", \
+                        "0.0171886000, 0.0157720000, 0.0118579000, 0.0012250000, -0.027946000, -0.108427900, -0.329073600", \
+                        "0.0204439000, 0.0190637000, 0.0149577000, 0.0039080000, -0.025951000, -0.106969600, -0.328960900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0392188000, 0.0406429000, 0.0445632000, 0.0552764000, 0.0846306000, 0.1643358000, 0.3830583000", \
+                        "0.0385065000, 0.0399870000, 0.0439164000, 0.0547324000, 0.0841576000, 0.1642415000, 0.3830464000", \
+                        "0.0377953000, 0.0392322000, 0.0432029000, 0.0540813000, 0.0836967000, 0.1636554000, 0.3827007000", \
+                        "0.0372947000, 0.0386963000, 0.0426544000, 0.0534635000, 0.0829231000, 0.1633219000, 0.3824333000", \
+                        "0.0368807000, 0.0383597000, 0.0422489000, 0.0529334000, 0.0823233000, 0.1626710000, 0.3818196000", \
+                        "0.0367419000, 0.0381453000, 0.0420459000, 0.0529545000, 0.0823381000, 0.1623125000, 0.3815902000", \
+                        "0.0369284000, 0.0382896000, 0.0420317000, 0.0524766000, 0.0825557000, 0.1630147000, 0.3822354000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0070916000, 0.0058901000, 0.0026881000, -0.006482700, -0.033946400, -0.113440100, -0.334701200", \
+                        "0.0069365000, 0.0058146000, 0.0027242000, -0.006456000, -0.033941500, -0.113475400, -0.334691700", \
+                        "0.0070842000, 0.0059010000, 0.0027158000, -0.006475600, -0.033891300, -0.113361000, -0.334583600", \
+                        "0.0064916000, 0.0052715000, 0.0021617000, -0.007121400, -0.034528700, -0.113888100, -0.335021200", \
+                        "0.0058405000, 0.0046114000, 0.0012343000, -0.008204900, -0.035554600, -0.114636900, -0.335620600", \
+                        "0.0064632000, 0.0050590000, 0.0012327000, -0.009056200, -0.036974500, -0.115571000, -0.336243800", \
+                        "0.0082022000, 0.0067200000, 0.0027048000, -0.008042500, -0.036873500, -0.116404300, -0.336706900");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0341045000, 0.0355705000, 0.0395931000, 0.0505152000, 0.0801172000, 0.1603017000, 0.3793491000", \
+                        "0.0341334000, 0.0356110000, 0.0396140000, 0.0505361000, 0.0801725000, 0.1602648000, 0.3790861000", \
+                        "0.0341295000, 0.0355994000, 0.0395971000, 0.0505257000, 0.0801092000, 0.1602200000, 0.3791903000", \
+                        "0.0334739000, 0.0349182000, 0.0389011000, 0.0498240000, 0.0793633000, 0.1595830000, 0.3786972000", \
+                        "0.0329132000, 0.0340619000, 0.0380061000, 0.0488583000, 0.0784789000, 0.1588001000, 0.3779502000", \
+                        "0.0328308000, 0.0342285000, 0.0376381000, 0.0483366000, 0.0777601000, 0.1580971000, 0.3773340000", \
+                        "0.0333336000, 0.0347374000, 0.0386818000, 0.0492310000, 0.0783362000, 0.1583211000, 0.3771580000");
+                }
+            }
+            max_capacitance : 0.2151590000;
+            max_transition : 1.4962450000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0238817000, 0.0254790000, 0.0296035000, 0.0400575000, 0.0662833000, 0.1340798000, 0.3167884000", \
+                        "0.0279458000, 0.0294956000, 0.0335855000, 0.0440253000, 0.0702183000, 0.1380050000, 0.3207188000", \
+                        "0.0383102000, 0.0399649000, 0.0440668000, 0.0539390000, 0.0799476000, 0.1478165000, 0.3304111000", \
+                        "0.0532786000, 0.0552931000, 0.0611849000, 0.0747607000, 0.1032636000, 0.1708134000, 0.3534842000", \
+                        "0.0677599000, 0.0709785000, 0.0796532000, 0.0996983000, 0.1428810000, 0.2254693000, 0.4078537000", \
+                        "0.0701560000, 0.0751625000, 0.0881232000, 0.1198765000, 0.1859028000, 0.3098934000, 0.5327292000", \
+                        "0.0312895000, 0.0390333000, 0.0596928000, 0.1067486000, 0.2085984000, 0.4017771000, 0.7398683000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0811141000, 0.0861230000, 0.0990114000, 0.1329480000, 0.2219588000, 0.4615499000, 1.1244781000", \
+                        "0.0848176000, 0.0897153000, 0.1027063000, 0.1366865000, 0.2263829000, 0.4674799000, 1.1256287000", \
+                        "0.0969788000, 0.1017650000, 0.1143904000, 0.1481545000, 0.2382929000, 0.4802758000, 1.1396184000", \
+                        "0.1252928000, 0.1299292000, 0.1423429000, 0.1754213000, 0.2653191000, 0.5103279000, 1.1693062000", \
+                        "0.1752889000, 0.1810885000, 0.1962617000, 0.2341585000, 0.3240063000, 0.5691193000, 1.2326145000", \
+                        "0.2591884000, 0.2679308000, 0.2890225000, 0.3409481000, 0.4536259000, 0.7031590000, 1.3643427000", \
+                        "0.3952025000, 0.4108039000, 0.4441162000, 0.5251620000, 0.6867892000, 1.0061698000, 1.6841920000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0253690000, 0.0269479000, 0.0311615000, 0.0429115000, 0.0755245000, 0.1662289000, 0.4188494000", \
+                        "0.0243668000, 0.0259334000, 0.0303019000, 0.0425242000, 0.0753109000, 0.1663667000, 0.4190571000", \
+                        "0.0275211000, 0.0287484000, 0.0322940000, 0.0429973000, 0.0748660000, 0.1661558000, 0.4185659000", \
+                        "0.0377769000, 0.0398383000, 0.0444560000, 0.0547618000, 0.0815948000, 0.1665225000, 0.4188269000", \
+                        "0.0578871000, 0.0599499000, 0.0663381000, 0.0815559000, 0.1134756000, 0.1872887000, 0.4193249000", \
+                        "0.0930508000, 0.0970399000, 0.1073559000, 0.1299204000, 0.1758854000, 0.2686066000, 0.4652557000", \
+                        "0.1554881000, 0.1618080000, 0.1779811000, 0.2144157000, 0.2849083000, 0.4136971000, 0.6570327000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0488291000, 0.0548699000, 0.0711473000, 0.1160331000, 0.2376175000, 0.5697740000, 1.4800881000", \
+                        "0.0489013000, 0.0548287000, 0.0713509000, 0.1157709000, 0.2376411000, 0.5703334000, 1.4784131000", \
+                        "0.0490675000, 0.0548439000, 0.0712859000, 0.1159155000, 0.2374366000, 0.5697366000, 1.4777536000", \
+                        "0.0501481000, 0.0561138000, 0.0720648000, 0.1162203000, 0.2374971000, 0.5733127000, 1.4790417000", \
+                        "0.0651333000, 0.0710445000, 0.0863103000, 0.1258202000, 0.2405900000, 0.5706358000, 1.4900124000", \
+                        "0.0982237000, 0.1046037000, 0.1226096000, 0.1660518000, 0.2739540000, 0.5777669000, 1.4818126000", \
+                        "0.1754289000, 0.1836767000, 0.2056811000, 0.2566263000, 0.3752195000, 0.6633920000, 1.4962455000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0295888000, 0.0311504000, 0.0352770000, 0.0456890000, 0.0718773000, 0.1397753000, 0.3221623000", \
+                        "0.0337294000, 0.0353096000, 0.0394107000, 0.0498323000, 0.0760530000, 0.1439188000, 0.3264378000", \
+                        "0.0427192000, 0.0443317000, 0.0484122000, 0.0586838000, 0.0848531000, 0.1528284000, 0.3357343000", \
+                        "0.0573435000, 0.0593337000, 0.0645852000, 0.0768526000, 0.1050676000, 0.1731617000, 0.3561144000", \
+                        "0.0754570000, 0.0783919000, 0.0857048000, 0.1030350000, 0.1407480000, 0.2190998000, 0.4038699000", \
+                        "0.0862276000, 0.0908366000, 0.1024084000, 0.1295690000, 0.1870858000, 0.2967673000, 0.5100952000", \
+                        "0.0624235000, 0.0692935000, 0.0877484000, 0.1307685000, 0.2212856000, 0.3933705000, 0.6938073000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1054145000, 0.1096106000, 0.1214591000, 0.1525865000, 0.2361999000, 0.4639159000, 1.0918493000", \
+                        "0.1097738000, 0.1140396000, 0.1255658000, 0.1572681000, 0.2413466000, 0.4695277000, 1.0935292000", \
+                        "0.1219303000, 0.1260915000, 0.1379634000, 0.1694633000, 0.2539843000, 0.4830152000, 1.1069742000", \
+                        "0.1493125000, 0.1538333000, 0.1654086000, 0.1968260000, 0.2815466000, 0.5104387000, 1.1350382000", \
+                        "0.2036337000, 0.2087274000, 0.2220837000, 0.2554855000, 0.3398557000, 0.5689532000, 1.1939170000", \
+                        "0.2979302000, 0.3047121000, 0.3221493000, 0.3661334000, 0.4683441000, 0.7034567000, 1.3292749000", \
+                        "0.4523747000, 0.4630311000, 0.4897764000, 0.5556535000, 0.6983525000, 0.9978796000, 1.6437388000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0251428000, 0.0266655000, 0.0309679000, 0.0427622000, 0.0753954000, 0.1661905000, 0.4182691000", \
+                        "0.0248176000, 0.0263905000, 0.0307123000, 0.0426286000, 0.0752355000, 0.1660935000, 0.4189382000", \
+                        "0.0261053000, 0.0274862000, 0.0314921000, 0.0428197000, 0.0749918000, 0.1660914000, 0.4182372000", \
+                        "0.0340799000, 0.0354981000, 0.0394395000, 0.0498761000, 0.0785367000, 0.1663884000, 0.4184356000", \
+                        "0.0515194000, 0.0533362000, 0.0580381000, 0.0703700000, 0.0995473000, 0.1782699000, 0.4193900000", \
+                        "0.0843545000, 0.0870453000, 0.0937844000, 0.1108383000, 0.1486705000, 0.2331617000, 0.4473037000", \
+                        "0.1448443000, 0.1489018000, 0.1598868000, 0.1855608000, 0.2415403000, 0.3500465000, 0.5764903000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0672715000, 0.0730043000, 0.0887345000, 0.1310951000, 0.2466677000, 0.5619774000, 1.4251855000", \
+                        "0.0673329000, 0.0730423000, 0.0887075000, 0.1313065000, 0.2467254000, 0.5608417000, 1.4265582000", \
+                        "0.0673420000, 0.0730662000, 0.0887504000, 0.1311598000, 0.2465849000, 0.5618168000, 1.4223146000", \
+                        "0.0677214000, 0.0733798000, 0.0889237000, 0.1312336000, 0.2465553000, 0.5609436000, 1.4227088000", \
+                        "0.0806480000, 0.0858447000, 0.0998303000, 0.1388296000, 0.2484700000, 0.5612510000, 1.4212885000", \
+                        "0.1132626000, 0.1194871000, 0.1356006000, 0.1773639000, 0.2806888000, 0.5698199000, 1.4257683000", \
+                        "0.1895308000, 0.1972419000, 0.2170841000, 0.2658895000, 0.3811835000, 0.6547575000, 1.4428120000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1098076000, 0.1120931000, 0.1173242000, 0.1293472000, 0.1534723000, 0.2030025000, 0.3216991000", \
+                        "0.1145612000, 0.1168307000, 0.1221505000, 0.1340299000, 0.1581827000, 0.2078218000, 0.3265197000", \
+                        "0.1268913000, 0.1291829000, 0.1345055000, 0.1463870000, 0.1703785000, 0.2201632000, 0.3388767000", \
+                        "0.1565518000, 0.1587095000, 0.1640267000, 0.1758910000, 0.2000894000, 0.2497649000, 0.3686033000", \
+                        "0.2238727000, 0.2260697000, 0.2319684000, 0.2439746000, 0.2685291000, 0.3186725000, 0.4377200000", \
+                        "0.3327764000, 0.3356538000, 0.3429247000, 0.3581601000, 0.3873080000, 0.4417023000, 0.5631183000", \
+                        "0.4975669000, 0.5012222000, 0.5102366000, 0.5288139000, 0.5662333000, 0.6311296000, 0.7575770000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1227587000, 0.1272081000, 0.1388125000, 0.1708478000, 0.2554687000, 0.4839167000, 1.1081261000", \
+                        "0.1272728000, 0.1316768000, 0.1433067000, 0.1752968000, 0.2600071000, 0.4885434000, 1.1121438000", \
+                        "0.1382430000, 0.1426486000, 0.1542662000, 0.1861169000, 0.2709461000, 0.4995752000, 1.1271158000", \
+                        "0.1626899000, 0.1668723000, 0.1785672000, 0.2101744000, 0.2951376000, 0.5240045000, 1.1481623000", \
+                        "0.2039219000, 0.2076751000, 0.2193135000, 0.2510372000, 0.3349771000, 0.5638474000, 1.1894175000", \
+                        "0.2563413000, 0.2606526000, 0.2714866000, 0.3028125000, 0.3871463000, 0.6158055000, 1.2402183000", \
+                        "0.3109474000, 0.3156089000, 0.3274500000, 0.3588873000, 0.4417121000, 0.6690754000, 1.2934693000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0358714000, 0.0365547000, 0.0386107000, 0.0451238000, 0.0639886000, 0.1163940000, 0.2678614000", \
+                        "0.0358513000, 0.0365019000, 0.0386409000, 0.0450492000, 0.0639435000, 0.1166546000, 0.2674008000", \
+                        "0.0358666000, 0.0365547000, 0.0386464000, 0.0451107000, 0.0639291000, 0.1165389000, 0.2675802000", \
+                        "0.0360173000, 0.0366383000, 0.0386762000, 0.0451534000, 0.0639818000, 0.1165105000, 0.2674500000", \
+                        "0.0398601000, 0.0404480000, 0.0422265000, 0.0479167000, 0.0655758000, 0.1172598000, 0.2678958000", \
+                        "0.0565756000, 0.0570135000, 0.0584352000, 0.0635369000, 0.0789186000, 0.1269077000, 0.2717415000", \
+                        "0.0816042000, 0.0820950000, 0.0837891000, 0.0896463000, 0.1053326000, 0.1477050000, 0.2820584000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0669014000, 0.0727075000, 0.0884288000, 0.1311922000, 0.2465967000, 0.5611394000, 1.4245812000", \
+                        "0.0668951000, 0.0727029000, 0.0884316000, 0.1311898000, 0.2466344000, 0.5611989000, 1.4221558000", \
+                        "0.0668983000, 0.0727075000, 0.0884303000, 0.1311174000, 0.2465479000, 0.5614118000, 1.4235002000", \
+                        "0.0668908000, 0.0726760000, 0.0883118000, 0.1310841000, 0.2469304000, 0.5610415000, 1.4207002000", \
+                        "0.0680678000, 0.0739535000, 0.0891616000, 0.1314690000, 0.2466198000, 0.5614177000, 1.4232320000", \
+                        "0.0716922000, 0.0770771000, 0.0925021000, 0.1332862000, 0.2483803000, 0.5613787000, 1.4223859000", \
+                        "0.0841176000, 0.0891081000, 0.1031710000, 0.1404703000, 0.2501944000, 0.5626596000, 1.4244410000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21o_1 */
+
+/* removed sky130_fd_sc_hd__a21o_2 */
+
+    cell ("sky130_fd_sc_hd__a21o_4") {
+        leakage_power () {
+            value : 0.0051242000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0078745000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0051242000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0088385000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0051242000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0085104000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0022388000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0042370000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__a21o";
+        cell_leakage_power : 0.0058839750;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0087483000, 0.0087497000, 0.0087528000, 0.0087509000, 0.0087465000, 0.0087363000, 0.0087127000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006951100, -0.006958200, -0.006974600, -0.006961500, -0.006931400, -0.006862100, -0.006702300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045840000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045580000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082731000, 0.0082607000, 0.0082321000, 0.0082300000, 0.0082250000, 0.0082134000, 0.0081868000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008241200, -0.008240200, -0.008237800, -0.008234200, -0.008225800, -0.008206400, -0.008161600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050290000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0041862000, 0.0041764000, 0.0041537000, 0.0041792000, 0.0042380000, 0.0043735000, 0.0046857000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003477800, -0.003489200, -0.003515600, -0.003515900, -0.003516400, -0.003517600, -0.003520500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047560000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0274778000, 0.0257842000, 0.0204869000, 0.0050696000, -0.051546200, -0.246660100, -0.882432800", \
+                        "0.0269723000, 0.0253111000, 0.0201012000, 0.0046633000, -0.051776900, -0.246946200, -0.882703100", \
+                        "0.0265437000, 0.0249533000, 0.0197571000, 0.0042438000, -0.052389000, -0.247404700, -0.883153000", \
+                        "0.0262383000, 0.0244738000, 0.0192471000, 0.0037275000, -0.052877300, -0.247824100, -0.883565200", \
+                        "0.0259339000, 0.0241452000, 0.0188981000, 0.0033086000, -0.053353700, -0.248451600, -0.883990600", \
+                        "0.0266870000, 0.0247809000, 0.0188823000, 0.0020311000, -0.053701900, -0.248686400, -0.884101900", \
+                        "0.0341319000, 0.0319583000, 0.0258450000, 0.0068038000, -0.053481300, -0.248732400, -0.883785000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0330636000, 0.0350609000, 0.0413218000, 0.0611292000, 0.1228294000, 0.3179810000, 0.9478509000", \
+                        "0.0327936000, 0.0347337000, 0.0410547000, 0.0609710000, 0.1226351000, 0.3178463000, 0.9473153000", \
+                        "0.0324691000, 0.0344188000, 0.0408446000, 0.0606755000, 0.1222320000, 0.3176016000, 0.9474776000", \
+                        "0.0322222000, 0.0341736000, 0.0404913000, 0.0601078000, 0.1216352000, 0.3174235000, 0.9503319000", \
+                        "0.0322422000, 0.0341090000, 0.0401928000, 0.0593635000, 0.1207750000, 0.3167311000, 0.9449057000", \
+                        "0.0337489000, 0.0355696000, 0.0414176000, 0.0604444000, 0.1206062000, 0.3157646000, 0.9467749000", \
+                        "0.0364213000, 0.0381387000, 0.0439368000, 0.0626222000, 0.1228693000, 0.3176702000, 0.9441429000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0334991000, 0.0317251000, 0.0263876000, 0.0109445000, -0.045676900, -0.240561000, -0.876117400", \
+                        "0.0333108000, 0.0315216000, 0.0262465000, 0.0107117000, -0.045843500, -0.240766000, -0.876348200", \
+                        "0.0330366000, 0.0313089000, 0.0259707000, 0.0104848000, -0.046152100, -0.241187500, -0.876547100", \
+                        "0.0327946000, 0.0310211000, 0.0256751000, 0.0101838000, -0.046375300, -0.241363600, -0.876892900", \
+                        "0.0325683000, 0.0308201000, 0.0254045000, 0.0097607000, -0.046868300, -0.241832300, -0.877248100", \
+                        "0.0319419000, 0.0300183000, 0.0243119000, 0.0088544000, -0.047405600, -0.242109500, -0.877314800", \
+                        "0.0406224000, 0.0385761000, 0.0322784000, 0.0132953000, -0.047088000, -0.242214400, -0.877104700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0342820000, 0.0362558000, 0.0426403000, 0.0623915000, 0.1239853000, 0.3191934000, 0.9489039000", \
+                        "0.0339682000, 0.0359562000, 0.0422826000, 0.0621959000, 0.1238344000, 0.3188638000, 0.9486144000", \
+                        "0.0337055000, 0.0356542000, 0.0420451000, 0.0618595000, 0.1234445000, 0.3187221000, 0.9484806000", \
+                        "0.0334580000, 0.0354327000, 0.0416588000, 0.0613353000, 0.1228855000, 0.3186205000, 0.9514069000", \
+                        "0.0333724000, 0.0352121000, 0.0413668000, 0.0607926000, 0.1221494000, 0.3180307000, 0.9476641000", \
+                        "0.0340376000, 0.0358707000, 0.0418630000, 0.0610341000, 0.1214467000, 0.3162526000, 0.9463069000", \
+                        "0.0361274000, 0.0379113000, 0.0436950000, 0.0622072000, 0.1234371000, 0.3183160000, 0.9435171000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0324514000, 0.0306536000, 0.0253277000, 0.0098852000, -0.046682800, -0.241552500, -0.877123800", \
+                        "0.0322419000, 0.0304352000, 0.0251607000, 0.0095180000, -0.047087500, -0.242059700, -0.877376800", \
+                        "0.0317360000, 0.0299028000, 0.0246901000, 0.0091220000, -0.047454300, -0.242513700, -0.877935400", \
+                        "0.0311761000, 0.0294491000, 0.0241930000, 0.0085777000, -0.047963700, -0.242974100, -0.878399900", \
+                        "0.0311684000, 0.0293973000, 0.0240696000, 0.0083267000, -0.048393400, -0.243286600, -0.878504000", \
+                        "0.0334300000, 0.0314627000, 0.0253489000, 0.0084344000, -0.047942300, -0.242581300, -0.877700100", \
+                        "0.0436091000, 0.0414602000, 0.0349051000, 0.0155753000, -0.045148700, -0.240076500, -0.875019100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0245626000, 0.0266064000, 0.0330239000, 0.0526668000, 0.1135416000, 0.3086566000, 0.9389211000", \
+                        "0.0245103000, 0.0265413000, 0.0329450000, 0.0525805000, 0.1134985000, 0.3085676000, 0.9348980000", \
+                        "0.0242575000, 0.0262599000, 0.0325656000, 0.0521222000, 0.1131899000, 0.3084561000, 0.9328988000", \
+                        "0.0237946000, 0.0257163000, 0.0318873000, 0.0513004000, 0.1124599000, 0.3077800000, 0.9372535000", \
+                        "0.0241055000, 0.0259411000, 0.0318148000, 0.0508071000, 0.1116123000, 0.3072772000, 0.9369822000", \
+                        "0.0249849000, 0.0267604000, 0.0326091000, 0.0517580000, 0.1117228000, 0.3062566000, 0.9364688000", \
+                        "0.0292107000, 0.0308523000, 0.0364108000, 0.0550087000, 0.1158274000, 0.3101484000, 0.9353101000");
+                }
+            }
+            max_capacitance : 0.5685520000;
+            max_transition : 1.5080650000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1667089000, 0.1705674000, 0.1807010000, 0.2037151000, 0.2523315000, 0.3670029000, 0.6962084000", \
+                        "0.1715227000, 0.1753772000, 0.1855029000, 0.2083039000, 0.2572662000, 0.3717957000, 0.7009525000", \
+                        "0.1839464000, 0.1877690000, 0.1978677000, 0.2208456000, 0.2696686000, 0.3841860000, 0.7133577000", \
+                        "0.2123439000, 0.2161759000, 0.2261812000, 0.2490373000, 0.2980736000, 0.4125423000, 0.7417662000", \
+                        "0.2738375000, 0.2776756000, 0.2877082000, 0.3104602000, 0.3593439000, 0.4740748000, 0.8032273000", \
+                        "0.3905263000, 0.3950144000, 0.4062700000, 0.4312796000, 0.4839855000, 0.6010177000, 0.9311838000", \
+                        "0.5907647000, 0.5958105000, 0.6096319000, 0.6398450000, 0.7004462000, 0.8274088000, 1.1611672000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0792055000, 0.0828863000, 0.0928173000, 0.1185888000, 0.1902659000, 0.4136975000, 1.1290321000", \
+                        "0.0831300000, 0.0868059000, 0.0967804000, 0.1226076000, 0.1943042000, 0.4179284000, 1.1329810000", \
+                        "0.0931850000, 0.0968258000, 0.1068566000, 0.1325580000, 0.2041857000, 0.4275185000, 1.1429809000", \
+                        "0.1164868000, 0.1201262000, 0.1299970000, 0.1555562000, 0.2271631000, 0.4502684000, 1.1668938000", \
+                        "0.1524564000, 0.1563834000, 0.1667384000, 0.1929570000, 0.2645744000, 0.4890033000, 1.2025244000", \
+                        "0.1916982000, 0.1965845000, 0.2086638000, 0.2363082000, 0.3085659000, 0.5321583000, 1.2485090000", \
+                        "0.2121046000, 0.2184788000, 0.2345657000, 0.2688739000, 0.3430226000, 0.5654948000, 1.2805589000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0255522000, 0.0280821000, 0.0346573000, 0.0508314000, 0.0938622000, 0.2221205000, 0.6573893000", \
+                        "0.0255547000, 0.0280737000, 0.0345198000, 0.0513946000, 0.0940330000, 0.2224775000, 0.6565484000", \
+                        "0.0255340000, 0.0277512000, 0.0343106000, 0.0507223000, 0.0938892000, 0.2222570000, 0.6567179000", \
+                        "0.0253860000, 0.0278725000, 0.0348922000, 0.0507936000, 0.0937318000, 0.2220253000, 0.6573875000", \
+                        "0.0255751000, 0.0280530000, 0.0349782000, 0.0509857000, 0.0942029000, 0.2216931000, 0.6562850000", \
+                        "0.0312254000, 0.0337963000, 0.0408620000, 0.0580032000, 0.0996626000, 0.2264185000, 0.6588828000", \
+                        "0.0435838000, 0.0464076000, 0.0542099000, 0.0726916000, 0.1166850000, 0.2390488000, 0.6589572000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0193731000, 0.0224377000, 0.0318313000, 0.0606492000, 0.1566595000, 0.4750573000, 1.5031871000", \
+                        "0.0193656000, 0.0224547000, 0.0317908000, 0.0606000000, 0.1566688000, 0.4750269000, 1.5031799000", \
+                        "0.0193240000, 0.0224966000, 0.0317529000, 0.0604945000, 0.1564875000, 0.4744984000, 1.5031826000", \
+                        "0.0196103000, 0.0227217000, 0.0319863000, 0.0606526000, 0.1564419000, 0.4746661000, 1.5037449000", \
+                        "0.0224793000, 0.0254885000, 0.0344484000, 0.0627508000, 0.1573912000, 0.4740602000, 1.5010383000", \
+                        "0.0297750000, 0.0328684000, 0.0412979000, 0.0673344000, 0.1592594000, 0.4738858000, 1.5030900000", \
+                        "0.0418062000, 0.0456527000, 0.0554911000, 0.0796857000, 0.1638428000, 0.4754935000, 1.5002588000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1789690000, 0.1828819000, 0.1930213000, 0.2158037000, 0.2637320000, 0.3772057000, 0.7064686000", \
+                        "0.1842585000, 0.1881712000, 0.1983185000, 0.2211609000, 0.2690282000, 0.3825235000, 0.7116340000", \
+                        "0.1973684000, 0.2012791000, 0.2114137000, 0.2342041000, 0.2823592000, 0.3956743000, 0.7249379000", \
+                        "0.2265678000, 0.2304777000, 0.2406150000, 0.2634019000, 0.3113485000, 0.4248725000, 0.7540166000", \
+                        "0.2891637000, 0.2930801000, 0.3032159000, 0.3258961000, 0.3740083000, 0.4877554000, 0.8165842000", \
+                        "0.4112793000, 0.4156516000, 0.4269158000, 0.4516750000, 0.5029182000, 0.6187523000, 0.9477154000", \
+                        "0.6181000000, 0.6234683000, 0.6371988000, 0.6670761000, 0.7262041000, 0.8504076000, 1.1830061000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0840004000, 0.0877201000, 0.0976883000, 0.1233646000, 0.1950546000, 0.4180092000, 1.1335686000", \
+                        "0.0881932000, 0.0918380000, 0.1018162000, 0.1276303000, 0.1992686000, 0.4226567000, 1.1379225000", \
+                        "0.0973611000, 0.1010033000, 0.1110333000, 0.1367450000, 0.2084193000, 0.4315551000, 1.1469846000", \
+                        "0.1180590000, 0.1216991000, 0.1315874000, 0.1572195000, 0.2289073000, 0.4519153000, 1.1684128000", \
+                        "0.1534077000, 0.1573463000, 0.1678135000, 0.1942218000, 0.2660085000, 0.4892663000, 1.2051552000", \
+                        "0.1977714000, 0.2024717000, 0.2145538000, 0.2425122000, 0.3152376000, 0.5383196000, 1.2537931000", \
+                        "0.2300110000, 0.2361857000, 0.2518082000, 0.2856657000, 0.3615406000, 0.5853615000, 1.2995061000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0260170000, 0.0284434000, 0.0349520000, 0.0505255000, 0.0930404000, 0.2203122000, 0.6569143000", \
+                        "0.0259900000, 0.0283878000, 0.0347688000, 0.0506457000, 0.0930903000, 0.2206009000, 0.6577096000", \
+                        "0.0261256000, 0.0285093000, 0.0349974000, 0.0504950000, 0.0928906000, 0.2208668000, 0.6569135000", \
+                        "0.0260119000, 0.0284303000, 0.0349098000, 0.0505887000, 0.0930124000, 0.2206867000, 0.6579301000", \
+                        "0.0260024000, 0.0287239000, 0.0347177000, 0.0504723000, 0.0927500000, 0.2207703000, 0.6566809000", \
+                        "0.0313011000, 0.0339602000, 0.0406455000, 0.0563300000, 0.0973993000, 0.2236620000, 0.6581443000", \
+                        "0.0433128000, 0.0462719000, 0.0538721000, 0.0713325000, 0.1133764000, 0.2357806000, 0.6582873000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0193831000, 0.0225205000, 0.0317290000, 0.0605641000, 0.1563800000, 0.4739302000, 1.5029724000", \
+                        "0.0193929000, 0.0224443000, 0.0317943000, 0.0606332000, 0.1566589000, 0.4750706000, 1.5033857000", \
+                        "0.0193446000, 0.0225175000, 0.0317715000, 0.0605527000, 0.1563951000, 0.4745414000, 1.5031703000", \
+                        "0.0194832000, 0.0226502000, 0.0319620000, 0.0607179000, 0.1565337000, 0.4746654000, 1.5036117000", \
+                        "0.0220012000, 0.0250666000, 0.0341806000, 0.0624144000, 0.1572862000, 0.4745911000, 1.5031678000", \
+                        "0.0278237000, 0.0309823000, 0.0403250000, 0.0669312000, 0.1586233000, 0.4740527000, 1.5025758000", \
+                        "0.0389087000, 0.0426030000, 0.0527071000, 0.0782833000, 0.1636048000, 0.4754738000, 1.4996989000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1536402000, 0.1576062000, 0.1677444000, 0.1905571000, 0.2384588000, 0.3519686000, 0.6810947000", \
+                        "0.1570656000, 0.1610082000, 0.1711703000, 0.1939816000, 0.2423291000, 0.3553754000, 0.6847278000", \
+                        "0.1671333000, 0.1710480000, 0.1811008000, 0.2039052000, 0.2520921000, 0.3656219000, 0.6950172000", \
+                        "0.1942200000, 0.1981073000, 0.2082662000, 0.2309622000, 0.2791505000, 0.3927325000, 0.7218831000", \
+                        "0.2611191000, 0.2650255000, 0.2751031000, 0.2976796000, 0.3456586000, 0.4591856000, 0.7883175000", \
+                        "0.3910144000, 0.3957536000, 0.4078788000, 0.4334276000, 0.4843862000, 0.6004732000, 0.9302570000", \
+                        "0.5977439000, 0.6036122000, 0.6188354000, 0.6520439000, 0.7124349000, 0.8325155000, 1.1648997000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0544353000, 0.0576169000, 0.0665371000, 0.0903139000, 0.1601130000, 0.3810326000, 1.1000937000", \
+                        "0.0590325000, 0.0622123000, 0.0711173000, 0.0948771000, 0.1647808000, 0.3858829000, 1.1152925000", \
+                        "0.0699760000, 0.0731225000, 0.0819383000, 0.1056232000, 0.1756681000, 0.3968275000, 1.1117878000", \
+                        "0.0908976000, 0.0941777000, 0.1031807000, 0.1270713000, 0.1968986000, 0.4206701000, 1.1331958000", \
+                        "0.1173758000, 0.1213029000, 0.1315670000, 0.1567298000, 0.2271165000, 0.4500086000, 1.1640242000", \
+                        "0.1406823000, 0.1459290000, 0.1590848000, 0.1880410000, 0.2594577000, 0.4826555000, 1.1977949000", \
+                        "0.1371970000, 0.1441519000, 0.1619289000, 0.1994857000, 0.2764253000, 0.4989587000, 1.2125223000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0259005000, 0.0283259000, 0.0348526000, 0.0506371000, 0.0931228000, 0.2205883000, 0.6578232000", \
+                        "0.0259197000, 0.0283137000, 0.0346959000, 0.0506541000, 0.0927827000, 0.2210241000, 0.6571803000", \
+                        "0.0262439000, 0.0283099000, 0.0348177000, 0.0509282000, 0.0928776000, 0.2209568000, 0.6576477000", \
+                        "0.0261770000, 0.0286011000, 0.0346331000, 0.0505801000, 0.0929571000, 0.2204922000, 0.6564415000", \
+                        "0.0260781000, 0.0284484000, 0.0347977000, 0.0507030000, 0.0931648000, 0.2206822000, 0.6560777000", \
+                        "0.0359847000, 0.0385134000, 0.0455518000, 0.0593631000, 0.0994902000, 0.2240934000, 0.6585995000", \
+                        "0.0523576000, 0.0555971000, 0.0646863000, 0.0804370000, 0.1170669000, 0.2346419000, 0.6597344000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0161763000, 0.0189805000, 0.0278221000, 0.0566970000, 0.1541050000, 0.4737553000, 1.5080651000", \
+                        "0.0161765000, 0.0189896000, 0.0278410000, 0.0567404000, 0.1538318000, 0.4735923000, 1.5025577000", \
+                        "0.0162284000, 0.0190294000, 0.0278980000, 0.0567586000, 0.1537915000, 0.4735705000, 1.4981256000", \
+                        "0.0182533000, 0.0208620000, 0.0292042000, 0.0573284000, 0.1541527000, 0.4738149000, 1.5004109000", \
+                        "0.0237159000, 0.0261375000, 0.0339486000, 0.0601992000, 0.1547536000, 0.4721910000, 1.5048959000", \
+                        "0.0335015000, 0.0362073000, 0.0440379000, 0.0678078000, 0.1569791000, 0.4714880000, 1.5001856000", \
+                        "0.0472626000, 0.0512252000, 0.0616771000, 0.0853861000, 0.1646331000, 0.4738265000, 1.4983581000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21oi_1 */
+
+/* removed sky130_fd_sc_hd__a21oi_2 */
+
+    cell ("sky130_fd_sc_hd__a21oi_4") {
+        leakage_power () {
+            value : 0.0037804000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0024464000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037807000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0043513000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037807000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0035496000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0003708000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0035062000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21oi";
+        cell_leakage_power : 0.0031957700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0085710000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0176627000, 0.0176647000, 0.0176693000, 0.0176767000, 0.0176937000, 0.0177329000, 0.0178234000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013210700, -0.013205000, -0.013191600, -0.013160000, -0.013087000, -0.012918900, -0.012531300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089170000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087670000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0164539000, 0.0164246000, 0.0163571000, 0.0163522000, 0.0163411000, 0.0163154000, 0.0162562000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016374300, -0.016358500, -0.016322100, -0.016320300, -0.016316000, -0.016306000, -0.016283100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0097100000;
+        }
+        pin ("B1") {
+            capacitance : 0.0085960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079063000, 0.0078853000, 0.0078369000, 0.0078799000, 0.0079788000, 0.0082069000, 0.0087326000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006904200, -0.006903100, -0.006900400, -0.006895700, -0.006884800, -0.006859600, -0.006801600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092270000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0160100000, 0.0146164000, 0.0107003000, -3.67000e-05, -0.029765500, -0.112225300, -0.340738000", \
+                        "0.0154877000, 0.0141227000, 0.0102400000, -0.000481900, -0.030094800, -0.112584600, -0.341119100", \
+                        "0.0147161000, 0.0133482000, 0.0095153000, -0.001078800, -0.030642400, -0.113008400, -0.341536400", \
+                        "0.0136958000, 0.0123339000, 0.0087090000, -0.001829400, -0.031248000, -0.113498200, -0.341832800", \
+                        "0.0135495000, 0.0121518000, 0.0084323000, -0.002128400, -0.031674100, -0.113788400, -0.342271100", \
+                        "0.0155387000, 0.0140834000, 0.0099603000, -0.001415500, -0.031509800, -0.113754600, -0.342075600", \
+                        "0.0192814000, 0.0177658000, 0.0134339000, 0.0020592000, -0.028714700, -0.112502700, -0.342228200");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0245839000, 0.0261919000, 0.0305010000, 0.0418689000, 0.0718377000, 0.1539028000, 0.3827241000", \
+                        "0.0236878000, 0.0252792000, 0.0295306000, 0.0411230000, 0.0714105000, 0.1548461000, 0.3829275000", \
+                        "0.0229696000, 0.0244674000, 0.0286299000, 0.0399911000, 0.0706113000, 0.1533308000, 0.3798184000", \
+                        "0.0225087000, 0.0239926000, 0.0280340000, 0.0392161000, 0.0695515000, 0.1534905000, 0.3794085000", \
+                        "0.0220932000, 0.0235537000, 0.0275719000, 0.0384716000, 0.0686501000, 0.1512764000, 0.3785113000", \
+                        "0.0220257000, 0.0234543000, 0.0274337000, 0.0384559000, 0.0683968000, 0.1509986000, 0.3774860000", \
+                        "0.0225028000, 0.0238276000, 0.0274343000, 0.0379557000, 0.0685071000, 0.1510636000, 0.3785388000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0189295000, 0.0175048000, 0.0135890000, 0.0028487000, -0.026859300, -0.109374500, -0.337905200", \
+                        "0.0184176000, 0.0170017000, 0.0131090000, 0.0023912000, -0.027312700, -0.109788800, -0.338314400", \
+                        "0.0176674000, 0.0162818000, 0.0124433000, 0.0018022000, -0.027803900, -0.110238700, -0.338723100", \
+                        "0.0167596000, 0.0153980000, 0.0115883000, 0.0011001000, -0.028311500, -0.110622200, -0.339027600", \
+                        "0.0165243000, 0.0151472000, 0.0111949000, 0.0005495000, -0.028712300, -0.110727000, -0.339046800", \
+                        "0.0171449000, 0.0158516000, 0.0118297000, 0.0009848000, -0.028716800, -0.111496800, -0.339392400", \
+                        "0.0203859000, 0.0189749000, 0.0148125000, 0.0036139000, -0.026900700, -0.110133000, -0.339314800");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0387408000, 0.0402516000, 0.0442085000, 0.0551265000, 0.0849807000, 0.1671127000, 0.3931873000", \
+                        "0.0380820000, 0.0395421000, 0.0435954000, 0.0546534000, 0.0846505000, 0.1669436000, 0.3927868000", \
+                        "0.0374738000, 0.0389158000, 0.0429699000, 0.0540081000, 0.0841868000, 0.1665764000, 0.3927683000", \
+                        "0.0370219000, 0.0383739000, 0.0423688000, 0.0534383000, 0.0836971000, 0.1660855000, 0.3924691000", \
+                        "0.0365073000, 0.0380068000, 0.0420169000, 0.0529156000, 0.0829307000, 0.1655618000, 0.3917991000", \
+                        "0.0365221000, 0.0378742000, 0.0418895000, 0.0528352000, 0.0829947000, 0.1654112000, 0.3915716000", \
+                        "0.0367134000, 0.0380695000, 0.0418534000, 0.0524787000, 0.0832277000, 0.1659130000, 0.3923051000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("-0.000178600, -0.001441100, -0.005022100, -0.015454400, -0.045183200, -0.127966500, -0.356849800", \
+                        "-0.000822800, -0.002021000, -0.005491200, -0.015652200, -0.045100100, -0.127715600, -0.356513000", \
+                        "-0.001569200, -0.002780700, -0.006288400, -0.016236800, -0.045290100, -0.127607800, -0.356270400", \
+                        "-0.002277700, -0.003574600, -0.007183700, -0.017158400, -0.045939000, -0.127805600, -0.356249200", \
+                        "-0.001535500, -0.002925700, -0.006727400, -0.017040000, -0.046494700, -0.128264800, -0.356381600", \
+                        "0.0002981000, -0.001201100, -0.005283000, -0.016348200, -0.045885500, -0.128515400, -0.356704100", \
+                        "0.0067197000, 0.0050363000, 0.0004822000, -0.011555800, -0.042962400, -0.125568600, -0.356146700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0359073000, 0.0374439000, 0.0416454000, 0.0528963000, 0.0831943000, 0.1654002000, 0.3915609000", \
+                        "0.0351250000, 0.0365980000, 0.0407347000, 0.0521921000, 0.0826883000, 0.1652002000, 0.3914749000", \
+                        "0.0343364000, 0.0358225000, 0.0399558000, 0.0512949000, 0.0817728000, 0.1645821000, 0.3912592000", \
+                        "0.0340924000, 0.0355626000, 0.0395722000, 0.0505803000, 0.0807197000, 0.1637268000, 0.3907216000", \
+                        "0.0340947000, 0.0354957000, 0.0393985000, 0.0502459000, 0.0801303000, 0.1625945000, 0.3897599000", \
+                        "0.0370809000, 0.0384560000, 0.0422412000, 0.0528237000, 0.0821207000, 0.1637129000, 0.3880777000", \
+                        "0.0415913000, 0.0428849000, 0.0466998000, 0.0575601000, 0.0857223000, 0.1654100000, 0.3897643000");
+                }
+            }
+            max_capacitance : 0.2215680000;
+            max_transition : 1.4966630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0246992000, 0.0263024000, 0.0304106000, 0.0410125000, 0.0678401000, 0.1380803000, 0.3284191000", \
+                        "0.0287528000, 0.0303059000, 0.0344198000, 0.0449538000, 0.0717621000, 0.1421019000, 0.3323391000", \
+                        "0.0391000000, 0.0406930000, 0.0447374000, 0.0548324000, 0.0815114000, 0.1516950000, 0.3419164000", \
+                        "0.0539156000, 0.0562234000, 0.0620181000, 0.0758049000, 0.1047227000, 0.1747259000, 0.3650390000", \
+                        "0.0682020000, 0.0716078000, 0.0802008000, 0.1009026000, 0.1450502000, 0.2294386000, 0.4194306000", \
+                        "0.0708632000, 0.0760541000, 0.0893124000, 0.1215272000, 0.1892958000, 0.3160761000, 0.5451449000", \
+                        "0.0329337000, 0.0408214000, 0.0602664000, 0.1091740000, 0.2135064000, 0.4116788000, 0.7576772000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0750266000, 0.0797863000, 0.0926365000, 0.1260724000, 0.2144161000, 0.4553959000, 1.1264553000", \
+                        "0.0788206000, 0.0836378000, 0.0963492000, 0.1303970000, 0.2206709000, 0.4648922000, 1.1340058000", \
+                        "0.0914359000, 0.0958637000, 0.1082775000, 0.1416296000, 0.2321347000, 0.4742727000, 1.1387330000", \
+                        "0.1205273000, 0.1250511000, 0.1373143000, 0.1697234000, 0.2593165000, 0.5051595000, 1.1692536000", \
+                        "0.1719356000, 0.1776844000, 0.1927567000, 0.2304034000, 0.3200600000, 0.5627075000, 1.2301592000", \
+                        "0.2563241000, 0.2650938000, 0.2868255000, 0.3391377000, 0.4519958000, 0.7019299000, 1.3689805000", \
+                        "0.3922306000, 0.4065449000, 0.4417791000, 0.5239923000, 0.6878029000, 1.0084236000, 1.6948052000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0237953000, 0.0254264000, 0.0298570000, 0.0421778000, 0.0761349000, 0.1706823000, 0.4346524000", \
+                        "0.0227089000, 0.0243467000, 0.0291293000, 0.0418578000, 0.0760946000, 0.1711810000, 0.4347354000", \
+                        "0.0255739000, 0.0268890000, 0.0307350000, 0.0420823000, 0.0756712000, 0.1710417000, 0.4347011000", \
+                        "0.0352760000, 0.0371595000, 0.0423320000, 0.0541057000, 0.0819962000, 0.1712114000, 0.4346026000", \
+                        "0.0548268000, 0.0575359000, 0.0644661000, 0.0804540000, 0.1146775000, 0.1911494000, 0.4352762000", \
+                        "0.0900704000, 0.0942685000, 0.1048262000, 0.1281075000, 0.1751626000, 0.2719571000, 0.4789162000", \
+                        "0.1522599000, 0.1588400000, 0.1759171000, 0.2133319000, 0.2874350000, 0.4193671000, 0.6672240000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0467260000, 0.0524283000, 0.0684499000, 0.1124418000, 0.2332804000, 0.5658687000, 1.4956092000", \
+                        "0.0466227000, 0.0523710000, 0.0683550000, 0.1125319000, 0.2335217000, 0.5705383000, 1.4966625000", \
+                        "0.0468068000, 0.0525925000, 0.0684013000, 0.1120551000, 0.2333967000, 0.5663319000, 1.4828127000", \
+                        "0.0484445000, 0.0538229000, 0.0689868000, 0.1124572000, 0.2333526000, 0.5698152000, 1.4816560000", \
+                        "0.0646310000, 0.0699947000, 0.0845821000, 0.1227971000, 0.2360603000, 0.5660067000, 1.4815442000", \
+                        "0.0996765000, 0.1055815000, 0.1224559000, 0.1642453000, 0.2706902000, 0.5735811000, 1.4800224000", \
+                        "0.1774712000, 0.1853416000, 0.2068150000, 0.2567463000, 0.3780628000, 0.6619884000, 1.4964621000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0303720000, 0.0319421000, 0.0360462000, 0.0465930000, 0.0734489000, 0.1436749000, 0.3338050000", \
+                        "0.0345541000, 0.0361274000, 0.0402576000, 0.0507694000, 0.0776014000, 0.1478207000, 0.3379790000", \
+                        "0.0434589000, 0.0450755000, 0.0491940000, 0.0596294000, 0.0864283000, 0.1566288000, 0.3469683000", \
+                        "0.0582558000, 0.0602947000, 0.0652601000, 0.0777804000, 0.1065639000, 0.1770607000, 0.3676280000", \
+                        "0.0760603000, 0.0789380000, 0.0865548000, 0.1045777000, 0.1430287000, 0.2231852000, 0.4154668000", \
+                        "0.0872149000, 0.0918042000, 0.1033720000, 0.1311212000, 0.1900211000, 0.3022428000, 0.5220377000", \
+                        "0.0635701000, 0.0704689000, 0.0891165000, 0.1331368000, 0.2257614000, 0.4016808000, 0.7096582000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.1000751000, 0.1046043000, 0.1162003000, 0.1478840000, 0.2332025000, 0.4665976000, 1.1106092000", \
+                        "0.1042715000, 0.1085854000, 0.1206454000, 0.1524753000, 0.2383677000, 0.4724077000, 1.1153842000", \
+                        "0.1167402000, 0.1209889000, 0.1329661000, 0.1650169000, 0.2512142000, 0.4855794000, 1.1288390000", \
+                        "0.1453300000, 0.1496031000, 0.1613673000, 0.1928352000, 0.2792188000, 0.5142880000, 1.1581179000", \
+                        "0.2010961000, 0.2057910000, 0.2193319000, 0.2531864000, 0.3390513000, 0.5739352000, 1.2181819000", \
+                        "0.2952266000, 0.3026608000, 0.3204691000, 0.3643097000, 0.4688417000, 0.7096645000, 1.3546856000", \
+                        "0.4502845000, 0.4609294000, 0.4883598000, 0.5551191000, 0.7015018000, 1.0043314000, 1.6689661000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0234857000, 0.0251504000, 0.0295464000, 0.0419536000, 0.0761041000, 0.1707974000, 0.4347723000", \
+                        "0.0232008000, 0.0247701000, 0.0293919000, 0.0418056000, 0.0760696000, 0.1707507000, 0.4349299000", \
+                        "0.0243234000, 0.0258277000, 0.0300541000, 0.0419620000, 0.0758903000, 0.1710470000, 0.4344189000", \
+                        "0.0319007000, 0.0334027000, 0.0376642000, 0.0487173000, 0.0792328000, 0.1710440000, 0.4344038000", \
+                        "0.0488382000, 0.0509167000, 0.0560617000, 0.0687969000, 0.1008201000, 0.1824777000, 0.4351133000", \
+                        "0.0817142000, 0.0846931000, 0.0919354000, 0.1097751000, 0.1499707000, 0.2369296000, 0.4610488000", \
+                        "0.1421957000, 0.1463004000, 0.1580165000, 0.1848181000, 0.2429588000, 0.3541444000, 0.5896604000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0663352000, 0.0720004000, 0.0874282000, 0.1301507000, 0.2472445000, 0.5690678000, 1.4580566000", \
+                        "0.0663367000, 0.0719630000, 0.0875674000, 0.1303482000, 0.2474753000, 0.5699129000, 1.4580978000", \
+                        "0.0663620000, 0.0719541000, 0.0876010000, 0.1302648000, 0.2472489000, 0.5708328000, 1.4571687000", \
+                        "0.0667478000, 0.0722302000, 0.0875231000, 0.1301830000, 0.2478976000, 0.5710591000, 1.4584911000", \
+                        "0.0802531000, 0.0854773000, 0.0988501000, 0.1376960000, 0.2497255000, 0.5692700000, 1.4564131000", \
+                        "0.1143615000, 0.1200268000, 0.1359616000, 0.1769583000, 0.2825214000, 0.5786259000, 1.4604168000", \
+                        "0.1914367000, 0.1988145000, 0.2184393000, 0.2669700000, 0.3850886000, 0.6629319000, 1.4757747000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0135185000, 0.0143941000, 0.0166940000, 0.0225876000, 0.0383249000, 0.0810906000, 0.1989269000", \
+                        "0.0178918000, 0.0189115000, 0.0213059000, 0.0272632000, 0.0430952000, 0.0859894000, 0.2037653000", \
+                        "0.0235355000, 0.0251751000, 0.0290854000, 0.0374390000, 0.0540872000, 0.0970135000, 0.2148406000", \
+                        "0.0284403000, 0.0310814000, 0.0371770000, 0.0506845000, 0.0762546000, 0.1222949000, 0.2401767000", \
+                        "0.0278651000, 0.0319002000, 0.0417128000, 0.0633590000, 0.1038603000, 0.1746218000, 0.2996976000", \
+                        "0.0095130000, 0.0158928000, 0.0313962000, 0.0651880000, 0.1290223000, 0.2406523000, 0.4254231000", \
+                        "-0.058599800, -0.048449400, -0.025075800, 0.0284418000, 0.1300526000, 0.3056845000, 0.5961014000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0716525000, 0.0759262000, 0.0883610000, 0.1208460000, 0.2072832000, 0.4414711000, 1.0850894000", \
+                        "0.0743050000, 0.0788088000, 0.0906466000, 0.1237824000, 0.2106755000, 0.4456273000, 1.0889943000", \
+                        "0.0845636000, 0.0887598000, 0.1009570000, 0.1334126000, 0.2201716000, 0.4560929000, 1.1002584000", \
+                        "0.1131277000, 0.1172963000, 0.1286992000, 0.1603097000, 0.2460977000, 0.4820407000, 1.1270492000", \
+                        "0.1725520000, 0.1782892000, 0.1926072000, 0.2278103000, 0.3130372000, 0.5473165000, 1.1927437000", \
+                        "0.2681701000, 0.2767737000, 0.2989280000, 0.3522381000, 0.4660110000, 0.7020082000, 1.3409110000", \
+                        "0.4304704000, 0.4427189000, 0.4749074000, 0.5537185000, 0.7241591000, 1.0536048000, 1.7029687000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0096355000, 0.0107941000, 0.0140843000, 0.0226383000, 0.0442555000, 0.1021003000, 0.2614125000", \
+                        "0.0111552000, 0.0120372000, 0.0148126000, 0.0227606000, 0.0442452000, 0.1021308000, 0.2611587000", \
+                        "0.0194078000, 0.0201429000, 0.0221837000, 0.0276640000, 0.0457742000, 0.1021044000, 0.2609619000", \
+                        "0.0338533000, 0.0347488000, 0.0372785000, 0.0440128000, 0.0599016000, 0.1065845000, 0.2611496000", \
+                        "0.0597022000, 0.0608729000, 0.0643303000, 0.0736680000, 0.0953186000, 0.1401274000, 0.2692475000", \
+                        "0.1059452000, 0.1077217000, 0.1127917000, 0.1262226000, 0.1586446000, 0.2213740000, 0.3435039000", \
+                        "0.1902590000, 0.1930315000, 0.2015757000, 0.2211665000, 0.2681819000, 0.3641596000, 0.5366358000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0660474000, 0.0717453000, 0.0872211000, 0.1299034000, 0.2472559000, 0.5689522000, 1.4575016000", \
+                        "0.0660480000, 0.0717603000, 0.0873675000, 0.1300289000, 0.2473235000, 0.5697718000, 1.4559419000", \
+                        "0.0657350000, 0.0712875000, 0.0871369000, 0.1299754000, 0.2471239000, 0.5697799000, 1.4559501000", \
+                        "0.0684186000, 0.0734758000, 0.0879290000, 0.1293574000, 0.2472611000, 0.5712855000, 1.4595147000", \
+                        "0.0943538000, 0.1002580000, 0.1142815000, 0.1470440000, 0.2506376000, 0.5690546000, 1.4568604000", \
+                        "0.1374919000, 0.1453960000, 0.1652583000, 0.2117812000, 0.3101206000, 0.5831928000, 1.4582071000", \
+                        "0.2077899000, 0.2193168000, 0.2494748000, 0.3159837000, 0.4532922000, 0.7197276000, 1.4803000000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a221o_1 */
+
+/* removed sky130_fd_sc_hd__a221o_2 */
+
+/* removed sky130_fd_sc_hd__a221o_4 */
+
+/* removed sky130_fd_sc_hd__a221oi_1 */
+
+/* removed sky130_fd_sc_hd__a221oi_2 */
+
+/* removed sky130_fd_sc_hd__a221oi_4 */
+
+/* removed sky130_fd_sc_hd__a222oi_1 */
+
+/* removed sky130_fd_sc_hd__a22o_1 */
+
+/* removed sky130_fd_sc_hd__a22o_2 */
+
+/* removed sky130_fd_sc_hd__a22o_4 */
+
+/* removed sky130_fd_sc_hd__a22oi_1 */
+
+/* removed sky130_fd_sc_hd__a22oi_2 */
+
+    cell ("sky130_fd_sc_hd__a22oi_4") {
+        leakage_power () {
+            value : 0.0020851000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0303796000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016600000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038277000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0316658000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0034003000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034013000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0516426000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029795000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0030315000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0726102000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034066000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031381000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a22oi";
+        cell_leakage_power : 0.0143172700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080080000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0178772000, 0.0178987000, 0.0179483000, 0.0179495000, 0.0179525000, 0.0179596000, 0.0179759000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012679300, -0.012695000, -0.012731400, -0.012697500, -0.012619300, -0.012439100, -0.012023600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086130000;
+        }
+        pin ("A2") {
+            capacitance : 0.0086170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081530000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156594000, 0.0156545000, 0.0156434000, 0.0156434000, 0.0156435000, 0.0156437000, 0.0156443000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015608100, -0.015607000, -0.015604400, -0.015604500, -0.015604800, -0.015605500, -0.015607100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090810000;
+        }
+        pin ("B1") {
+            capacitance : 0.0083380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181848000, 0.0181864000, 0.0181902000, 0.0181943000, 0.0182038000, 0.0182258000, 0.0182763000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012980600, -0.012988800, -0.013007300, -0.012978200, -0.012910700, -0.012755200, -0.012396800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087950000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078830000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157805000, 0.0157648000, 0.0157285000, 0.0157282000, 0.0157273000, 0.0157254000, 0.0157209000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015714000, -0.015708500, -0.015695700, -0.015695200, -0.015693800, -0.015690400, -0.015682800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092040000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0253393000, 0.0238166000, 0.0194834000, 0.0067331000, -0.029981200, -0.137263900, -0.448252100", \
+                        "0.0250118000, 0.0234531000, 0.0191466000, 0.0064756000, -0.030277500, -0.137523700, -0.448488500", \
+                        "0.0243290000, 0.0228136000, 0.0185291000, 0.0059329000, -0.030655100, -0.137760300, -0.448838500", \
+                        "0.0234157000, 0.0219365000, 0.0176373000, 0.0051878000, -0.031252900, -0.138015200, -0.448920400", \
+                        "0.0227697000, 0.0212915000, 0.0169648000, 0.0043793000, -0.031945300, -0.138336600, -0.449000200", \
+                        "0.0236092000, 0.0220708000, 0.0176135000, 0.0047512000, -0.032514900, -0.138894100, -0.449434700", \
+                        "0.0269242000, 0.0253514000, 0.0210235000, 0.0075713000, -0.029903300, -0.138814700, -0.448752700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0402919000, 0.0418603000, 0.0463599000, 0.0593540000, 0.0963766000, 0.2030235000, 0.5105981000", \
+                        "0.0396315000, 0.0412097000, 0.0457499000, 0.0589367000, 0.0962026000, 0.2030137000, 0.5105751000", \
+                        "0.0385817000, 0.0401560000, 0.0447798000, 0.0580144000, 0.0956433000, 0.2025323000, 0.5105666000", \
+                        "0.0377043000, 0.0392728000, 0.0438029000, 0.0569959000, 0.0947207000, 0.2019771000, 0.5101401000", \
+                        "0.0370570000, 0.0386016000, 0.0431381000, 0.0561964000, 0.0935107000, 0.2009108000, 0.5094441000", \
+                        "0.0369368000, 0.0385339000, 0.0429972000, 0.0559685000, 0.0932598000, 0.2000615000, 0.5086143000", \
+                        "0.0364563000, 0.0380043000, 0.0423323000, 0.0551678000, 0.0932039000, 0.2001956000, 0.5080979000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0279718000, 0.0264536000, 0.0220841000, 0.0093661000, -0.027352700, -0.134523600, -0.445687500", \
+                        "0.0276738000, 0.0261202000, 0.0217565000, 0.0090579000, -0.027753600, -0.134936700, -0.445806000", \
+                        "0.0271093000, 0.0256049000, 0.0212433000, 0.0086208000, -0.028008200, -0.135231600, -0.446230200", \
+                        "0.0263951000, 0.0249059000, 0.0205521000, 0.0080843000, -0.028525200, -0.135513800, -0.446425400", \
+                        "0.0257699000, 0.0242467000, 0.0199182000, 0.0074354000, -0.028796600, -0.135582000, -0.446381300", \
+                        "0.0263242000, 0.0247945000, 0.0203930000, 0.0076328000, -0.029703900, -0.136231700, -0.446575800", \
+                        "0.0290966000, 0.0275283000, 0.0229168000, 0.0098408000, -0.027593600, -0.135669800, -0.446629300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0546029000, 0.0560109000, 0.0604943000, 0.0734170000, 0.1105456000, 0.2170325000, 0.5246839000", \
+                        "0.0539013000, 0.0556769000, 0.0600105000, 0.0729848000, 0.1101896000, 0.2168797000, 0.5244711000", \
+                        "0.0533042000, 0.0549145000, 0.0595183000, 0.0724907000, 0.1098150000, 0.2165619000, 0.5242626000", \
+                        "0.0527949000, 0.0543645000, 0.0587675000, 0.0717847000, 0.1092680000, 0.2162712000, 0.5242186000", \
+                        "0.0521650000, 0.0537193000, 0.0582479000, 0.0712470000, 0.1084787000, 0.2156312000, 0.5236947000", \
+                        "0.0521116000, 0.0536901000, 0.0582176000, 0.0713985000, 0.1083180000, 0.2151139000, 0.5234957000", \
+                        "0.0517065000, 0.0531488000, 0.0576538000, 0.0706600000, 0.1084715000, 0.2156389000, 0.5234586000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0099202000, 0.0085240000, 0.0043539000, -0.008147200, -0.045132000, -0.152700600, -0.464295100", \
+                        "0.0093598000, 0.0080191000, 0.0040004000, -0.008269100, -0.044987300, -0.152402700, -0.463908700", \
+                        "0.0086646000, 0.0073704000, 0.0032791000, -0.008713100, -0.045064400, -0.152192600, -0.463572900", \
+                        "0.0076887000, 0.0063839000, 0.0025116000, -0.009553400, -0.045594400, -0.152355600, -0.463497600", \
+                        "0.0076197000, 0.0061578000, 0.0019488000, -0.010198400, -0.046345500, -0.152799600, -0.463589300", \
+                        "0.0089823000, 0.0074544000, 0.0029330000, -0.009858200, -0.046881000, -0.153635800, -0.464135200", \
+                        "0.0133372000, 0.0115279000, 0.0066488000, -0.007016800, -0.045056400, -0.151575400, -0.464439800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0286357000, 0.0302416000, 0.0349134000, 0.0481555000, 0.0853579000, 0.1918005000, 0.4997312000", \
+                        "0.0277371000, 0.0293301000, 0.0339826000, 0.0473716000, 0.0851219000, 0.1918103000, 0.4995425000", \
+                        "0.0263707000, 0.0280376000, 0.0328773000, 0.0461488000, 0.0842712000, 0.1913577000, 0.4993692000", \
+                        "0.0256030000, 0.0272195000, 0.0318664000, 0.0452329000, 0.0829353000, 0.1906211000, 0.4990588000", \
+                        "0.0259316000, 0.0274899000, 0.0319098000, 0.0449138000, 0.0821702000, 0.1894037000, 0.4985164000", \
+                        "0.0269195000, 0.0284220000, 0.0327692000, 0.0454918000, 0.0819551000, 0.1878909000, 0.4974165000", \
+                        "0.0314679000, 0.0328687000, 0.0369521000, 0.0489235000, 0.0849531000, 0.1903373000, 0.4950249000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0131204000, 0.0117030000, 0.0074898000, -0.005056400, -0.042057800, -0.149630300, -0.461187300", \
+                        "0.0125771000, 0.0112149000, 0.0071690000, -0.005139900, -0.041888900, -0.149302900, -0.460822900", \
+                        "0.0116771000, 0.0103438000, 0.0063885000, -0.005615400, -0.041979000, -0.149140300, -0.460534700", \
+                        "0.0106193000, 0.0092544000, 0.0052450000, -0.006628200, -0.042569300, -0.149298900, -0.460446000", \
+                        "0.0106015000, 0.0091561000, 0.0046974000, -0.007697900, -0.043541100, -0.149831900, -0.460566800", \
+                        "0.0108807000, 0.0093532000, 0.0049454000, -0.007693000, -0.044258700, -0.150816700, -0.461151000", \
+                        "0.0145946000, 0.0129212000, 0.0081711000, -0.005213300, -0.042997600, -0.150556700, -0.461570000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0431284000, 0.0446411000, 0.0492576000, 0.0621391000, 0.0992362000, 0.2059276000, 0.5134908000", \
+                        "0.0422546000, 0.0438113000, 0.0483958000, 0.0615141000, 0.0988625000, 0.2055256000, 0.5132624000", \
+                        "0.0415875000, 0.0429713000, 0.0476036000, 0.0607664000, 0.0983289000, 0.2052475000, 0.5132364000", \
+                        "0.0408873000, 0.0425818000, 0.0468208000, 0.0599476000, 0.0975134000, 0.2048133000, 0.5130078000", \
+                        "0.0405113000, 0.0420736000, 0.0466158000, 0.0595140000, 0.0968406000, 0.2042165000, 0.5125959000", \
+                        "0.0439760000, 0.0454630000, 0.0478574000, 0.0606996000, 0.0973025000, 0.2038405000, 0.5123072000", \
+                        "0.0479204000, 0.0492427000, 0.0530556000, 0.0653258000, 0.1012893000, 0.2072600000, 0.5150428000");
+                }
+            }
+            max_capacitance : 0.2938940000;
+            max_transition : 1.9330300000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0417445000, 0.0435681000, 0.0485145000, 0.0619497000, 0.0985133000, 0.2003095000, 0.4901049000", \
+                        "0.0458038000, 0.0475463000, 0.0524354000, 0.0660325000, 0.1025963000, 0.2043993000, 0.4942327000", \
+                        "0.0554042000, 0.0571813000, 0.0622079000, 0.0758136000, 0.1123753000, 0.2143615000, 0.5041227000", \
+                        "0.0770916000, 0.0792248000, 0.0849485000, 0.0993265000, 0.1358835000, 0.2372621000, 0.5274726000", \
+                        "0.1041311000, 0.1072230000, 0.1156826000, 0.1368496000, 0.1862697000, 0.2923346000, 0.5813445000", \
+                        "0.1277344000, 0.1324649000, 0.1453635000, 0.1775102000, 0.2529581000, 0.4045462000, 0.7077506000", \
+                        "0.1215629000, 0.1286769000, 0.1480033000, 0.1989488000, 0.3141817000, 0.5482430000, 0.9758004000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1164222000, 0.1210808000, 0.1345229000, 0.1723089000, 0.2790601000, 0.5843661000, 1.4653841000", \
+                        "0.1209319000, 0.1255380000, 0.1388080000, 0.1774753000, 0.2847454000, 0.5904908000, 1.4716270000", \
+                        "0.1326454000, 0.1375862000, 0.1509966000, 0.1898092000, 0.2977342000, 0.6043214000, 1.4864336000", \
+                        "0.1623014000, 0.1668592000, 0.1806140000, 0.2189379000, 0.3271789000, 0.6349473000, 1.5178909000", \
+                        "0.2251698000, 0.2303825000, 0.2444336000, 0.2823281000, 0.3895800000, 0.6978479000, 1.5811403000", \
+                        "0.3346391000, 0.3413004000, 0.3599990000, 0.4094291000, 0.5310003000, 0.8397888000, 1.7239398000", \
+                        "0.5172891000, 0.5269347000, 0.5561922000, 0.6296463000, 0.7973325000, 1.1625448000, 2.0547104000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0340878000, 0.0361235000, 0.0422447000, 0.0592769000, 0.1086477000, 0.2511921000, 0.6649156000", \
+                        "0.0339891000, 0.0361047000, 0.0421220000, 0.0593126000, 0.1084602000, 0.2512084000, 0.6650505000", \
+                        "0.0337524000, 0.0358148000, 0.0417741000, 0.0588173000, 0.1084213000, 0.2511625000, 0.6650423000", \
+                        "0.0430323000, 0.0451689000, 0.0508253000, 0.0651425000, 0.1099980000, 0.2509584000, 0.6655157000", \
+                        "0.0634686000, 0.0662911000, 0.0739823000, 0.0930318000, 0.1371711000, 0.2590482000, 0.6650379000", \
+                        "0.1025690000, 0.1067395000, 0.1178916000, 0.1449141000, 0.2048187000, 0.3308241000, 0.6837850000", \
+                        "0.1706656000, 0.1772532000, 0.1956361000, 0.2374549000, 0.3231373000, 0.4923946000, 0.8448724000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0779312000, 0.0839445000, 0.1010797000, 0.1512969000, 0.2956210000, 0.7134809000, 1.9131829000", \
+                        "0.0779733000, 0.0839049000, 0.1011784000, 0.1511343000, 0.2954056000, 0.7137815000, 1.9184689000", \
+                        "0.0779562000, 0.0839733000, 0.1012305000, 0.1509693000, 0.2955611000, 0.7106135000, 1.9199820000", \
+                        "0.0780272000, 0.0839978000, 0.1013013000, 0.1510399000, 0.2955087000, 0.7138344000, 1.9173543000", \
+                        "0.0873177000, 0.0924887000, 0.1082702000, 0.1552651000, 0.2962257000, 0.7142522000, 1.9131268000", \
+                        "0.1226244000, 0.1287622000, 0.1455350000, 0.1923661000, 0.3182650000, 0.7143448000, 1.9134858000", \
+                        "0.2027936000, 0.2099108000, 0.2309023000, 0.2855137000, 0.4225924000, 0.7759873000, 1.9221404000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0474738000, 0.0491836000, 0.0541107000, 0.0676458000, 0.1042513000, 0.2059293000, 0.4956935000", \
+                        "0.0517628000, 0.0534818000, 0.0583649000, 0.0719101000, 0.1085038000, 0.2102347000, 0.4997607000", \
+                        "0.0602761000, 0.0620712000, 0.0669906000, 0.0805443000, 0.1171903000, 0.2190245000, 0.5088149000", \
+                        "0.0778102000, 0.0797662000, 0.0851350000, 0.0994629000, 0.1365885000, 0.2387385000, 0.5286689000", \
+                        "0.1045198000, 0.1068015000, 0.1139547000, 0.1328730000, 0.1771505000, 0.2833234000, 0.5745071000", \
+                        "0.1312719000, 0.1352654000, 0.1462351000, 0.1740410000, 0.2381320000, 0.3732429000, 0.6790359000", \
+                        "0.1339625000, 0.1401325000, 0.1569483000, 0.2007458000, 0.3010077000, 0.5036428000, 0.8899688000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1403011000, 0.1449765000, 0.1580999000, 0.1948136000, 0.3006424000, 0.6038869000, 1.4792638000", \
+                        "0.1448539000, 0.1488527000, 0.1630592000, 0.1999835000, 0.3061056000, 0.6093294000, 1.4862901000", \
+                        "0.1579123000, 0.1623242000, 0.1756708000, 0.2126936000, 0.3194477000, 0.6229902000, 1.4983709000", \
+                        "0.1864067000, 0.1915839000, 0.2049051000, 0.2424699000, 0.3491344000, 0.6530611000, 1.5273720000", \
+                        "0.2475735000, 0.2526837000, 0.2657055000, 0.3028457000, 0.4097611000, 0.7138452000, 1.5882202000", \
+                        "0.3585175000, 0.3649444000, 0.3819160000, 0.4265593000, 0.5437800000, 0.8484420000, 1.7242338000", \
+                        "0.5465813000, 0.5558322000, 0.5802979000, 0.6451184000, 0.7994862000, 1.1551017000, 2.0362965000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0340117000, 0.0361221000, 0.0421537000, 0.0593012000, 0.1083913000, 0.2512797000, 0.6646033000", \
+                        "0.0339587000, 0.0360765000, 0.0421134000, 0.0592495000, 0.1085006000, 0.2511415000, 0.6641467000", \
+                        "0.0338392000, 0.0359198000, 0.0419009000, 0.0590302000, 0.1084856000, 0.2510722000, 0.6653167000", \
+                        "0.0391383000, 0.0411208000, 0.0467295000, 0.0623502000, 0.1095170000, 0.2512552000, 0.6651164000", \
+                        "0.0552646000, 0.0573132000, 0.0636014000, 0.0805666000, 0.1257285000, 0.2559055000, 0.6643457000", \
+                        "0.0894548000, 0.0926127000, 0.1008802000, 0.1225156000, 0.1734830000, 0.3007174000, 0.6756755000", \
+                        "0.1529864000, 0.1575951000, 0.1698229000, 0.2010118000, 0.2692203000, 0.4199210000, 0.7748576000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0995492000, 0.1053329000, 0.1224722000, 0.1724776000, 0.3162268000, 0.7306545000, 1.9279787000", \
+                        "0.0992519000, 0.1057550000, 0.1224851000, 0.1724560000, 0.3161712000, 0.7313938000, 1.9287429000", \
+                        "0.0992181000, 0.1051971000, 0.1228983000, 0.1723709000, 0.3161570000, 0.7293468000, 1.9263071000", \
+                        "0.0996254000, 0.1054479000, 0.1224923000, 0.1726829000, 0.3163019000, 0.7324892000, 1.9253423000", \
+                        "0.1060969000, 0.1115181000, 0.1276325000, 0.1752453000, 0.3161072000, 0.7321900000, 1.9278825000", \
+                        "0.1397020000, 0.1457288000, 0.1623346000, 0.2094295000, 0.3365938000, 0.7337920000, 1.9310664000", \
+                        "0.2176954000, 0.2245951000, 0.2445315000, 0.2978962000, 0.4341381000, 0.7934992000, 1.9312560000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0226582000, 0.0243244000, 0.0286888000, 0.0411025000, 0.0756606000, 0.1747282000, 0.4606694000", \
+                        "0.0264655000, 0.0281009000, 0.0326891000, 0.0452622000, 0.0800505000, 0.1792922000, 0.4653158000", \
+                        "0.0350289000, 0.0370553000, 0.0425992000, 0.0551419000, 0.0902112000, 0.1897405000, 0.4758414000", \
+                        "0.0446733000, 0.0479641000, 0.0558396000, 0.0748424000, 0.1139526000, 0.2133936000, 0.4995565000", \
+                        "0.0505388000, 0.0554023000, 0.0681669000, 0.0979096000, 0.1572587000, 0.2685965000, 0.5544695000", \
+                        "0.0411346000, 0.0486037000, 0.0681659000, 0.1137236000, 0.2054904000, 0.3736105000, 0.6819510000", \
+                        "-0.014818300, -0.003589400, 0.0262403000, 0.0971367000, 0.2386578000, 0.4962242000, 0.9470810000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0818341000, 0.0867306000, 0.1004277000, 0.1386571000, 0.2455902000, 0.5505721000, 1.4286211000", \
+                        "0.0846807000, 0.0894617000, 0.1032309000, 0.1417232000, 0.2495788000, 0.5553151000, 1.4350769000", \
+                        "0.0937343000, 0.0986598000, 0.1127356000, 0.1513680000, 0.2598005000, 0.5661975000, 1.4453764000", \
+                        "0.1221416000, 0.1268239000, 0.1401532000, 0.1785294000, 0.2859888000, 0.5936474000, 1.4735303000", \
+                        "0.1870806000, 0.1928977000, 0.2079373000, 0.2469413000, 0.3513157000, 0.6607772000, 1.5411797000", \
+                        "0.2931430000, 0.3021253000, 0.3253662000, 0.3841138000, 0.5130201000, 0.8160209000, 1.6963490000", \
+                        "0.4714806000, 0.4841497000, 0.5203987000, 0.6081065000, 0.8046320000, 1.1918719000, 2.0601643000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0271302000, 0.0291179000, 0.0348428000, 0.0514067000, 0.0990824000, 0.2364862000, 0.6343001000", \
+                        "0.0270728000, 0.0290501000, 0.0348112000, 0.0513865000, 0.0989437000, 0.2361088000, 0.6341374000", \
+                        "0.0319334000, 0.0334337000, 0.0378968000, 0.0524807000, 0.0990795000, 0.2361028000, 0.6343665000", \
+                        "0.0467995000, 0.0484578000, 0.0534186000, 0.0659123000, 0.1044442000, 0.2362197000, 0.6341406000", \
+                        "0.0759947000, 0.0779900000, 0.0836371000, 0.0993737000, 0.1385738000, 0.2490606000, 0.6337847000", \
+                        "0.1286285000, 0.1314959000, 0.1395542000, 0.1611897000, 0.2128991000, 0.3278816000, 0.6554654000", \
+                        "0.2214663000, 0.2251100000, 0.2379311000, 0.2688567000, 0.3448621000, 0.5052693000, 0.8229272000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0761138000, 0.0821411000, 0.0993721000, 0.1496950000, 0.2937522000, 0.7106578000, 1.9181785000", \
+                        "0.0759807000, 0.0820037000, 0.0994890000, 0.1494486000, 0.2938463000, 0.7099293000, 1.9193587000", \
+                        "0.0755900000, 0.0817584000, 0.0991945000, 0.1492965000, 0.2936813000, 0.7096602000, 1.9119042000", \
+                        "0.0766757000, 0.0821098000, 0.0984037000, 0.1488819000, 0.2935907000, 0.7105493000, 1.9130819000", \
+                        "0.1027698000, 0.1077609000, 0.1204742000, 0.1609890000, 0.2943774000, 0.7121881000, 1.9127455000", \
+                        "0.1516066000, 0.1588885000, 0.1785447000, 0.2270490000, 0.3396299000, 0.7117802000, 1.9121646000", \
+                        "0.2340882000, 0.2450353000, 0.2752829000, 0.3475464000, 0.4984683000, 0.8203409000, 1.9191428000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0286168000, 0.0302352000, 0.0346828000, 0.0470228000, 0.0814442000, 0.1805408000, 0.4665177000", \
+                        "0.0327393000, 0.0343488000, 0.0388934000, 0.0514307000, 0.0861342000, 0.1853438000, 0.4713586000", \
+                        "0.0404568000, 0.0422719000, 0.0472108000, 0.0601012000, 0.0950977000, 0.1944753000, 0.4806038000", \
+                        "0.0510488000, 0.0535689000, 0.0601617000, 0.0767240000, 0.1144013000, 0.2144196000, 0.5010608000", \
+                        "0.0608858000, 0.0648255000, 0.0754091000, 0.0992794000, 0.1505566000, 0.2594478000, 0.5467063000", \
+                        "0.0578355000, 0.0642254000, 0.0806415000, 0.1190568000, 0.1975495000, 0.3450590000, 0.6504912000", \
+                        "0.0107234000, 0.0209699000, 0.0476450000, 0.1098294000, 0.2351581000, 0.4604083000, 0.8599036000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1082230000, 0.1128985000, 0.1260021000, 0.1629210000, 0.2691893000, 0.5723120000, 1.4489692000", \
+                        "0.1111734000, 0.1157078000, 0.1291604000, 0.1663824000, 0.2728969000, 0.5763287000, 1.4512963000", \
+                        "0.1213297000, 0.1257096000, 0.1390407000, 0.1768964000, 0.2839203000, 0.5877378000, 1.4631052000", \
+                        "0.1481713000, 0.1531951000, 0.1650106000, 0.2029967000, 0.3101978000, 0.6147316000, 1.4891648000", \
+                        "0.2159227000, 0.2207934000, 0.2340453000, 0.2708777000, 0.3760712000, 0.6806518000, 1.5560234000", \
+                        "0.3354750000, 0.3426383000, 0.3623801000, 0.4140233000, 0.5349186000, 0.8361730000, 1.7102157000", \
+                        "0.5304325000, 0.5410480000, 0.5707648000, 0.6471319000, 0.8287259000, 1.1998541000, 2.0650193000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0271085000, 0.0290808000, 0.0348123000, 0.0513670000, 0.0989957000, 0.2360353000, 0.6343288000", \
+                        "0.0271474000, 0.0291171000, 0.0348236000, 0.0513541000, 0.0990049000, 0.2361856000, 0.6340432000", \
+                        "0.0292271000, 0.0309813000, 0.0361743000, 0.0518462000, 0.0990294000, 0.2363313000, 0.6337351000", \
+                        "0.0392879000, 0.0408697000, 0.0455711000, 0.0592449000, 0.1019316000, 0.2360102000, 0.6335341000", \
+                        "0.0617096000, 0.0635309000, 0.0685524000, 0.0827801000, 0.1229871000, 0.2438975000, 0.6335307000", \
+                        "0.1064480000, 0.1087860000, 0.1150951000, 0.1329393000, 0.1779336000, 0.2949763000, 0.6493002000", \
+                        "0.1924056000, 0.1948004000, 0.2029130000, 0.2260323000, 0.2856071000, 0.4205707000, 0.7542081000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0993889000, 0.1051368000, 0.1229124000, 0.1723519000, 0.3160406000, 0.7294441000, 1.9306530000", \
+                        "0.0990583000, 0.1051396000, 0.1224240000, 0.1724492000, 0.3161502000, 0.7304130000, 1.9322841000", \
+                        "0.0992892000, 0.1050649000, 0.1226380000, 0.1723956000, 0.3163194000, 0.7298038000, 1.9323505000", \
+                        "0.0983218000, 0.1043340000, 0.1215959000, 0.1721562000, 0.3169159000, 0.7295715000, 1.9249191000", \
+                        "0.1174946000, 0.1222487000, 0.1366366000, 0.1801790000, 0.3164289000, 0.7307575000, 1.9295123000", \
+                        "0.1700676000, 0.1770157000, 0.1965383000, 0.2435186000, 0.3573708000, 0.7333417000, 1.9313051000", \
+                        "0.2592366000, 0.2695661000, 0.2966724000, 0.3631665000, 0.5132976000, 0.8368187000, 1.9330302000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2o_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2o_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2o_4") {
+        leakage_power () {
+            value : 0.0076036000;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076022000;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0047386000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076036000;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0074979000;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0068503000;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0063325000;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0078283000;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0077883000;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0071407000;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0066229000;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0081189000;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0062568000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0056092000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0050914000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0065873000;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2o";
+        cell_leakage_power : 0.0068295290;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0049000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046580000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0278574000, 0.0276228000, 0.0270821000, 0.0271445000, 0.0272884000, 0.0276201000, 0.0283845000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0053596000, 0.0051463000, 0.0046544000, 0.0048403000, 0.0052688000, 0.0062565000, 0.0085333000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0051410000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0044270000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041430000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0230574000, 0.0227685000, 0.0221024000, 0.0223078000, 0.0227814000, 0.0238730000, 0.0263892000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0020278000, 0.0018581000, 0.0014670000, 0.0016478000, 0.0020646000, 0.0030254000, 0.0052399000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047100000;
+        }
+        pin ("B1") {
+            capacitance : 0.0047680000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045350000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082890000, 0.0082899000, 0.0082921000, 0.0082953000, 0.0083028000, 0.0083200000, 0.0083597000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008313200, -0.008301800, -0.008275700, -0.008277400, -0.008281400, -0.008290600, -0.008311800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050020000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075709000, 0.0075693000, 0.0075654000, 0.0075631000, 0.0075579000, 0.0075459000, 0.0075181000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007572500, -0.007564000, -0.007544600, -0.007541500, -0.007534600, -0.007518600, -0.007481900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045390000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(B1&B2) | (!A1_N&!A2_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0323165000, 0.0305573000, 0.0254494000, 0.0107493000, -0.040389500, -0.213909800, -0.770061800", \
+                        "0.0321204000, 0.0306888000, 0.0254917000, 0.0108620000, -0.040288900, -0.214075000, -0.770238300", \
+                        "0.0322610000, 0.0305085000, 0.0254340000, 0.0107101000, -0.040388100, -0.213945000, -0.770213700", \
+                        "0.0314792000, 0.0298244000, 0.0246320000, 0.0099746000, -0.041052500, -0.214791600, -0.770956700", \
+                        "0.0309119000, 0.0292581000, 0.0241147000, 0.0093729000, -0.041694000, -0.215461100, -0.771551100", \
+                        "0.0366395000, 0.0349030000, 0.0295632000, 0.0127515000, -0.041983000, -0.215972800, -0.772086800", \
+                        "0.0378807000, 0.0361480000, 0.0309127000, 0.0139949000, -0.040290300, -0.215284500, -0.771912200");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0302697000, 0.0322524000, 0.0384436000, 0.0570356000, 0.1132173000, 0.2879976000, 0.8402169000", \
+                        "0.0300439000, 0.0320358000, 0.0382543000, 0.0568404000, 0.1129866000, 0.2876188000, 0.8357736000", \
+                        "0.0301627000, 0.0321360000, 0.0383539000, 0.0569477000, 0.1130572000, 0.2876874000, 0.8359631000", \
+                        "0.0297729000, 0.0317448000, 0.0379566000, 0.0565822000, 0.1126505000, 0.2872521000, 0.8357990000", \
+                        "0.0291298000, 0.0310985000, 0.0373014000, 0.0558740000, 0.1119945000, 0.2866992000, 0.8350848000", \
+                        "0.0281262000, 0.0299588000, 0.0356076000, 0.0549394000, 0.1109248000, 0.2856672000, 0.8340111000", \
+                        "0.0293831000, 0.0312189000, 0.0370126000, 0.0551023000, 0.1113418000, 0.2868924000, 0.8360326000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0329228000, 0.0311826000, 0.0260438000, 0.0114195000, -0.039646600, -0.213383200, -0.769864800", \
+                        "0.0330128000, 0.0313357000, 0.0262189000, 0.0115946000, -0.039561900, -0.213461800, -0.769781600", \
+                        "0.0328731000, 0.0310996000, 0.0261315000, 0.0113556000, -0.039655400, -0.213413100, -0.769925300", \
+                        "0.0320358000, 0.0302668000, 0.0251452000, 0.0105192000, -0.040631400, -0.214228000, -0.770647100", \
+                        "0.0311774000, 0.0295234000, 0.0243369000, 0.0097811000, -0.041346100, -0.215129400, -0.771397000", \
+                        "0.0370681000, 0.0353011000, 0.0299705000, 0.0130435000, -0.041493700, -0.215720300, -0.772033300", \
+                        "0.0383221000, 0.0366337000, 0.0314170000, 0.0144458000, -0.040084000, -0.214698200, -0.771550400");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0296997000, 0.0316812000, 0.0378923000, 0.0564860000, 0.1125915000, 0.2872007000, 0.8351577000", \
+                        "0.0294611000, 0.0314483000, 0.0376442000, 0.0562531000, 0.1124456000, 0.2870244000, 0.8391289000", \
+                        "0.0295965000, 0.0315860000, 0.0377944000, 0.0564027000, 0.1125696000, 0.2872508000, 0.8355389000", \
+                        "0.0290149000, 0.0309834000, 0.0371948000, 0.0557828000, 0.1119185000, 0.2865432000, 0.8346786000", \
+                        "0.0282360000, 0.0302220000, 0.0364318000, 0.0550049000, 0.1110828000, 0.2857607000, 0.8338320000", \
+                        "0.0276289000, 0.0294400000, 0.0351152000, 0.0542422000, 0.1103715000, 0.2848539000, 0.8342153000", \
+                        "0.0291957000, 0.0311059000, 0.0367890000, 0.0548255000, 0.1107809000, 0.2859858000, 0.8354000000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0351194000, 0.0333439000, 0.0283012000, 0.0135748000, -0.037599700, -0.211312100, -0.767726900", \
+                        "0.0349394000, 0.0332931000, 0.0280223000, 0.0133685000, -0.037760800, -0.211604500, -0.768227100", \
+                        "0.0346374000, 0.0328901000, 0.0277233000, 0.0130711000, -0.038061400, -0.211902600, -0.768478300", \
+                        "0.0343079000, 0.0326521000, 0.0275306000, 0.0127341000, -0.038341200, -0.212226800, -0.768709600", \
+                        "0.0340671000, 0.0323479000, 0.0271497000, 0.0123674000, -0.038891900, -0.212764300, -0.769032500", \
+                        "0.0331015000, 0.0313257000, 0.0259739000, 0.0114010000, -0.039502000, -0.212877300, -0.769138100", \
+                        "0.0414386000, 0.0394852000, 0.0336185000, 0.0160219000, -0.038933000, -0.213276200, -0.768919800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0345718000, 0.0364822000, 0.0425960000, 0.0610973000, 0.1176301000, 0.2928181000, 0.8442256000", \
+                        "0.0342924000, 0.0362255000, 0.0422004000, 0.0608859000, 0.1174591000, 0.2927641000, 0.8435968000", \
+                        "0.0339279000, 0.0358574000, 0.0419247000, 0.0605120000, 0.1170625000, 0.2921882000, 0.8436940000", \
+                        "0.0336661000, 0.0355668000, 0.0414538000, 0.0599095000, 0.1164864000, 0.2917010000, 0.8431427000", \
+                        "0.0333716000, 0.0353475000, 0.0412681000, 0.0594459000, 0.1156060000, 0.2911183000, 0.8420561000", \
+                        "0.0344605000, 0.0362440000, 0.0419673000, 0.0594305000, 0.1150235000, 0.2896430000, 0.8421426000", \
+                        "0.0364945000, 0.0381598000, 0.0437974000, 0.0614359000, 0.1168876000, 0.2918859000, 0.8409365000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0298508000, 0.0282418000, 0.0231228000, 0.0085247000, -0.042607500, -0.216574600, -0.773317600", \
+                        "0.0294205000, 0.0279571000, 0.0227780000, 0.0083196000, -0.042854400, -0.216978200, -0.773584800", \
+                        "0.0291404000, 0.0275188000, 0.0224035000, 0.0078045000, -0.043328500, -0.217411500, -0.774013200", \
+                        "0.0286944000, 0.0270479000, 0.0219157000, 0.0072164000, -0.043925100, -0.217875100, -0.774458300", \
+                        "0.0284434000, 0.0267870000, 0.0216217000, 0.0067385000, -0.044476200, -0.218331200, -0.774921600", \
+                        "0.0286302000, 0.0267110000, 0.0214447000, 0.0057924000, -0.044888100, -0.218556100, -0.774927000", \
+                        "0.0358400000, 0.0338557000, 0.0280113000, 0.0104445000, -0.044370100, -0.218827300, -0.774650000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0339403000, 0.0358929000, 0.0419667000, 0.0604432000, 0.1170041000, 0.2919003000, 0.8439185000", \
+                        "0.0336213000, 0.0355477000, 0.0416143000, 0.0602418000, 0.1168625000, 0.2921273000, 0.8427336000", \
+                        "0.0333217000, 0.0352559000, 0.0413260000, 0.0599084000, 0.1164473000, 0.2916929000, 0.8433081000", \
+                        "0.0331914000, 0.0350902000, 0.0409995000, 0.0593003000, 0.1157805000, 0.2910394000, 0.8426510000", \
+                        "0.0330275000, 0.0348660000, 0.0408315000, 0.0586907000, 0.1150819000, 0.2905472000, 0.8413806000", \
+                        "0.0345343000, 0.0363097000, 0.0418899000, 0.0596473000, 0.1144322000, 0.2894923000, 0.8414125000", \
+                        "0.0370730000, 0.0387354000, 0.0442726000, 0.0615688000, 0.1172539000, 0.2918811000, 0.8409611000");
+                }
+            }
+            max_capacitance : 0.5026340000;
+            max_transition : 1.5045660000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1812610000, 0.1852033000, 0.1952684000, 0.2175679000, 0.2638536000, 0.3705279000, 0.6698899000", \
+                        "0.1858589000, 0.1897823000, 0.1998833000, 0.2222378000, 0.2686923000, 0.3753406000, 0.6750271000", \
+                        "0.1962532000, 0.2001951000, 0.2102637000, 0.2325911000, 0.2788858000, 0.3855981000, 0.6848581000", \
+                        "0.2139762000, 0.2179139000, 0.2279842000, 0.2501680000, 0.2967764000, 0.4034162000, 0.7032850000", \
+                        "0.2347944000, 0.2386233000, 0.2485787000, 0.2708004000, 0.3172033000, 0.4242022000, 0.7234984000", \
+                        "0.2528099000, 0.2567236000, 0.2667510000, 0.2890031000, 0.3355522000, 0.4421618000, 0.7417389000", \
+                        "0.2441395000, 0.2480549000, 0.2581288000, 0.2803808000, 0.3269595000, 0.4338373000, 0.7336718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1824226000, 0.1860255000, 0.1956822000, 0.2213225000, 0.2947436000, 0.5205480000, 1.2368203000", \
+                        "0.1867734000, 0.1903485000, 0.2000419000, 0.2256768000, 0.2991186000, 0.5247163000, 1.2371056000", \
+                        "0.1990939000, 0.2026934000, 0.2123735000, 0.2380258000, 0.3115148000, 0.5372138000, 1.2494737000", \
+                        "0.2264985000, 0.2300219000, 0.2397595000, 0.2653641000, 0.3388854000, 0.5646577000, 1.2771347000", \
+                        "0.2841544000, 0.2877657000, 0.2974600000, 0.3231024000, 0.3965533000, 0.6222400000, 1.3348850000", \
+                        "0.3865151000, 0.3901513000, 0.4000870000, 0.4259103000, 0.4993993000, 0.7254375000, 1.4385320000", \
+                        "0.5620023000, 0.5660107000, 0.5763886000, 0.6025792000, 0.6765027000, 0.9025882000, 1.6156780000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272758000, 0.0296727000, 0.0360078000, 0.0508259000, 0.0910198000, 0.2086603000, 0.6017411000", \
+                        "0.0275356000, 0.0295664000, 0.0357445000, 0.0510662000, 0.0910329000, 0.2084289000, 0.6008078000", \
+                        "0.0272592000, 0.0296384000, 0.0358992000, 0.0509376000, 0.0910643000, 0.2086215000, 0.5988084000", \
+                        "0.0272169000, 0.0295094000, 0.0356818000, 0.0516760000, 0.0910885000, 0.2086468000, 0.6010752000", \
+                        "0.0271890000, 0.0295709000, 0.0360172000, 0.0508740000, 0.0911764000, 0.2086268000, 0.5982201000", \
+                        "0.0272823000, 0.0295988000, 0.0357263000, 0.0508421000, 0.0908837000, 0.2076126000, 0.6015757000", \
+                        "0.0274010000, 0.0296989000, 0.0363008000, 0.0513626000, 0.0910893000, 0.2087313000, 0.6007771000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0202336000, 0.0232279000, 0.0324526000, 0.0624682000, 0.1616113000, 0.4827844000, 1.5032696000", \
+                        "0.0202150000, 0.0231697000, 0.0324161000, 0.0624597000, 0.1617578000, 0.4827989000, 1.5000770000", \
+                        "0.0202620000, 0.0232406000, 0.0324581000, 0.0623352000, 0.1619234000, 0.4826979000, 1.5004742000", \
+                        "0.0202755000, 0.0232055000, 0.0324603000, 0.0623458000, 0.1620417000, 0.4825131000, 1.5020135000", \
+                        "0.0204634000, 0.0234344000, 0.0326253000, 0.0624816000, 0.1620069000, 0.4828038000, 1.5017680000", \
+                        "0.0215738000, 0.0244295000, 0.0335427000, 0.0630982000, 0.1619973000, 0.4824850000, 1.4991157000", \
+                        "0.0240575000, 0.0268462000, 0.0355111000, 0.0642467000, 0.1631057000, 0.4822050000, 1.4986121000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1822825000, 0.1862167000, 0.1962834000, 0.2185939000, 0.2652104000, 0.3717345000, 0.6713780000", \
+                        "0.1868372000, 0.1907816000, 0.2008805000, 0.2232203000, 0.2697154000, 0.3765788000, 0.6766055000", \
+                        "0.1964574000, 0.2003889000, 0.2104753000, 0.2327846000, 0.2792445000, 0.3859301000, 0.6856138000", \
+                        "0.2113896000, 0.2153215000, 0.2253858000, 0.2477037000, 0.2941588000, 0.4010264000, 0.7011700000", \
+                        "0.2279148000, 0.2317978000, 0.2418286000, 0.2641193000, 0.3105803000, 0.4174112000, 0.7172130000", \
+                        "0.2369783000, 0.2408986000, 0.2509851000, 0.2732104000, 0.3196493000, 0.4268016000, 0.7269091000", \
+                        "0.2160743000, 0.2200039000, 0.2300966000, 0.2524422000, 0.2987782000, 0.4059462000, 0.7062007000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1655555000, 0.1691459000, 0.1788201000, 0.2044676000, 0.2779781000, 0.5038446000, 1.2159201000", \
+                        "0.1684302000, 0.1719607000, 0.1816799000, 0.2072486000, 0.2805023000, 0.5072162000, 1.2218718000", \
+                        "0.1786103000, 0.1821955000, 0.1918917000, 0.2175345000, 0.2909892000, 0.5165509000, 1.2292439000", \
+                        "0.2075203000, 0.2111209000, 0.2207970000, 0.2464456000, 0.3199261000, 0.5455973000, 1.2581258000", \
+                        "0.2728460000, 0.2764479000, 0.2861684000, 0.3118189000, 0.3852970000, 0.6110517000, 1.3235582000", \
+                        "0.3851472000, 0.3888103000, 0.3986720000, 0.4244023000, 0.4980326000, 0.7241714000, 1.4364657000", \
+                        "0.5752232000, 0.5792071000, 0.5896179000, 0.6158729000, 0.6895162000, 0.9157875000, 1.6282844000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272993000, 0.0296853000, 0.0358498000, 0.0509247000, 0.0904921000, 0.2088181000, 0.6016163000", \
+                        "0.0271602000, 0.0295058000, 0.0356824000, 0.0509440000, 0.0909749000, 0.2085710000, 0.6012919000", \
+                        "0.0272910000, 0.0296682000, 0.0359575000, 0.0510633000, 0.0909354000, 0.2088417000, 0.6013569000", \
+                        "0.0272873000, 0.0296464000, 0.0358130000, 0.0509477000, 0.0910013000, 0.2088441000, 0.6007365000", \
+                        "0.0273778000, 0.0296528000, 0.0356064000, 0.0512050000, 0.0911795000, 0.2087047000, 0.5999983000", \
+                        "0.0276008000, 0.0297946000, 0.0359221000, 0.0514218000, 0.0911216000, 0.2089825000, 0.6018152000", \
+                        "0.0277500000, 0.0301583000, 0.0360224000, 0.0514395000, 0.0912500000, 0.2091380000, 0.6015667000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0202436000, 0.0232260000, 0.0324498000, 0.0623287000, 0.1620334000, 0.4824611000, 1.4944298000", \
+                        "0.0201850000, 0.0231806000, 0.0324696000, 0.0622199000, 0.1619947000, 0.4824139000, 1.5022836000", \
+                        "0.0202346000, 0.0231790000, 0.0324096000, 0.0624588000, 0.1617336000, 0.4828315000, 1.5016131000", \
+                        "0.0202398000, 0.0232302000, 0.0324152000, 0.0624434000, 0.1618740000, 0.4827348000, 1.4998565000", \
+                        "0.0205044000, 0.0234190000, 0.0326399000, 0.0624228000, 0.1619002000, 0.4827233000, 1.4993775000", \
+                        "0.0216136000, 0.0245511000, 0.0335465000, 0.0629855000, 0.1626201000, 0.4812334000, 1.5018957000", \
+                        "0.0245481000, 0.0274302000, 0.0359098000, 0.0643876000, 0.1628658000, 0.4824696000, 1.4966000000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1832677000, 0.1871899000, 0.1972930000, 0.2195867000, 0.2657564000, 0.3723799000, 0.6715818000", \
+                        "0.1884592000, 0.1923844000, 0.2024134000, 0.2247268000, 0.2713312000, 0.3777033000, 0.6768715000", \
+                        "0.2012875000, 0.2051929000, 0.2152417000, 0.2375440000, 0.2841492000, 0.3905490000, 0.6896697000", \
+                        "0.2294062000, 0.2333433000, 0.2433886000, 0.2654468000, 0.3119119000, 0.4186300000, 0.7179067000", \
+                        "0.2899330000, 0.2938747000, 0.3039225000, 0.3262085000, 0.3727103000, 0.4795057000, 0.7791087000", \
+                        "0.4078901000, 0.4121949000, 0.4233002000, 0.4475785000, 0.4968193000, 0.6057806000, 0.9058223000", \
+                        "0.6075947000, 0.6129514000, 0.6263973000, 0.6557050000, 0.7122333000, 0.8294899000, 1.1332602000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0874570000, 0.0912668000, 0.1016947000, 0.1285348000, 0.2031202000, 0.4307015000, 1.1446318000", \
+                        "0.0916983000, 0.0955198000, 0.1058678000, 0.1328092000, 0.2074045000, 0.4346563000, 1.1491892000", \
+                        "0.1008996000, 0.1047587000, 0.1151176000, 0.1420274000, 0.2166610000, 0.4441100000, 1.1582209000", \
+                        "0.1216649000, 0.1254562000, 0.1357051000, 0.1624611000, 0.2368858000, 0.4644932000, 1.1809712000", \
+                        "0.1575424000, 0.1615903000, 0.1723703000, 0.1998444000, 0.2744607000, 0.5027982000, 1.2157336000", \
+                        "0.2028766000, 0.2076627000, 0.2199482000, 0.2488787000, 0.3240270000, 0.5515226000, 1.2681981000", \
+                        "0.2372363000, 0.2435110000, 0.2592370000, 0.2933702000, 0.3713470000, 0.5992233000, 1.3124750000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272731000, 0.0296895000, 0.0357828000, 0.0507589000, 0.0911377000, 0.2086786000, 0.6013721000", \
+                        "0.0272286000, 0.0295815000, 0.0358464000, 0.0510711000, 0.0905280000, 0.2086257000, 0.6005728000", \
+                        "0.0273304000, 0.0297067000, 0.0358527000, 0.0510310000, 0.0904901000, 0.2086234000, 0.6006809000", \
+                        "0.0272012000, 0.0295235000, 0.0356983000, 0.0513976000, 0.0911446000, 0.2087204000, 0.5988943000", \
+                        "0.0275334000, 0.0296876000, 0.0357807000, 0.0510464000, 0.0906990000, 0.2086315000, 0.6002530000", \
+                        "0.0327861000, 0.0355581000, 0.0415285000, 0.0574785000, 0.0961118000, 0.2120244000, 0.6009643000", \
+                        "0.0447861000, 0.0476984000, 0.0550899000, 0.0715436000, 0.1110040000, 0.2233819000, 0.6017117000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0209540000, 0.0242483000, 0.0341076000, 0.0646292000, 0.1639472000, 0.4862745000, 1.5041085000", \
+                        "0.0208504000, 0.0242109000, 0.0341468000, 0.0645977000, 0.1639251000, 0.4859760000, 1.5042531000", \
+                        "0.0210096000, 0.0242758000, 0.0341581000, 0.0645560000, 0.1639896000, 0.4858194000, 1.5044034000", \
+                        "0.0210204000, 0.0243200000, 0.0343614000, 0.0647621000, 0.1641279000, 0.4854330000, 1.5042104000", \
+                        "0.0235496000, 0.0268917000, 0.0366359000, 0.0662944000, 0.1642557000, 0.4859042000, 1.5018760000", \
+                        "0.0295181000, 0.0327747000, 0.0423258000, 0.0708572000, 0.1661045000, 0.4838154000, 1.5042033000", \
+                        "0.0406088000, 0.0446235000, 0.0547955000, 0.0819446000, 0.1702493000, 0.4874301000, 1.5001632000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1702127000, 0.1741091000, 0.1840822000, 0.2065363000, 0.2533614000, 0.3612158000, 0.6607177000", \
+                        "0.1750437000, 0.1788742000, 0.1888635000, 0.2113796000, 0.2583751000, 0.3660531000, 0.6657034000", \
+                        "0.1872954000, 0.1911535000, 0.2010708000, 0.2235583000, 0.2705599000, 0.3781002000, 0.6779639000", \
+                        "0.2150837000, 0.2189451000, 0.2289053000, 0.2512846000, 0.2981699000, 0.4060560000, 0.7057155000", \
+                        "0.2755499000, 0.2793739000, 0.2893368000, 0.3116957000, 0.3586855000, 0.4665607000, 0.7663435000", \
+                        "0.3909991000, 0.3953231000, 0.4063924000, 0.4309555000, 0.4812717000, 0.5917445000, 0.8927923000", \
+                        "0.5894414000, 0.5946347000, 0.6080097000, 0.6374939000, 0.6955500000, 0.8153180000, 1.1193858000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0822472000, 0.0860652000, 0.0964554000, 0.1233319000, 0.1979896000, 0.4249381000, 1.1394222000", \
+                        "0.0862175000, 0.0900196000, 0.1003956000, 0.1272997000, 0.2018228000, 0.4300120000, 1.1425511000", \
+                        "0.0962197000, 0.1000743000, 0.1104338000, 0.1373281000, 0.2119496000, 0.4394332000, 1.1537176000", \
+                        "0.1195205000, 0.1232833000, 0.1335707000, 0.1601844000, 0.2346224000, 0.4620599000, 1.1789925000", \
+                        "0.1555624000, 0.1595543000, 0.1703106000, 0.1974903000, 0.2719112000, 0.5006506000, 1.2134276000", \
+                        "0.1960956000, 0.2009849000, 0.2131793000, 0.2417964000, 0.3161753000, 0.5438435000, 1.2580605000", \
+                        "0.2173330000, 0.2237495000, 0.2398292000, 0.2739040000, 0.3508231000, 0.5774936000, 1.2917118000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0266137000, 0.0289259000, 0.0352627000, 0.0514061000, 0.0918973000, 0.2096584000, 0.6014580000", \
+                        "0.0264699000, 0.0288846000, 0.0352264000, 0.0511827000, 0.0920069000, 0.2097849000, 0.5986128000", \
+                        "0.0267024000, 0.0288242000, 0.0354694000, 0.0511405000, 0.0920107000, 0.2100717000, 0.5999802000", \
+                        "0.0266235000, 0.0289143000, 0.0353763000, 0.0511613000, 0.0920282000, 0.2101115000, 0.6011577000", \
+                        "0.0267299000, 0.0291147000, 0.0355821000, 0.0510656000, 0.0919617000, 0.2099276000, 0.6002916000", \
+                        "0.0324466000, 0.0349604000, 0.0422635000, 0.0583436000, 0.0976720000, 0.2138224000, 0.6013415000", \
+                        "0.0449421000, 0.0478249000, 0.0556585000, 0.0728937000, 0.1143831000, 0.2270420000, 0.6038857000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0208685000, 0.0242575000, 0.0341108000, 0.0645947000, 0.1641248000, 0.4846235000, 1.5045658000", \
+                        "0.0209097000, 0.0243180000, 0.0341864000, 0.0646124000, 0.1640981000, 0.4856112000, 1.5013609000", \
+                        "0.0209883000, 0.0242255000, 0.0341365000, 0.0645834000, 0.1638339000, 0.4858472000, 1.5044791000", \
+                        "0.0210857000, 0.0243931000, 0.0344188000, 0.0647935000, 0.1638821000, 0.4852158000, 1.5023398000", \
+                        "0.0239007000, 0.0271725000, 0.0367082000, 0.0666904000, 0.1645811000, 0.4855289000, 1.5005689000", \
+                        "0.0311271000, 0.0342270000, 0.0432145000, 0.0709573000, 0.1664819000, 0.4845334000, 1.5018551000", \
+                        "0.0435989000, 0.0474942000, 0.0573894000, 0.0833269000, 0.1704882000, 0.4876212000, 1.5008146000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2oi_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2oi_4") {
+        leakage_power () {
+            value : 0.0083212000;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0083169000;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0048861000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0083212000;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0080573000;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0108887000;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0074817000;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0088884000;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0068163000;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0116828000;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0062407000;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076474000;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044426000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0073549000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038670000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052738000;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
+        cell_leakage_power : 0.0074054400;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0087620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0556216000, 0.0551134000, 0.0539421000, 0.0540220000, 0.0542062000, 0.0546308000, 0.0556096000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0102057000, 0.0097930000, 0.0088418000, 0.0091615000, 0.0098984000, 0.0115970000, 0.0155127000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092290000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0087550000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0456988000, 0.0451550000, 0.0439016000, 0.0442933000, 0.0451960000, 0.0472768000, 0.0520733000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025406000, 0.0022623000, 0.0016208000, 0.0019459000, 0.0026952000, 0.0044224000, 0.0084035000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0094240000;
+        }
+        pin ("B1") {
+            capacitance : 0.0091800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162435000, 0.0162423000, 0.0162396000, 0.0162417000, 0.0162466000, 0.0162579000, 0.0162838000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016243600, -0.016237200, -0.016222700, -0.016220000, -0.016213700, -0.016199500, -0.016166400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096330000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0150595000, 0.0150451000, 0.0150119000, 0.0150187000, 0.0150344000, 0.0150706000, 0.0151539000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015015300, -0.015015000, -0.015014300, -0.015018300, -0.015027700, -0.015049300, -0.015099000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088480000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0042095000, 0.0032138000, 0.0003528000, -0.008360100, -0.035893700, -0.118840300, -0.352577300", \
+                        "0.0038274000, 0.0028333000, -7.10000e-05, -0.008767700, -0.036248700, -0.119195100, -0.352896400", \
+                        "0.0042324000, 0.0031486000, 0.0003082000, -0.008358200, -0.035944900, -0.118870800, -0.352550700", \
+                        "0.0035245000, 0.0023801000, -0.000453500, -0.009236300, -0.036691700, -0.119593400, -0.353146500", \
+                        "0.0025703000, 0.0015154000, -0.001572100, -0.010197300, -0.037648800, -0.120360700, -0.353886600", \
+                        "0.0033758000, 0.0020357000, -0.001726400, -0.012135400, -0.039514200, -0.121181300, -0.354359100", \
+                        "0.0069495000, 0.0055306000, 0.0016169000, -0.008855200, -0.038479900, -0.121654200, -0.353513600");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0334924000, 0.0350264000, 0.0389766000, 0.0500108000, 0.0802687000, 0.1635932000, 0.3949988000", \
+                        "0.0335161000, 0.0349175000, 0.0389533000, 0.0499614000, 0.0801835000, 0.1635314000, 0.3945959000", \
+                        "0.0338304000, 0.0354048000, 0.0393652000, 0.0504722000, 0.0807662000, 0.1641805000, 0.3952607000", \
+                        "0.0324591000, 0.0338924000, 0.0379431000, 0.0490892000, 0.0794901000, 0.1630454000, 0.3940847000", \
+                        "0.0310210000, 0.0324964000, 0.0365645000, 0.0477882000, 0.0783073000, 0.1620062000, 0.3934014000", \
+                        "0.0316158000, 0.0330356000, 0.0367255000, 0.0473150000, 0.0780184000, 0.1616054000, 0.3927758000", \
+                        "0.0331312000, 0.0344679000, 0.0383731000, 0.0490924000, 0.0797538000, 0.1625968000, 0.3935406000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0041475000, 0.0030910000, 0.0002624000, -0.008401600, -0.035941800, -0.118858800, -0.352531500", \
+                        "0.0037101000, 0.0027330000, -0.000153200, -0.008791100, -0.036290800, -0.119205100, -0.352848200", \
+                        "0.0042600000, 0.0032083000, 0.0002947000, -0.008316600, -0.035910800, -0.118768000, -0.352411000", \
+                        "0.0031676000, 0.0020434000, -0.000943900, -0.009578700, -0.037127400, -0.119869500, -0.353404800", \
+                        "0.0023466000, 0.0012556000, -0.001802700, -0.010638500, -0.038113800, -0.120580500, -0.353997500", \
+                        "0.0048764000, 0.0034060000, -0.000580600, -0.010818000, -0.039116600, -0.120470000, -0.353611300", \
+                        "0.0071417000, 0.0056644000, 0.0016576000, -0.009093000, -0.038468800, -0.121886700, -0.353522500");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0353345000, 0.0369507000, 0.0410513000, 0.0523849000, 0.0828736000, 0.1664484000, 0.3976297000", \
+                        "0.0352438000, 0.0368562000, 0.0410291000, 0.0524049000, 0.0829573000, 0.1666217000, 0.3978114000", \
+                        "0.0349204000, 0.0364354000, 0.0405986000, 0.0520067000, 0.0828839000, 0.1666353000, 0.3976491000", \
+                        "0.0331782000, 0.0346729000, 0.0387868000, 0.0501771000, 0.0811024000, 0.1651131000, 0.3964303000", \
+                        "0.0313580000, 0.0328417000, 0.0369804000, 0.0482078000, 0.0792116000, 0.1636365000, 0.3950165000", \
+                        "0.0314669000, 0.0329266000, 0.0369969000, 0.0478610000, 0.0783138000, 0.1624937000, 0.3946137000", \
+                        "0.0336754000, 0.0354174000, 0.0390921000, 0.0503073000, 0.0806163000, 0.1635643000, 0.3955040000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0188025000, 0.0173796000, 0.0134668000, 0.0025939000, -0.027609900, -0.111751800, -0.345486200", \
+                        "0.0182571000, 0.0168573000, 0.0129470000, 0.0021249000, -0.028011200, -0.112158300, -0.345777500", \
+                        "0.0175590000, 0.0161776000, 0.0123099000, 0.0015139000, -0.028498000, -0.112509200, -0.346171700", \
+                        "0.0166388000, 0.0152812000, 0.0114281000, 0.0008765000, -0.029033900, -0.112873000, -0.346419500", \
+                        "0.0164281000, 0.0150023000, 0.0110539000, 0.0002002000, -0.029596000, -0.113063700, -0.346514200", \
+                        "0.0173950000, 0.0159458000, 0.0119028000, 0.0009335000, -0.029243700, -0.113776900, -0.346834900", \
+                        "0.0204892000, 0.0190201000, 0.0149147000, 0.0035289000, -0.027429000, -0.112413000, -0.346700800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0389041000, 0.0403591000, 0.0444189000, 0.0553943000, 0.0857182000, 0.1693192000, 0.4005907000", \
+                        "0.0382415000, 0.0396794000, 0.0437150000, 0.0549191000, 0.0853324000, 0.1691159000, 0.4000705000", \
+                        "0.0374959000, 0.0389422000, 0.0430293000, 0.0542859000, 0.0848740000, 0.1686979000, 0.4002774000", \
+                        "0.0369872000, 0.0384263000, 0.0425134000, 0.0536420000, 0.0842719000, 0.1682973000, 0.3996023000", \
+                        "0.0365922000, 0.0380343000, 0.0420177000, 0.0530429000, 0.0835653000, 0.1674131000, 0.3992191000", \
+                        "0.0363929000, 0.0378403000, 0.0418723000, 0.0530163000, 0.0835863000, 0.1674232000, 0.3986968000", \
+                        "0.0367316000, 0.0381439000, 0.0419625000, 0.0526621000, 0.0836553000, 0.1677354000, 0.3994072000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0179066000, 0.0164941000, 0.0125875000, 0.0016944000, -0.028449400, -0.112538900, -0.346421500", \
+                        "0.0173742000, 0.0159766000, 0.0120969000, 0.0012751000, -0.028801200, -0.112963200, -0.346683000", \
+                        "0.0166598000, 0.0152741000, 0.0114746000, 0.0007173000, -0.029328600, -0.113226200, -0.347041400", \
+                        "0.0156538000, 0.0143115000, 0.0105567000, -5.90000e-06, -0.029833300, -0.113619400, -0.347189200", \
+                        "0.0159089000, 0.0145385000, 0.0107553000, -8.77000e-05, -0.029957700, -0.113621700, -0.347191900", \
+                        "0.0175552000, 0.0161034000, 0.0121141000, 0.0006538000, -0.029623400, -0.113753200, -0.347285100", \
+                        "0.0223660000, 0.0207969000, 0.0165358000, 0.0049942000, -0.025915400, -0.110834900, -0.346256800");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0271960000, 0.0288229000, 0.0330934000, 0.0444360000, 0.0749256000, 0.1585417000, 0.3897718000", \
+                        "0.0263053000, 0.0279172000, 0.0322151000, 0.0438625000, 0.0745589000, 0.1584570000, 0.3898368000", \
+                        "0.0255591000, 0.0271530000, 0.0313318000, 0.0428018000, 0.0739841000, 0.1586611000, 0.3930156000", \
+                        "0.0251669000, 0.0266493000, 0.0306990000, 0.0420717000, 0.0728006000, 0.1575359000, 0.3892952000", \
+                        "0.0247442000, 0.0262193000, 0.0302501000, 0.0412850000, 0.0719877000, 0.1562817000, 0.3884458000", \
+                        "0.0246407000, 0.0260757000, 0.0300558000, 0.0412428000, 0.0719633000, 0.1560336000, 0.3875943000", \
+                        "0.0251487000, 0.0264818000, 0.0300989000, 0.0407907000, 0.0717313000, 0.1561475000, 0.3877962000");
+                }
+            }
+            max_capacitance : 0.2261450000;
+            max_transition : 1.4947280000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.1354253000, 0.1378961000, 0.1438628000, 0.1572142000, 0.1846833000, 0.2430794000, 0.3822626000", \
+                        "0.1393996000, 0.1416599000, 0.1479257000, 0.1611871000, 0.1886811000, 0.2471217000, 0.3863370000", \
+                        "0.1514291000, 0.1539181000, 0.1596362000, 0.1730668000, 0.2006474000, 0.2590816000, 0.3983149000", \
+                        "0.1788453000, 0.1813406000, 0.1871256000, 0.2003458000, 0.2279930000, 0.2862846000, 0.4257093000", \
+                        "0.2349268000, 0.2373393000, 0.2435162000, 0.2571300000, 0.2852441000, 0.3440507000, 0.4834779000", \
+                        "0.3328479000, 0.3356704000, 0.3426917000, 0.3578970000, 0.3888999000, 0.4517941000, 0.5944993000", \
+                        "0.4994719000, 0.5029067000, 0.5112846000, 0.5302941000, 0.5670820000, 0.6374808000, 0.7851451000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0947069000, 0.0989362000, 0.1095689000, 0.1393114000, 0.2197788000, 0.4411359000, 1.0542964000", \
+                        "0.0993493000, 0.1032951000, 0.1144162000, 0.1441753000, 0.2245696000, 0.4459459000, 1.0598052000", \
+                        "0.1099726000, 0.1143020000, 0.1250314000, 0.1550168000, 0.2357708000, 0.4571896000, 1.0699384000", \
+                        "0.1293784000, 0.1333044000, 0.1444736000, 0.1742728000, 0.2553444000, 0.4769940000, 1.0899719000", \
+                        "0.1521973000, 0.1561657000, 0.1672431000, 0.1975182000, 0.2790005000, 0.5008583000, 1.1138149000", \
+                        "0.1730955000, 0.1770984000, 0.1879411000, 0.2174145000, 0.2988352000, 0.5213879000, 1.1343501000", \
+                        "0.1667251000, 0.1701478000, 0.1812752000, 0.2106847000, 0.2915621000, 0.5143921000, 1.1287483000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0341067000, 0.0351732000, 0.0381042000, 0.0466836000, 0.0701567000, 0.1328159000, 0.3111436000", \
+                        "0.0341671000, 0.0351510000, 0.0381613000, 0.0467479000, 0.0701247000, 0.1330306000, 0.3110475000", \
+                        "0.0341147000, 0.0351506000, 0.0381415000, 0.0466071000, 0.0701583000, 0.1330106000, 0.3110454000", \
+                        "0.0341435000, 0.0351754000, 0.0381169000, 0.0467746000, 0.0700864000, 0.1326463000, 0.3109394000", \
+                        "0.0365273000, 0.0374604000, 0.0402510000, 0.0485788000, 0.0713470000, 0.1334548000, 0.3109187000", \
+                        "0.0436890000, 0.0446433000, 0.0474539000, 0.0558847000, 0.0790901000, 0.1407304000, 0.3150951000", \
+                        "0.0593999000, 0.0602694000, 0.0630755000, 0.0715482000, 0.0943359000, 0.1533546000, 0.3224956000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0613919000, 0.0667214000, 0.0813321000, 0.1216061000, 0.2319784000, 0.5350451000, 1.3766649000", \
+                        "0.0614467000, 0.0666581000, 0.0813963000, 0.1214246000, 0.2315334000, 0.5356692000, 1.3796920000", \
+                        "0.0613849000, 0.0667104000, 0.0813282000, 0.1216071000, 0.2318740000, 0.5354455000, 1.3769286000", \
+                        "0.0614498000, 0.0666385000, 0.0813133000, 0.1214349000, 0.2316130000, 0.5367731000, 1.3794761000", \
+                        "0.0615396000, 0.0669005000, 0.0814815000, 0.1223547000, 0.2320890000, 0.5364329000, 1.3774069000", \
+                        "0.0623876000, 0.0676332000, 0.0820325000, 0.1220798000, 0.2326222000, 0.5358224000, 1.3792185000", \
+                        "0.0674961000, 0.0726155000, 0.0859048000, 0.1237570000, 0.2328732000, 0.5364806000, 1.3764330000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.1131290000, 0.1156881000, 0.1216988000, 0.1350429000, 0.1624224000, 0.2207372000, 0.3601275000", \
+                        "0.1157843000, 0.1181749000, 0.1241559000, 0.1375062000, 0.1651635000, 0.2236500000, 0.3628974000", \
+                        "0.1262453000, 0.1286670000, 0.1346483000, 0.1477774000, 0.1753955000, 0.2336683000, 0.3730196000", \
+                        "0.1541685000, 0.1565537000, 0.1625054000, 0.1756649000, 0.2034929000, 0.2617129000, 0.4010846000", \
+                        "0.2181547000, 0.2206477000, 0.2263781000, 0.2401365000, 0.2683724000, 0.3274165000, 0.4670324000", \
+                        "0.3234562000, 0.3265004000, 0.3338487000, 0.3494389000, 0.3802113000, 0.4420172000, 0.5854506000", \
+                        "0.4975127000, 0.5012540000, 0.5105069000, 0.5302769000, 0.5675434000, 0.6349522000, 0.7787422000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0919634000, 0.0962175000, 0.1076468000, 0.1382918000, 0.2199534000, 0.4421294000, 1.0557752000", \
+                        "0.0963274000, 0.1007890000, 0.1121730000, 0.1428790000, 0.2245953000, 0.4468880000, 1.0609152000", \
+                        "0.1058959000, 0.1100450000, 0.1217226000, 0.1525565000, 0.2346388000, 0.4575244000, 1.0712974000", \
+                        "0.1208086000, 0.1249363000, 0.1362545000, 0.1670394000, 0.2495069000, 0.4732882000, 1.0871862000", \
+                        "0.1373971000, 0.1414660000, 0.1528766000, 0.1831972000, 0.2661202000, 0.4901287000, 1.1045654000", \
+                        "0.1490993000, 0.1530869000, 0.1644893000, 0.1947166000, 0.2765827000, 0.5013285000, 1.1165103000", \
+                        "0.1317365000, 0.1363246000, 0.1475012000, 0.1774374000, 0.2580933000, 0.4816316000, 1.0974548000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0341349000, 0.0351650000, 0.0381009000, 0.0467041000, 0.0701748000, 0.1332363000, 0.3110052000", \
+                        "0.0341689000, 0.0351415000, 0.0381052000, 0.0467964000, 0.0700973000, 0.1330613000, 0.3110981000", \
+                        "0.0341625000, 0.0351374000, 0.0380619000, 0.0467039000, 0.0702608000, 0.1330032000, 0.3109573000", \
+                        "0.0342415000, 0.0352451000, 0.0381418000, 0.0467430000, 0.0701832000, 0.1330705000, 0.3111684000", \
+                        "0.0378875000, 0.0387503000, 0.0414806000, 0.0495486000, 0.0720735000, 0.1338805000, 0.3109662000", \
+                        "0.0502751000, 0.0508958000, 0.0529525000, 0.0599086000, 0.0799767000, 0.1405512000, 0.3162982000", \
+                        "0.0693419000, 0.0700433000, 0.0723043000, 0.0791631000, 0.0982680000, 0.1519491000, 0.3209057000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0612121000, 0.0665552000, 0.0810459000, 0.1211998000, 0.2315833000, 0.5356587000, 1.3789050000", \
+                        "0.0611916000, 0.0665441000, 0.0811913000, 0.1214014000, 0.2314234000, 0.5363079000, 1.3760312000", \
+                        "0.0610908000, 0.0664464000, 0.0810310000, 0.1212036000, 0.2313912000, 0.5363706000, 1.3751150000", \
+                        "0.0611997000, 0.0665609000, 0.0810538000, 0.1212632000, 0.2315082000, 0.5367613000, 1.3757562000", \
+                        "0.0614501000, 0.0667182000, 0.0813584000, 0.1219739000, 0.2318873000, 0.5357507000, 1.3749879000", \
+                        "0.0628413000, 0.0679845000, 0.0820518000, 0.1219996000, 0.2322420000, 0.5359075000, 1.3786227000", \
+                        "0.0708850000, 0.0751917000, 0.0881568000, 0.1250186000, 0.2332185000, 0.5365755000, 1.3760160000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0311282000, 0.0327288000, 0.0368553000, 0.0475018000, 0.0746965000, 0.1464447000, 0.3414915000", \
+                        "0.0352322000, 0.0368097000, 0.0409928000, 0.0516624000, 0.0787714000, 0.1505795000, 0.3457818000", \
+                        "0.0440487000, 0.0456668000, 0.0498332000, 0.0603915000, 0.0875955000, 0.1593170000, 0.3544554000", \
+                        "0.0586347000, 0.0605974000, 0.0657491000, 0.0786288000, 0.1075242000, 0.1797699000, 0.3751837000", \
+                        "0.0764732000, 0.0794898000, 0.0869956000, 0.1046666000, 0.1434831000, 0.2253637000, 0.4221830000", \
+                        "0.0867694000, 0.0913273000, 0.1030544000, 0.1310407000, 0.1906432000, 0.3044813000, 0.5294937000", \
+                        "0.0631228000, 0.0702413000, 0.0891477000, 0.1328587000, 0.2269964000, 0.4039412000, 0.7166283000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0958201000, 0.0999465000, 0.1112395000, 0.1411028000, 0.2221349000, 0.4442295000, 1.0577740000", \
+                        "0.1004321000, 0.1044370000, 0.1156871000, 0.1458819000, 0.2274115000, 0.4498095000, 1.0634760000", \
+                        "0.1128341000, 0.1168922000, 0.1281325000, 0.1584623000, 0.2405042000, 0.4632803000, 1.0773623000", \
+                        "0.1413854000, 0.1454214000, 0.1565211000, 0.1865053000, 0.2683905000, 0.4916015000, 1.1072346000", \
+                        "0.1968080000, 0.2014698000, 0.2141332000, 0.2471047000, 0.3287854000, 0.5521530000, 1.1669459000", \
+                        "0.2901398000, 0.2964992000, 0.3146382000, 0.3574306000, 0.4589449000, 0.6893250000, 1.3050595000", \
+                        "0.4431708000, 0.4537099000, 0.4811592000, 0.5474226000, 0.6905942000, 0.9867633000, 1.6253212000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0231988000, 0.0248576000, 0.0293108000, 0.0420434000, 0.0772499000, 0.1758112000, 0.4499320000", \
+                        "0.0229577000, 0.0245378000, 0.0291932000, 0.0419058000, 0.0771907000, 0.1758549000, 0.4503029000", \
+                        "0.0240200000, 0.0255592000, 0.0298330000, 0.0421251000, 0.0770830000, 0.1758114000, 0.4504337000", \
+                        "0.0314309000, 0.0330302000, 0.0373843000, 0.0488803000, 0.0803019000, 0.1758396000, 0.4501909000", \
+                        "0.0484758000, 0.0504425000, 0.0558919000, 0.0688840000, 0.1012075000, 0.1869901000, 0.4511320000", \
+                        "0.0811204000, 0.0839209000, 0.0914450000, 0.1096956000, 0.1510729000, 0.2409694000, 0.4778407000", \
+                        "0.1406908000, 0.1451499000, 0.1568563000, 0.1846483000, 0.2427167000, 0.3594391000, 0.6027385000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0615719000, 0.0667391000, 0.0813502000, 0.1213981000, 0.2314243000, 0.5347034000, 1.3752263000", \
+                        "0.0615385000, 0.0667511000, 0.0812533000, 0.1214122000, 0.2315344000, 0.5367874000, 1.3734835000", \
+                        "0.0615161000, 0.0667367000, 0.0813305000, 0.1213695000, 0.2321068000, 0.5346686000, 1.3772026000", \
+                        "0.0618991000, 0.0671529000, 0.0814725000, 0.1214413000, 0.2314093000, 0.5350111000, 1.3794101000", \
+                        "0.0758574000, 0.0808319000, 0.0937975000, 0.1297202000, 0.2336147000, 0.5357017000, 1.3782051000", \
+                        "0.1097782000, 0.1153273000, 0.1306525000, 0.1698676000, 0.2679934000, 0.5443811000, 1.3796602000", \
+                        "0.1865709000, 0.1938578000, 0.2131081000, 0.2597290000, 0.3700620000, 0.6355417000, 1.3943194000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0253204000, 0.0268810000, 0.0310538000, 0.0417599000, 0.0688895000, 0.1405238000, 0.3356658000", \
+                        "0.0292807000, 0.0308821000, 0.0350345000, 0.0457105000, 0.0728136000, 0.1446683000, 0.3396256000", \
+                        "0.0395393000, 0.0411724000, 0.0452619000, 0.0555474000, 0.0825585000, 0.1542146000, 0.3494262000", \
+                        "0.0541514000, 0.0564205000, 0.0623959000, 0.0763697000, 0.1060414000, 0.1769818000, 0.3721317000", \
+                        "0.0678947000, 0.0712211000, 0.0798187000, 0.1010801000, 0.1458213000, 0.2317507000, 0.4257032000", \
+                        "0.0704145000, 0.0756529000, 0.0892912000, 0.1216491000, 0.1898165000, 0.3181257000, 0.5505554000", \
+                        "0.0312214000, 0.0390992000, 0.0593938000, 0.1079028000, 0.2135519000, 0.4127104000, 0.7669163000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0764909000, 0.0812894000, 0.0939253000, 0.1271147000, 0.2171862000, 0.4587051000, 1.1287744000", \
+                        "0.0801710000, 0.0849917000, 0.0976537000, 0.1317271000, 0.2210186000, 0.4646113000, 1.1347335000", \
+                        "0.0926680000, 0.0971861000, 0.1095242000, 0.1429885000, 0.2338495000, 0.4802753000, 1.1583539000", \
+                        "0.1215655000, 0.1261837000, 0.1383642000, 0.1710256000, 0.2606992000, 0.5096031000, 1.1791419000", \
+                        "0.1725208000, 0.1783708000, 0.1934966000, 0.2314414000, 0.3214936000, 0.5658638000, 1.2400411000", \
+                        "0.2567967000, 0.2657464000, 0.2875468000, 0.3404835000, 0.4543893000, 0.7061624000, 1.3801605000", \
+                        "0.3926455000, 0.4070994000, 0.4426537000, 0.5257806000, 0.6914706000, 1.0160528000, 1.7055843000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0232730000, 0.0249104000, 0.0294541000, 0.0420808000, 0.0773216000, 0.1757352000, 0.4505714000", \
+                        "0.0222893000, 0.0240506000, 0.0288104000, 0.0418111000, 0.0771574000, 0.1758837000, 0.4499137000", \
+                        "0.0249452000, 0.0263488000, 0.0303776000, 0.0421076000, 0.0767777000, 0.1757663000, 0.4502309000", \
+                        "0.0345889000, 0.0365562000, 0.0416579000, 0.0535602000, 0.0827754000, 0.1756595000, 0.4503196000", \
+                        "0.0541310000, 0.0569455000, 0.0641108000, 0.0801499000, 0.1162156000, 0.1954871000, 0.4506411000", \
+                        "0.0888767000, 0.0931751000, 0.1040160000, 0.1276170000, 0.1783571000, 0.2756267000, 0.4942272000", \
+                        "0.1494559000, 0.1563394000, 0.1745322000, 0.2125149000, 0.2867950000, 0.4250358000, 0.6798170000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0459677000, 0.0516783000, 0.0672976000, 0.1110813000, 0.2316182000, 0.5632186000, 1.4771047000", \
+                        "0.0460823000, 0.0517103000, 0.0673858000, 0.1109780000, 0.2311902000, 0.5631665000, 1.4840030000", \
+                        "0.0461927000, 0.0518628000, 0.0676066000, 0.1110636000, 0.2315113000, 0.5651321000, 1.4942887000", \
+                        "0.0476548000, 0.0530137000, 0.0680697000, 0.1114555000, 0.2312583000, 0.5649680000, 1.4814626000", \
+                        "0.0634547000, 0.0687903000, 0.0836265000, 0.1215533000, 0.2345455000, 0.5647436000, 1.4807072000", \
+                        "0.0986990000, 0.1048536000, 0.1214192000, 0.1634172000, 0.2691035000, 0.5723181000, 1.4800516000", \
+                        "0.1763009000, 0.1844642000, 0.2063255000, 0.2561083000, 0.3771322000, 0.6629259000, 1.4947281000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a311o_1 */
+
+/* removed sky130_fd_sc_hd__a311o_2 */
+
+/* removed sky130_fd_sc_hd__a311o_4 */
+
+/* removed sky130_fd_sc_hd__a311oi_1 */
+
+/* removed sky130_fd_sc_hd__a311oi_2 */
+
+/* removed sky130_fd_sc_hd__a311oi_4 */
+
+/* removed sky130_fd_sc_hd__a31o_1 */
+
+/* removed sky130_fd_sc_hd__a31o_2 */
+
+/* removed sky130_fd_sc_hd__a31o_4 */
+
+/* removed sky130_fd_sc_hd__a31oi_1 */
+
+/* removed sky130_fd_sc_hd__a31oi_2 */
+
+/* removed sky130_fd_sc_hd__a31oi_4 */
+
+/* removed sky130_fd_sc_hd__a32o_1 */
+
+/* removed sky130_fd_sc_hd__a32o_2 */
+
+    cell ("sky130_fd_sc_hd__a32o_4") {
+        leakage_power () {
+            value : 0.0053900000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047000000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052260000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054184000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047285000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052546000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054237000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047338000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052599000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0061047000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054147000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0059408000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054350000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047430000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052703000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0059403000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052501000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057462000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0057746000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0059702000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052780000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057452000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0058030000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0060543000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062855000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0022874000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062303000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a32o";
+        cell_leakage_power : 0.0054417170;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041030000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092163000, 0.0092109000, 0.0091985000, 0.0092000000, 0.0092035000, 0.0092117000, 0.0092305000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006441700, -0.006446300, -0.006456800, -0.006441100, -0.006404800, -0.006321100, -0.006128300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044410000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040990000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0085840000, 0.0085770000, 0.0085610000, 0.0085894000, 0.0086551000, 0.0088063000, 0.0091550000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007914300, -0.007916600, -0.007922000, -0.007923900, -0.007928400, -0.007938800, -0.007962600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044700000;
+        }
+        pin ("A3") {
+            capacitance : 0.0044660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082592000, 0.0082553000, 0.0082462000, 0.0082495000, 0.0082572000, 0.0082749000, 0.0083156000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008244000, -0.008243400, -0.008242000, -0.008241000, -0.008238700, -0.008233500, -0.008221400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047390000;
+        }
+        pin ("B1") {
+            capacitance : 0.0043510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040630000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092281000, 0.0092271000, 0.0092248000, 0.0092216000, 0.0092143000, 0.0091974000, 0.0091584000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006491400, -0.006495200, -0.006503900, -0.006485600, -0.006443300, -0.006346000, -0.006121600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046390000;
+        }
+        pin ("B2") {
+            capacitance : 0.0042810000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0077717000, 0.0077680000, 0.0077593000, 0.0077584000, 0.0077564000, 0.0077517000, 0.0077410000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007742300, -0.007741400, -0.007739300, -0.007735300, -0.007726100, -0.007704700, -0.007655600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046120000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3) | (B1&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0342602000, 0.0324812000, 0.0273363000, 0.0123641000, -0.040934700, -0.225160000, -0.821915200", \
+                        "0.0341961000, 0.0324186000, 0.0272692000, 0.0123104000, -0.040965000, -0.225182900, -0.822047400", \
+                        "0.0338849000, 0.0321275000, 0.0270072000, 0.0120676000, -0.041331200, -0.225409200, -0.822335400", \
+                        "0.0331047000, 0.0313484000, 0.0261855000, 0.0113140000, -0.041926100, -0.226045000, -0.822926900", \
+                        "0.0324500000, 0.0307449000, 0.0255575000, 0.0105755000, -0.042728500, -0.226808600, -0.823571000", \
+                        "0.0323963000, 0.0305780000, 0.0256120000, 0.0104790000, -0.043137300, -0.227131300, -0.823892900", \
+                        "0.0414712000, 0.0394609000, 0.0331187000, 0.0147159000, -0.043242200, -0.227570400, -0.823863700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0367803000, 0.0386147000, 0.0446528000, 0.0638584000, 0.1225574000, 0.3074977000, 0.8978022000", \
+                        "0.0365757000, 0.0384107000, 0.0444249000, 0.0635840000, 0.1225380000, 0.3074990000, 0.8989715000", \
+                        "0.0362881000, 0.0381363000, 0.0441131000, 0.0633860000, 0.1222306000, 0.3072220000, 0.8989063000", \
+                        "0.0358637000, 0.0376619000, 0.0436121000, 0.0628937000, 0.1218678000, 0.3067918000, 0.9017991000", \
+                        "0.0357507000, 0.0375508000, 0.0434290000, 0.0625891000, 0.1212801000, 0.3062719000, 0.8978020000", \
+                        "0.0372539000, 0.0389869000, 0.0445177000, 0.0625099000, 0.1211604000, 0.3059143000, 0.8975955000", \
+                        "0.0402019000, 0.0417823000, 0.0472307000, 0.0651464000, 0.1232055000, 0.3078066000, 0.8986330000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0406982000, 0.0388763000, 0.0336620000, 0.0187075000, -0.034419800, -0.218372900, -0.815193300", \
+                        "0.0405417000, 0.0387226000, 0.0334967000, 0.0187613000, -0.034669900, -0.218660900, -0.815305100", \
+                        "0.0402788000, 0.0384838000, 0.0332886000, 0.0182985000, -0.034949700, -0.218943700, -0.815568900", \
+                        "0.0396771000, 0.0379598000, 0.0327238000, 0.0177098000, -0.035574800, -0.219448300, -0.816066000", \
+                        "0.0391040000, 0.0373330000, 0.0320585000, 0.0171549000, -0.036162000, -0.220115700, -0.816701700", \
+                        "0.0389067000, 0.0370816000, 0.0317935000, 0.0165979000, -0.036586100, -0.220535400, -0.817115900", \
+                        "0.0478645000, 0.0458626000, 0.0397333000, 0.0212808000, -0.036819800, -0.221195800, -0.817496500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0382928000, 0.0400848000, 0.0460118000, 0.0652828000, 0.1241118000, 0.3085949000, 0.9033375000", \
+                        "0.0380635000, 0.0398769000, 0.0459248000, 0.0651104000, 0.1237636000, 0.3085899000, 0.9032305000", \
+                        "0.0377800000, 0.0395677000, 0.0455807000, 0.0648351000, 0.1234881000, 0.3081372000, 0.8989100000", \
+                        "0.0373949000, 0.0392154000, 0.0452322000, 0.0643806000, 0.1232014000, 0.3079666000, 0.8999176000", \
+                        "0.0371942000, 0.0389797000, 0.0448958000, 0.0640265000, 0.1226791000, 0.3073637000, 0.9018149000", \
+                        "0.0383541000, 0.0400699000, 0.0456764000, 0.0637769000, 0.1225226000, 0.3071360000, 0.8988616000", \
+                        "0.0411334000, 0.0427850000, 0.0482253000, 0.0662490000, 0.1245978000, 0.3088585000, 0.9000456000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0458395000, 0.0440631000, 0.0388324000, 0.0237211000, -0.029784600, -0.214094400, -0.810911600", \
+                        "0.0458673000, 0.0440329000, 0.0387107000, 0.0236921000, -0.029877200, -0.214273900, -0.811106000", \
+                        "0.0454233000, 0.0436281000, 0.0382976000, 0.0233242000, -0.030120200, -0.214385600, -0.811372900", \
+                        "0.0451701000, 0.0433358000, 0.0381143000, 0.0230132000, -0.030586500, -0.214765600, -0.811571200", \
+                        "0.0447974000, 0.0430015000, 0.0377458000, 0.0226057000, -0.030988600, -0.215162200, -0.811909300", \
+                        "0.0448495000, 0.0429822000, 0.0376031000, 0.0224175000, -0.030977700, -0.215159000, -0.811918600", \
+                        "0.0542026000, 0.0521646000, 0.0461137000, 0.0275517000, -0.030665100, -0.215258300, -0.811440300");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0381963000, 0.0400792000, 0.0460699000, 0.0652183000, 0.1239121000, 0.3083924000, 0.9016084000", \
+                        "0.0380115000, 0.0398077000, 0.0457509000, 0.0650199000, 0.1238274000, 0.3080837000, 0.9025915000", \
+                        "0.0377220000, 0.0395542000, 0.0455985000, 0.0648277000, 0.1234268000, 0.3077976000, 0.8983268000", \
+                        "0.0373870000, 0.0392220000, 0.0452285000, 0.0644798000, 0.1230566000, 0.3074994000, 0.8978428000", \
+                        "0.0371723000, 0.0389579000, 0.0448935000, 0.0639645000, 0.1226568000, 0.3075062000, 0.8985005000", \
+                        "0.0385059000, 0.0402483000, 0.0459591000, 0.0639118000, 0.1227272000, 0.3070491000, 0.8989336000", \
+                        "0.0407633000, 0.0424292000, 0.0480372000, 0.0661054000, 0.1245128000, 0.3085683000, 0.8972162000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0334345000, 0.0315838000, 0.0263427000, 0.0112358000, -0.042139500, -0.226246400, -0.822851200", \
+                        "0.0332666000, 0.0315019000, 0.0262572000, 0.0111534000, -0.042268600, -0.226373400, -0.823036900", \
+                        "0.0328134000, 0.0309714000, 0.0258076000, 0.0106541000, -0.042736900, -0.226733000, -0.823471700", \
+                        "0.0323909000, 0.0306015000, 0.0253382000, 0.0102217000, -0.043240000, -0.227279000, -0.823915600", \
+                        "0.0319498000, 0.0301391000, 0.0248747000, 0.0098146000, -0.043637200, -0.227620500, -0.824243300", \
+                        "0.0329422000, 0.0311270000, 0.0255844000, 0.0100789000, -0.043712900, -0.227601600, -0.824122900", \
+                        "0.0438520000, 0.0417263000, 0.0353631000, 0.0164638000, -0.042150300, -0.226595400, -0.822996700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0295724000, 0.0314990000, 0.0377403000, 0.0572210000, 0.1163367000, 0.3018058000, 0.8940574000", \
+                        "0.0295869000, 0.0315135000, 0.0376458000, 0.0572199000, 0.1163331000, 0.3017897000, 0.8930922000", \
+                        "0.0294413000, 0.0313489000, 0.0375763000, 0.0570421000, 0.1161150000, 0.3010373000, 0.8944190000", \
+                        "0.0291291000, 0.0310400000, 0.0371145000, 0.0563254000, 0.1153577000, 0.3010185000, 0.8931337000", \
+                        "0.0291258000, 0.0309374000, 0.0369541000, 0.0557764000, 0.1146545000, 0.3002908000, 0.8927529000", \
+                        "0.0304353000, 0.0321403000, 0.0377754000, 0.0561030000, 0.1142667000, 0.2988762000, 0.8914739000", \
+                        "0.0332218000, 0.0347188000, 0.0400913000, 0.0579054000, 0.1161520000, 0.3007143000, 0.8884842000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0402889000, 0.0384835000, 0.0332772000, 0.0181770000, -0.035204300, -0.219166300, -0.815586300", \
+                        "0.0400539000, 0.0382423000, 0.0329340000, 0.0179101000, -0.035325700, -0.219230900, -0.815850700", \
+                        "0.0397343000, 0.0380156000, 0.0327456000, 0.0176554000, -0.035706700, -0.219644400, -0.816036100", \
+                        "0.0395351000, 0.0377482000, 0.0324621000, 0.0173349000, -0.036066300, -0.219966400, -0.816474600", \
+                        "0.0391355000, 0.0373593000, 0.0320564000, 0.0170511000, -0.036413000, -0.220297500, -0.816737200", \
+                        "0.0405927000, 0.0388001000, 0.0332952000, 0.0179491000, -0.035672000, -0.219616800, -0.815971600", \
+                        "0.0531150000, 0.0509894000, 0.0446231000, 0.0255108000, -0.033456900, -0.218059500, -0.814490000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0308567000, 0.0327835000, 0.0390184000, 0.0585138000, 0.1175976000, 0.3026992000, 0.8956743000", \
+                        "0.0308621000, 0.0327897000, 0.0390669000, 0.0584977000, 0.1176537000, 0.3030899000, 0.8952564000", \
+                        "0.0307657000, 0.0326820000, 0.0388490000, 0.0583100000, 0.1175007000, 0.3029111000, 0.8901727000", \
+                        "0.0303975000, 0.0323087000, 0.0384963000, 0.0578146000, 0.1168637000, 0.3024753000, 0.8946058000", \
+                        "0.0300892000, 0.0319176000, 0.0379877000, 0.0569514000, 0.1159969000, 0.3016563000, 0.8966604000", \
+                        "0.0312110000, 0.0329692000, 0.0386857000, 0.0571847000, 0.1155186000, 0.3000721000, 0.8926748000", \
+                        "0.0333859000, 0.0350250000, 0.0405285000, 0.0585219000, 0.1172163000, 0.3017095000, 0.8929161000");
+                }
+            }
+            max_capacitance : 0.5365090000;
+            max_transition : 1.5014820000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2416561000, 0.2462427000, 0.2580539000, 0.2842765000, 0.3385462000, 0.4578538000, 0.7790916000", \
+                        "0.2473248000, 0.2519134000, 0.2637716000, 0.2900513000, 0.3442046000, 0.4636069000, 0.7846774000", \
+                        "0.2598859000, 0.2644685000, 0.2762517000, 0.3025166000, 0.3565060000, 0.4760202000, 0.7972008000", \
+                        "0.2892658000, 0.2938495000, 0.3056414000, 0.3318431000, 0.3856349000, 0.5054288000, 0.8263091000", \
+                        "0.3527044000, 0.3572154000, 0.3690483000, 0.3951287000, 0.4492522000, 0.5690736000, 0.8903359000", \
+                        "0.4855028000, 0.4903440000, 0.5028156000, 0.5301869000, 0.5855470000, 0.7060894000, 1.0274767000", \
+                        "0.7219137000, 0.7276318000, 0.7420104000, 0.7738717000, 0.8369313000, 0.9664460000, 1.2909560000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1430799000, 0.1488374000, 0.1637321000, 0.1983809000, 0.2799113000, 0.5060163000, 1.2133828000", \
+                        "0.1466550000, 0.1523993000, 0.1673253000, 0.2019363000, 0.2835891000, 0.5092397000, 1.2176424000", \
+                        "0.1555708000, 0.1613320000, 0.1762867000, 0.2108645000, 0.2924821000, 0.5182105000, 1.2265018000", \
+                        "0.1778492000, 0.1835589000, 0.1984953000, 0.2331538000, 0.3147882000, 0.5402582000, 1.2513456000", \
+                        "0.2271172000, 0.2328971000, 0.2478252000, 0.2825618000, 0.3641053000, 0.5896944000, 1.2983088000", \
+                        "0.2994378000, 0.3058670000, 0.3221790000, 0.3586358000, 0.4419220000, 0.6690458000, 1.3785415000", \
+                        "0.3729763000, 0.3808916000, 0.4011399000, 0.4445302000, 0.5321041000, 0.7600154000, 1.4687367000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0323322000, 0.0347718000, 0.0426408000, 0.0597220000, 0.1041847000, 0.2293872000, 0.6398706000", \
+                        "0.0323309000, 0.0347720000, 0.0422523000, 0.0600065000, 0.1043010000, 0.2297711000, 0.6386693000", \
+                        "0.0321213000, 0.0348636000, 0.0421920000, 0.0603689000, 0.1043139000, 0.2293669000, 0.6388038000", \
+                        "0.0321028000, 0.0348794000, 0.0422345000, 0.0601940000, 0.1036255000, 0.2298274000, 0.6405084000", \
+                        "0.0321643000, 0.0350863000, 0.0424237000, 0.0604573000, 0.1041656000, 0.2296639000, 0.6396775000", \
+                        "0.0355747000, 0.0384926000, 0.0459177000, 0.0632207000, 0.1070741000, 0.2302070000, 0.6402187000", \
+                        "0.0466174000, 0.0493651000, 0.0576041000, 0.0765896000, 0.1216372000, 0.2436988000, 0.6450389000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341971000, 0.0381731000, 0.0489996000, 0.0798409000, 0.1724192000, 0.4821035000, 1.4970271000", \
+                        "0.0343232000, 0.0381949000, 0.0492962000, 0.0799025000, 0.1723925000, 0.4818635000, 1.4998266000", \
+                        "0.0342000000, 0.0381081000, 0.0491956000, 0.0798715000, 0.1724526000, 0.4819005000, 1.4989029000", \
+                        "0.0342606000, 0.0379323000, 0.0489689000, 0.0797043000, 0.1719123000, 0.4815594000, 1.5011744000", \
+                        "0.0350193000, 0.0388211000, 0.0495338000, 0.0802542000, 0.1726920000, 0.4817421000, 1.5006497000", \
+                        "0.0426890000, 0.0460593000, 0.0562114000, 0.0854368000, 0.1762620000, 0.4831949000, 1.4995554000", \
+                        "0.0567031000, 0.0609340000, 0.0725126000, 0.1008409000, 0.1834747000, 0.4858817000, 1.4938366000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2643898000, 0.2691908000, 0.2814375000, 0.3082238000, 0.3623996000, 0.4826037000, 0.8043524000", \
+                        "0.2694225000, 0.2742227000, 0.2864641000, 0.3131558000, 0.3677345000, 0.4878720000, 0.8098181000", \
+                        "0.2815997000, 0.2864161000, 0.2987301000, 0.3253757000, 0.3802378000, 0.4998688000, 0.8215947000", \
+                        "0.3101980000, 0.3150295000, 0.3272696000, 0.3539822000, 0.4086583000, 0.5284272000, 0.8501921000", \
+                        "0.3702127000, 0.3749081000, 0.3871447000, 0.4138957000, 0.4685329000, 0.5885578000, 0.9104654000", \
+                        "0.4966444000, 0.5016977000, 0.5145908000, 0.5422808000, 0.5970947000, 0.7184672000, 1.0403780000", \
+                        "0.7199218000, 0.7258730000, 0.7408728000, 0.7728229000, 0.8351794000, 0.9642122000, 1.2902543000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1538872000, 0.1595954000, 0.1745014000, 0.2091492000, 0.2906290000, 0.5157426000, 1.2260749000", \
+                        "0.1578754000, 0.1636371000, 0.1785452000, 0.2131689000, 0.2946896000, 0.5200665000, 1.2286653000", \
+                        "0.1669053000, 0.1726407000, 0.1875931000, 0.2221984000, 0.3036428000, 0.5294954000, 1.2372778000", \
+                        "0.1874194000, 0.1931540000, 0.2080497000, 0.2426399000, 0.3240941000, 0.5498445000, 1.2578590000", \
+                        "0.2321897000, 0.2379923000, 0.2529904000, 0.2877840000, 0.3693243000, 0.5953603000, 1.3032939000", \
+                        "0.3040567000, 0.3105145000, 0.3270377000, 0.3638126000, 0.4475706000, 0.6744850000, 1.3835353000", \
+                        "0.3853828000, 0.3931788000, 0.4130881000, 0.4561596000, 0.5448453000, 0.7731076000, 1.4808901000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0343128000, 0.0371845000, 0.0447289000, 0.0619814000, 0.1056352000, 0.2301390000, 0.6409913000", \
+                        "0.0343036000, 0.0371861000, 0.0439876000, 0.0617079000, 0.1042750000, 0.2304438000, 0.6389216000", \
+                        "0.0342102000, 0.0370025000, 0.0445649000, 0.0612175000, 0.1051965000, 0.2300632000, 0.6404460000", \
+                        "0.0343341000, 0.0371531000, 0.0443795000, 0.0612429000, 0.1051863000, 0.2301268000, 0.6407875000", \
+                        "0.0342630000, 0.0374855000, 0.0441806000, 0.0615644000, 0.1055195000, 0.2301601000, 0.6399409000", \
+                        "0.0373561000, 0.0403627000, 0.0473919000, 0.0640855000, 0.1076332000, 0.2311983000, 0.6409986000", \
+                        "0.0471229000, 0.0503705000, 0.0584895000, 0.0765587000, 0.1204550000, 0.2425490000, 0.6440075000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341657000, 0.0378577000, 0.0492545000, 0.0798146000, 0.1721292000, 0.4817140000, 1.5013508000", \
+                        "0.0340808000, 0.0381068000, 0.0491088000, 0.0797889000, 0.1724035000, 0.4811034000, 1.4997610000", \
+                        "0.0342335000, 0.0381648000, 0.0491854000, 0.0799000000, 0.1723064000, 0.4819026000, 1.4985477000", \
+                        "0.0341093000, 0.0381019000, 0.0492214000, 0.0798392000, 0.1724571000, 0.4818116000, 1.4997278000", \
+                        "0.0351337000, 0.0389628000, 0.0499733000, 0.0801321000, 0.1726785000, 0.4811606000, 1.4984950000", \
+                        "0.0407356000, 0.0446320000, 0.0556196000, 0.0853132000, 0.1757977000, 0.4827691000, 1.4998457000", \
+                        "0.0536338000, 0.0582029000, 0.0696358000, 0.0984033000, 0.1840911000, 0.4856254000, 1.4933028000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2759154000, 0.2808505000, 0.2933095000, 0.3202566000, 0.3752439000, 0.4951263000, 0.8178240000", \
+                        "0.2810306000, 0.2859393000, 0.2984021000, 0.3255012000, 0.3803582000, 0.5004517000, 0.8224548000", \
+                        "0.2939344000, 0.2988399000, 0.3113105000, 0.3383808000, 0.3928452000, 0.5131651000, 0.8355441000", \
+                        "0.3227266000, 0.3276244000, 0.3401843000, 0.3671034000, 0.4219501000, 0.5420564000, 0.8647754000", \
+                        "0.3816092000, 0.3865656000, 0.3990432000, 0.4259955000, 0.4806829000, 0.6012326000, 0.9236280000", \
+                        "0.5045989000, 0.5096064000, 0.5226957000, 0.5502771000, 0.6056314000, 0.7264793000, 1.0491783000", \
+                        "0.7246420000, 0.7303842000, 0.7454022000, 0.7770402000, 0.8387691000, 0.9669172000, 1.2928650000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1581201000, 0.1638444000, 0.1787613000, 0.2133777000, 0.2948544000, 0.5198452000, 1.2276802000", \
+                        "0.1619187000, 0.1676411000, 0.1825799000, 0.2172446000, 0.2987025000, 0.5235446000, 1.2334083000", \
+                        "0.1692836000, 0.1750500000, 0.1899610000, 0.2246173000, 0.3060277000, 0.5315409000, 1.2390131000", \
+                        "0.1840420000, 0.1897918000, 0.2047301000, 0.2393195000, 0.3207085000, 0.5462919000, 1.2535850000", \
+                        "0.2143597000, 0.2202101000, 0.2353865000, 0.2701561000, 0.3518360000, 0.5772876000, 1.2851795000", \
+                        "0.2642422000, 0.2705509000, 0.2867526000, 0.3235054000, 0.4073127000, 0.6340066000, 1.3423861000", \
+                        "0.3229904000, 0.3303442000, 0.3492312000, 0.3906589000, 0.4788823000, 0.7076381000, 1.4147957000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0356227000, 0.0384083000, 0.0462411000, 0.0623723000, 0.1061212000, 0.2312433000, 0.6423874000", \
+                        "0.0356204000, 0.0384640000, 0.0452858000, 0.0631626000, 0.1064278000, 0.2309664000, 0.6430431000", \
+                        "0.0357441000, 0.0387079000, 0.0453934000, 0.0624631000, 0.1065464000, 0.2312919000, 0.6422138000", \
+                        "0.0356015000, 0.0386347000, 0.0460684000, 0.0623591000, 0.1062078000, 0.2311442000, 0.6424422000", \
+                        "0.0355463000, 0.0383452000, 0.0458940000, 0.0623312000, 0.1063414000, 0.2311426000, 0.6429577000", \
+                        "0.0381116000, 0.0413987000, 0.0479860000, 0.0648253000, 0.1078615000, 0.2318818000, 0.6435458000", \
+                        "0.0469908000, 0.0506152000, 0.0583839000, 0.0762027000, 0.1196985000, 0.2418897000, 0.6457597000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341320000, 0.0382984000, 0.0490482000, 0.0797596000, 0.1723459000, 0.4811385000, 1.4979705000", \
+                        "0.0343032000, 0.0380307000, 0.0490383000, 0.0798030000, 0.1723596000, 0.4817029000, 1.5014824000", \
+                        "0.0341055000, 0.0381672000, 0.0490682000, 0.0799159000, 0.1724084000, 0.4819113000, 1.4986073000", \
+                        "0.0342832000, 0.0381032000, 0.0491219000, 0.0798926000, 0.1724256000, 0.4819449000, 1.4981253000", \
+                        "0.0353769000, 0.0388659000, 0.0497296000, 0.0804273000, 0.1724447000, 0.4819224000, 1.5002024000", \
+                        "0.0389614000, 0.0427584000, 0.0542844000, 0.0847151000, 0.1757666000, 0.4820616000, 1.4993450000", \
+                        "0.0489755000, 0.0531112000, 0.0646945000, 0.0953690000, 0.1827642000, 0.4849585000, 1.4973804000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2218537000, 0.2266124000, 0.2388036000, 0.2654438000, 0.3201834000, 0.4402634000, 0.7627749000", \
+                        "0.2261330000, 0.2308938000, 0.2430931000, 0.2697410000, 0.3244753000, 0.4444941000, 0.7668950000", \
+                        "0.2364402000, 0.2411782000, 0.2529904000, 0.2796088000, 0.3338793000, 0.4543163000, 0.7765164000", \
+                        "0.2629673000, 0.2677205000, 0.2799118000, 0.3065389000, 0.3610904000, 0.4814359000, 0.8038041000", \
+                        "0.3295710000, 0.3342906000, 0.3463728000, 0.3730869000, 0.4277208000, 0.5481473000, 0.8705446000", \
+                        "0.4849878000, 0.4901369000, 0.5031560000, 0.5306400000, 0.5857735000, 0.7065230000, 1.0289363000", \
+                        "0.7454241000, 0.7519714000, 0.7689583000, 0.8040995000, 0.8682788000, 0.9950858000, 1.3223154000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0898754000, 0.0951194000, 0.1088585000, 0.1408565000, 0.2179114000, 0.4411974000, 1.1510239000", \
+                        "0.0942457000, 0.0994882000, 0.1132041000, 0.1451952000, 0.2222584000, 0.4456169000, 1.1522436000", \
+                        "0.1045682000, 0.1098164000, 0.1235591000, 0.1554858000, 0.2325546000, 0.4554602000, 1.1645449000", \
+                        "0.1280423000, 0.1332705000, 0.1469295000, 0.1786361000, 0.2557436000, 0.4792557000, 1.1842717000", \
+                        "0.1664695000, 0.1723183000, 0.1870561000, 0.2202328000, 0.2982614000, 0.5218901000, 1.2324310000", \
+                        "0.2115545000, 0.2190898000, 0.2375621000, 0.2750134000, 0.3560418000, 0.5805034000, 1.2912605000", \
+                        "0.2428068000, 0.2523992000, 0.2762938000, 0.3241185000, 0.4140256000, 0.6393264000, 1.3460904000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0344588000, 0.0372409000, 0.0444427000, 0.0613961000, 0.1053996000, 0.2305710000, 0.6411706000", \
+                        "0.0342966000, 0.0370466000, 0.0447007000, 0.0616273000, 0.1056650000, 0.2305510000, 0.6408770000", \
+                        "0.0344490000, 0.0373135000, 0.0444956000, 0.0622205000, 0.1060036000, 0.2304156000, 0.6420589000", \
+                        "0.0342539000, 0.0370341000, 0.0443671000, 0.0616676000, 0.1057710000, 0.2305137000, 0.6413757000", \
+                        "0.0346889000, 0.0374502000, 0.0448697000, 0.0624320000, 0.1057409000, 0.2311226000, 0.6415356000", \
+                        "0.0394243000, 0.0422671000, 0.0499031000, 0.0652788000, 0.1074845000, 0.2313920000, 0.6420229000", \
+                        "0.0586342000, 0.0622275000, 0.0700463000, 0.0872188000, 0.1256647000, 0.2434379000, 0.6470253000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0279339000, 0.0319919000, 0.0427107000, 0.0715719000, 0.1638420000, 0.4769756000, 1.4977037000", \
+                        "0.0279667000, 0.0318963000, 0.0424901000, 0.0715665000, 0.1638064000, 0.4762085000, 1.4930942000", \
+                        "0.0278589000, 0.0318126000, 0.0426424000, 0.0717922000, 0.1640733000, 0.4755537000, 1.4988193000", \
+                        "0.0281585000, 0.0321818000, 0.0428385000, 0.0719886000, 0.1641648000, 0.4761013000, 1.4975745000", \
+                        "0.0337627000, 0.0376067000, 0.0479663000, 0.0753742000, 0.1657603000, 0.4760543000, 1.4962469000", \
+                        "0.0473925000, 0.0512380000, 0.0607856000, 0.0854015000, 0.1707236000, 0.4780676000, 1.4945316000", \
+                        "0.0678609000, 0.0724745000, 0.0844264000, 0.1085634000, 0.1840091000, 0.4801596000, 1.4896590000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2365798000, 0.2415000000, 0.2540553000, 0.2809845000, 0.3360194000, 0.4566215000, 0.7791627000", \
+                        "0.2403787000, 0.2452901000, 0.2577697000, 0.2848572000, 0.3393468000, 0.4599661000, 0.7824662000", \
+                        "0.2509123000, 0.2558509000, 0.2683517000, 0.2953403000, 0.3504048000, 0.4708046000, 0.7936601000", \
+                        "0.2782403000, 0.2831815000, 0.2956977000, 0.3226238000, 0.3775488000, 0.4979285000, 0.8211837000", \
+                        "0.3437761000, 0.3486935000, 0.3611772000, 0.3882687000, 0.4429034000, 0.5639100000, 0.8863565000", \
+                        "0.4963432000, 0.5017700000, 0.5147052000, 0.5423955000, 0.5974141000, 0.7186124000, 1.0416122000", \
+                        "0.7537443000, 0.7605337000, 0.7776396000, 0.8128169000, 0.8759141000, 1.0020723000, 1.3285030000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0957302000, 0.1009796000, 0.1147306000, 0.1467346000, 0.2237388000, 0.4468995000, 1.1549355000", \
+                        "0.1004188000, 0.1056641000, 0.1194187000, 0.1514073000, 0.2285283000, 0.4518373000, 1.1573265000", \
+                        "0.1098388000, 0.1151086000, 0.1288851000, 0.1607783000, 0.2379867000, 0.4612408000, 1.1664533000", \
+                        "0.1298354000, 0.1350640000, 0.1487381000, 0.1806019000, 0.2577045000, 0.4811979000, 1.1856518000", \
+                        "0.1650038000, 0.1706827000, 0.1852445000, 0.2182133000, 0.2961244000, 0.5190788000, 1.2310265000", \
+                        "0.2107620000, 0.2176963000, 0.2349537000, 0.2715684000, 0.3524109000, 0.5762777000, 1.2863000000", \
+                        "0.2478312000, 0.2568249000, 0.2793485000, 0.3247997000, 0.4133104000, 0.6392684000, 1.3451318000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0357644000, 0.0386644000, 0.0461774000, 0.0624079000, 0.1060586000, 0.2308585000, 0.6426437000", \
+                        "0.0357499000, 0.0386741000, 0.0453791000, 0.0632610000, 0.1067183000, 0.2311186000, 0.6426344000", \
+                        "0.0357526000, 0.0385879000, 0.0458842000, 0.0623599000, 0.1060147000, 0.2310135000, 0.6421095000", \
+                        "0.0357294000, 0.0383714000, 0.0453791000, 0.0627917000, 0.1063421000, 0.2310926000, 0.6422176000", \
+                        "0.0357727000, 0.0387133000, 0.0452790000, 0.0628846000, 0.1064720000, 0.2305456000, 0.6432848000", \
+                        "0.0397560000, 0.0424652000, 0.0493480000, 0.0650028000, 0.1077454000, 0.2320846000, 0.6421599000", \
+                        "0.0584725000, 0.0616108000, 0.0699732000, 0.0863652000, 0.1234758000, 0.2414813000, 0.6470851000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0279260000, 0.0319952000, 0.0426704000, 0.0715666000, 0.1639796000, 0.4772453000, 1.4989895000", \
+                        "0.0279965000, 0.0319768000, 0.0426993000, 0.0716012000, 0.1639619000, 0.4762466000, 1.4972055000", \
+                        "0.0279001000, 0.0319305000, 0.0424887000, 0.0716576000, 0.1640528000, 0.4762471000, 1.4960497000", \
+                        "0.0281581000, 0.0321059000, 0.0429211000, 0.0717690000, 0.1640993000, 0.4759375000, 1.4976670000", \
+                        "0.0318366000, 0.0356293000, 0.0465338000, 0.0743421000, 0.1650793000, 0.4768403000, 1.4978638000", \
+                        "0.0415961000, 0.0457220000, 0.0560170000, 0.0826538000, 0.1699200000, 0.4772506000, 1.4949740000", \
+                        "0.0594015000, 0.0648303000, 0.0766495000, 0.1015189000, 0.1810019000, 0.4805714000, 1.4909654000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a32oi_1 */
+
+/* removed sky130_fd_sc_hd__a32oi_2 */
+
+    cell ("sky130_fd_sc_hd__a32oi_4") {
+        leakage_power () {
+            value : 0.0020017000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0002656000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0015811000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020858000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003500000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016654000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020610000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003247000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016402000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038377000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0020941000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0034103000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020858000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003434000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016653000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034103000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016713000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029897000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0031021000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0013641000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0026885000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0039808000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041797000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0004544000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041240000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a32oi";
+        cell_leakage_power : 0.0027910300;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0180689000, 0.0180753000, 0.0180902000, 0.0180910000, 0.0180930000, 0.0180975000, 0.0181080000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012790900, -0.012801500, -0.012825800, -0.012795500, -0.012725800, -0.012565000, -0.012194500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085390000;
+        }
+        pin ("A2") {
+            capacitance : 0.0082260000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0170436000, 0.0170463000, 0.0170524000, 0.0171143000, 0.0172572000, 0.0175865000, 0.0183456000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015580600, -0.015563100, -0.015522800, -0.015520600, -0.015515700, -0.015504200, -0.015477700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085360000;
+        }
+        pin ("A3") {
+            capacitance : 0.0085060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080340000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156261000, 0.0156256000, 0.0156245000, 0.0156310000, 0.0156461000, 0.0156809000, 0.0157611000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015626000, -0.015623500, -0.015617800, -0.015605700, -0.015578000, -0.015514200, -0.015367000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089780000;
+        }
+        pin ("B1") {
+            capacitance : 0.0082430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0179179000, 0.0179139000, 0.0179046000, 0.0179095000, 0.0179207000, 0.0179466000, 0.0180062000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013000400, -0.013013200, -0.013042600, -0.013013900, -0.012947800, -0.012795500, -0.012444500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087320000;
+        }
+        pin ("B2") {
+            capacitance : 0.0084790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077930000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158960000, 0.0158818000, 0.0158489000, 0.0158494000, 0.0158507000, 0.0158537000, 0.0158606000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015838800, -0.015829300, -0.015807500, -0.015806300, -0.015803800, -0.015797800, -0.015784100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091650000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0278766000, 0.0264201000, 0.0222980000, 0.0109070000, -0.021786800, -0.114684400, -0.377348600", \
+                        "0.0275289000, 0.0260537000, 0.0219530000, 0.0104810000, -0.022150900, -0.115003200, -0.377570500", \
+                        "0.0268871000, 0.0254520000, 0.0214726000, 0.0100793000, -0.022487800, -0.115302300, -0.377778600", \
+                        "0.0260353000, 0.0246087000, 0.0206181000, 0.0092055000, -0.023207700, -0.115737000, -0.378136800", \
+                        "0.0252271000, 0.0238025000, 0.0197467000, 0.0084272000, -0.023928100, -0.116042800, -0.378226900", \
+                        "0.0253285000, 0.0238487000, 0.0196637000, 0.0079230000, -0.024711500, -0.116796200, -0.378893300", \
+                        "0.0289701000, 0.0274419000, 0.0231762000, 0.0113162000, -0.022496500, -0.116512700, -0.378185300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0410125000, 0.0425153000, 0.0467475000, 0.0586282000, 0.0917013000, 0.1839724000, 0.4439377000", \
+                        "0.0405943000, 0.0421112000, 0.0464076000, 0.0584278000, 0.0916548000, 0.1841829000, 0.4441066000", \
+                        "0.0397363000, 0.0412736000, 0.0456023000, 0.0577566000, 0.0912575000, 0.1840801000, 0.4442834000", \
+                        "0.0386007000, 0.0401267000, 0.0444189000, 0.0565431000, 0.0903480000, 0.1837342000, 0.4441581000", \
+                        "0.0377004000, 0.0391902000, 0.0434548000, 0.0553810000, 0.0889233000, 0.1824561000, 0.4433356000", \
+                        "0.0374857000, 0.0391039000, 0.0432051000, 0.0551072000, 0.0883531000, 0.1813678000, 0.4423273000", \
+                        "0.0366890000, 0.0381412000, 0.0422750000, 0.0544668000, 0.0882858000, 0.1811266000, 0.4419393000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0307081000, 0.0292893000, 0.0252044000, 0.0136874000, -0.019107800, -0.111937000, -0.374439200", \
+                        "0.0303897000, 0.0289353000, 0.0247893000, 0.0132089000, -0.019365100, -0.112178000, -0.374758500", \
+                        "0.0298725000, 0.0284395000, 0.0243794000, 0.0129363000, -0.019752000, -0.112549300, -0.375083500", \
+                        "0.0291630000, 0.0277158000, 0.0236573000, 0.0121711000, -0.020304700, -0.112898800, -0.375339400", \
+                        "0.0284397000, 0.0270150000, 0.0229908000, 0.0116320000, -0.020778100, -0.113087400, -0.375272200", \
+                        "0.0282484000, 0.0267933000, 0.0224719000, 0.0109428000, -0.021590700, -0.113838900, -0.375819300", \
+                        "0.0303459000, 0.0288439000, 0.0246433000, 0.0127830000, -0.020512200, -0.113992200, -0.375966000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0544658000, 0.0559562000, 0.0599835000, 0.0717614000, 0.1048586000, 0.1973518000, 0.4571095000", \
+                        "0.0540045000, 0.0555083000, 0.0595638000, 0.0714129000, 0.1045284000, 0.1970975000, 0.4574470000", \
+                        "0.0530197000, 0.0545277000, 0.0588307000, 0.0707566000, 0.1040973000, 0.1968323000, 0.4571198000", \
+                        "0.0520749000, 0.0534723000, 0.0577903000, 0.0697466000, 0.1032137000, 0.1961499000, 0.4566939000", \
+                        "0.0510458000, 0.0525347000, 0.0568070000, 0.0686036000, 0.1021390000, 0.1952136000, 0.4558781000", \
+                        "0.0505036000, 0.0519561000, 0.0562282000, 0.0681005000, 0.1010342000, 0.1941495000, 0.4550029000", \
+                        "0.0488229000, 0.0503015000, 0.0545728000, 0.0671363000, 0.1003978000, 0.1935604000, 0.4535081000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0302688000, 0.0288083000, 0.0247579000, 0.0131676000, -0.019475300, -0.112361000, -0.374933900", \
+                        "0.0299341000, 0.0284707000, 0.0243305000, 0.0127557000, -0.019839300, -0.112678800, -0.375234700", \
+                        "0.0294092000, 0.0279650000, 0.0239288000, 0.0123518000, -0.020262300, -0.112944100, -0.375520300", \
+                        "0.0287223000, 0.0272943000, 0.0231942000, 0.0117371000, -0.020738500, -0.113302900, -0.375759300", \
+                        "0.0282017000, 0.0267667000, 0.0226755000, 0.0112398000, -0.021160900, -0.113587200, -0.375843200", \
+                        "0.0282989000, 0.0268208000, 0.0226803000, 0.0109056000, -0.021806300, -0.114261600, -0.376347000", \
+                        "0.0298117000, 0.0283509000, 0.0241266000, 0.0122734000, -0.020800300, -0.114381500, -0.376567200");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0656566000, 0.0671949000, 0.0711356000, 0.0829324000, 0.1160739000, 0.2086735000, 0.4690819000", \
+                        "0.0652911000, 0.0666609000, 0.0707460000, 0.0825732000, 0.1157552000, 0.2083764000, 0.4687773000", \
+                        "0.0646514000, 0.0663538000, 0.0703813000, 0.0822300000, 0.1154985000, 0.2082918000, 0.4683546000", \
+                        "0.0641798000, 0.0656163000, 0.0700869000, 0.0817687000, 0.1149867000, 0.2077813000, 0.4685010000", \
+                        "0.0637448000, 0.0652511000, 0.0694875000, 0.0812767000, 0.1144962000, 0.2072476000, 0.4680689000", \
+                        "0.0636562000, 0.0651908000, 0.0694741000, 0.0812847000, 0.1142260000, 0.2071672000, 0.4676047000", \
+                        "0.0626060000, 0.0640273000, 0.0684984000, 0.0809993000, 0.1143476000, 0.2071161000, 0.4675350000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0102263000, 0.0088686000, 0.0049933000, -0.006373800, -0.039200700, -0.132401000, -0.395612800", \
+                        "0.0096843000, 0.0083805000, 0.0046204000, -0.006519800, -0.039082800, -0.132124400, -0.395284700", \
+                        "0.0089692000, 0.0076993000, 0.0039742000, -0.006955200, -0.039174200, -0.131924600, -0.394938800", \
+                        "0.0081225000, 0.0068616000, 0.0031360000, -0.007790500, -0.039708900, -0.132074100, -0.394845200", \
+                        "0.0079151000, 0.0065004000, 0.0025781000, -0.008374000, -0.040394300, -0.132533900, -0.394987800", \
+                        "0.0092460000, 0.0077176000, 0.0034984000, -0.008182800, -0.040718900, -0.133321700, -0.395526400", \
+                        "0.0132181000, 0.0115731000, 0.0069952000, -0.005371200, -0.039320600, -0.131611200, -0.395698600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0397343000, 0.0414025000, 0.0458187000, 0.0579274000, 0.0914463000, 0.1844203000, 0.4445760000", \
+                        "0.0391156000, 0.0406920000, 0.0451554000, 0.0572473000, 0.0910800000, 0.1839581000, 0.4442736000", \
+                        "0.0380187000, 0.0396739000, 0.0441225000, 0.0562301000, 0.0902209000, 0.1836820000, 0.4443456000", \
+                        "0.0372774000, 0.0388756000, 0.0431924000, 0.0550595000, 0.0890679000, 0.1826366000, 0.4439148000", \
+                        "0.0372482000, 0.0387278000, 0.0429049000, 0.0547830000, 0.0879884000, 0.1812268000, 0.4429036000", \
+                        "0.0378416000, 0.0393090000, 0.0434913000, 0.0552754000, 0.0885517000, 0.1809267000, 0.4417179000", \
+                        "0.0418625000, 0.0432153000, 0.0471429000, 0.0582656000, 0.0905266000, 0.1808693000, 0.4405905000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0132651000, 0.0118955000, 0.0079330000, -0.003528800, -0.036412200, -0.129638400, -0.392910400", \
+                        "0.0127093000, 0.0113932000, 0.0076019000, -0.003613700, -0.036263800, -0.129364900, -0.392545000", \
+                        "0.0118289000, 0.0105485000, 0.0068633000, -0.004070500, -0.036354600, -0.129175800, -0.392232900", \
+                        "0.0108067000, 0.0094992000, 0.0057612000, -0.005030700, -0.036897100, -0.129299400, -0.392090500", \
+                        "0.0107241000, 0.0093482000, 0.0051328000, -0.006110800, -0.037902800, -0.129823800, -0.392203600", \
+                        "0.0111736000, 0.0097096000, 0.0056337000, -0.005921400, -0.038358000, -0.130832700, -0.392761800", \
+                        "0.0146325000, 0.0130111000, 0.0085786000, -0.003699400, -0.037312600, -0.130475700, -0.393173100");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0537850000, 0.0551719000, 0.0594628000, 0.0712795000, 0.1044275000, 0.1972826000, 0.4574210000", \
+                        "0.0529348000, 0.0546709000, 0.0587288000, 0.0707145000, 0.1040372000, 0.1969175000, 0.4574128000", \
+                        "0.0521410000, 0.0537154000, 0.0581955000, 0.0702084000, 0.1037314000, 0.1968372000, 0.4573818000", \
+                        "0.0517419000, 0.0531286000, 0.0574055000, 0.0693654000, 0.1029324000, 0.1964982000, 0.4568732000", \
+                        "0.0512530000, 0.0527690000, 0.0569220000, 0.0688278000, 0.1021283000, 0.1954371000, 0.4564109000", \
+                        "0.0536827000, 0.0551697000, 0.0595200000, 0.0707031000, 0.1033649000, 0.1956224000, 0.4561915000", \
+                        "0.0574036000, 0.0587795000, 0.0627099000, 0.0739175000, 0.1062040000, 0.1978908000, 0.4578756000");
+                }
+            }
+            max_capacitance : 0.2517800000;
+            max_transition : 1.9155600000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0630657000, 0.0654408000, 0.0722250000, 0.0909962000, 0.1402217000, 0.2735502000, 0.6444260000", \
+                        "0.0665302000, 0.0689019000, 0.0757836000, 0.0943391000, 0.1435266000, 0.2772597000, 0.6481833000", \
+                        "0.0752580000, 0.0777904000, 0.0848710000, 0.1034613000, 0.1528853000, 0.2864013000, 0.6578349000", \
+                        "0.0976183000, 0.1000441000, 0.1066723000, 0.1249241000, 0.1747367000, 0.3087677000, 0.6797549000", \
+                        "0.1327838000, 0.1362835000, 0.1457159000, 0.1698431000, 0.2254226000, 0.3590586000, 0.7304173000", \
+                        "0.1714766000, 0.1767238000, 0.1908316000, 0.2261385000, 0.3087844000, 0.4776692000, 0.8513563000", \
+                        "0.1855081000, 0.1933455000, 0.2139552000, 0.2675513000, 0.3926483000, 0.6468102000, 1.1234380000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1266089000, 0.1313370000, 0.1444580000, 0.1813859000, 0.2819532000, 0.5625286000, 1.3514893000", \
+                        "0.1317187000, 0.1364690000, 0.1499684000, 0.1869440000, 0.2883845000, 0.5698871000, 1.3586670000", \
+                        "0.1438973000, 0.1486142000, 0.1623210000, 0.1994360000, 0.3017912000, 0.5838810000, 1.3732124000", \
+                        "0.1731464000, 0.1778436000, 0.1917248000, 0.2289467000, 0.3314844000, 0.6148539000, 1.4051470000", \
+                        "0.2368378000, 0.2418173000, 0.2553190000, 0.2920380000, 0.3940128000, 0.6775875000, 1.4704280000", \
+                        "0.3486082000, 0.3548779000, 0.3728046000, 0.4185858000, 0.5323070000, 0.8161334000, 1.6089333000", \
+                        "0.5359468000, 0.5461029000, 0.5733357000, 0.6410683000, 0.7961520000, 1.1308794000, 1.9303530000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0577527000, 0.0607191000, 0.0690385000, 0.0926684000, 0.1588076000, 0.3438747000, 0.8675964000", \
+                        "0.0577628000, 0.0606605000, 0.0690083000, 0.0927139000, 0.1585741000, 0.3437919000, 0.8674793000", \
+                        "0.0572097000, 0.0603463000, 0.0688789000, 0.0925058000, 0.1586568000, 0.3440579000, 0.8674069000", \
+                        "0.0607231000, 0.0633508000, 0.0715150000, 0.0938015000, 0.1582614000, 0.3441498000, 0.8679141000", \
+                        "0.0818785000, 0.0854325000, 0.0946505000, 0.1184768000, 0.1751785000, 0.3454305000, 0.8679409000", \
+                        "0.1231947000, 0.1280580000, 0.1405956000, 0.1712885000, 0.2429569000, 0.3989612000, 0.8726148000", \
+                        "0.1988126000, 0.2060646000, 0.2249872000, 0.2707801000, 0.3700002000, 0.5704678000, 1.0067406000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0822411000, 0.0883106000, 0.1057198000, 0.1544289000, 0.2912195000, 0.6751363000, 1.7584443000", \
+                        "0.0822301000, 0.0882819000, 0.1058222000, 0.1543742000, 0.2912498000, 0.6771178000, 1.7560209000", \
+                        "0.0823610000, 0.0883258000, 0.1058442000, 0.1545631000, 0.2920006000, 0.6756536000, 1.7566049000", \
+                        "0.0823736000, 0.0885544000, 0.1058972000, 0.1546328000, 0.2913496000, 0.6754648000, 1.7545466000", \
+                        "0.0894775000, 0.0950810000, 0.1111683000, 0.1574837000, 0.2915996000, 0.6748923000, 1.7612699000", \
+                        "0.1225115000, 0.1288143000, 0.1455064000, 0.1914552000, 0.3120153000, 0.6773513000, 1.7550136000", \
+                        "0.2003166000, 0.2077214000, 0.2275378000, 0.2796592000, 0.4099772000, 0.7404953000, 1.7629052000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0741493000, 0.0767697000, 0.0835593000, 0.1020553000, 0.1511691000, 0.2848718000, 0.6562631000", \
+                        "0.0783165000, 0.0806582000, 0.0873394000, 0.1060780000, 0.1552259000, 0.2888473000, 0.6600450000", \
+                        "0.0866180000, 0.0892044000, 0.0961517000, 0.1147227000, 0.1640427000, 0.2974340000, 0.6684897000", \
+                        "0.1059908000, 0.1085132000, 0.1153248000, 0.1337805000, 0.1834287000, 0.3174092000, 0.6884195000", \
+                        "0.1395357000, 0.1427290000, 0.1514164000, 0.1738143000, 0.2272597000, 0.3627744000, 0.7360753000", \
+                        "0.1808510000, 0.1854912000, 0.1979486000, 0.2293609000, 0.3028022000, 0.4621385000, 0.8416398000", \
+                        "0.2020230000, 0.2091689000, 0.2283191000, 0.2766700000, 0.3895697000, 0.6198591000, 1.0740526000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1672817000, 0.1724475000, 0.1865223000, 0.2246793000, 0.3323867000, 0.6330334000, 1.4786321000", \
+                        "0.1721066000, 0.1770584000, 0.1913127000, 0.2298481000, 0.3379313000, 0.6386243000, 1.4830051000", \
+                        "0.1842831000, 0.1894627000, 0.2030960000, 0.2428649000, 0.3510533000, 0.6524219000, 1.4982323000", \
+                        "0.2134942000, 0.2187805000, 0.2326736000, 0.2723569000, 0.3812585000, 0.6833099000, 1.5330348000", \
+                        "0.2767469000, 0.2814365000, 0.2955551000, 0.3343506000, 0.4432583000, 0.7466389000, 1.5933176000", \
+                        "0.3979528000, 0.4029951000, 0.4208920000, 0.4647612000, 0.5809624000, 0.8833232000, 1.7308945000", \
+                        "0.6089800000, 0.6175745000, 0.6417589000, 0.7025645000, 0.8523728000, 1.1957992000, 2.0470872000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0575554000, 0.0605805000, 0.0691004000, 0.0925988000, 0.1586251000, 0.3442561000, 0.8684707000", \
+                        "0.0576427000, 0.0606380000, 0.0689536000, 0.0927303000, 0.1584761000, 0.3443620000, 0.8680608000", \
+                        "0.0573674000, 0.0604000000, 0.0689509000, 0.0923691000, 0.1585739000, 0.3439877000, 0.8675514000", \
+                        "0.0599300000, 0.0627796000, 0.0707367000, 0.0933468000, 0.1582924000, 0.3441448000, 0.8675381000", \
+                        "0.0758581000, 0.0788467000, 0.0872384000, 0.1098382000, 0.1682839000, 0.3451579000, 0.8685843000", \
+                        "0.1146300000, 0.1185688000, 0.1287653000, 0.1545956000, 0.2184952000, 0.3794771000, 0.8706168000", \
+                        "0.1885389000, 0.1940320000, 0.2082562000, 0.2452468000, 0.3278577000, 0.5085881000, 0.9569705000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1144142000, 0.1208270000, 0.1390101000, 0.1917489000, 0.3385895000, 0.7501653000, 1.9114126000", \
+                        "0.1145875000, 0.1207890000, 0.1390320000, 0.1916865000, 0.3384553000, 0.7507847000, 1.9053870000", \
+                        "0.1142566000, 0.1205075000, 0.1393398000, 0.1920321000, 0.3384999000, 0.7489070000, 1.9068571000", \
+                        "0.1140420000, 0.1210246000, 0.1393259000, 0.1915415000, 0.3384132000, 0.7496070000, 1.9097892000", \
+                        "0.1176752000, 0.1240193000, 0.1418895000, 0.1928720000, 0.3386913000, 0.7499905000, 1.9091264000", \
+                        "0.1482071000, 0.1547798000, 0.1728146000, 0.2210891000, 0.3533913000, 0.7503762000, 1.9057771000", \
+                        "0.2258288000, 0.2330775000, 0.2531194000, 0.3070152000, 0.4441484000, 0.8030375000, 1.9155597000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0779121000, 0.0804319000, 0.0875148000, 0.1056135000, 0.1549190000, 0.2886099000, 0.6594363000", \
+                        "0.0818014000, 0.0841068000, 0.0909169000, 0.1095809000, 0.1586909000, 0.2922841000, 0.6636789000", \
+                        "0.0888331000, 0.0914208000, 0.0985318000, 0.1168845000, 0.1661536000, 0.2998844000, 0.6709920000", \
+                        "0.1029832000, 0.1055433000, 0.1124803000, 0.1309619000, 0.1803626000, 0.3143191000, 0.6856697000", \
+                        "0.1271460000, 0.1301894000, 0.1375344000, 0.1578698000, 0.2105402000, 0.3453686000, 0.7177897000", \
+                        "0.1605339000, 0.1639826000, 0.1740447000, 0.1998106000, 0.2638474000, 0.4124352000, 0.7890070000", \
+                        "0.1776121000, 0.1834283000, 0.1991179000, 0.2385754000, 0.3296012000, 0.5226431000, 0.9460080000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1789357000, 0.1843792000, 0.1983718000, 0.2359599000, 0.3409948000, 0.6321601000, 1.4476842000", \
+                        "0.1846445000, 0.1895201000, 0.2034285000, 0.2408570000, 0.3456534000, 0.6374285000, 1.4532232000", \
+                        "0.1976066000, 0.2017601000, 0.2164060000, 0.2542436000, 0.3589384000, 0.6507277000, 1.4663430000", \
+                        "0.2275338000, 0.2324228000, 0.2447277000, 0.2843176000, 0.3895675000, 0.6811195000, 1.4968901000", \
+                        "0.2874144000, 0.2920739000, 0.3054593000, 0.3435585000, 0.4495730000, 0.7411936000, 1.5575325000", \
+                        "0.4034826000, 0.4092005000, 0.4233074000, 0.4666882000, 0.5777315000, 0.8696167000, 1.6867905000", \
+                        "0.6040872000, 0.6119435000, 0.6327228000, 0.6879160000, 0.8291991000, 1.1581146000, 1.9796800000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0575620000, 0.0605556000, 0.0690128000, 0.0926581000, 0.1585032000, 0.3442407000, 0.8675564000", \
+                        "0.0576069000, 0.0604886000, 0.0689377000, 0.0926796000, 0.1584495000, 0.3440454000, 0.8679918000", \
+                        "0.0574685000, 0.0604987000, 0.0689532000, 0.0926209000, 0.1586420000, 0.3443646000, 0.8671929000", \
+                        "0.0590806000, 0.0619066000, 0.0701702000, 0.0931906000, 0.1583072000, 0.3441145000, 0.8674279000", \
+                        "0.0686556000, 0.0719314000, 0.0802626000, 0.1029439000, 0.1650091000, 0.3453841000, 0.8684359000", \
+                        "0.0968441000, 0.1001727000, 0.1085859000, 0.1324772000, 0.1966347000, 0.3680520000, 0.8725299000", \
+                        "0.1615900000, 0.1657499000, 0.1771642000, 0.2061199000, 0.2763627000, 0.4500575000, 0.9287308000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1319592000, 0.1386206000, 0.1558127000, 0.2064446000, 0.3485766000, 0.7486793000, 1.8675359000", \
+                        "0.1321309000, 0.1375626000, 0.1554684000, 0.2064667000, 0.3486608000, 0.7468695000, 1.8711628000", \
+                        "0.1310299000, 0.1383956000, 0.1557712000, 0.2064539000, 0.3486796000, 0.7465225000, 1.8675961000", \
+                        "0.1309379000, 0.1374771000, 0.1563026000, 0.2064442000, 0.3488362000, 0.7486849000, 1.8669539000", \
+                        "0.1342162000, 0.1407284000, 0.1578258000, 0.2073534000, 0.3485236000, 0.7474032000, 1.8677289000", \
+                        "0.1619904000, 0.1681493000, 0.1864357000, 0.2340710000, 0.3644383000, 0.7489770000, 1.8698765000", \
+                        "0.2343623000, 0.2411975000, 0.2612291000, 0.3128327000, 0.4476143000, 0.8042446000, 1.8753150000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0228628000, 0.0243698000, 0.0287636000, 0.0400161000, 0.0712259000, 0.1583423000, 0.4035713000", \
+                        "0.0267291000, 0.0282752000, 0.0326503000, 0.0442090000, 0.0756659000, 0.1629010000, 0.4080534000", \
+                        "0.0353045000, 0.0372398000, 0.0425300000, 0.0541318000, 0.0857220000, 0.1734406000, 0.4185534000", \
+                        "0.0453721000, 0.0485177000, 0.0563905000, 0.0737827000, 0.1095528000, 0.1972618000, 0.4419445000", \
+                        "0.0515353000, 0.0562343000, 0.0683358000, 0.0961188000, 0.1509936000, 0.2524491000, 0.4974011000", \
+                        "0.0420613000, 0.0495576000, 0.0676598000, 0.1106520000, 0.1953875000, 0.3509191000, 0.6251089000", \
+                        "-0.013524200, -0.002595800, 0.0241975000, 0.0899586000, 0.2233417000, 0.4613413000, 0.8736000000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1136998000, 0.1186065000, 0.1340197000, 0.1737656000, 0.2804877000, 0.5744935000, 1.3979263000", \
+                        "0.1173851000, 0.1229418000, 0.1373077000, 0.1769244000, 0.2847414000, 0.5796778000, 1.4024243000", \
+                        "0.1270502000, 0.1316467000, 0.1468616000, 0.1860706000, 0.2948323000, 0.5906068000, 1.4142829000", \
+                        "0.1540890000, 0.1595307000, 0.1735387000, 0.2115879000, 0.3200727000, 0.6169341000, 1.4419161000", \
+                        "0.2212308000, 0.2271368000, 0.2421603000, 0.2799719000, 0.3846605000, 0.6833645000, 1.5107473000", \
+                        "0.3518417000, 0.3595153000, 0.3800608000, 0.4307447000, 0.5479623000, 0.8387391000, 1.6628048000", \
+                        "0.5616945000, 0.5725486000, 0.6032336000, 0.6810676000, 0.8573455000, 1.2105578000, 2.0276452000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0276447000, 0.0295649000, 0.0349438000, 0.0501059000, 0.0924258000, 0.2125546000, 0.5484127000", \
+                        "0.0275705000, 0.0295002000, 0.0349362000, 0.0500720000, 0.0925305000, 0.2116680000, 0.5484060000", \
+                        "0.0322993000, 0.0337509000, 0.0379314000, 0.0512665000, 0.0924049000, 0.2126024000, 0.5482601000", \
+                        "0.0470554000, 0.0486727000, 0.0532219000, 0.0646630000, 0.0985931000, 0.2119290000, 0.5484762000", \
+                        "0.0762059000, 0.0781390000, 0.0835346000, 0.0980672000, 0.1334511000, 0.2270089000, 0.5480060000", \
+                        "0.1291810000, 0.1318989000, 0.1399458000, 0.1597273000, 0.2083484000, 0.3094888000, 0.5764449000", \
+                        "0.2233058000, 0.2272196000, 0.2400696000, 0.2682402000, 0.3377887000, 0.4828309000, 0.7606898000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1079814000, 0.1147154000, 0.1324694000, 0.1825834000, 0.3254048000, 0.7235146000, 1.8475274000", \
+                        "0.1080164000, 0.1140606000, 0.1323451000, 0.1826349000, 0.3245940000, 0.7234882000, 1.8444714000", \
+                        "0.1078102000, 0.1144344000, 0.1324612000, 0.1828586000, 0.3246151000, 0.7245126000, 1.8454874000", \
+                        "0.1061760000, 0.1126199000, 0.1314782000, 0.1824914000, 0.3244301000, 0.7235148000, 1.8453477000", \
+                        "0.1227137000, 0.1276724000, 0.1423220000, 0.1877989000, 0.3239465000, 0.7233898000, 1.8537267000", \
+                        "0.1755682000, 0.1828397000, 0.2016059000, 0.2484398000, 0.3601431000, 0.7240319000, 1.8447206000", \
+                        "0.2677529000, 0.2785008000, 0.3060349000, 0.3724120000, 0.5159935000, 0.8248174000, 1.8530910000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0286764000, 0.0301934000, 0.0342822000, 0.0455736000, 0.0768841000, 0.1638776000, 0.4088483000", \
+                        "0.0326900000, 0.0342208000, 0.0385830000, 0.0499794000, 0.0813320000, 0.1686067000, 0.4135846000", \
+                        "0.0402271000, 0.0419776000, 0.0466441000, 0.0585483000, 0.0901436000, 0.1775979000, 0.4227503000", \
+                        "0.0506531000, 0.0532617000, 0.0595138000, 0.0745228000, 0.1090853000, 0.1970882000, 0.4427255000", \
+                        "0.0604525000, 0.0640546000, 0.0736809000, 0.0961773000, 0.1427820000, 0.2413537000, 0.4877563000", \
+                        "0.0567370000, 0.0628141000, 0.0786279000, 0.1139775000, 0.1865996000, 0.3197594000, 0.5904712000", \
+                        "0.0094019000, 0.0186559000, 0.0437334000, 0.1017818000, 0.2172943000, 0.4244050000, 0.7825129000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1428129000, 0.1482367000, 0.1623572000, 0.2000247000, 0.3055006000, 0.5963472000, 1.4131912000", \
+                        "0.1463693000, 0.1510980000, 0.1654645000, 0.2038497000, 0.3092663000, 0.6010220000, 1.4167282000", \
+                        "0.1564045000, 0.1614614000, 0.1745699000, 0.2133343000, 0.3194096000, 0.6116207000, 1.4285777000", \
+                        "0.1829183000, 0.1879877000, 0.2020005000, 0.2403837000, 0.3457387000, 0.6384091000, 1.4564789000", \
+                        "0.2504213000, 0.2553519000, 0.2684888000, 0.3063798000, 0.4120352000, 0.7045515000, 1.5239653000", \
+                        "0.3895200000, 0.3958117000, 0.4136028000, 0.4587492000, 0.5692063000, 0.8553145000, 1.6720798000", \
+                        "0.6122353000, 0.6221197000, 0.6488952000, 0.7176376000, 0.8821526000, 1.2265209000, 2.0311315000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0276202000, 0.0295423000, 0.0349271000, 0.0500310000, 0.0923760000, 0.2118897000, 0.5483888000", \
+                        "0.0276569000, 0.0295619000, 0.0349383000, 0.0500512000, 0.0924142000, 0.2118188000, 0.5485418000", \
+                        "0.0297162000, 0.0314112000, 0.0363381000, 0.0506109000, 0.0924344000, 0.2117120000, 0.5486273000", \
+                        "0.0396062000, 0.0411461000, 0.0455562000, 0.0580010000, 0.0956675000, 0.2118936000, 0.5485445000", \
+                        "0.0618745000, 0.0635622000, 0.0682401000, 0.0812287000, 0.1168194000, 0.2209088000, 0.5480907000", \
+                        "0.1066810000, 0.1088556000, 0.1144169000, 0.1303548000, 0.1712976000, 0.2716584000, 0.5668517000", \
+                        "0.1921372000, 0.1946776000, 0.2020090000, 0.2230917000, 0.2765496000, 0.3950065000, 0.6801013000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1318296000, 0.1375300000, 0.1557944000, 0.2064068000, 0.3484968000, 0.7483363000, 1.8704487000", \
+                        "0.1309791000, 0.1381116000, 0.1554703000, 0.2064546000, 0.3488247000, 0.7467036000, 1.8674005000", \
+                        "0.1309437000, 0.1374439000, 0.1562095000, 0.2071143000, 0.3486143000, 0.7467579000, 1.8708943000", \
+                        "0.1306280000, 0.1368664000, 0.1553304000, 0.2062295000, 0.3486391000, 0.7473056000, 1.8706230000", \
+                        "0.1395991000, 0.1451272000, 0.1616725000, 0.2091450000, 0.3479345000, 0.7464853000, 1.8761086000", \
+                        "0.1959397000, 0.2027786000, 0.2221442000, 0.2647304000, 0.3843588000, 0.7501039000, 1.8724284000", \
+                        "0.2908698000, 0.3006607000, 0.3267835000, 0.3904190000, 0.5314923000, 0.8469735000, 1.8730781000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41o_1 */
+
+/* removed sky130_fd_sc_hd__a41o_2 */
+
+    cell ("sky130_fd_sc_hd__a41o_4") {
+        leakage_power () {
+            value : 0.0046105000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082481000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082575000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082581000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082869000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082599000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082888000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082912000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046109000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0089728000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082703000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083003000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083028000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046103000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088094000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083167000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046099000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088056000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088092000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0021909000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054482000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a41o";
+        cell_leakage_power : 0.0063521180;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088935000, 0.0088876000, 0.0088741000, 0.0088719000, 0.0088668000, 0.0088551000, 0.0088282000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006171300, -0.006172800, -0.006176500, -0.006159500, -0.006120300, -0.006030100, -0.005822100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043280000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0083726000, 0.0083698000, 0.0083633000, 0.0083959000, 0.0084713000, 0.0086448000, 0.0090450000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007752000, -0.007748400, -0.007740000, -0.007738100, -0.007733800, -0.007723800, -0.007700800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043620000;
+        }
+        pin ("A3") {
+            capacitance : 0.0043970000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078491000, 0.0078549000, 0.0078680000, 0.0078667000, 0.0078637000, 0.0078566000, 0.0078404000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007845000, -0.007846300, -0.007849200, -0.007851100, -0.007855500, -0.007865600, -0.007889000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045650000;
+        }
+        pin ("A4") {
+            capacitance : 0.0044010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078615000, 0.0078653000, 0.0078740000, 0.0078766000, 0.0078825000, 0.0078961000, 0.0079274000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007869500, -0.007867900, -0.007864300, -0.007863400, -0.007861100, -0.007856000, -0.007844000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046380000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039355000, 0.0039187000, 0.0038799000, 0.0039153000, 0.0039970000, 0.0041851000, 0.0046188000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003398400, -0.003409200, -0.003434000, -0.003438100, -0.003447300, -0.003468700, -0.003518000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048960000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3&A4) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0283566000, 0.0265670000, 0.0214184000, 0.0061189000, -0.049881000, -0.242562700, -0.868521400", \
+                        "0.0280678000, 0.0264028000, 0.0212607000, 0.0060403000, -0.049909300, -0.242778900, -0.868557800", \
+                        "0.0278946000, 0.0260994000, 0.0209503000, 0.0056863000, -0.050359400, -0.243007800, -0.868928700", \
+                        "0.0273414000, 0.0255613000, 0.0204113000, 0.0051336000, -0.050773500, -0.243517100, -0.869395100", \
+                        "0.0267200000, 0.0249667000, 0.0197803000, 0.0043789000, -0.051575000, -0.244261600, -0.869954200", \
+                        "0.0256060000, 0.0237654000, 0.0183663000, 0.0033590000, -0.052226000, -0.244540100, -0.870177700", \
+                        "0.0347086000, 0.0322526000, 0.0260554000, 0.0072155000, -0.052388500, -0.244896000, -0.870154200");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0338333000, 0.0356243000, 0.0417639000, 0.0615851000, 0.1225181000, 0.3156794000, 0.9357667000", \
+                        "0.0333588000, 0.0351549000, 0.0412926000, 0.0611566000, 0.1224145000, 0.3154337000, 0.9362187000", \
+                        "0.0331112000, 0.0349775000, 0.0410904000, 0.0607144000, 0.1220379000, 0.3154240000, 0.9345519000", \
+                        "0.0326079000, 0.0344323000, 0.0405597000, 0.0603972000, 0.1215607000, 0.3147928000, 0.9353658000", \
+                        "0.0326094000, 0.0344464000, 0.0404429000, 0.0601711000, 0.1206257000, 0.3141379000, 0.9349147000", \
+                        "0.0338426000, 0.0355877000, 0.0412813000, 0.0597400000, 0.1204101000, 0.3134558000, 0.9329565000", \
+                        "0.0368070000, 0.0383718000, 0.0439586000, 0.0623088000, 0.1221448000, 0.3145637000, 0.9323754000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0346549000, 0.0328990000, 0.0275833000, 0.0122223000, -0.043399500, -0.235572200, -0.861250600", \
+                        "0.0346663000, 0.0328870000, 0.0275853000, 0.0121122000, -0.043512300, -0.235751700, -0.861420900", \
+                        "0.0343455000, 0.0324895000, 0.0272440000, 0.0120322000, -0.043778300, -0.236040400, -0.861652200", \
+                        "0.0336994000, 0.0319681000, 0.0266361000, 0.0112380000, -0.044396700, -0.236524600, -0.862184500", \
+                        "0.0330929000, 0.0312577000, 0.0259732000, 0.0104660000, -0.045260900, -0.237388600, -0.862906800", \
+                        "0.0324240000, 0.0305331000, 0.0251662000, 0.0097977000, -0.045780200, -0.237886300, -0.863273700", \
+                        "0.0403502000, 0.0382967000, 0.0321176000, 0.0133000000, -0.045885200, -0.238597500, -0.863777600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352090000, 0.0370408000, 0.0431815000, 0.0628633000, 0.1241415000, 0.3173625000, 0.9370636000", \
+                        "0.0351157000, 0.0368996000, 0.0430367000, 0.0626601000, 0.1238578000, 0.3171228000, 0.9377107000", \
+                        "0.0347503000, 0.0365531000, 0.0426773000, 0.0622565000, 0.1236887000, 0.3170704000, 0.9404864000", \
+                        "0.0343557000, 0.0360742000, 0.0422013000, 0.0619166000, 0.1233850000, 0.3164428000, 0.9388132000", \
+                        "0.0344455000, 0.0362337000, 0.0422643000, 0.0617448000, 0.1228334000, 0.3160841000, 0.9384244000", \
+                        "0.0350807000, 0.0370292000, 0.0427457000, 0.0613545000, 0.1220861000, 0.3148963000, 0.9360292000", \
+                        "0.0378416000, 0.0395152000, 0.0450949000, 0.0637751000, 0.1234246000, 0.3159692000, 0.9328086000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0403557000, 0.0385641000, 0.0331159000, 0.0178161000, -0.037840100, -0.229758500, -0.855075300", \
+                        "0.0399791000, 0.0381966000, 0.0328705000, 0.0174838000, -0.037974900, -0.229871500, -0.855227900", \
+                        "0.0399757000, 0.0381705000, 0.0328181000, 0.0174059000, -0.038200600, -0.230231300, -0.855485900", \
+                        "0.0395812000, 0.0378202000, 0.0324444000, 0.0170309000, -0.038622000, -0.230500600, -0.855845800", \
+                        "0.0389614000, 0.0371668000, 0.0318093000, 0.0163859000, -0.039164000, -0.231141500, -0.856286100", \
+                        "0.0388110000, 0.0370585000, 0.0316474000, 0.0160690000, -0.039430300, -0.231320600, -0.856493200", \
+                        "0.0472567000, 0.0452092000, 0.0390311000, 0.0201257000, -0.039786400, -0.231862900, -0.856713700");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352324000, 0.0370341000, 0.0431742000, 0.0628031000, 0.1240054000, 0.3173077000, 0.9377950000", \
+                        "0.0350356000, 0.0368914000, 0.0429733000, 0.0625792000, 0.1238348000, 0.3171586000, 0.9376107000", \
+                        "0.0346191000, 0.0365214000, 0.0426178000, 0.0622063000, 0.1236449000, 0.3170022000, 0.9403905000", \
+                        "0.0343278000, 0.0361146000, 0.0422544000, 0.0618658000, 0.1233662000, 0.3164119000, 0.9387489000", \
+                        "0.0340978000, 0.0359312000, 0.0419462000, 0.0616662000, 0.1226481000, 0.3161689000, 0.9367587000", \
+                        "0.0351113000, 0.0368784000, 0.0426614000, 0.0612501000, 0.1224490000, 0.3152237000, 0.9351694000", \
+                        "0.0370366000, 0.0387233000, 0.0443706000, 0.0631729000, 0.1234732000, 0.3161723000, 0.9338160000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0450691000, 0.0432673000, 0.0379496000, 0.0225730000, -0.032708000, -0.224240900, -0.849146400", \
+                        "0.0448084000, 0.0429961000, 0.0376185000, 0.0222254000, -0.033043000, -0.224393300, -0.849350100", \
+                        "0.0443803000, 0.0425954000, 0.0372545000, 0.0220401000, -0.033293800, -0.224736900, -0.849649400", \
+                        "0.0443311000, 0.0425185000, 0.0371812000, 0.0218069000, -0.033464700, -0.224973400, -0.849939300", \
+                        "0.0438487000, 0.0420422000, 0.0366650000, 0.0212830000, -0.033968400, -0.225379700, -0.850250300", \
+                        "0.0444455000, 0.0426674000, 0.0371784000, 0.0214707000, -0.034050600, -0.225538000, -0.850406700", \
+                        "0.0529207000, 0.0508729000, 0.0447423000, 0.0257731000, -0.034195000, -0.226101300, -0.850570300");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352459000, 0.0370984000, 0.0431815000, 0.0627875000, 0.1240433000, 0.3173460000, 0.9377597000", \
+                        "0.0348994000, 0.0367251000, 0.0428280000, 0.0626215000, 0.1238822000, 0.3170619000, 0.9363928000", \
+                        "0.0346165000, 0.0364723000, 0.0425521000, 0.0623546000, 0.1236541000, 0.3169981000, 0.9388711000", \
+                        "0.0342458000, 0.0361000000, 0.0422027000, 0.0618820000, 0.1233086000, 0.3165759000, 0.9399334000", \
+                        "0.0340523000, 0.0358858000, 0.0419435000, 0.0614765000, 0.1226252000, 0.3161127000, 0.9363976000", \
+                        "0.0354089000, 0.0371923000, 0.0429965000, 0.0612362000, 0.1224440000, 0.3152548000, 0.9373385000", \
+                        "0.0369649000, 0.0386596000, 0.0443766000, 0.0631184000, 0.1230420000, 0.3156195000, 0.9339815000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0430353000, 0.0411739000, 0.0358115000, 0.0205105000, -0.034691400, -0.226051600, -0.850947200", \
+                        "0.0427847000, 0.0409430000, 0.0358425000, 0.0203843000, -0.034834300, -0.226340600, -0.851186800", \
+                        "0.0424475000, 0.0405546000, 0.0353112000, 0.0198995000, -0.035236500, -0.226697300, -0.851573400", \
+                        "0.0420354000, 0.0403004000, 0.0349262000, 0.0195810000, -0.035663000, -0.227111100, -0.851946300", \
+                        "0.0415580000, 0.0398134000, 0.0344628000, 0.0190226000, -0.036367100, -0.227684700, -0.852493800", \
+                        "0.0423874000, 0.0405541000, 0.0350424000, 0.0193355000, -0.036321400, -0.227760300, -0.852331700", \
+                        "0.0542434000, 0.0520001000, 0.0454990000, 0.0261681000, -0.032659800, -0.225355000, -0.850275600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0240264000, 0.0260792000, 0.0325039000, 0.0520580000, 0.1118664000, 0.3039243000, 0.9233464000", \
+                        "0.0239445000, 0.0259866000, 0.0324230000, 0.0519706000, 0.1118424000, 0.3039011000, 0.9239186000", \
+                        "0.0237323000, 0.0257321000, 0.0320857000, 0.0515570000, 0.1116545000, 0.3039013000, 0.9275183000", \
+                        "0.0232338000, 0.0251642000, 0.0314041000, 0.0507404000, 0.1109710000, 0.3029268000, 0.9207356000", \
+                        "0.0233640000, 0.0251964000, 0.0311067000, 0.0504307000, 0.1104745000, 0.3030241000, 0.9235364000", \
+                        "0.0248931000, 0.0266617000, 0.0324365000, 0.0512065000, 0.1105823000, 0.3022687000, 0.9223149000", \
+                        "0.0286964000, 0.0302440000, 0.0357174000, 0.0540921000, 0.1141410000, 0.3053202000, 0.9213523000");
+                }
+            }
+            max_capacitance : 0.5603130000;
+            max_transition : 1.5019620000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1752514000, 0.1791203000, 0.1892402000, 0.2123169000, 0.2610626000, 0.3765588000, 0.7077884000", \
+                        "0.1810962000, 0.1849696000, 0.1948706000, 0.2179863000, 0.2669347000, 0.3822915000, 0.7138947000", \
+                        "0.1943133000, 0.1982127000, 0.2083303000, 0.2312909000, 0.2802480000, 0.3955737000, 0.7265627000", \
+                        "0.2243421000, 0.2282099000, 0.2382933000, 0.2611022000, 0.3100811000, 0.4255340000, 0.7563434000", \
+                        "0.2892406000, 0.2930873000, 0.3031748000, 0.3260370000, 0.3750517000, 0.4905000000, 0.8220858000", \
+                        "0.4156313000, 0.4199282000, 0.4310825000, 0.4562251000, 0.5083077000, 0.6263552000, 0.9575946000", \
+                        "0.6293223000, 0.6346536000, 0.6483119000, 0.6784631000, 0.7396329000, 0.8676851000, 1.2019676000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1461379000, 0.1516169000, 0.1660870000, 0.2001547000, 0.2814216000, 0.5072767000, 1.2164513000", \
+                        "0.1493791000, 0.1548650000, 0.1693780000, 0.2034896000, 0.2847993000, 0.5105574000, 1.2197858000", \
+                        "0.1579377000, 0.1634175000, 0.1779224000, 0.2119935000, 0.2933181000, 0.5188925000, 1.2305049000", \
+                        "0.1781200000, 0.1836060000, 0.1981581000, 0.2322415000, 0.3134594000, 0.5393937000, 1.2486778000", \
+                        "0.2264467000, 0.2318251000, 0.2462109000, 0.2802640000, 0.3618031000, 0.5874718000, 1.2963699000", \
+                        "0.2969285000, 0.3027674000, 0.3175987000, 0.3521641000, 0.4342047000, 0.6609791000, 1.3700651000", \
+                        "0.3666661000, 0.3739380000, 0.3924625000, 0.4317737000, 0.5154365000, 0.7413850000, 1.4522047000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0252063000, 0.0274268000, 0.0340146000, 0.0509178000, 0.0943433000, 0.2239810000, 0.6630501000", \
+                        "0.0251819000, 0.0277002000, 0.0341288000, 0.0513620000, 0.0945233000, 0.2242965000, 0.6644656000", \
+                        "0.0250751000, 0.0274864000, 0.0341061000, 0.0506719000, 0.0944516000, 0.2245173000, 0.6635229000", \
+                        "0.0249974000, 0.0274492000, 0.0339728000, 0.0508639000, 0.0946908000, 0.2246556000, 0.6640458000", \
+                        "0.0250869000, 0.0274996000, 0.0341027000, 0.0511351000, 0.0944645000, 0.2244578000, 0.6637077000", \
+                        "0.0306109000, 0.0333115000, 0.0404313000, 0.0571171000, 0.0998780000, 0.2275906000, 0.6639230000", \
+                        "0.0428126000, 0.0456007000, 0.0539458000, 0.0724845000, 0.1175443000, 0.2413572000, 0.6663838000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0312085000, 0.0353777000, 0.0469587000, 0.0780061000, 0.1705116000, 0.4794298000, 1.5005253000", \
+                        "0.0312782000, 0.0353818000, 0.0470021000, 0.0779977000, 0.1701456000, 0.4781017000, 1.4991723000", \
+                        "0.0311815000, 0.0355123000, 0.0470612000, 0.0780472000, 0.1706938000, 0.4792934000, 1.5018078000", \
+                        "0.0314397000, 0.0354191000, 0.0471003000, 0.0779307000, 0.1700635000, 0.4785637000, 1.4991850000", \
+                        "0.0317072000, 0.0357172000, 0.0473698000, 0.0785319000, 0.1705057000, 0.4791598000, 1.4980269000", \
+                        "0.0369726000, 0.0405209000, 0.0516069000, 0.0813609000, 0.1737468000, 0.4806289000, 1.4997764000", \
+                        "0.0495075000, 0.0540569000, 0.0656182000, 0.0928751000, 0.1787961000, 0.4822752000, 1.4960329000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2084035000, 0.2125618000, 0.2232894000, 0.2473198000, 0.2976568000, 0.4147988000, 0.7474036000", \
+                        "0.2141028000, 0.2182475000, 0.2289846000, 0.2530054000, 0.3037750000, 0.4206382000, 0.7526624000", \
+                        "0.2270611000, 0.2312170000, 0.2419979000, 0.2658729000, 0.3163270000, 0.4335012000, 0.7659363000", \
+                        "0.2571079000, 0.2612625000, 0.2719950000, 0.2960239000, 0.3464045000, 0.4635673000, 0.7962131000", \
+                        "0.3218594000, 0.3260863000, 0.3367729000, 0.3607606000, 0.4113594000, 0.5287186000, 0.8613418000", \
+                        "0.4549048000, 0.4593880000, 0.4709315000, 0.4965186000, 0.5488238000, 0.6676411000, 1.0002996000", \
+                        "0.6881120000, 0.6935225000, 0.7074501000, 0.7378831000, 0.7979073000, 0.9253940000, 1.2614298000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1626114000, 0.1680796000, 0.1825323000, 0.2166310000, 0.2979694000, 0.5234292000, 1.2326098000", \
+                        "0.1664008000, 0.1718874000, 0.1863561000, 0.2203952000, 0.3016112000, 0.5275075000, 1.2359093000", \
+                        "0.1749747000, 0.1804399000, 0.1948829000, 0.2290173000, 0.3103465000, 0.5357770000, 1.2469841000", \
+                        "0.1949106000, 0.2003215000, 0.2148216000, 0.2489157000, 0.3302970000, 0.5554036000, 1.2652615000", \
+                        "0.2395660000, 0.2450639000, 0.2596316000, 0.2937328000, 0.3750100000, 0.6003534000, 1.3094026000", \
+                        "0.3114933000, 0.3174848000, 0.3330377000, 0.3687185000, 0.4516343000, 0.6788103000, 1.3884410000", \
+                        "0.3895433000, 0.3970293000, 0.4159512000, 0.4567584000, 0.5429120000, 0.7698433000, 1.4798712000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0280233000, 0.0305922000, 0.0374384000, 0.0536687000, 0.0970564000, 0.2272409000, 0.6651744000", \
+                        "0.0280287000, 0.0305559000, 0.0371153000, 0.0540799000, 0.0970824000, 0.2270634000, 0.6651681000", \
+                        "0.0282405000, 0.0304380000, 0.0372756000, 0.0537527000, 0.0977265000, 0.2273754000, 0.6653935000", \
+                        "0.0280445000, 0.0306069000, 0.0374313000, 0.0536709000, 0.0970642000, 0.2272310000, 0.6652914000", \
+                        "0.0280469000, 0.0306168000, 0.0371371000, 0.0537485000, 0.0975663000, 0.2270545000, 0.6646811000", \
+                        "0.0320994000, 0.0348362000, 0.0413300000, 0.0586628000, 0.1009492000, 0.2286762000, 0.6659718000", \
+                        "0.0435616000, 0.0467400000, 0.0539672000, 0.0720928000, 0.1161741000, 0.2414245000, 0.6674505000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313448000, 0.0354562000, 0.0469858000, 0.0779929000, 0.1701743000, 0.4784647000, 1.5004373000", \
+                        "0.0312862000, 0.0354547000, 0.0470087000, 0.0781229000, 0.1701113000, 0.4788263000, 1.4971424000", \
+                        "0.0314535000, 0.0356178000, 0.0472135000, 0.0780695000, 0.1705415000, 0.4792411000, 1.5019579000", \
+                        "0.0314156000, 0.0354174000, 0.0471454000, 0.0781241000, 0.1700027000, 0.4785948000, 1.4981526000", \
+                        "0.0321451000, 0.0361981000, 0.0477292000, 0.0789871000, 0.1703809000, 0.4781920000, 1.4969748000", \
+                        "0.0363647000, 0.0407751000, 0.0523461000, 0.0826262000, 0.1738623000, 0.4807431000, 1.4988446000", \
+                        "0.0483433000, 0.0531669000, 0.0656092000, 0.0953566000, 0.1800782000, 0.4828592000, 1.4953170000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2208521000, 0.2250906000, 0.2359925000, 0.2602206000, 0.3111092000, 0.4279598000, 0.7610656000", \
+                        "0.2264531000, 0.2306852000, 0.2416375000, 0.2659224000, 0.3163745000, 0.4336926000, 0.7663901000", \
+                        "0.2399870000, 0.2442265000, 0.2551599000, 0.2793772000, 0.3302593000, 0.4471772000, 0.7802277000", \
+                        "0.2707384000, 0.2749689000, 0.2859101000, 0.3101157000, 0.3606507000, 0.4779604000, 0.8110062000", \
+                        "0.3355239000, 0.3397513000, 0.3506417000, 0.3749287000, 0.4257578000, 0.5430787000, 0.8761012000", \
+                        "0.4714280000, 0.4760451000, 0.4875532000, 0.5129129000, 0.5646264000, 0.6825269000, 1.0158043000", \
+                        "0.7116703000, 0.7171012000, 0.7307890000, 0.7604968000, 0.8199454000, 0.9462263000, 1.2816578000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1708478000, 0.1763283000, 0.1907895000, 0.2248420000, 0.3060447000, 0.5318668000, 1.2401106000", \
+                        "0.1745980000, 0.1800890000, 0.1945498000, 0.2286021000, 0.3098011000, 0.5355830000, 1.2440716000", \
+                        "0.1821749000, 0.1876431000, 0.2020933000, 0.2362389000, 0.3175778000, 0.5429726000, 1.2541402000", \
+                        "0.1979189000, 0.2033833000, 0.2177978000, 0.2518881000, 0.3332880000, 0.5584118000, 1.2680612000", \
+                        "0.2311284000, 0.2366685000, 0.2512844000, 0.2857349000, 0.3670065000, 0.5927756000, 1.3014522000", \
+                        "0.2873981000, 0.2933862000, 0.3090629000, 0.3450936000, 0.4282101000, 0.6550773000, 1.3673691000", \
+                        "0.3519727000, 0.3591384000, 0.3775853000, 0.4181636000, 0.5054936000, 0.7335346000, 1.4430807000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0290173000, 0.0315223000, 0.0388297000, 0.0544612000, 0.0978407000, 0.2268872000, 0.6660019000", \
+                        "0.0292310000, 0.0318142000, 0.0385830000, 0.0545914000, 0.0976621000, 0.2273587000, 0.6655940000", \
+                        "0.0290988000, 0.0316338000, 0.0384238000, 0.0545525000, 0.0978899000, 0.2274636000, 0.6660046000", \
+                        "0.0290727000, 0.0316210000, 0.0383773000, 0.0545987000, 0.0975420000, 0.2273429000, 0.6658036000", \
+                        "0.0292295000, 0.0318581000, 0.0381006000, 0.0546394000, 0.0979172000, 0.2269566000, 0.6650637000", \
+                        "0.0326398000, 0.0351477000, 0.0416795000, 0.0582123000, 0.0998739000, 0.2283109000, 0.6642956000", \
+                        "0.0427398000, 0.0457445000, 0.0542537000, 0.0708891000, 0.1143660000, 0.2396836000, 0.6670784000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313287000, 0.0354644000, 0.0470112000, 0.0781220000, 0.1702678000, 0.4791021000, 1.4981816000", \
+                        "0.0313246000, 0.0355038000, 0.0470115000, 0.0781049000, 0.1704204000, 0.4792357000, 1.4990194000", \
+                        "0.0311882000, 0.0354433000, 0.0472385000, 0.0780886000, 0.1705334000, 0.4792319000, 1.5019620000", \
+                        "0.0313997000, 0.0355936000, 0.0471749000, 0.0780951000, 0.1700144000, 0.4785612000, 1.4980901000", \
+                        "0.0319956000, 0.0362022000, 0.0477943000, 0.0785111000, 0.1705290000, 0.4792566000, 1.4978659000", \
+                        "0.0354013000, 0.0397251000, 0.0514088000, 0.0824821000, 0.1734943000, 0.4796122000, 1.5001551000", \
+                        "0.0460240000, 0.0505819000, 0.0626674000, 0.0940170000, 0.1800051000, 0.4826735000, 1.4964869000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2410485000, 0.2454709000, 0.2568412000, 0.2819583000, 0.3340698000, 0.4524888000, 0.7864164000", \
+                        "0.2460859000, 0.2505167000, 0.2618473000, 0.2868881000, 0.3391378000, 0.4574795000, 0.7917172000", \
+                        "0.2592834000, 0.2636923000, 0.2750424000, 0.3000772000, 0.3520401000, 0.4707898000, 0.8049722000", \
+                        "0.2888771000, 0.2933018000, 0.3046834000, 0.3298137000, 0.3819035000, 0.5003815000, 0.8343762000", \
+                        "0.3502996000, 0.3547797000, 0.3660220000, 0.3910245000, 0.4431869000, 0.5621863000, 0.8961218000", \
+                        "0.4789627000, 0.4835849000, 0.4955052000, 0.5213801000, 0.5743657000, 0.6936326000, 1.0278260000", \
+                        "0.7046645000, 0.7099994000, 0.7241543000, 0.7539749000, 0.8138581000, 0.9405312000, 1.2772817000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1761856000, 0.1816757000, 0.1961402000, 0.2301902000, 0.3113901000, 0.5371392000, 1.2456103000", \
+                        "0.1800949000, 0.1855772000, 0.2000881000, 0.2342394000, 0.3155481000, 0.5411459000, 1.2499256000", \
+                        "0.1879246000, 0.1934088000, 0.2079332000, 0.2421238000, 0.3234292000, 0.5485477000, 1.2577395000", \
+                        "0.2025091000, 0.2079813000, 0.2224550000, 0.2567253000, 0.3379951000, 0.5631967000, 1.2737137000", \
+                        "0.2303163000, 0.2358227000, 0.2503042000, 0.2846393000, 0.3659616000, 0.5916156000, 1.3003612000", \
+                        "0.2751373000, 0.2810445000, 0.2965994000, 0.3325309000, 0.4157631000, 0.6418768000, 1.3506855000", \
+                        "0.3292962000, 0.3361681000, 0.3540822000, 0.3940359000, 0.4812716000, 0.7094981000, 1.4178754000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0312560000, 0.0338341000, 0.0407997000, 0.0572744000, 0.1003391000, 0.2299890000, 0.6656039000", \
+                        "0.0311327000, 0.0336976000, 0.0411793000, 0.0567406000, 0.1001514000, 0.2294996000, 0.6677875000", \
+                        "0.0312299000, 0.0340222000, 0.0408639000, 0.0570483000, 0.1004597000, 0.2299502000, 0.6671865000", \
+                        "0.0312294000, 0.0337930000, 0.0407461000, 0.0572572000, 0.1002958000, 0.2298046000, 0.6682758000", \
+                        "0.0312520000, 0.0338740000, 0.0411378000, 0.0575608000, 0.0998021000, 0.2294376000, 0.6677904000", \
+                        "0.0339923000, 0.0365755000, 0.0432951000, 0.0595861000, 0.1022825000, 0.2306543000, 0.6678573000", \
+                        "0.0437593000, 0.0469199000, 0.0548762000, 0.0716434000, 0.1150917000, 0.2409118000, 0.6685779000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313309000, 0.0355146000, 0.0470147000, 0.0781027000, 0.1704333000, 0.4792434000, 1.4991051000", \
+                        "0.0314525000, 0.0354559000, 0.0472843000, 0.0779579000, 0.1704301000, 0.4791403000, 1.5003612000", \
+                        "0.0315719000, 0.0356266000, 0.0472609000, 0.0779706000, 0.1704370000, 0.4789264000, 1.4975199000", \
+                        "0.0311329000, 0.0353449000, 0.0471723000, 0.0780804000, 0.1702056000, 0.4790275000, 1.5010134000", \
+                        "0.0317766000, 0.0358824000, 0.0474277000, 0.0785842000, 0.1708894000, 0.4793825000, 1.4997511000", \
+                        "0.0346567000, 0.0391544000, 0.0509248000, 0.0823933000, 0.1735508000, 0.4792481000, 1.4982235000", \
+                        "0.0428182000, 0.0472415000, 0.0599733000, 0.0917148000, 0.1800962000, 0.4822683000, 1.4981930000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2072282000, 0.2116229000, 0.2229969000, 0.2480858000, 0.3003378000, 0.4191678000, 0.7535192000", \
+                        "0.2111326000, 0.2155620000, 0.2268983000, 0.2521068000, 0.3043012000, 0.4229365000, 0.7575783000", \
+                        "0.2214330000, 0.2258442000, 0.2372230000, 0.2620876000, 0.3139860000, 0.4330377000, 0.7671114000", \
+                        "0.2478567000, 0.2522755000, 0.2638543000, 0.2888894000, 0.3409798000, 0.4600459000, 0.7942103000", \
+                        "0.3142416000, 0.3186885000, 0.3299579000, 0.3549964000, 0.4071320000, 0.5261432000, 0.8605729000", \
+                        "0.4635771000, 0.4684100000, 0.4807453000, 0.5068427000, 0.5597615000, 0.6788016000, 1.0135619000", \
+                        "0.7089455000, 0.7152285000, 0.7309564000, 0.7640402000, 0.8240074000, 0.9478859000, 1.2849117000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0570989000, 0.0609560000, 0.0717899000, 0.1002234000, 0.1730440000, 0.3932248000, 1.0984375000", \
+                        "0.0618867000, 0.0657577000, 0.0765858000, 0.1050187000, 0.1779049000, 0.3978622000, 1.1054031000", \
+                        "0.0730108000, 0.0768356000, 0.0875808000, 0.1159710000, 0.1890914000, 0.4087506000, 1.1164475000", \
+                        "0.0951134000, 0.0991041000, 0.1101411000, 0.1386601000, 0.2119098000, 0.4315735000, 1.1478953000", \
+                        "0.1247925000, 0.1297778000, 0.1428091000, 0.1738966000, 0.2486437000, 0.4693222000, 1.1772505000", \
+                        "0.1552133000, 0.1620376000, 0.1798279000, 0.2180873000, 0.2970146000, 0.5180440000, 1.2279460000", \
+                        "0.1659175000, 0.1750542000, 0.1994561000, 0.2523741000, 0.3432131000, 0.5656097000, 1.2708894000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313910000, 0.0340692000, 0.0403322000, 0.0574984000, 0.1002465000, 0.2292491000, 0.6677858000", \
+                        "0.0312936000, 0.0340093000, 0.0404162000, 0.0571154000, 0.1002455000, 0.2296427000, 0.6678712000", \
+                        "0.0315374000, 0.0337304000, 0.0404813000, 0.0573342000, 0.1004623000, 0.2296777000, 0.6678723000", \
+                        "0.0313809000, 0.0340219000, 0.0405700000, 0.0577597000, 0.1004392000, 0.2297419000, 0.6674458000", \
+                        "0.0312466000, 0.0336636000, 0.0411964000, 0.0574809000, 0.1002981000, 0.2295139000, 0.6651223000", \
+                        "0.0367009000, 0.0390709000, 0.0454577000, 0.0608918000, 0.1024936000, 0.2298953000, 0.6673047000", \
+                        "0.0539706000, 0.0576017000, 0.0645313000, 0.0808044000, 0.1185278000, 0.2392599000, 0.6693164000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0187345000, 0.0222924000, 0.0334262000, 0.0646484000, 0.1576572000, 0.4716914000, 1.4957987000", \
+                        "0.0187035000, 0.0222944000, 0.0333752000, 0.0646505000, 0.1576898000, 0.4721440000, 1.4942688000", \
+                        "0.0187314000, 0.0223507000, 0.0334839000, 0.0646321000, 0.1577190000, 0.4701748000, 1.5001480000", \
+                        "0.0209076000, 0.0243064000, 0.0348916000, 0.0652364000, 0.1576108000, 0.4714336000, 1.4966234000", \
+                        "0.0281409000, 0.0315719000, 0.0418864000, 0.0704077000, 0.1595496000, 0.4720082000, 1.4969825000", \
+                        "0.0410716000, 0.0456649000, 0.0577893000, 0.0839021000, 0.1653925000, 0.4708894000, 1.4938556000", \
+                        "0.0615857000, 0.0679530000, 0.0844398000, 0.1138514000, 0.1817333000, 0.4741884000, 1.4864959000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41oi_1 */
+
+/* removed sky130_fd_sc_hd__a41oi_2 */
+
+    cell ("sky130_fd_sc_hd__a41oi_4") {
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017470000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0029806000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0030025000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0004870000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0048485000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035618000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015894000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035616000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016137000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016153000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016893000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016184000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016927000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016996000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0034253000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035615000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016390000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017099000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017191000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0030054000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a41oi";
+        cell_leakage_power : 0.0027973540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181588000, 0.0181538000, 0.0181422000, 0.0181360000, 0.0181217000, 0.0180888000, 0.0180128000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013116700, -0.013142000, -0.013200400, -0.013166100, -0.013087100, -0.012905000, -0.012485200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085120000;
+        }
+        pin ("A2") {
+            capacitance : 0.0083460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0172103000, 0.0172160000, 0.0172292000, 0.0172914000, 0.0174348000, 0.0177654000, 0.0185273000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015611900, -0.015610800, -0.015608100, -0.015606800, -0.015603900, -0.015597000, -0.015581300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085660000;
+        }
+        pin ("A3") {
+            capacitance : 0.0082840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0154083000, 0.0154089000, 0.0154105000, 0.0154108000, 0.0154115000, 0.0154131000, 0.0154169000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015386000, -0.015374200, -0.015347000, -0.015344300, -0.015338100, -0.015323900, -0.015291000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086400000;
+        }
+        pin ("A4") {
+            capacitance : 0.0085280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0155199000, 0.0155295000, 0.0155519000, 0.0155562000, 0.0155661000, 0.0155889000, 0.0156415000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015535100, -0.015536100, -0.015538300, -0.015537900, -0.015536800, -0.015534500, -0.015529000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089860000;
+        }
+        pin ("B1") {
+            capacitance : 0.0084790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077840000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091441000, 0.0091140000, 0.0090446000, 0.0091221000, 0.0093007000, 0.0097122000, 0.0106609000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006956200, -0.006955100, -0.006952500, -0.006954500, -0.006958900, -0.006969200, -0.006992800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091750000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0210996000, 0.0197451000, 0.0161122000, 0.0062555000, -0.020592600, -0.093409000, -0.290829500", \
+                        "0.0207013000, 0.0193759000, 0.0156957000, 0.0058453000, -0.020904500, -0.093700600, -0.291148100", \
+                        "0.0200656000, 0.0187407000, 0.0150535000, 0.0052855000, -0.021348300, -0.094102300, -0.291485300", \
+                        "0.0190481000, 0.0178196000, 0.0141959000, 0.0044204000, -0.022129600, -0.094633500, -0.291847000", \
+                        "0.0184450000, 0.0171078000, 0.0135699000, 0.0039578000, -0.022542800, -0.094982500, -0.292459900", \
+                        "0.0189410000, 0.0175752000, 0.0136563000, 0.0037359000, -0.022894100, -0.095607800, -0.292689600", \
+                        "0.0220921000, 0.0206461000, 0.0166883000, 0.0065846000, -0.021018500, -0.094304000, -0.292966600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0269287000, 0.0285283000, 0.0325341000, 0.0431330000, 0.0702773000, 0.1438896000, 0.3394828000", \
+                        "0.0262417000, 0.0278448000, 0.0319115000, 0.0425987000, 0.0702381000, 0.1431038000, 0.3389101000", \
+                        "0.0254292000, 0.0269665000, 0.0310770000, 0.0418832000, 0.0697554000, 0.1430219000, 0.3391501000", \
+                        "0.0246653000, 0.0261977000, 0.0301397000, 0.0407674000, 0.0686356000, 0.1433042000, 0.3387925000", \
+                        "0.0241405000, 0.0254732000, 0.0293263000, 0.0395481000, 0.0674588000, 0.1411128000, 0.3390321000", \
+                        "0.0240046000, 0.0253986000, 0.0291954000, 0.0394726000, 0.0666225000, 0.1401490000, 0.3383349000", \
+                        "0.0234588000, 0.0248138000, 0.0285130000, 0.0383690000, 0.0664813000, 0.1406958000, 0.3369995000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0236449000, 0.0222833000, 0.0186220000, 0.0086891000, -0.018145400, -0.090968300, -0.288470100", \
+                        "0.0232881000, 0.0218938000, 0.0182214000, 0.0082949000, -0.018551100, -0.091278600, -0.288728200", \
+                        "0.0226125000, 0.0212635000, 0.0176403000, 0.0078113000, -0.018997100, -0.091727600, -0.289147100", \
+                        "0.0218389000, 0.0204926000, 0.0168688000, 0.0070706000, -0.019598800, -0.092230300, -0.289499800", \
+                        "0.0211118000, 0.0197931000, 0.0163200000, 0.0065680000, -0.019961500, -0.092441300, -0.289505300", \
+                        "0.0210083000, 0.0196403000, 0.0160063000, 0.0060868000, -0.020806000, -0.093147500, -0.290115500", \
+                        "0.0231352000, 0.0217560000, 0.0180415000, 0.0077534000, -0.019542700, -0.093137700, -0.290251000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0409951000, 0.0424013000, 0.0461126000, 0.0562054000, 0.0832303000, 0.1559318000, 0.3511684000", \
+                        "0.0404576000, 0.0418184000, 0.0456881000, 0.0559045000, 0.0831857000, 0.1558992000, 0.3511670000", \
+                        "0.0394725000, 0.0409864000, 0.0447955000, 0.0551511000, 0.0826898000, 0.1555924000, 0.3513504000", \
+                        "0.0382833000, 0.0397609000, 0.0436157000, 0.0540247000, 0.0817327000, 0.1551692000, 0.3508013000", \
+                        "0.0373301000, 0.0387243000, 0.0425219000, 0.0527757000, 0.0802755000, 0.1537956000, 0.3503297000", \
+                        "0.0367771000, 0.0380884000, 0.0419490000, 0.0521681000, 0.0793226000, 0.1525423000, 0.3489013000", \
+                        "0.0351514000, 0.0364607000, 0.0400957000, 0.0500105000, 0.0783653000, 0.1512880000, 0.3479411000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0235919000, 0.0221920000, 0.0185118000, 0.0085964000, -0.018262400, -0.091018400, -0.288447000", \
+                        "0.0231376000, 0.0217772000, 0.0181280000, 0.0082282000, -0.018642400, -0.091466700, -0.288803800", \
+                        "0.0224918000, 0.0211305000, 0.0175160000, 0.0076799000, -0.019163500, -0.091902600, -0.289278600", \
+                        "0.0216476000, 0.0203217000, 0.0166981000, 0.0069049000, -0.019733600, -0.092318200, -0.289638500", \
+                        "0.0210241000, 0.0197092000, 0.0161359000, 0.0064054000, -0.020212400, -0.092636400, -0.289752900", \
+                        "0.0207013000, 0.0193382000, 0.0156451000, 0.0058859000, -0.020840200, -0.093100400, -0.290150000", \
+                        "0.0224255000, 0.0209554000, 0.0172381000, 0.0070864000, -0.020119100, -0.093566700, -0.290427000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0529691000, 0.0543472000, 0.0582282000, 0.0681957000, 0.0953214000, 0.1681049000, 0.3641367000", \
+                        "0.0525497000, 0.0540296000, 0.0578370000, 0.0678713000, 0.0949789000, 0.1678380000, 0.3634923000", \
+                        "0.0517781000, 0.0532116000, 0.0570898000, 0.0672701000, 0.0946285000, 0.1674925000, 0.3633252000", \
+                        "0.0508815000, 0.0523792000, 0.0562559000, 0.0665113000, 0.0940441000, 0.1670235000, 0.3629655000", \
+                        "0.0501598000, 0.0515863000, 0.0553671000, 0.0656118000, 0.0930257000, 0.1664024000, 0.3628354000", \
+                        "0.0500363000, 0.0514826000, 0.0553783000, 0.0655112000, 0.0927738000, 0.1657205000, 0.3621062000", \
+                        "0.0491210000, 0.0505517000, 0.0543277000, 0.0650332000, 0.0925824000, 0.1658629000, 0.3619161000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0233025000, 0.0219401000, 0.0182752000, 0.0083830000, -0.018496500, -0.091323600, -0.288696500", \
+                        "0.0229090000, 0.0215502000, 0.0178260000, 0.0079341000, -0.018935400, -0.091695100, -0.289126700", \
+                        "0.0222518000, 0.0209159000, 0.0172631000, 0.0073930000, -0.019407600, -0.092145200, -0.289536300", \
+                        "0.0214678000, 0.0201401000, 0.0165040000, 0.0066815000, -0.019917800, -0.092600200, -0.289906000", \
+                        "0.0209405000, 0.0196193000, 0.0160138000, 0.0061968000, -0.020374300, -0.092815700, -0.289995300", \
+                        "0.0209269000, 0.0196783000, 0.0159774000, 0.0056902000, -0.020968100, -0.093338100, -0.290250800", \
+                        "0.0225386000, 0.0212092000, 0.0173924000, 0.0072984000, -0.020048900, -0.093578800, -0.290603700");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0633504000, 0.0647317000, 0.0686156000, 0.0786465000, 0.1058574000, 0.1789756000, 0.3747835000", \
+                        "0.0630036000, 0.0643636000, 0.0680213000, 0.0782862000, 0.1055897000, 0.1787537000, 0.3750160000", \
+                        "0.0624420000, 0.0637591000, 0.0676258000, 0.0776838000, 0.1049724000, 0.1781006000, 0.3744684000", \
+                        "0.0618389000, 0.0632219000, 0.0669727000, 0.0772304000, 0.1046420000, 0.1777779000, 0.3738908000", \
+                        "0.0612624000, 0.0626409000, 0.0663749000, 0.0766417000, 0.1041629000, 0.1772347000, 0.3737618000", \
+                        "0.0613581000, 0.0624542000, 0.0664947000, 0.0766935000, 0.1039171000, 0.1772820000, 0.3738887000", \
+                        "0.0603609000, 0.0617041000, 0.0656434000, 0.0765368000, 0.1039453000, 0.1774838000, 0.3735353000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("-0.000207900, -0.001374700, -0.004710100, -0.014278800, -0.041078600, -0.114212900, -0.312177000", \
+                        "-0.000836600, -0.001997800, -0.005175000, -0.014509800, -0.041022600, -0.113980700, -0.311828800", \
+                        "-0.001575100, -0.002669600, -0.005930100, -0.015096900, -0.041182100, -0.113834800, -0.311550700", \
+                        "-0.002049900, -0.003410800, -0.006787700, -0.015929000, -0.041811100, -0.113980600, -0.311470500", \
+                        "-0.001617100, -0.002969600, -0.006447500, -0.015933800, -0.042582500, -0.114575300, -0.311640200", \
+                        "-3.60000e-05, -0.001483200, -0.005357500, -0.015496400, -0.042378200, -0.115201600, -0.312062700", \
+                        "0.0053024000, 0.0036914000, -0.000470200, -0.011280700, -0.039653800, -0.112805300, -0.311210700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0573521000, 0.0588112000, 0.0626932000, 0.0731982000, 0.1010249000, 0.1745929000, 0.3709590000", \
+                        "0.0567285000, 0.0581183000, 0.0619852000, 0.0726275000, 0.1003738000, 0.1740850000, 0.3704827000", \
+                        "0.0563596000, 0.0577053000, 0.0615370000, 0.0717868000, 0.0997729000, 0.1737676000, 0.3701033000", \
+                        "0.0556436000, 0.0570335000, 0.0608689000, 0.0712311000, 0.0988264000, 0.1728969000, 0.3695204000", \
+                        "0.0553328000, 0.0567300000, 0.0605486000, 0.0707100000, 0.0976659000, 0.1711605000, 0.3683524000", \
+                        "0.0572905000, 0.0586395000, 0.0624893000, 0.0725964000, 0.0990462000, 0.1715790000, 0.3672085000", \
+                        "0.0643458000, 0.0653273000, 0.0680435000, 0.0765530000, 0.1022747000, 0.1740364000, 0.3671860000");
+                }
+            }
+            max_capacitance : 0.1941020000;
+            max_transition : 1.4951550000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0530923000, 0.0562778000, 0.0645032000, 0.0856371000, 0.1385133000, 0.2736954000, 0.6332653000", \
+                        "0.0562041000, 0.0595061000, 0.0677353000, 0.0887991000, 0.1419823000, 0.2772830000, 0.6363858000", \
+                        "0.0640364000, 0.0672600000, 0.0755751000, 0.0970074000, 0.1500519000, 0.2854898000, 0.6446537000", \
+                        "0.0866097000, 0.0902618000, 0.0975717000, 0.1174750000, 0.1706140000, 0.3064547000, 0.6654097000", \
+                        "0.1183276000, 0.1227582000, 0.1339203000, 0.1605233000, 0.2191927000, 0.3549805000, 0.7153390000", \
+                        "0.1490663000, 0.1556216000, 0.1718002000, 0.2115635000, 0.2958952000, 0.4660740000, 0.8279867000", \
+                        "0.1521763000, 0.1617640000, 0.1858470000, 0.2446227000, 0.3744825000, 0.6270390000, 1.0916016000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0873878000, 0.0922667000, 0.1046624000, 0.1363570000, 0.2172988000, 0.4354779000, 1.0187614000", \
+                        "0.0916230000, 0.0965637000, 0.1091658000, 0.1413442000, 0.2232999000, 0.4400429000, 1.0216830000", \
+                        "0.1039195000, 0.1086349000, 0.1212384000, 0.1536418000, 0.2366945000, 0.4543870000, 1.0360054000", \
+                        "0.1341466000, 0.1387784000, 0.1507497000, 0.1827149000, 0.2661782000, 0.4866261000, 1.0684653000", \
+                        "0.1922970000, 0.1977637000, 0.2117182000, 0.2458405000, 0.3285338000, 0.5474739000, 1.1353456000", \
+                        "0.2870074000, 0.2945028000, 0.3148425000, 0.3607123000, 0.4623463000, 0.6875482000, 1.2729705000", \
+                        "0.4345158000, 0.4470002000, 0.4796276000, 0.5531882000, 0.7031411000, 0.9930939000, 1.5972303000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0662862000, 0.0691635000, 0.0780522000, 0.1019986000, 0.1690955000, 0.3515754000, 0.8478181000", \
+                        "0.0657016000, 0.0689337000, 0.0777405000, 0.1020538000, 0.1691642000, 0.3518935000, 0.8475759000", \
+                        "0.0620882000, 0.0653983000, 0.0750994000, 0.1013171000, 0.1689262000, 0.3516678000, 0.8471649000", \
+                        "0.0657510000, 0.0693549000, 0.0777707000, 0.1013113000, 0.1676023000, 0.3516940000, 0.8470279000", \
+                        "0.0819693000, 0.0863021000, 0.0975268000, 0.1257407000, 0.1835462000, 0.3528115000, 0.8469866000", \
+                        "0.1225321000, 0.1282674000, 0.1429248000, 0.1767299000, 0.2529283000, 0.4075427000, 0.8523430000", \
+                        "0.1952183000, 0.2037691000, 0.2258678000, 0.2758929000, 0.3749026000, 0.5734200000, 0.9807418000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0481460000, 0.0536505000, 0.0686774000, 0.1097140000, 0.2196486000, 0.5183554000, 1.3143420000", \
+                        "0.0483109000, 0.0537893000, 0.0686916000, 0.1095831000, 0.2191027000, 0.5135174000, 1.3124914000", \
+                        "0.0485433000, 0.0540628000, 0.0690406000, 0.1096446000, 0.2193509000, 0.5144998000, 1.3112599000", \
+                        "0.0492946000, 0.0545516000, 0.0695034000, 0.1095291000, 0.2193039000, 0.5179702000, 1.3112497000", \
+                        "0.0629613000, 0.0683007000, 0.0808426000, 0.1167316000, 0.2203808000, 0.5141697000, 1.3118781000", \
+                        "0.0946753000, 0.1003876000, 0.1155132000, 0.1551599000, 0.2521263000, 0.5226122000, 1.3150281000", \
+                        "0.1695366000, 0.1765229000, 0.1957600000, 0.2434647000, 0.3544946000, 0.6115157000, 1.3284313000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0696841000, 0.0728650000, 0.0813675000, 0.1021293000, 0.1549233000, 0.2902436000, 0.6495340000", \
+                        "0.0733279000, 0.0763782000, 0.0847749000, 0.1057495000, 0.1583277000, 0.2940018000, 0.6530181000", \
+                        "0.0813352000, 0.0845622000, 0.0927231000, 0.1137435000, 0.1667055000, 0.3023031000, 0.6614432000", \
+                        "0.0998989000, 0.1032758000, 0.1117130000, 0.1326944000, 0.1855720000, 0.3218028000, 0.6817236000", \
+                        "0.1320422000, 0.1360918000, 0.1464158000, 0.1717273000, 0.2296243000, 0.3670214000, 0.7276906000", \
+                        "0.1678065000, 0.1737955000, 0.1897859000, 0.2255365000, 0.3041209000, 0.4663397000, 0.8332832000", \
+                        "0.1793291000, 0.1889776000, 0.2119944000, 0.2660721000, 0.3869711000, 0.6221116000, 1.0635689000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1205834000, 0.1249720000, 0.1367141000, 0.1685795000, 0.2518458000, 0.4749006000, 1.0755405000", \
+                        "0.1253600000, 0.1298108000, 0.1418033000, 0.1738656000, 0.2578876000, 0.4812384000, 1.0824014000", \
+                        "0.1371202000, 0.1417926000, 0.1538424000, 0.1865608000, 0.2713772000, 0.4955159000, 1.0961401000", \
+                        "0.1665334000, 0.1709576000, 0.1829943000, 0.2159124000, 0.3011156000, 0.5258623000, 1.1284420000", \
+                        "0.2286458000, 0.2337935000, 0.2466795000, 0.2787726000, 0.3636345000, 0.5893708000, 1.1920104000", \
+                        "0.3376991000, 0.3444110000, 0.3609259000, 0.4017088000, 0.4987563000, 0.7273407000, 1.3328845000", \
+                        "0.5187945000, 0.5294494000, 0.5550771000, 0.6162563000, 0.7506151000, 1.0307184000, 1.6503712000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0641800000, 0.0675001000, 0.0765781000, 0.1014406000, 0.1688425000, 0.3515545000, 0.8477761000", \
+                        "0.0640467000, 0.0675889000, 0.0765118000, 0.1013984000, 0.1685556000, 0.3518947000, 0.8474118000", \
+                        "0.0638029000, 0.0670203000, 0.0765000000, 0.1010843000, 0.1687300000, 0.3518275000, 0.8472746000", \
+                        "0.0664756000, 0.0695776000, 0.0782765000, 0.1024659000, 0.1683731000, 0.3515681000, 0.8476928000", \
+                        "0.0823435000, 0.0858112000, 0.0955271000, 0.1194126000, 0.1786460000, 0.3531744000, 0.8474237000", \
+                        "0.1223266000, 0.1265249000, 0.1375831000, 0.1654706000, 0.2309255000, 0.3874728000, 0.8518401000", \
+                        "0.1972112000, 0.2039931000, 0.2205208000, 0.2590468000, 0.3431285000, 0.5236706000, 0.9402258000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0730009000, 0.0788288000, 0.0945165000, 0.1366828000, 0.2503192000, 0.5552522000, 1.3821142000", \
+                        "0.0730074000, 0.0787442000, 0.0945198000, 0.1369336000, 0.2502387000, 0.5551096000, 1.3782993000", \
+                        "0.0730788000, 0.0789061000, 0.0945004000, 0.1367221000, 0.2503382000, 0.5563244000, 1.3767786000", \
+                        "0.0734012000, 0.0789312000, 0.0946496000, 0.1369447000, 0.2502049000, 0.5553343000, 1.3798075000", \
+                        "0.0810012000, 0.0861873000, 0.1006157000, 0.1405698000, 0.2505348000, 0.5574022000, 1.3780396000", \
+                        "0.1124018000, 0.1180305000, 0.1337907000, 0.1744061000, 0.2747907000, 0.5614404000, 1.3821102000", \
+                        "0.1870672000, 0.1945360000, 0.2132603000, 0.2593319000, 0.3704617000, 0.6367900000, 1.3927993000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0787714000, 0.0818068000, 0.0901644000, 0.1110343000, 0.1638003000, 0.2992975000, 0.6579408000", \
+                        "0.0822579000, 0.0854382000, 0.0939272000, 0.1147387000, 0.1671613000, 0.3031381000, 0.6617102000", \
+                        "0.0895279000, 0.0927671000, 0.1009153000, 0.1218841000, 0.1748419000, 0.3101757000, 0.6704858000", \
+                        "0.1040788000, 0.1073946000, 0.1157481000, 0.1367576000, 0.1896705000, 0.3254813000, 0.6846221000", \
+                        "0.1285641000, 0.1321132000, 0.1413215000, 0.1653279000, 0.2212440000, 0.3585181000, 0.7181364000", \
+                        "0.1592810000, 0.1640723000, 0.1764801000, 0.2088043000, 0.2761401000, 0.4296001000, 0.7946379000", \
+                        "0.1644445000, 0.1723393000, 0.1906908000, 0.2377115000, 0.3405479000, 0.5448099000, 0.9626416000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1510060000, 0.1560213000, 0.1682257000, 0.2027719000, 0.2920396000, 0.5291405000, 1.1654336000", \
+                        "0.1556466000, 0.1599188000, 0.1734880000, 0.2079150000, 0.2974466000, 0.5345020000, 1.1703919000", \
+                        "0.1682460000, 0.1727384000, 0.1856253000, 0.2203626000, 0.3105921000, 0.5483164000, 1.1849601000", \
+                        "0.1977486000, 0.2019321000, 0.2158256000, 0.2498725000, 0.3403944000, 0.5792337000, 1.2155974000", \
+                        "0.2607644000, 0.2656265000, 0.2786369000, 0.3131403000, 0.4032839000, 0.6420205000, 1.2794273000", \
+                        "0.3780976000, 0.3839576000, 0.3987109000, 0.4401732000, 0.5392699000, 0.7785460000, 1.4168617000", \
+                        "0.5803269000, 0.5889874000, 0.6127007000, 0.6682157000, 0.7980768000, 1.0826008000, 1.7308774000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0640408000, 0.0675909000, 0.0765258000, 0.1013591000, 0.1687534000, 0.3518702000, 0.8470908000", \
+                        "0.0640397000, 0.0673376000, 0.0764267000, 0.1013293000, 0.1686874000, 0.3517538000, 0.8462242000", \
+                        "0.0637079000, 0.0670664000, 0.0764883000, 0.1013260000, 0.1686622000, 0.3514901000, 0.8481187000", \
+                        "0.0659458000, 0.0691696000, 0.0778883000, 0.1019963000, 0.1684701000, 0.3517454000, 0.8470740000", \
+                        "0.0772052000, 0.0804838000, 0.0889059000, 0.1132738000, 0.1755237000, 0.3532671000, 0.8471572000", \
+                        "0.1102247000, 0.1137814000, 0.1230950000, 0.1482450000, 0.2106105000, 0.3777839000, 0.8522694000", \
+                        "0.1819686000, 0.1873431000, 0.2005881000, 0.2317467000, 0.3056446000, 0.4728227000, 0.9182493000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1004379000, 0.1062720000, 0.1232936000, 0.1678419000, 0.2880585000, 0.6112821000, 1.4811716000", \
+                        "0.1001576000, 0.1066144000, 0.1231854000, 0.1678448000, 0.2882627000, 0.6112923000, 1.4805845000", \
+                        "0.1004376000, 0.1063509000, 0.1232808000, 0.1677787000, 0.2880484000, 0.6121826000, 1.4850339000", \
+                        "0.1000851000, 0.1065285000, 0.1231928000, 0.1679017000, 0.2883090000, 0.6109180000, 1.4811298000", \
+                        "0.1049050000, 0.1106119000, 0.1265543000, 0.1697291000, 0.2883137000, 0.6118522000, 1.4808013000", \
+                        "0.1345934000, 0.1409532000, 0.1576764000, 0.1997117000, 0.3068384000, 0.6145005000, 1.4858879000", \
+                        "0.2089709000, 0.2170463000, 0.2349670000, 0.2819351000, 0.3976673000, 0.6818899000, 1.4951547000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0831762000, 0.0863924000, 0.0948232000, 0.1156474000, 0.1680505000, 0.3040017000, 0.6624434000", \
+                        "0.0869881000, 0.0900258000, 0.0983194000, 0.1191928000, 0.1719337000, 0.3072613000, 0.6675812000", \
+                        "0.0939015000, 0.0972166000, 0.1052201000, 0.1262015000, 0.1791795000, 0.3145037000, 0.6745699000", \
+                        "0.1065730000, 0.1098173000, 0.1181210000, 0.1392555000, 0.1920321000, 0.3281684000, 0.6872923000", \
+                        "0.1261504000, 0.1296034000, 0.1383035000, 0.1610499000, 0.2162801000, 0.3528886000, 0.7124101000", \
+                        "0.1490386000, 0.1541388000, 0.1646939000, 0.1909840000, 0.2542284000, 0.4023739000, 0.7659684000", \
+                        "0.1505794000, 0.1572514000, 0.1729369000, 0.2110742000, 0.2984293000, 0.4788007000, 0.8789223000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1625279000, 0.1678106000, 0.1810343000, 0.2150458000, 0.3033923000, 0.5344193000, 1.1503136000", \
+                        "0.1679079000, 0.1727670000, 0.1857063000, 0.2197664000, 0.3074151000, 0.5386393000, 1.1547755000", \
+                        "0.1807952000, 0.1857789000, 0.1976528000, 0.2325259000, 0.3213572000, 0.5525277000, 1.1682644000", \
+                        "0.2100490000, 0.2149185000, 0.2276068000, 0.2623112000, 0.3506996000, 0.5825482000, 1.1987474000", \
+                        "0.2704230000, 0.2751319000, 0.2882329000, 0.3224802000, 0.4095689000, 0.6421215000, 1.2585915000", \
+                        "0.3828522000, 0.3893551000, 0.4049467000, 0.4437629000, 0.5393404000, 0.7712702000, 1.3884408000", \
+                        "0.5820697000, 0.5901075000, 0.6101613000, 0.6601852000, 0.7833955000, 1.0556319000, 1.6831312000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0640324000, 0.0673475000, 0.0764207000, 0.1013609000, 0.1687221000, 0.3517585000, 0.8468868000", \
+                        "0.0640470000, 0.0673410000, 0.0764788000, 0.1012975000, 0.1686031000, 0.3514728000, 0.8475461000", \
+                        "0.0638742000, 0.0670979000, 0.0765811000, 0.1011410000, 0.1686735000, 0.3514169000, 0.8474879000", \
+                        "0.0648765000, 0.0683665000, 0.0771196000, 0.1017265000, 0.1686653000, 0.3516342000, 0.8474732000", \
+                        "0.0726359000, 0.0759796000, 0.0849165000, 0.1090596000, 0.1735248000, 0.3528744000, 0.8472210000", \
+                        "0.0954960000, 0.0989114000, 0.1078789000, 0.1324030000, 0.1971806000, 0.3712689000, 0.8517831000", \
+                        "0.1584155000, 0.1625824000, 0.1725157000, 0.2000714000, 0.2660934000, 0.4363842000, 0.8978009000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1187874000, 0.1247748000, 0.1417435000, 0.1843587000, 0.3007526000, 0.6136441000, 1.4570587000", \
+                        "0.1192259000, 0.1252030000, 0.1411246000, 0.1848555000, 0.3010424000, 0.6137093000, 1.4549328000", \
+                        "0.1190289000, 0.1246713000, 0.1413272000, 0.1847522000, 0.3007431000, 0.6132809000, 1.4583859000", \
+                        "0.1189809000, 0.1249827000, 0.1411905000, 0.1849026000, 0.3016245000, 0.6139514000, 1.4588439000", \
+                        "0.1218830000, 0.1276961000, 0.1435797000, 0.1858676000, 0.3009991000, 0.6136613000, 1.4579793000", \
+                        "0.1508147000, 0.1555374000, 0.1721435000, 0.2134527000, 0.3182430000, 0.6176828000, 1.4556751000", \
+                        "0.2195134000, 0.2260808000, 0.2439667000, 0.2894032000, 0.4042216000, 0.6839001000, 1.4753619000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0139201000, 0.0147929000, 0.0170526000, 0.0228135000, 0.0375962000, 0.0770815000, 0.1832229000", \
+                        "0.0184397000, 0.0194160000, 0.0216777000, 0.0274816000, 0.0424872000, 0.0819488000, 0.1881928000", \
+                        "0.0244993000, 0.0261202000, 0.0299340000, 0.0379616000, 0.0535289000, 0.0932631000, 0.1995685000", \
+                        "0.0302844000, 0.0328834000, 0.0390988000, 0.0517762000, 0.0760483000, 0.1188856000, 0.2250975000", \
+                        "0.0320327000, 0.0354329000, 0.0450131000, 0.0657665000, 0.1043671000, 0.1709488000, 0.2858461000", \
+                        "0.0161002000, 0.0224952000, 0.0371998000, 0.0700939000, 0.1317640000, 0.2369666000, 0.4099182000", \
+                        "-0.047025600, -0.037098500, -0.013468800, 0.0387152000, 0.1366509000, 0.3028460000, 0.5747524000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1242895000, 0.1294140000, 0.1433708000, 0.1785351000, 0.2672481000, 0.4994049000, 1.1159752000", \
+                        "0.1276775000, 0.1328813000, 0.1454131000, 0.1812575000, 0.2711824000, 0.5036495000, 1.1203017000", \
+                        "0.1382974000, 0.1435033000, 0.1568400000, 0.1914459000, 0.2802888000, 0.5138448000, 1.1317360000", \
+                        "0.1649345000, 0.1695727000, 0.1832371000, 0.2172114000, 0.3065768000, 0.5396875000, 1.1581380000", \
+                        "0.2345044000, 0.2388629000, 0.2514190000, 0.2849294000, 0.3707515000, 0.6035237000, 1.2220156000", \
+                        "0.3678848000, 0.3747183000, 0.3908372000, 0.4334926000, 0.5297549000, 0.7573826000, 1.3715927000", \
+                        "0.5815742000, 0.5922757000, 0.6174434000, 0.6827597000, 0.8280323000, 1.1196831000, 1.7357924000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0104760000, 0.0117560000, 0.0150543000, 0.0237915000, 0.0460590000, 0.1025857000, 0.2477178000", \
+                        "0.0118209000, 0.0127791000, 0.0157177000, 0.0238431000, 0.0460796000, 0.1026128000, 0.2476473000", \
+                        "0.0205801000, 0.0212519000, 0.0232169000, 0.0285837000, 0.0475929000, 0.1026060000, 0.2475988000", \
+                        "0.0380136000, 0.0384438000, 0.0403971000, 0.0463163000, 0.0617884000, 0.1075378000, 0.2476192000", \
+                        "0.0725255000, 0.0732079000, 0.0752531000, 0.0817151000, 0.1000530000, 0.1416801000, 0.2573909000", \
+                        "0.1309884000, 0.1318571000, 0.1350298000, 0.1434505000, 0.1676085000, 0.2231564000, 0.3342462000", \
+                        "0.2354857000, 0.2363042000, 0.2405327000, 0.2518671000, 0.2869990000, 0.3686941000, 0.5221759000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1192859000, 0.1251851000, 0.1411621000, 0.1844973000, 0.3008295000, 0.6131080000, 1.4544256000", \
+                        "0.1191252000, 0.1248050000, 0.1410409000, 0.1844719000, 0.3006306000, 0.6136238000, 1.4553424000", \
+                        "0.1192094000, 0.1248768000, 0.1407065000, 0.1844191000, 0.3008007000, 0.6136983000, 1.4582499000", \
+                        "0.1165067000, 0.1230146000, 0.1402851000, 0.1844750000, 0.3013359000, 0.6139853000, 1.4554596000", \
+                        "0.1275370000, 0.1327269000, 0.1471907000, 0.1874562000, 0.2999428000, 0.6134227000, 1.4569046000", \
+                        "0.1801594000, 0.1871363000, 0.2029633000, 0.2456498000, 0.3391263000, 0.6195948000, 1.4555108000", \
+                        "0.2670231000, 0.2773666000, 0.3032793000, 0.3650697000, 0.4877294000, 0.7390091000, 1.4771695000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2_0 */
+
+/* removed sky130_fd_sc_hd__and2_1 */
+
+/* removed sky130_fd_sc_hd__and2_2 */
+
+    cell ("sky130_fd_sc_hd__and2_4") {
+        leakage_power () {
+            value : 0.0045182000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0042181000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0049141000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0045368000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__and2";
+        cell_leakage_power : 0.0045468170;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022440000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0046441000, 0.0046450000, 0.0046471000, 0.0046473000, 0.0046477000, 0.0046486000, 0.0046508000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003813900, -0.003815400, -0.003818900, -0.003812800, -0.003798900, -0.003766900, -0.003693100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0023990000;
+        }
+        pin ("B") {
+            capacitance : 0.0024240000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0043132000, 0.0043138000, 0.0043151000, 0.0043147000, 0.0043138000, 0.0043118000, 0.0043072000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004314400, -0.004314000, -0.004313200, -0.004313100, -0.004312900, -0.004312500, -0.004311400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025590000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0219934000, 0.0203575000, 0.0153407000, -0.000571600, -0.056486100, -0.243191300, -0.843738500", \
+                        "0.0218637000, 0.0202414000, 0.0152177000, -0.000721400, -0.056630900, -0.243270800, -0.843826200", \
+                        "0.0216027000, 0.0199616000, 0.0148455000, -0.000961400, -0.056924000, -0.243539000, -0.844065200", \
+                        "0.0213800000, 0.0197347000, 0.0145553000, -0.001499200, -0.057400200, -0.243932800, -0.844342500", \
+                        "0.0217083000, 0.0199763000, 0.0145601000, -0.001760400, -0.057926300, -0.244305500, -0.844611500", \
+                        "0.0235541000, 0.0215797000, 0.0157522000, -0.002316000, -0.058359200, -0.243979100, -0.844365700", \
+                        "0.0291198000, 0.0269686000, 0.0199042000, 0.0011556000, -0.057032300, -0.243583300, -0.843218800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0268359000, 0.0287043000, 0.0347671000, 0.0535348000, 0.1121659000, 0.2986388000, 0.8936575000", \
+                        "0.0267891000, 0.0286378000, 0.0345587000, 0.0535035000, 0.1123113000, 0.2984654000, 0.8886216000", \
+                        "0.0265147000, 0.0283975000, 0.0344192000, 0.0534200000, 0.1121571000, 0.2983078000, 0.8937819000", \
+                        "0.0264661000, 0.0283159000, 0.0343476000, 0.0532022000, 0.1118517000, 0.2980327000, 0.8925930000", \
+                        "0.0265940000, 0.0284016000, 0.0342972000, 0.0525095000, 0.1109989000, 0.2977092000, 0.8935497000", \
+                        "0.0281363000, 0.0298973000, 0.0355536000, 0.0536864000, 0.1110265000, 0.2967123000, 0.8928317000", \
+                        "0.0300397000, 0.0317357000, 0.0372010000, 0.0551988000, 0.1127392000, 0.2987017000, 0.8901778000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0242342000, 0.0226232000, 0.0174575000, 0.0013143000, -0.054620700, -0.241329300, -0.841892600", \
+                        "0.0241061000, 0.0224827000, 0.0172657000, 0.0013069000, -0.054743600, -0.241436100, -0.842009200", \
+                        "0.0239825000, 0.0223074000, 0.0171334000, 0.0009634000, -0.055016900, -0.241636800, -0.842178200", \
+                        "0.0237839000, 0.0221014000, 0.0168350000, 0.0006720000, -0.055315700, -0.241879000, -0.842381100", \
+                        "0.0239839000, 0.0222619000, 0.0168394000, 0.0003758000, -0.055343300, -0.241928800, -0.842348400", \
+                        "0.0241729000, 0.0222595000, 0.0164405000, -0.000333700, -0.055474400, -0.241754300, -0.841946200", \
+                        "0.0310029000, 0.0288509000, 0.0225415000, 0.0047170000, -0.054509000, -0.241373200, -0.841389900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0274649000, 0.0293370000, 0.0352974000, 0.0541880000, 0.1129284000, 0.2988462000, 0.8976207000", \
+                        "0.0274234000, 0.0292947000, 0.0353569000, 0.0541434000, 0.1128546000, 0.2989904000, 0.8934235000", \
+                        "0.0271964000, 0.0290773000, 0.0350998000, 0.0540511000, 0.1127472000, 0.2986478000, 0.8940299000", \
+                        "0.0270387000, 0.0288931000, 0.0349200000, 0.0538420000, 0.1124182000, 0.2984832000, 0.8906550000", \
+                        "0.0269662000, 0.0288491000, 0.0347595000, 0.0531925000, 0.1118951000, 0.2983111000, 0.8934664000", \
+                        "0.0278650000, 0.0296570000, 0.0353535000, 0.0535465000, 0.1117268000, 0.2970995000, 0.8926131000", \
+                        "0.0291608000, 0.0308249000, 0.0364298000, 0.0544184000, 0.1126395000, 0.2987646000, 0.8925423000");
+                }
+            }
+            max_capacitance : 0.5392550000;
+            max_transition : 1.5073040000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1075722000, 0.1108711000, 0.1194063000, 0.1385359000, 0.1792587000, 0.2814725000, 0.5909777000", \
+                        "0.1128001000, 0.1160923000, 0.1245839000, 0.1437462000, 0.1845300000, 0.2868012000, 0.5967698000", \
+                        "0.1254879000, 0.1292502000, 0.1377147000, 0.1568275000, 0.1976561000, 0.2999596000, 0.6103894000", \
+                        "0.1569157000, 0.1602705000, 0.1687679000, 0.1878012000, 0.2287325000, 0.3310373000, 0.6413503000", \
+                        "0.2290461000, 0.2324625000, 0.2411661000, 0.2602894000, 0.3015657000, 0.4041014000, 0.7136133000", \
+                        "0.3514737000, 0.3558933000, 0.3672617000, 0.3916203000, 0.4386747000, 0.5434140000, 0.8522572000", \
+                        "0.5428690000, 0.5485799000, 0.5634483000, 0.5957725000, 0.6554340000, 0.7709175000, 1.0814671000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1001052000, 0.1044490000, 0.1158731000, 0.1439631000, 0.2175814000, 0.4404515000, 1.1489737000", \
+                        "0.1042852000, 0.1085675000, 0.1199086000, 0.1480933000, 0.2216752000, 0.4448077000, 1.1530928000", \
+                        "0.1145495000, 0.1188651000, 0.1303157000, 0.1584149000, 0.2319022000, 0.4550954000, 1.1663756000", \
+                        "0.1389479000, 0.1432470000, 0.1546415000, 0.1827197000, 0.2562166000, 0.4799561000, 1.1956172000", \
+                        "0.1854124000, 0.1900212000, 0.2022073000, 0.2309741000, 0.3050086000, 0.5274398000, 1.2392685000", \
+                        "0.2445943000, 0.2506001000, 0.2655731000, 0.2977021000, 0.3730493000, 0.5964311000, 1.3060746000", \
+                        "0.3022301000, 0.3098472000, 0.3292155000, 0.3698066000, 0.4514374000, 0.6732024000, 1.3816840000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0217115000, 0.0234817000, 0.0291566000, 0.0426379000, 0.0811186000, 0.2037033000, 0.6203453000", \
+                        "0.0214940000, 0.0235378000, 0.0291617000, 0.0428483000, 0.0810571000, 0.2035903000, 0.6206806000", \
+                        "0.0215759000, 0.0235712000, 0.0288988000, 0.0429835000, 0.0811935000, 0.2035723000, 0.6187569000", \
+                        "0.0215966000, 0.0236828000, 0.0291780000, 0.0429033000, 0.0810994000, 0.2037923000, 0.6189805000", \
+                        "0.0241568000, 0.0261043000, 0.0312016000, 0.0443087000, 0.0822326000, 0.2042058000, 0.6222320000", \
+                        "0.0363429000, 0.0388287000, 0.0446289000, 0.0585859000, 0.0932091000, 0.2086272000, 0.6198016000", \
+                        "0.0564236000, 0.0588638000, 0.0670648000, 0.0834888000, 0.1181764000, 0.2244491000, 0.6188730000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0249653000, 0.0284283000, 0.0381845000, 0.0673825000, 0.1621492000, 0.4790814000, 1.5012275000", \
+                        "0.0249947000, 0.0283563000, 0.0383610000, 0.0673585000, 0.1621393000, 0.4793477000, 1.5013665000", \
+                        "0.0249919000, 0.0284311000, 0.0383725000, 0.0672879000, 0.1619728000, 0.4793616000, 1.5033943000", \
+                        "0.0249676000, 0.0284299000, 0.0382230000, 0.0673482000, 0.1619671000, 0.4784575000, 1.5049285000", \
+                        "0.0293278000, 0.0325243000, 0.0420544000, 0.0700699000, 0.1630664000, 0.4788117000, 1.5054440000", \
+                        "0.0399405000, 0.0435059000, 0.0532515000, 0.0787437000, 0.1672937000, 0.4788523000, 1.5021409000", \
+                        "0.0576111000, 0.0612524000, 0.0734685000, 0.0982774000, 0.1780262000, 0.4818362000, 1.4969254000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1255966000, 0.1291250000, 0.1381782000, 0.1582270000, 0.2002370000, 0.3035514000, 0.6133271000", \
+                        "0.1310570000, 0.1345455000, 0.1434573000, 0.1634322000, 0.2055105000, 0.3088259000, 0.6187498000", \
+                        "0.1438751000, 0.1472799000, 0.1570833000, 0.1771827000, 0.2192748000, 0.3225942000, 0.6325042000", \
+                        "0.1762233000, 0.1797537000, 0.1888648000, 0.2088671000, 0.2507718000, 0.3541753000, 0.6643017000", \
+                        "0.2523053000, 0.2557794000, 0.2647994000, 0.2847366000, 0.3259102000, 0.4294096000, 0.7400690000", \
+                        "0.3940385000, 0.3985494000, 0.4100280000, 0.4343532000, 0.4810699000, 0.5871086000, 0.8975454000", \
+                        "0.6222710000, 0.6279829000, 0.6433246000, 0.6759321000, 0.7356510000, 0.8508389000, 1.1626645000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1052030000, 0.1095009000, 0.1209242000, 0.1491337000, 0.2226441000, 0.4451655000, 1.1547054000", \
+                        "0.1094998000, 0.1138446000, 0.1252630000, 0.1533589000, 0.2269185000, 0.4495909000, 1.1581007000", \
+                        "0.1185689000, 0.1228791000, 0.1343074000, 0.1624743000, 0.2358514000, 0.4586717000, 1.1697386000", \
+                        "0.1389187000, 0.1432541000, 0.1546988000, 0.1827786000, 0.2561527000, 0.4791273000, 1.1967589000", \
+                        "0.1778365000, 0.1824616000, 0.1945080000, 0.2235293000, 0.2976709000, 0.5202719000, 1.2281585000", \
+                        "0.2311435000, 0.2367548000, 0.2508218000, 0.2824046000, 0.3587645000, 0.5815975000, 1.2940511000", \
+                        "0.2793611000, 0.2865273000, 0.3049423000, 0.3435750000, 0.4241753000, 0.6473023000, 1.3555471000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0241419000, 0.0259677000, 0.0318131000, 0.0454388000, 0.0839345000, 0.2055038000, 0.6209739000", \
+                        "0.0241401000, 0.0262818000, 0.0314745000, 0.0455130000, 0.0838626000, 0.2055502000, 0.6215251000", \
+                        "0.0239680000, 0.0260806000, 0.0315359000, 0.0455867000, 0.0839582000, 0.2055777000, 0.6211461000", \
+                        "0.0241108000, 0.0262833000, 0.0314738000, 0.0458092000, 0.0839025000, 0.2055762000, 0.6216975000", \
+                        "0.0246488000, 0.0267049000, 0.0324516000, 0.0460825000, 0.0844081000, 0.2057645000, 0.6185589000", \
+                        "0.0371110000, 0.0395494000, 0.0451100000, 0.0582432000, 0.0934432000, 0.2088847000, 0.6175619000", \
+                        "0.0573322000, 0.0602757000, 0.0679052000, 0.0842567000, 0.1184644000, 0.2241975000, 0.6199924000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0249482000, 0.0283355000, 0.0382583000, 0.0674461000, 0.1619367000, 0.4789714000, 1.5073039000", \
+                        "0.0249776000, 0.0284419000, 0.0381678000, 0.0673835000, 0.1621627000, 0.4791031000, 1.5024892000", \
+                        "0.0249770000, 0.0284064000, 0.0383676000, 0.0672702000, 0.1618237000, 0.4792354000, 1.5032409000", \
+                        "0.0249320000, 0.0283174000, 0.0383013000, 0.0672791000, 0.1617747000, 0.4792219000, 1.5017381000", \
+                        "0.0279188000, 0.0314126000, 0.0412130000, 0.0695779000, 0.1629917000, 0.4805606000, 1.5029451000", \
+                        "0.0358768000, 0.0395254000, 0.0489308000, 0.0766307000, 0.1666984000, 0.4782073000, 1.5043545000", \
+                        "0.0512571000, 0.0550663000, 0.0661057000, 0.0927317000, 0.1760204000, 0.4814027000, 1.4971808000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2b_1 */
+
+/* removed sky130_fd_sc_hd__and2b_2 */
+
+/* removed sky130_fd_sc_hd__and2b_4 */
+
+/* removed sky130_fd_sc_hd__and3_1 */
+
+/* removed sky130_fd_sc_hd__and3_2 */
+
+    cell ("sky130_fd_sc_hd__and3_4") {
+        leakage_power () {
+            value : 0.0042559000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0039331000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0042789000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0039392000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0049811000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0042932000;
+            when : "A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0039306000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0039122000;
+            when : "!A&!B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and3";
+        cell_leakage_power : 0.0041905290;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0024040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051349000, 0.0051372000, 0.0051424000, 0.0051409000, 0.0051374000, 0.0051294000, 0.0051108000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004027000, -0.004030400, -0.004038100, -0.004030700, -0.004013800, -0.003974700, -0.003884700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025400000;
+        }
+        pin ("B") {
+            capacitance : 0.0023830000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0044755000, 0.0044717000, 0.0044629000, 0.0044767000, 0.0045086000, 0.0045820000, 0.0047513000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004064600, -0.004062700, -0.004058500, -0.004058100, -0.004057300, -0.004055300, -0.004050800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024760000;
+        }
+        pin ("C") {
+            capacitance : 0.0024070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0043091000, 0.0043098000, 0.0043114000, 0.0043091000, 0.0043037000, 0.0042914000, 0.0042629000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004312700, -0.004311300, -0.004308100, -0.004307700, -0.004306700, -0.004304300, -0.004298800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025400000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0225850000, 0.0209644000, 0.0157295000, 0.0002470000, -0.054956800, -0.239495700, -0.832371700", \
+                        "0.0224587000, 0.0208180000, 0.0156780000, 0.0001067000, -0.055049400, -0.239614100, -0.832349300", \
+                        "0.0221840000, 0.0205991000, 0.0154280000, -0.000269300, -0.055285100, -0.239799900, -0.832689200", \
+                        "0.0219560000, 0.0202503000, 0.0150878000, -0.000717900, -0.055750300, -0.240207900, -0.832950400", \
+                        "0.0221768000, 0.0203665000, 0.0147660000, -0.000815000, -0.056429200, -0.240735600, -0.833324300", \
+                        "0.0235311000, 0.0216224000, 0.0157538000, -0.002138300, -0.056142400, -0.240433700, -0.833014400", \
+                        "0.0292414000, 0.0269504000, 0.0205621000, 0.0019517000, -0.055691000, -0.240160400, -0.832156100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0281984000, 0.0300139000, 0.0359616000, 0.0548615000, 0.1128240000, 0.2968729000, 0.8879999000", \
+                        "0.0281036000, 0.0299738000, 0.0358394000, 0.0547609000, 0.1130736000, 0.2969332000, 0.8887007000", \
+                        "0.0278949000, 0.0296990000, 0.0356326000, 0.0546573000, 0.1129668000, 0.2968335000, 0.8844410000", \
+                        "0.0276645000, 0.0294341000, 0.0353838000, 0.0542092000, 0.1125419000, 0.2964591000, 0.8878142000", \
+                        "0.0277961000, 0.0296036000, 0.0353953000, 0.0537361000, 0.1119904000, 0.2961638000, 0.8847197000", \
+                        "0.0294258000, 0.0311432000, 0.0367784000, 0.0546556000, 0.1118405000, 0.2957279000, 0.8843381000", \
+                        "0.0319205000, 0.0335141000, 0.0389073000, 0.0565368000, 0.1135980000, 0.2974668000, 0.8804212000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0251215000, 0.0234258000, 0.0181728000, 0.0025213000, -0.052433000, -0.236570400, -0.829309200", \
+                        "0.0250331000, 0.0232756000, 0.0181793000, 0.0023555000, -0.052393700, -0.236691800, -0.829380900", \
+                        "0.0248987000, 0.0231762000, 0.0180037000, 0.0023481000, -0.052586000, -0.236858800, -0.829613200", \
+                        "0.0246641000, 0.0229106000, 0.0176660000, 0.0019555000, -0.053027500, -0.237219300, -0.829864700", \
+                        "0.0246439000, 0.0227879000, 0.0176201000, 0.0014800000, -0.053335200, -0.237560500, -0.830072800", \
+                        "0.0248143000, 0.0227682000, 0.0173852000, 0.0011839000, -0.053961200, -0.237874900, -0.830159700", \
+                        "0.0316497000, 0.0295213000, 0.0234275000, 0.0047631000, -0.053076000, -0.237586700, -0.829660600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0286070000, 0.0304200000, 0.0363546000, 0.0552118000, 0.1134147000, 0.2970044000, 0.8840938000", \
+                        "0.0286020000, 0.0304069000, 0.0363382000, 0.0550809000, 0.1131036000, 0.2968835000, 0.8842847000", \
+                        "0.0284564000, 0.0302925000, 0.0362143000, 0.0549756000, 0.1133032000, 0.2970049000, 0.8842048000", \
+                        "0.0281202000, 0.0298940000, 0.0358436000, 0.0546731000, 0.1130499000, 0.2968477000, 0.8841786000", \
+                        "0.0281771000, 0.0299658000, 0.0356696000, 0.0544305000, 0.1125473000, 0.2965996000, 0.8838977000", \
+                        "0.0294072000, 0.0311341000, 0.0367020000, 0.0543173000, 0.1124445000, 0.2961559000, 0.8831550000", \
+                        "0.0308210000, 0.0324539000, 0.0379013000, 0.0557420000, 0.1143143000, 0.2971202000, 0.8832769000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0273607000, 0.0256983000, 0.0203092000, 0.0044320000, -0.050584100, -0.234928700, -0.827755300", \
+                        "0.0274142000, 0.0257122000, 0.0202359000, 0.0043609000, -0.050695600, -0.235065700, -0.827905800", \
+                        "0.0271344000, 0.0253465000, 0.0201096000, 0.0041092000, -0.050967200, -0.235246100, -0.827996100", \
+                        "0.0269880000, 0.0252881000, 0.0199173000, 0.0038731000, -0.051236600, -0.235426900, -0.828118600", \
+                        "0.0270037000, 0.0251614000, 0.0197754000, 0.0041117000, -0.051504200, -0.235786600, -0.828392200", \
+                        "0.0270112000, 0.0255823000, 0.0200467000, 0.0037390000, -0.051313900, -0.235686600, -0.828265600", \
+                        "0.0354998000, 0.0326390000, 0.0263836000, 0.0076294000, -0.049680800, -0.234665900, -0.827185200");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0288811000, 0.0306947000, 0.0366415000, 0.0555533000, 0.1134194000, 0.2970561000, 0.8875795000", \
+                        "0.0287654000, 0.0306023000, 0.0364582000, 0.0554255000, 0.1136495000, 0.2972510000, 0.8833801000", \
+                        "0.0286067000, 0.0304335000, 0.0363776000, 0.0552031000, 0.1135074000, 0.2971033000, 0.8840194000", \
+                        "0.0283508000, 0.0301255000, 0.0360764000, 0.0548893000, 0.1132393000, 0.2968654000, 0.8794756000", \
+                        "0.0282780000, 0.0301043000, 0.0359767000, 0.0546081000, 0.1127473000, 0.2967030000, 0.8837102000", \
+                        "0.0293827000, 0.0313559000, 0.0370289000, 0.0548746000, 0.1127775000, 0.2961417000, 0.8875729000", \
+                        "0.0304733000, 0.0321818000, 0.0376970000, 0.0557506000, 0.1142832000, 0.2975456000, 0.8817977000");
+                }
+            }
+            max_capacitance : 0.5328470000;
+            max_transition : 1.5077670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1176624000, 0.1211953000, 0.1302119000, 0.1504058000, 0.1927356000, 0.2959856000, 0.6031842000", \
+                        "0.1230620000, 0.1265467000, 0.1356027000, 0.1557674000, 0.1983010000, 0.3014897000, 0.6088806000", \
+                        "0.1362588000, 0.1397258000, 0.1488244000, 0.1690206000, 0.2113689000, 0.3146443000, 0.6218698000", \
+                        "0.1671590000, 0.1706760000, 0.1795174000, 0.2000300000, 0.2423069000, 0.3456893000, 0.6525671000", \
+                        "0.2403789000, 0.2438929000, 0.2524743000, 0.2724942000, 0.3157611000, 0.4187666000, 0.7262591000", \
+                        "0.3693820000, 0.3739397000, 0.3858142000, 0.4109687000, 0.4588423000, 0.5655961000, 0.8725635000", \
+                        "0.5720747000, 0.5781848000, 0.5927933000, 0.6261505000, 0.6882516000, 0.8061523000, 1.1158997000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1340763000, 0.1393270000, 0.1531677000, 0.1856525000, 0.2643026000, 0.4891171000, 1.1990191000", \
+                        "0.1378671000, 0.1431018000, 0.1568382000, 0.1894099000, 0.2682454000, 0.4924377000, 1.2045442000", \
+                        "0.1472179000, 0.1524737000, 0.1662910000, 0.1987366000, 0.2775485000, 0.5018227000, 1.2143205000", \
+                        "0.1696199000, 0.1748860000, 0.1887813000, 0.2212735000, 0.2999053000, 0.5247459000, 1.2356726000", \
+                        "0.2196725000, 0.2250672000, 0.2390340000, 0.2718083000, 0.3508999000, 0.5753048000, 1.2867362000", \
+                        "0.2899079000, 0.2963531000, 0.3125250000, 0.3483308000, 0.4292096000, 0.6539372000, 1.3658370000", \
+                        "0.3627692000, 0.3709281000, 0.3919285000, 0.4358308000, 0.5227573000, 0.7481811000, 1.4570243000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0229469000, 0.0250952000, 0.0307727000, 0.0452383000, 0.0837912000, 0.2046444000, 0.6158934000", \
+                        "0.0231319000, 0.0250296000, 0.0309189000, 0.0452554000, 0.0837586000, 0.2044359000, 0.6119869000", \
+                        "0.0230371000, 0.0250940000, 0.0310449000, 0.0453760000, 0.0837914000, 0.2046090000, 0.6157672000", \
+                        "0.0230382000, 0.0252683000, 0.0306832000, 0.0454021000, 0.0837584000, 0.2045494000, 0.6145139000", \
+                        "0.0246318000, 0.0268010000, 0.0324608000, 0.0464677000, 0.0843488000, 0.2047378000, 0.6111346000", \
+                        "0.0367968000, 0.0393522000, 0.0457113000, 0.0598095000, 0.0958726000, 0.2091593000, 0.6117996000", \
+                        "0.0571156000, 0.0599535000, 0.0687328000, 0.0855754000, 0.1213103000, 0.2269871000, 0.6145401000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318369000, 0.0357385000, 0.0468336000, 0.0769581000, 0.1698014000, 0.4824757000, 1.5060750000", \
+                        "0.0320573000, 0.0357851000, 0.0468233000, 0.0770289000, 0.1695583000, 0.4822201000, 1.5077671000", \
+                        "0.0316870000, 0.0356099000, 0.0467802000, 0.0770180000, 0.1695917000, 0.4820878000, 1.5038971000", \
+                        "0.0317929000, 0.0357566000, 0.0468538000, 0.0769830000, 0.1698987000, 0.4810902000, 1.5056097000", \
+                        "0.0338949000, 0.0376806000, 0.0483406000, 0.0787425000, 0.1705395000, 0.4826682000, 1.5061586000", \
+                        "0.0440737000, 0.0479575000, 0.0584061000, 0.0867194000, 0.1756460000, 0.4836797000, 1.5053370000", \
+                        "0.0615800000, 0.0669115000, 0.0792771000, 0.1064354000, 0.1881244000, 0.4862012000, 1.4997754000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1359565000, 0.1396689000, 0.1492386000, 0.1700226000, 0.2143244000, 0.3183809000, 0.6259408000", \
+                        "0.1413544000, 0.1450709000, 0.1546215000, 0.1757347000, 0.2196924000, 0.3236059000, 0.6312798000", \
+                        "0.1548157000, 0.1585121000, 0.1677815000, 0.1887002000, 0.2322355000, 0.3366315000, 0.6443373000", \
+                        "0.1866876000, 0.1901470000, 0.2000052000, 0.2209877000, 0.2646539000, 0.3688401000, 0.6762538000", \
+                        "0.2626976000, 0.2657046000, 0.2759215000, 0.2968464000, 0.3396162000, 0.4444486000, 0.7518973000", \
+                        "0.4102824000, 0.4153270000, 0.4266339000, 0.4516502000, 0.5003866000, 0.6072751000, 0.9145803000", \
+                        "0.6500261000, 0.6558750000, 0.6715567000, 0.7050849000, 0.7665708000, 0.8840779000, 1.1934486000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1413094000, 0.1465486000, 0.1603386000, 0.1928597000, 0.2714039000, 0.4959720000, 1.2081963000", \
+                        "0.1454501000, 0.1507121000, 0.1645203000, 0.1969231000, 0.2757359000, 0.4996989000, 1.2120310000", \
+                        "0.1541238000, 0.1594017000, 0.1731951000, 0.2056292000, 0.2844261000, 0.5083565000, 1.2168315000", \
+                        "0.1740558000, 0.1793654000, 0.1931983000, 0.2256948000, 0.3044672000, 0.5284735000, 1.2370853000", \
+                        "0.2163721000, 0.2218114000, 0.2357964000, 0.2687423000, 0.3476789000, 0.5724896000, 1.2861576000", \
+                        "0.2801762000, 0.2863977000, 0.3023365000, 0.3379085000, 0.4194913000, 0.6449998000, 1.3573733000", \
+                        "0.3446815000, 0.3524749000, 0.3724984000, 0.4151260000, 0.5023190000, 0.7288417000, 1.4369101000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0254384000, 0.0277563000, 0.0335643000, 0.0482841000, 0.0859561000, 0.2059903000, 0.6126439000", \
+                        "0.0253164000, 0.0275687000, 0.0336115000, 0.0477444000, 0.0860646000, 0.2061037000, 0.6166605000", \
+                        "0.0253245000, 0.0275907000, 0.0335511000, 0.0478728000, 0.0863612000, 0.2062060000, 0.6133797000", \
+                        "0.0253257000, 0.0274571000, 0.0334929000, 0.0477311000, 0.0860630000, 0.2062706000, 0.6157208000", \
+                        "0.0259922000, 0.0278997000, 0.0339873000, 0.0481977000, 0.0867450000, 0.2061078000, 0.6156615000", \
+                        "0.0371672000, 0.0393218000, 0.0464858000, 0.0592353000, 0.0944703000, 0.2090278000, 0.6163081000", \
+                        "0.0575287000, 0.0605187000, 0.0683342000, 0.0858129000, 0.1208752000, 0.2256639000, 0.6157173000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318695000, 0.0358283000, 0.0468862000, 0.0768902000, 0.1698781000, 0.4818674000, 1.5013298000", \
+                        "0.0318180000, 0.0357527000, 0.0467176000, 0.0771061000, 0.1699917000, 0.4820259000, 1.5023308000", \
+                        "0.0317292000, 0.0357395000, 0.0467174000, 0.0770136000, 0.1697009000, 0.4822380000, 1.5036692000", \
+                        "0.0317952000, 0.0357728000, 0.0469011000, 0.0769553000, 0.1695789000, 0.4822560000, 1.5028707000", \
+                        "0.0336174000, 0.0374186000, 0.0484465000, 0.0782071000, 0.1704859000, 0.4822355000, 1.5047241000", \
+                        "0.0411861000, 0.0450079000, 0.0558206000, 0.0858962000, 0.1749957000, 0.4824572000, 1.5006988000", \
+                        "0.0563722000, 0.0616121000, 0.0735963000, 0.1017686000, 0.1855476000, 0.4862266000, 1.4980526000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1463793000, 0.1501818000, 0.1599430000, 0.1814873000, 0.2258389000, 0.3307140000, 0.6382643000", \
+                        "0.1516765000, 0.1554792000, 0.1652955000, 0.1867589000, 0.2312042000, 0.3360996000, 0.6434325000", \
+                        "0.1647378000, 0.1685901000, 0.1782668000, 0.2005377000, 0.2448620000, 0.3499950000, 0.6577169000", \
+                        "0.1970174000, 0.2017078000, 0.2114547000, 0.2328421000, 0.2762625000, 0.3813738000, 0.6892688000", \
+                        "0.2742508000, 0.2771595000, 0.2868535000, 0.3080985000, 0.3534604000, 0.4587778000, 0.7664901000", \
+                        "0.4292484000, 0.4335562000, 0.4452826000, 0.4701610000, 0.5181920000, 0.6248703000, 0.9330694000", \
+                        "0.6834484000, 0.6889978000, 0.7045986000, 0.7376001000, 0.7985659000, 0.9154420000, 1.2250092000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1461514000, 0.1514042000, 0.1652431000, 0.1977486000, 0.2763633000, 0.5006842000, 1.2115609000", \
+                        "0.1501494000, 0.1554074000, 0.1691529000, 0.2017424000, 0.2804681000, 0.5043301000, 1.2153831000", \
+                        "0.1580085000, 0.1632249000, 0.1770173000, 0.2095514000, 0.2882395000, 0.5122807000, 1.2231666000", \
+                        "0.1740834000, 0.1793860000, 0.1932420000, 0.2257365000, 0.3044238000, 0.5284793000, 1.2364118000", \
+                        "0.2076969000, 0.2131234000, 0.2273186000, 0.2601973000, 0.3392449000, 0.5640593000, 1.2765784000", \
+                        "0.2596807000, 0.2658394000, 0.2814085000, 0.3169973000, 0.3986289000, 0.6238294000, 1.3330604000", \
+                        "0.3130191000, 0.3206668000, 0.3398999000, 0.3811383000, 0.4683718000, 0.6952622000, 1.4036469000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0266935000, 0.0290497000, 0.0349898000, 0.0491600000, 0.0875808000, 0.2071880000, 0.6157102000", \
+                        "0.0265098000, 0.0287434000, 0.0345559000, 0.0494573000, 0.0875377000, 0.2071500000, 0.6144041000", \
+                        "0.0266206000, 0.0287208000, 0.0346443000, 0.0493539000, 0.0876090000, 0.2071038000, 0.6128622000", \
+                        "0.0265612000, 0.0290123000, 0.0349111000, 0.0494729000, 0.0875919000, 0.2073620000, 0.6131180000", \
+                        "0.0267277000, 0.0288112000, 0.0347677000, 0.0495456000, 0.0876008000, 0.2070598000, 0.6156083000", \
+                        "0.0369957000, 0.0398173000, 0.0455767000, 0.0591666000, 0.0943562000, 0.2095610000, 0.6143105000", \
+                        "0.0571428000, 0.0602045000, 0.0685627000, 0.0849131000, 0.1198252000, 0.2255216000, 0.6161894000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318313000, 0.0357206000, 0.0468039000, 0.0769674000, 0.1700028000, 0.4821328000, 1.5038950000", \
+                        "0.0319539000, 0.0357699000, 0.0469898000, 0.0769861000, 0.1697882000, 0.4821613000, 1.4991854000", \
+                        "0.0320042000, 0.0356360000, 0.0468606000, 0.0770101000, 0.1698551000, 0.4817081000, 1.5049189000", \
+                        "0.0317713000, 0.0357611000, 0.0468840000, 0.0769974000, 0.1697960000, 0.4820889000, 1.5021002000", \
+                        "0.0334629000, 0.0373941000, 0.0480144000, 0.0781122000, 0.1704180000, 0.4824395000, 1.5041959000", \
+                        "0.0387963000, 0.0429279000, 0.0545034000, 0.0844813000, 0.1743957000, 0.4829192000, 1.5069104000", \
+                        "0.0524485000, 0.0575287000, 0.0691846000, 0.0990066000, 0.1844223000, 0.4860258000, 1.4950077000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and3b_1 */
+
+/* removed sky130_fd_sc_hd__and3b_2 */
+
+/* removed sky130_fd_sc_hd__and3b_4 */
+
+/* removed sky130_fd_sc_hd__and4_1 */
+
+/* removed sky130_fd_sc_hd__and4_2 */
+
+    cell ("sky130_fd_sc_hd__and4_4") {
+        leakage_power () {
+            value : 0.0040885000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040826000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0041054000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0040890000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0041100000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040910000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0044086000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0041123000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0041161000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040967000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0044373000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0041185000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0044719000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0041245000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0059705000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0044864000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and4";
+        cell_leakage_power : 0.0043068320;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0049085000, 0.0049057000, 0.0048994000, 0.0049006000, 0.0049034000, 0.0049100000, 0.0049251000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004021500, -0.004023400, -0.004027800, -0.004021400, -0.004006700, -0.003972700, -0.003894200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0023970000;
+        }
+        pin ("B") {
+            capacitance : 0.0024100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045905000, 0.0045862000, 0.0045764000, 0.0045897000, 0.0046203000, 0.0046909000, 0.0048537000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004173700, -0.004173900, -0.004174400, -0.004173800, -0.004172300, -0.004168800, -0.004160800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024870000;
+        }
+        pin ("C") {
+            capacitance : 0.0023630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022720000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039295000, 0.0039276000, 0.0039232000, 0.0039233000, 0.0039235000, 0.0039240000, 0.0039250000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003933000, -0.003930600, -0.003925100, -0.003924700, -0.003923800, -0.003921700, -0.003917000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024540000;
+        }
+        pin ("D") {
+            capacitance : 0.0023420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0041907000, 0.0041865000, 0.0041768000, 0.0041775000, 0.0041791000, 0.0041829000, 0.0041916000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004179100, -0.004178000, -0.004175300, -0.004175300, -0.004175300, -0.004175200, -0.004174900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024690000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C&D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0223359000, 0.0206942000, 0.0156045000, 4.220000e-05, -0.055116100, -0.239300300, -0.831073400", \
+                        "0.0223127000, 0.0206209000, 0.0155582000, 1.590000e-05, -0.055126100, -0.239430000, -0.831212900", \
+                        "0.0220741000, 0.0204953000, 0.0153623000, -0.000200200, -0.055301500, -0.239506100, -0.831285300", \
+                        "0.0218765000, 0.0202375000, 0.0151509000, -0.000573000, -0.055799900, -0.239957500, -0.831625400", \
+                        "0.0219509000, 0.0201994000, 0.0149125000, -0.001217400, -0.056130300, -0.240440400, -0.831948100", \
+                        "0.0235522000, 0.0216341000, 0.0158156000, -0.001528300, -0.056570800, -0.240284400, -0.831719400", \
+                        "0.0286287000, 0.0265396000, 0.0202548000, 0.0016847000, -0.055205300, -0.240054900, -0.830997900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0284573000, 0.0302812000, 0.0361407000, 0.0551465000, 0.1131036000, 0.2967632000, 0.8872369000", \
+                        "0.0283793000, 0.0302197000, 0.0361439000, 0.0548561000, 0.1132597000, 0.2969647000, 0.8872511000", \
+                        "0.0281455000, 0.0299734000, 0.0358226000, 0.0547779000, 0.1131833000, 0.2968166000, 0.8833840000", \
+                        "0.0278921000, 0.0296821000, 0.0354694000, 0.0543895000, 0.1130761000, 0.2963908000, 0.8833783000", \
+                        "0.0279423000, 0.0297159000, 0.0353788000, 0.0541404000, 0.1125677000, 0.2960288000, 0.8826487000", \
+                        "0.0291382000, 0.0307874000, 0.0363953000, 0.0549625000, 0.1120965000, 0.2959301000, 0.8783530000", \
+                        "0.0327781000, 0.0343445000, 0.0396643000, 0.0571872000, 0.1141342000, 0.2967248000, 0.8824727000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0247975000, 0.0230256000, 0.0177456000, 0.0022381000, -0.052691800, -0.236785000, -0.828399900", \
+                        "0.0245758000, 0.0228916000, 0.0176975000, 0.0020692000, -0.052857600, -0.236828700, -0.828438100", \
+                        "0.0244854000, 0.0227397000, 0.0175535000, 0.0019372000, -0.053008900, -0.236900500, -0.828564000", \
+                        "0.0241787000, 0.0226117000, 0.0173402000, 0.0015960000, -0.053480900, -0.237280800, -0.828831100", \
+                        "0.0242279000, 0.0225580000, 0.0172231000, 0.0011121000, -0.053687600, -0.237787600, -0.829263900", \
+                        "0.0240097000, 0.0222137000, 0.0165476000, 0.0011577000, -0.054455100, -0.237849900, -0.829189600", \
+                        "0.0310476000, 0.0289285000, 0.0226919000, 0.0040641000, -0.053836000, -0.237849800, -0.828842400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0287368000, 0.0305589000, 0.0363073000, 0.0554486000, 0.1134856000, 0.2970398000, 0.8875170000", \
+                        "0.0287187000, 0.0305341000, 0.0363965000, 0.0554072000, 0.1133914000, 0.2966236000, 0.8869823000", \
+                        "0.0285719000, 0.0304274000, 0.0363002000, 0.0550271000, 0.1136625000, 0.2967996000, 0.8826101000", \
+                        "0.0283737000, 0.0300647000, 0.0358767000, 0.0549079000, 0.1131893000, 0.2967619000, 0.8830748000", \
+                        "0.0283272000, 0.0300946000, 0.0358856000, 0.0547419000, 0.1128290000, 0.2964197000, 0.8781726000", \
+                        "0.0288607000, 0.0305274000, 0.0359438000, 0.0544730000, 0.1126375000, 0.2959417000, 0.8821440000", \
+                        "0.0317283000, 0.0333317000, 0.0386184000, 0.0567437000, 0.1146472000, 0.2973207000, 0.8800286000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0275123000, 0.0258557000, 0.0205512000, 0.0048590000, -0.049819500, -0.233648700, -0.825195000", \
+                        "0.0272980000, 0.0256433000, 0.0203339000, 0.0048576000, -0.049970800, -0.233745800, -0.825316600", \
+                        "0.0272095000, 0.0254446000, 0.0202116000, 0.0047170000, -0.050084300, -0.233892400, -0.825445800", \
+                        "0.0271271000, 0.0252294000, 0.0199679000, 0.0042639000, -0.050564000, -0.234187200, -0.825666000", \
+                        "0.0272945000, 0.0255937000, 0.0201600000, 0.0041259000, -0.051013200, -0.234538600, -0.825980400", \
+                        "0.0276065000, 0.0258002000, 0.0201196000, 0.0039484000, -0.050730600, -0.234467600, -0.825598100", \
+                        "0.0346538000, 0.0325391000, 0.0263123000, 0.0076375000, -0.050474600, -0.234347900, -0.825299400");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0285316000, 0.0302937000, 0.0360872000, 0.0549935000, 0.1135903000, 0.2965637000, 0.8820369000", \
+                        "0.0284849000, 0.0303065000, 0.0361660000, 0.0551784000, 0.1131561000, 0.2964668000, 0.8866325000", \
+                        "0.0283377000, 0.0301509000, 0.0358885000, 0.0549302000, 0.1134166000, 0.2964336000, 0.8819552000", \
+                        "0.0281039000, 0.0299271000, 0.0356834000, 0.0546334000, 0.1130690000, 0.2962549000, 0.8819636000", \
+                        "0.0280097000, 0.0297767000, 0.0356227000, 0.0544653000, 0.1127741000, 0.2962287000, 0.8824468000", \
+                        "0.0287986000, 0.0304903000, 0.0363632000, 0.0546483000, 0.1125316000, 0.2958767000, 0.8777816000", \
+                        "0.0309454000, 0.0325917000, 0.0380269000, 0.0566377000, 0.1138781000, 0.2975539000, 0.8786315000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0295029000, 0.0277243000, 0.0223330000, 0.0065108000, -0.048418300, -0.232191300, -0.823779000", \
+                        "0.0293547000, 0.0277766000, 0.0223429000, 0.0064388000, -0.048441800, -0.232363700, -0.823941600", \
+                        "0.0291749000, 0.0274524000, 0.0220927000, 0.0063285000, -0.048581300, -0.232493700, -0.824035100", \
+                        "0.0289766000, 0.0272429000, 0.0218735000, 0.0060872000, -0.048835300, -0.232760800, -0.824198400", \
+                        "0.0291639000, 0.0273747000, 0.0220145000, 0.0059390000, -0.048941200, -0.232811500, -0.824181900", \
+                        "0.0293125000, 0.0274215000, 0.0217878000, 0.0064300000, -0.048985300, -0.233072900, -0.824342800", \
+                        "0.0376705000, 0.0355602000, 0.0294144000, 0.0105823000, -0.048334400, -0.232522200, -0.823691700");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0288300000, 0.0306251000, 0.0365994000, 0.0553208000, 0.1137850000, 0.2968700000, 0.8782827000", \
+                        "0.0287686000, 0.0305736000, 0.0363999000, 0.0553072000, 0.1137779000, 0.2966361000, 0.8817872000", \
+                        "0.0285933000, 0.0304391000, 0.0361491000, 0.0551900000, 0.1136417000, 0.2965250000, 0.8817338000", \
+                        "0.0283295000, 0.0301529000, 0.0359643000, 0.0548340000, 0.1132877000, 0.2963190000, 0.8858348000", \
+                        "0.0282773000, 0.0299772000, 0.0358158000, 0.0545154000, 0.1129950000, 0.2963205000, 0.8824244000", \
+                        "0.0292814000, 0.0309908000, 0.0366533000, 0.0544459000, 0.1128973000, 0.2959466000, 0.8779109000", \
+                        "0.0312342000, 0.0328978000, 0.0383677000, 0.0565755000, 0.1138979000, 0.2971594000, 0.8800971000");
+                }
+            }
+            max_capacitance : 0.5319310000;
+            max_transition : 1.5064790000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1154774000, 0.1190116000, 0.1280661000, 0.1482224000, 0.1906042000, 0.2941229000, 0.6026539000", \
+                        "0.1209914000, 0.1244771000, 0.1335243000, 0.1536304000, 0.1962033000, 0.2996587000, 0.6083357000", \
+                        "0.1344616000, 0.1379507000, 0.1470132000, 0.1671641000, 0.2094988000, 0.3130463000, 0.6213901000", \
+                        "0.1651074000, 0.1686253000, 0.1776673000, 0.1976197000, 0.2408588000, 0.3444690000, 0.6528954000", \
+                        "0.2379787000, 0.2415085000, 0.2505905000, 0.2707340000, 0.3128440000, 0.4172132000, 0.7253331000", \
+                        "0.3641999000, 0.3688039000, 0.3805657000, 0.4056281000, 0.4546638000, 0.5612088000, 0.8693620000", \
+                        "0.5606758000, 0.5666413000, 0.5815357000, 0.6151660000, 0.6764486000, 0.7954639000, 1.1058705000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1618261000, 0.1679806000, 0.1839951000, 0.2204500000, 0.3046404000, 0.5313414000, 1.2394471000", \
+                        "0.1655860000, 0.1716438000, 0.1874874000, 0.2239701000, 0.3084047000, 0.5355444000, 1.2425126000", \
+                        "0.1742364000, 0.1803098000, 0.1961552000, 0.2326986000, 0.3171080000, 0.5442905000, 1.2515931000", \
+                        "0.1953239000, 0.2014007000, 0.2172416000, 0.2538579000, 0.3383099000, 0.5654400000, 1.2733906000", \
+                        "0.2456526000, 0.2517511000, 0.2673804000, 0.3043313000, 0.3885100000, 0.6159130000, 1.3227206000", \
+                        "0.3247661000, 0.3316766000, 0.3493024000, 0.3879140000, 0.4743006000, 0.7021113000, 1.4099921000", \
+                        "0.4132198000, 0.4217016000, 0.4435591000, 0.4901514000, 0.5817319000, 0.8106626000, 1.5193804000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0223430000, 0.0246128000, 0.0302678000, 0.0447774000, 0.0839331000, 0.2067106000, 0.6206612000", \
+                        "0.0224414000, 0.0247306000, 0.0304966000, 0.0449268000, 0.0838303000, 0.2063415000, 0.6234084000", \
+                        "0.0225930000, 0.0248214000, 0.0302851000, 0.0448801000, 0.0839642000, 0.2067321000, 0.6235474000", \
+                        "0.0223715000, 0.0245504000, 0.0306676000, 0.0450458000, 0.0837382000, 0.2064086000, 0.6205630000", \
+                        "0.0242041000, 0.0262011000, 0.0317512000, 0.0458043000, 0.0847194000, 0.2067239000, 0.6210005000", \
+                        "0.0362849000, 0.0388967000, 0.0450792000, 0.0596848000, 0.0956427000, 0.2113232000, 0.6210835000", \
+                        "0.0560531000, 0.0590802000, 0.0682859000, 0.0852594000, 0.1221502000, 0.2295372000, 0.6230528000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0372432000, 0.0419585000, 0.0541341000, 0.0858497000, 0.1783996000, 0.4843728000, 1.5059395000", \
+                        "0.0378340000, 0.0421830000, 0.0540432000, 0.0859591000, 0.1780799000, 0.4852774000, 1.5064787000", \
+                        "0.0375571000, 0.0421381000, 0.0539184000, 0.0860002000, 0.1780113000, 0.4852298000, 1.5018781000", \
+                        "0.0373231000, 0.0416442000, 0.0539614000, 0.0857794000, 0.1780061000, 0.4852910000, 1.5028785000", \
+                        "0.0382461000, 0.0427789000, 0.0551857000, 0.0870226000, 0.1786032000, 0.4845545000, 1.5027720000", \
+                        "0.0470706000, 0.0512691000, 0.0630987000, 0.0935239000, 0.1837430000, 0.4871425000, 1.4978636000", \
+                        "0.0647691000, 0.0700653000, 0.0844120000, 0.1126638000, 0.1963986000, 0.4913058000, 1.4985062000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1351915000, 0.1389019000, 0.1485162000, 0.1697996000, 0.2135846000, 0.3186717000, 0.6274285000", \
+                        "0.1410176000, 0.1447536000, 0.1543887000, 0.1753174000, 0.2195334000, 0.3243526000, 0.6325538000", \
+                        "0.1543166000, 0.1580446000, 0.1676197000, 0.1888534000, 0.2329599000, 0.3377335000, 0.6465828000", \
+                        "0.1859124000, 0.1896633000, 0.1992416000, 0.2202925000, 0.2651539000, 0.3691993000, 0.6775268000", \
+                        "0.2616216000, 0.2653380000, 0.2748951000, 0.2959567000, 0.3389735000, 0.4453091000, 0.7542109000", \
+                        "0.4075644000, 0.4122339000, 0.4240982000, 0.4491530000, 0.4981723000, 0.6051046000, 0.9139862000", \
+                        "0.6422922000, 0.6484000000, 0.6643088000, 0.6979367000, 0.7596609000, 0.8782939000, 1.1893055000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1721604000, 0.1782389000, 0.1940718000, 0.2307657000, 0.3150447000, 0.5420279000, 1.2507295000", \
+                        "0.1760492000, 0.1821361000, 0.1981470000, 0.2346042000, 0.3187217000, 0.5458507000, 1.2531877000", \
+                        "0.1843256000, 0.1905312000, 0.2064318000, 0.2429241000, 0.3272191000, 0.5541517000, 1.2656965000", \
+                        "0.2037948000, 0.2097282000, 0.2255944000, 0.2622454000, 0.3464831000, 0.5737610000, 1.2839647000", \
+                        "0.2472558000, 0.2533271000, 0.2693571000, 0.3060782000, 0.3904609000, 0.6176755000, 1.3242690000", \
+                        "0.3184426000, 0.3252217000, 0.3427058000, 0.3820121000, 0.4688517000, 0.6974830000, 1.4083676000", \
+                        "0.3982398000, 0.4064995000, 0.4279381000, 0.4739034000, 0.5658620000, 0.7961810000, 1.5036268000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0251216000, 0.0271957000, 0.0331307000, 0.0475818000, 0.0870770000, 0.2085749000, 0.6220923000", \
+                        "0.0249486000, 0.0271277000, 0.0330501000, 0.0480071000, 0.0867113000, 0.2089156000, 0.6224971000", \
+                        "0.0249382000, 0.0272322000, 0.0333251000, 0.0475917000, 0.0869425000, 0.2087537000, 0.6214944000", \
+                        "0.0251704000, 0.0274912000, 0.0330891000, 0.0477161000, 0.0867175000, 0.2088642000, 0.6216837000", \
+                        "0.0256924000, 0.0280091000, 0.0338933000, 0.0481644000, 0.0875700000, 0.2085049000, 0.6214717000", \
+                        "0.0366500000, 0.0393035000, 0.0452899000, 0.0600462000, 0.0952301000, 0.2117258000, 0.6221924000", \
+                        "0.0569307000, 0.0601477000, 0.0679122000, 0.0857381000, 0.1221010000, 0.2292605000, 0.6229365000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0375159000, 0.0419584000, 0.0543740000, 0.0856344000, 0.1781312000, 0.4853711000, 1.5060595000", \
+                        "0.0372849000, 0.0419663000, 0.0541703000, 0.0858733000, 0.1781817000, 0.4851320000, 1.5036611000", \
+                        "0.0372287000, 0.0419800000, 0.0541149000, 0.0860041000, 0.1782209000, 0.4852842000, 1.5003345000", \
+                        "0.0372291000, 0.0420371000, 0.0541510000, 0.0859437000, 0.1781561000, 0.4848589000, 1.5018692000", \
+                        "0.0385373000, 0.0426319000, 0.0549865000, 0.0866198000, 0.1786371000, 0.4854170000, 1.5012249000", \
+                        "0.0447787000, 0.0493413000, 0.0616251000, 0.0928859000, 0.1833954000, 0.4866011000, 1.5001472000", \
+                        "0.0609331000, 0.0652768000, 0.0790307000, 0.1097115000, 0.1943653000, 0.4910508000, 1.4984546000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1467733000, 0.1506427000, 0.1604906000, 0.1822487000, 0.2269433000, 0.3324958000, 0.6418012000", \
+                        "0.1522968000, 0.1561561000, 0.1660702000, 0.1877981000, 0.2323981000, 0.3380900000, 0.6471736000", \
+                        "0.1659313000, 0.1697819000, 0.1796604000, 0.2014667000, 0.2463371000, 0.3517104000, 0.6610225000", \
+                        "0.1974322000, 0.2021729000, 0.2120709000, 0.2337972000, 0.2788411000, 0.3842824000, 0.6933276000", \
+                        "0.2745976000, 0.2784324000, 0.2882573000, 0.3098543000, 0.3547526000, 0.4606526000, 0.7696250000", \
+                        "0.4297298000, 0.4344347000, 0.4462285000, 0.4702170000, 0.5192097000, 0.6261978000, 0.9355638000", \
+                        "0.6830261000, 0.6891629000, 0.7048563000, 0.7381142000, 0.7995490000, 0.9176295000, 1.2279751000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1783762000, 0.1844398000, 0.2002593000, 0.2369980000, 0.3212374000, 0.5479327000, 1.2592144000", \
+                        "0.1824067000, 0.1884947000, 0.2045085000, 0.2409701000, 0.3250851000, 0.5515412000, 1.2591125000", \
+                        "0.1902349000, 0.1963027000, 0.2121906000, 0.2487674000, 0.3331153000, 0.5598687000, 1.2711220000", \
+                        "0.2066470000, 0.2127142000, 0.2284306000, 0.2652193000, 0.3494184000, 0.5759780000, 1.2874829000", \
+                        "0.2421498000, 0.2483131000, 0.2642153000, 0.3010931000, 0.3854069000, 0.6126727000, 1.3226501000", \
+                        "0.3014214000, 0.3081482000, 0.3256763000, 0.3648332000, 0.4516741000, 0.6800546000, 1.3868733000", \
+                        "0.3685673000, 0.3766190000, 0.3975054000, 0.4429028000, 0.5352314000, 0.7660645000, 1.4731832000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0265051000, 0.0286030000, 0.0345934000, 0.0490009000, 0.0882838000, 0.2095363000, 0.6204924000", \
+                        "0.0264966000, 0.0285103000, 0.0346652000, 0.0493510000, 0.0884379000, 0.2096043000, 0.6215546000", \
+                        "0.0263002000, 0.0286381000, 0.0347175000, 0.0491521000, 0.0882315000, 0.2097685000, 0.6219056000", \
+                        "0.0263728000, 0.0286422000, 0.0348037000, 0.0492261000, 0.0880355000, 0.2095390000, 0.6215962000", \
+                        "0.0262971000, 0.0286593000, 0.0347612000, 0.0496866000, 0.0882156000, 0.2097182000, 0.6225412000", \
+                        "0.0362948000, 0.0392622000, 0.0449315000, 0.0589184000, 0.0950662000, 0.2119952000, 0.6223052000", \
+                        "0.0567096000, 0.0593829000, 0.0678020000, 0.0847376000, 0.1198340000, 0.2275281000, 0.6230826000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0372637000, 0.0417578000, 0.0543704000, 0.0859265000, 0.1782664000, 0.4852940000, 1.4995483000", \
+                        "0.0372771000, 0.0419621000, 0.0541402000, 0.0858434000, 0.1783607000, 0.4842125000, 1.5060915000", \
+                        "0.0376651000, 0.0422270000, 0.0540110000, 0.0861249000, 0.1780867000, 0.4852967000, 1.5002769000", \
+                        "0.0372280000, 0.0418129000, 0.0543025000, 0.0859234000, 0.1780014000, 0.4851013000, 1.5014644000", \
+                        "0.0384114000, 0.0428638000, 0.0546844000, 0.0868713000, 0.1784206000, 0.4844460000, 1.5015821000", \
+                        "0.0433935000, 0.0479994000, 0.0610742000, 0.0922001000, 0.1827716000, 0.4866322000, 1.4998501000", \
+                        "0.0576642000, 0.0622372000, 0.0755365000, 0.1071419000, 0.1942394000, 0.4906868000, 1.4962470000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1573006000, 0.1612686000, 0.1714377000, 0.1935999000, 0.2389674000, 0.3454555000, 0.6547476000", \
+                        "0.1627098000, 0.1666576000, 0.1768172000, 0.1989982000, 0.2444384000, 0.3510948000, 0.6605469000", \
+                        "0.1758312000, 0.1797927000, 0.1899100000, 0.2118921000, 0.2575107000, 0.3641410000, 0.6735976000", \
+                        "0.2090542000, 0.2130220000, 0.2231443000, 0.2451683000, 0.2907863000, 0.3972706000, 0.7070287000", \
+                        "0.2856593000, 0.2895906000, 0.2996870000, 0.3218956000, 0.3668066000, 0.4734129000, 0.7832026000", \
+                        "0.4467613000, 0.4514132000, 0.4631630000, 0.4878943000, 0.5355014000, 0.6438808000, 0.9530251000", \
+                        "0.7133423000, 0.7194712000, 0.7350763000, 0.7681912000, 0.8291262000, 0.9467468000, 1.2571830000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1842908000, 0.1903569000, 0.2062439000, 0.2427593000, 0.3271351000, 0.5537282000, 1.2602774000", \
+                        "0.1883339000, 0.1944191000, 0.2102990000, 0.2468808000, 0.3312116000, 0.5577258000, 1.2687689000", \
+                        "0.1960028000, 0.2021220000, 0.2178991000, 0.2545672000, 0.3389055000, 0.5654638000, 1.2765531000", \
+                        "0.2104510000, 0.2165937000, 0.2323287000, 0.2689965000, 0.3532473000, 0.5796046000, 1.2889553000", \
+                        "0.2390992000, 0.2451672000, 0.2611567000, 0.2979038000, 0.3822558000, 0.6092126000, 1.3184660000", \
+                        "0.2856425000, 0.2923259000, 0.3095852000, 0.3483366000, 0.4351952000, 0.6631895000, 1.3702808000", \
+                        "0.3390478000, 0.3467710000, 0.3666790000, 0.4106173000, 0.5028266000, 0.7336816000, 1.4408439000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0278960000, 0.0299277000, 0.0359874000, 0.0510382000, 0.0899998000, 0.2109438000, 0.6244767000", \
+                        "0.0278874000, 0.0303126000, 0.0361616000, 0.0512903000, 0.0902048000, 0.2109901000, 0.6222870000", \
+                        "0.0276530000, 0.0299756000, 0.0360118000, 0.0509640000, 0.0900843000, 0.2107631000, 0.6225013000", \
+                        "0.0276258000, 0.0299550000, 0.0359931000, 0.0514513000, 0.0899380000, 0.2105676000, 0.6231552000", \
+                        "0.0276784000, 0.0301239000, 0.0365527000, 0.0511559000, 0.0899401000, 0.2113352000, 0.6226322000", \
+                        "0.0367716000, 0.0392981000, 0.0450006000, 0.0596711000, 0.0945710000, 0.2123526000, 0.6235052000", \
+                        "0.0565349000, 0.0598234000, 0.0673152000, 0.0840537000, 0.1197179000, 0.2275397000, 0.6246642000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0373436000, 0.0418522000, 0.0542189000, 0.0860799000, 0.1780215000, 0.4852720000, 1.4993400000", \
+                        "0.0378065000, 0.0422919000, 0.0544099000, 0.0861325000, 0.1781413000, 0.4853052000, 1.4993682000", \
+                        "0.0377594000, 0.0416635000, 0.0539754000, 0.0861313000, 0.1780879000, 0.4853051000, 1.4999236000", \
+                        "0.0374317000, 0.0417922000, 0.0543889000, 0.0860905000, 0.1781392000, 0.4850739000, 1.5030414000", \
+                        "0.0381582000, 0.0426319000, 0.0547076000, 0.0866277000, 0.1785996000, 0.4850013000, 1.5023307000", \
+                        "0.0421045000, 0.0469784000, 0.0600019000, 0.0919297000, 0.1827630000, 0.4865288000, 1.4990891000", \
+                        "0.0530331000, 0.0583227000, 0.0721163000, 0.1046794000, 0.1927545000, 0.4898557000, 1.4964625000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and4b_1 */
+
+/* removed sky130_fd_sc_hd__and4b_2 */
+
+/* removed sky130_fd_sc_hd__and4b_4 */
+
+/* removed sky130_fd_sc_hd__and4bb_1 */
+
+/* removed sky130_fd_sc_hd__and4bb_2 */
+
+/* removed sky130_fd_sc_hd__and4bb_4 */
+
+/* removed sky130_fd_sc_hd__buf_1 */
+
+/* removed sky130_fd_sc_hd__buf_12 */
+
+/* removed sky130_fd_sc_hd__buf_16 */
+
+    cell ("sky130_fd_sc_hd__buf_2") {
+        leakage_power () {
+            value : 0.0022662000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0056021000;
+            when : "!A";
+        }
+        area : 5.0048000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0039341160;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0017270000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016470000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018070000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
+                    values("0.0140552000, 0.0126442000, 0.0087911000, -0.003411300, -0.042009300, -0.156917700, -0.493912600", \
+                        "0.0139263000, 0.0125071000, 0.0086699000, -0.003513500, -0.042160300, -0.157048900, -0.493994300", \
+                        "0.0137287000, 0.0123189000, 0.0084174000, -0.003752100, -0.042330400, -0.157214000, -0.494144400", \
+                        "0.0135482000, 0.0121169000, 0.0081683000, -0.004023800, -0.042588200, -0.157377600, -0.494321700", \
+                        "0.0135475000, 0.0119986000, 0.0079343000, -0.004322900, -0.042768800, -0.157394400, -0.494357600", \
+                        "0.0152041000, 0.0135653000, 0.0089886000, -0.004284200, -0.042783600, -0.157390300, -0.494036900", \
+                        "0.0175151000, 0.0157242000, 0.0108343000, -0.002649900, -0.041709900, -0.156306300, -0.492936900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
+                    values("0.0116392000, 0.0133460000, 0.0181670000, 0.0317444000, 0.0708086000, 0.1847454000, 0.5207413000", \
+                        "0.0115450000, 0.0132567000, 0.0180731000, 0.0317090000, 0.0707365000, 0.1848036000, 0.5181148000", \
+                        "0.0113765000, 0.0130574000, 0.0178759000, 0.0315052000, 0.0706164000, 0.1845360000, 0.5185803000", \
+                        "0.0112172000, 0.0128696000, 0.0176085000, 0.0310245000, 0.0702931000, 0.1844760000, 0.5164646000", \
+                        "0.0111513000, 0.0127277000, 0.0175003000, 0.0308402000, 0.0697736000, 0.1841383000, 0.5173316000", \
+                        "0.0119311000, 0.0135030000, 0.0180230000, 0.0312097000, 0.0699882000, 0.1832520000, 0.5201987000", \
+                        "0.0132045000, 0.0146753000, 0.0190707000, 0.0324496000, 0.0710814000, 0.1853545000, 0.5186740000");
+                }
+            }
+            max_capacitance : 0.3158670000;
+            max_transition : 1.5103890000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0893825000, 0.0940128000, 0.1043020000, 0.1253087000, 0.1706670000, 0.2867790000, 0.6215225000", \
+                        "0.0947109000, 0.0992768000, 0.1095723000, 0.1305028000, 0.1759432000, 0.2920352000, 0.6262424000", \
+                        "0.1073098000, 0.1122147000, 0.1224900000, 0.1435736000, 0.1888902000, 0.3050100000, 0.6394017000", \
+                        "0.1390839000, 0.1436487000, 0.1538906000, 0.1749740000, 0.2204538000, 0.3366603000, 0.6718233000", \
+                        "0.2069192000, 0.2119847000, 0.2231280000, 0.2451737000, 0.2912927000, 0.4071439000, 0.7431974000", \
+                        "0.3151437000, 0.3217471000, 0.3360783000, 0.3632810000, 0.4144178000, 0.5330332000, 0.8664172000", \
+                        "0.4806499000, 0.4891128000, 0.5079365000, 0.5444191000, 0.6065489000, 0.7308373000, 1.0635163000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0668910000, 0.0718924000, 0.0840012000, 0.1134072000, 0.1935354000, 0.4261705000, 1.1063915000", \
+                        "0.0716767000, 0.0766803000, 0.0887568000, 0.1182065000, 0.1984368000, 0.4311861000, 1.1152972000", \
+                        "0.0829645000, 0.0879570000, 0.0999870000, 0.1294499000, 0.2100037000, 0.4433682000, 1.1281723000", \
+                        "0.1086829000, 0.1137214000, 0.1257475000, 0.1551004000, 0.2355409000, 0.4675719000, 1.1647491000", \
+                        "0.1485626000, 0.1544699000, 0.1680141000, 0.1985122000, 0.2787439000, 0.5129941000, 1.1919699000", \
+                        "0.1975048000, 0.2056084000, 0.2226795000, 0.2560802000, 0.3372415000, 0.5701722000, 1.2502678000", \
+                        "0.2460262000, 0.2566468000, 0.2796226000, 0.3221350000, 0.4059333000, 0.6372368000, 1.3161603000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0178310000, 0.0207207000, 0.0278897000, 0.0455429000, 0.0939806000, 0.2427863000, 0.6972716000", \
+                        "0.0175943000, 0.0207175000, 0.0279071000, 0.0458368000, 0.0940654000, 0.2429276000, 0.6955793000", \
+                        "0.0178137000, 0.0205648000, 0.0279116000, 0.0457148000, 0.0938515000, 0.2421597000, 0.6948925000", \
+                        "0.0177391000, 0.0207459000, 0.0279198000, 0.0456478000, 0.0938481000, 0.2423421000, 0.6983182000", \
+                        "0.0218519000, 0.0246050000, 0.0314674000, 0.0480329000, 0.0950875000, 0.2430947000, 0.6911738000", \
+                        "0.0325958000, 0.0363413000, 0.0443101000, 0.0605935000, 0.1048121000, 0.2452953000, 0.6901063000", \
+                        "0.0498742000, 0.0548127000, 0.0644672000, 0.0838614000, 0.1254033000, 0.2553391000, 0.6907858000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0173427000, 0.0217460000, 0.0342817000, 0.0713277000, 0.1844091000, 0.5209731000, 1.5096145000", \
+                        "0.0172691000, 0.0217777000, 0.0342816000, 0.0713081000, 0.1846570000, 0.5218083000, 1.5055296000", \
+                        "0.0173301000, 0.0217210000, 0.0341901000, 0.0713260000, 0.1844059000, 0.5209821000, 1.5073524000", \
+                        "0.0181680000, 0.0224998000, 0.0347377000, 0.0715538000, 0.1849883000, 0.5212006000, 1.5081902000", \
+                        "0.0238874000, 0.0279114000, 0.0392879000, 0.0740700000, 0.1850683000, 0.5226318000, 1.5055001000", \
+                        "0.0337211000, 0.0383696000, 0.0494030000, 0.0810920000, 0.1869322000, 0.5191824000, 1.5103887000", \
+                        "0.0482771000, 0.0551313000, 0.0683274000, 0.0976699000, 0.1936813000, 0.5238303000, 1.4991499000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_4") {
+        leakage_power () {
+            value : 0.0041507000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0054587000;
+            when : "!A";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0048047400;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022760000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025240000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
+                    values("0.0250387000, 0.0233860000, 0.0180599000, 0.0018219000, -0.056422600, -0.250180600, -0.877232400", \
+                        "0.0248583000, 0.0231565000, 0.0179592000, 0.0015346000, -0.056581000, -0.250323600, -0.877487500", \
+                        "0.0245577000, 0.0228640000, 0.0176583000, 0.0013406000, -0.056846800, -0.250677000, -0.877688000", \
+                        "0.0244145000, 0.0227070000, 0.0173772000, 0.0008926000, -0.057188000, -0.250845800, -0.877855800", \
+                        "0.0246491000, 0.0229037000, 0.0176337000, 0.0007448000, -0.057488900, -0.251136400, -0.877964600", \
+                        "0.0275949000, 0.0256361000, 0.0191608000, 0.0006189000, -0.057703700, -0.250607900, -0.877240100", \
+                        "0.0316715000, 0.0295242000, 0.0237961000, 0.0044928000, -0.055795900, -0.249579000, -0.876104200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
+                    values("0.0222015000, 0.0241544000, 0.0303931000, 0.0496628000, 0.1100583000, 0.3028661000, 0.9189129000", \
+                        "0.0220592000, 0.0239981000, 0.0302094000, 0.0494518000, 0.1100018000, 0.3028923000, 0.9244028000", \
+                        "0.0218224000, 0.0237731000, 0.0299566000, 0.0493260000, 0.1096749000, 0.3025119000, 0.9233273000", \
+                        "0.0217116000, 0.0234869000, 0.0297142000, 0.0487619000, 0.1092315000, 0.3008271000, 0.9243422000", \
+                        "0.0215443000, 0.0233946000, 0.0294358000, 0.0484112000, 0.1084514000, 0.3008764000, 0.9181804000", \
+                        "0.0230364000, 0.0248108000, 0.0305407000, 0.0489520000, 0.1083488000, 0.3004039000, 0.9226661000", \
+                        "0.0249862000, 0.0266607000, 0.0324804000, 0.0509590000, 0.1104343000, 0.3028834000, 0.9232268000");
+                }
+            }
+            max_capacitance : 0.5612280000;
+            max_transition : 1.5123900000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.1033063000, 0.1066731000, 0.1151227000, 0.1343826000, 0.1753918000, 0.2799389000, 0.6006557000", \
+                        "0.1084570000, 0.1117917000, 0.1203476000, 0.1395818000, 0.1805146000, 0.2850405000, 0.6055256000", \
+                        "0.1211782000, 0.1244793000, 0.1334734000, 0.1526797000, 0.1937010000, 0.2982015000, 0.6186466000", \
+                        "0.1526276000, 0.1559945000, 0.1646440000, 0.1836851000, 0.2248794000, 0.3292693000, 0.6504507000", \
+                        "0.2244431000, 0.2279846000, 0.2368191000, 0.2561077000, 0.2976850000, 0.4024615000, 0.7229223000", \
+                        "0.3438407000, 0.3483518000, 0.3599956000, 0.3847228000, 0.4322217000, 0.5388950000, 0.8605761000", \
+                        "0.5304358000, 0.5363137000, 0.5516937000, 0.5844639000, 0.6445210000, 0.7617060000, 1.0810598000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0716590000, 0.0752438000, 0.0849344000, 0.1099593000, 0.1808649000, 0.4047891000, 1.1215164000", \
+                        "0.0763630000, 0.0799324000, 0.0896053000, 0.1146095000, 0.1853259000, 0.4086105000, 1.1279410000", \
+                        "0.0875039000, 0.0910776000, 0.1007494000, 0.1258084000, 0.1968163000, 0.4196898000, 1.1396052000", \
+                        "0.1130382000, 0.1164395000, 0.1261740000, 0.1512323000, 0.2221358000, 0.4449059000, 1.1665179000", \
+                        "0.1533121000, 0.1576147000, 0.1683870000, 0.1946475000, 0.2658182000, 0.4885611000, 1.2060772000", \
+                        "0.2017864000, 0.2074067000, 0.2210664000, 0.2507004000, 0.3234487000, 0.5466355000, 1.2618463000", \
+                        "0.2433957000, 0.2508014000, 0.2694802000, 0.3080674000, 0.3864523000, 0.6084016000, 1.3232415000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0199814000, 0.0220302000, 0.0275210000, 0.0415439000, 0.0806252000, 0.2066597000, 0.6386869000", \
+                        "0.0199761000, 0.0221205000, 0.0274545000, 0.0413562000, 0.0807757000, 0.2065725000, 0.6364259000", \
+                        "0.0201105000, 0.0220996000, 0.0275774000, 0.0418800000, 0.0804895000, 0.2068652000, 0.6375794000", \
+                        "0.0200461000, 0.0220183000, 0.0276151000, 0.0417760000, 0.0806683000, 0.2071212000, 0.6333999000", \
+                        "0.0228421000, 0.0247955000, 0.0302302000, 0.0433868000, 0.0818280000, 0.2071985000, 0.6406692000", \
+                        "0.0343573000, 0.0370809000, 0.0431339000, 0.0569227000, 0.0926200000, 0.2119176000, 0.6396464000", \
+                        "0.0530288000, 0.0561621000, 0.0646432000, 0.0811796000, 0.1164011000, 0.2261130000, 0.6370017000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0180426000, 0.0210630000, 0.0302983000, 0.0591957000, 0.1559694000, 0.4765683000, 1.5057069000", \
+                        "0.0181188000, 0.0211893000, 0.0303639000, 0.0592243000, 0.1561384000, 0.4762130000, 1.5111720000", \
+                        "0.0181283000, 0.0211047000, 0.0303460000, 0.0592145000, 0.1562200000, 0.4762723000, 1.5057129000", \
+                        "0.0187293000, 0.0218962000, 0.0308559000, 0.0594536000, 0.1560576000, 0.4761896000, 1.5123900000", \
+                        "0.0245098000, 0.0271357000, 0.0356889000, 0.0626464000, 0.1566824000, 0.4751168000, 1.5006379000", \
+                        "0.0343299000, 0.0375858000, 0.0459286000, 0.0712919000, 0.1600246000, 0.4747910000, 1.5061080000", \
+                        "0.0491897000, 0.0536030000, 0.0650059000, 0.0893642000, 0.1692217000, 0.4772340000, 1.4982489000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__buf_6 */
+
+    cell ("sky130_fd_sc_hd__buf_8") {
+        leakage_power () {
+            value : 0.0076474000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0071930000;
+            when : "!A";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0074201990;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0070070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0066760000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0073370000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0594648000, 0.0569737000, 0.0451290000, -0.012488100, -0.304828900, -1.673602300, -8.028393200", \
+                        "0.0589364000, 0.0562515000, 0.0444163000, -0.013240900, -0.305520300, -1.674224500, -8.028995100", \
+                        "0.0581356000, 0.0555284000, 0.0434447000, -0.014452600, -0.306389600, -1.675074000, -8.029740200", \
+                        "0.0584278000, 0.0556579000, 0.0430897000, -0.015692200, -0.307194800, -1.675310300, -8.030067200", \
+                        "0.0627816000, 0.0596428000, 0.0459259000, -0.016393900, -0.306569300, -1.674148000, -8.028590900", \
+                        "0.0743088000, 0.0706798000, 0.0558629000, -0.008329800, -0.301726400, -1.667720100, -8.022229700", \
+                        "0.1021201000, 0.0982151000, 0.0810371000, 0.0136848000, -0.278867000, -1.645589300, -7.999159600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0427107000, 0.0460135000, 0.0610513000, 0.1266503000, 0.4200511000, 1.7760417000, 8.0648437000", \
+                        "0.0421951000, 0.0455223000, 0.0605754000, 0.1261224000, 0.4191578000, 1.7668657000, 8.0626328000", \
+                        "0.0414089000, 0.0446402000, 0.0591473000, 0.1245859000, 0.4166932000, 1.7659490000, 8.0627551000", \
+                        "0.0408571000, 0.0440200000, 0.0584826000, 0.1222705000, 0.4152294000, 1.7661451000, 8.0523737000", \
+                        "0.0430943000, 0.0460533000, 0.0599271000, 0.1230448000, 0.4155668000, 1.7649929000, 8.0577062000", \
+                        "0.0501280000, 0.0528782000, 0.0663153000, 0.1296669000, 0.4206021000, 1.7696591000, 8.0617707000", \
+                        "0.0791212000, 0.0814390000, 0.0932837000, 0.1536334000, 0.4446522000, 1.7951581000, 8.0824963000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 7.6522390000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0898758000, 0.0926007000, 0.1023709000, 0.1310611000, 0.2217777000, 0.6103413000, 2.4076046000", \
+                        "0.0972142000, 0.0999246000, 0.1096444000, 0.1382519000, 0.2290637000, 0.6170997000, 2.4183229000", \
+                        "0.1199870000, 0.1226775000, 0.1323263000, 0.1607603000, 0.2517571000, 0.6393114000, 2.4340354000", \
+                        "0.1861122000, 0.1888561000, 0.1986590000, 0.2274883000, 0.3185937000, 0.7064965000, 2.5103484000", \
+                        "0.3178306000, 0.3216539000, 0.3352763000, 0.3713545000, 0.4680375000, 0.8571459000, 2.6518570000", \
+                        "0.5573670000, 0.5625915000, 0.5813042000, 0.6318730000, 0.7458290000, 1.1346160000, 2.9290783000", \
+                        "1.0403164000, 1.0467943000, 1.0714550000, 1.1408591000, 1.2921527000, 1.6904512000, 3.4862067000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0612234000, 0.0643212000, 0.0764559000, 0.1219139000, 0.3173798000, 1.2234274000, 5.3912519000", \
+                        "0.0676434000, 0.0707376000, 0.0829084000, 0.1283858000, 0.3240240000, 1.2232362000, 5.4256497000", \
+                        "0.0859690000, 0.0890238000, 0.1009935000, 0.1463633000, 0.3417019000, 1.2410657000, 5.4493232000", \
+                        "0.1199811000, 0.1233260000, 0.1360820000, 0.1824243000, 0.3783367000, 1.2818745000, 5.4515108000", \
+                        "0.1592457000, 0.1637711000, 0.1797083000, 0.2278973000, 0.4239317000, 1.3241658000, 5.4973266000", \
+                        "0.1652575000, 0.1717478000, 0.1941631000, 0.2547364000, 0.4509668000, 1.3530214000, 5.5215943000", \
+                        "0.0161652000, 0.0246055000, 0.0567909000, 0.1439944000, 0.3571018000, 1.2588504000, 5.4248739000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0176236000, 0.0191398000, 0.0256832000, 0.0505653000, 0.1598651000, 0.6960770000, 3.1832885000", \
+                        "0.0174651000, 0.0192454000, 0.0258406000, 0.0505902000, 0.1599342000, 0.6935716000, 3.1895941000", \
+                        "0.0176052000, 0.0191666000, 0.0257988000, 0.0505520000, 0.1597837000, 0.6934592000, 3.1853375000", \
+                        "0.0194796000, 0.0210842000, 0.0273309000, 0.0515301000, 0.1601077000, 0.6928711000, 3.1936236000", \
+                        "0.0322104000, 0.0339113000, 0.0413675000, 0.0636939000, 0.1657876000, 0.6944166000, 3.1828833000", \
+                        "0.0541379000, 0.0565577000, 0.0668154000, 0.0937494000, 0.1853528000, 0.6973335000, 3.1897851000", \
+                        "0.0914298000, 0.0943024000, 0.1068330000, 0.1424247000, 0.2337197000, 0.7069229000, 3.2030785000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0172973000, 0.0200772000, 0.0325186000, 0.0909194000, 0.3695902000, 1.6673350000, 7.6423667000", \
+                        "0.0172754000, 0.0200440000, 0.0325830000, 0.0909815000, 0.3701521000, 1.6571479000, 7.6522388000", \
+                        "0.0174418000, 0.0201777000, 0.0327355000, 0.0910592000, 0.3697503000, 1.6607066000, 7.6499953000", \
+                        "0.0212271000, 0.0239302000, 0.0357275000, 0.0922210000, 0.3689166000, 1.6622439000, 7.6486346000", \
+                        "0.0315809000, 0.0342105000, 0.0448285000, 0.0964010000, 0.3705766000, 1.6651750000, 7.6519324000", \
+                        "0.0500362000, 0.0540572000, 0.0683488000, 0.1137048000, 0.3724115000, 1.6607993000, 7.6407058000", \
+                        "0.0834987000, 0.0889313000, 0.1085243000, 0.1634299000, 0.3866372000, 1.6719754000, 7.6396258000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__bufbuf_16 */
+
+/* removed sky130_fd_sc_hd__bufbuf_8 */
+
+/* removed sky130_fd_sc_hd__bufinv_16 */
+
+/* removed sky130_fd_sc_hd__bufinv_8 */
+
+/* removed sky130_fd_sc_hd__clkbuf_1 */
+
+/* removed sky130_fd_sc_hd__clkbuf_16 */
+
+/* removed sky130_fd_sc_hd__clkbuf_2 */
+
+/* removed sky130_fd_sc_hd__clkbuf_4 */
+
+/* removed sky130_fd_sc_hd__clkbuf_8 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_1 */
+
+/* removed sky130_fd_sc_hd__clkinv_16 */
+
+/* removed sky130_fd_sc_hd__clkinv_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_4 */
+
+/* removed sky130_fd_sc_hd__clkinv_8 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_2 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_4 */
+
+    cell ("sky130_fd_sc_hd__conb_1") {
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__conb";
+        cell_leakage_power : 0.0032400370;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("HI") {
+            direction : "output";
+            function : "1";
+            max_capacitance : 1.9038000000;
+            max_transition : 1.0000000000;
+            power_down_function : "!VPWR";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+        pin ("LO") {
+            direction : "output";
+            function : "0";
+            max_capacitance : 2.0468000000;
+            max_transition : 1.0000000000;
+            power_down_function : "VGND";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+    }
+
+/* removed sky130_fd_sc_hd__decap_12 */
+
+/* removed sky130_fd_sc_hd__decap_3 */
+
+/* removed sky130_fd_sc_hd__decap_4 */
+
+/* removed sky130_fd_sc_hd__decap_6 */
+
+/* removed sky130_fd_sc_hd__decap_8 */
+
+/* removed sky130_fd_sc_hd__dfbbn_1 */
+
+    cell ("sky130_fd_sc_hd__dfbbn_2") {
+        leakage_power () {
+            value : 0.0117983000;
+            when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0137929000;
+            when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116202000;
+            when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0143473000;
+            when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116884000;
+            when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0114876000;
+            when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116142000;
+            when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0137305000;
+            when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0117410000;
+            when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0143260000;
+            when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0147321000;
+            when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0134107000;
+            when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0124126000;
+            when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0119341000;
+            when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0147607000;
+            when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0141465000;
+            when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0119992000;
+            when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0129356000;
+            when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0123327000;
+            when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0121019000;
+            when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        area : 35.033600000;
+        cell_footprint : "sky130_fd_sc_hd__dfbbn";
+        cell_leakage_power : 0.0128456200;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clear_preset_var1 : "H";
+            clear_preset_var2 : "L";
+            clocked_on : "!CLK_N";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK_N") {
+            capacitance : 0.0017970000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0338824000, 0.0337452000, 0.0334290000, 0.0334754000, 0.0335827000, 0.0338300000, 0.0343999000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0161190000, 0.0160333000, 0.0158358000, 0.0158482000, 0.0158771000, 0.0159436000, 0.0160970000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018660000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2884238000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK_N";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1994444000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0015930000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0055914000, 0.0055096000, 0.0053212000, 0.0053975000, 0.0055737000, 0.0059798000, 0.0069158000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("1.6588513e-05, -5.5872937e-05, -0.000222800, -0.000179400, -7.9130524e-05, 0.0001520000, 0.0006848000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016480000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1460430000, 0.3590475000, 0.6512025000", \
+                        "0.0148255000, 0.2253887000, 0.5077780000", \
+                        "-0.138169300, 0.0723939000, 0.3499004000");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0837871000, 0.1991354000, 0.2849915000", \
+                        "-0.129217500, -0.012648400, 0.0732077000", \
+                        "-0.367661500, -0.252313200, -0.164015600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.126173800, -0.339178400, -0.625229800", \
+                        "0.0062643000, -0.203078100, -0.481805300", \
+                        "0.1629212000, -0.046421200, -0.322707000");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0471660000, -0.066961600, -0.149155600", \
+                        "0.2394186000, 0.1301738000, 0.0504212000", \
+                        "0.4607728000, 0.3600729000, 0.2864238000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.013582700, -0.007945000, 0.0038542000, 0.0173618000, 0.0056755000, -0.091616700, -0.418048700", \
+                        "-0.013520600, -0.007899200, 0.0038800000, 0.0173497000, 0.0056181000, -0.091695900, -0.418134300", \
+                        "-0.013364900, -0.007747300, 0.0040084000, 0.0174511000, 0.0056757000, -0.091682400, -0.418128000", \
+                        "-0.013396400, -0.007796100, 0.0039343000, 0.0173054000, 0.0055037000, -0.091949800, -0.418361800", \
+                        "-0.013459700, -0.007869500, 0.0038093000, 0.0171715000, 0.0052897000, -0.092112400, -0.418594600", \
+                        "-0.013580100, -0.007986700, 0.0037205000, 0.0170762000, 0.0052348000, -0.092219300, -0.418698700", \
+                        "-0.013838000, -0.008196800, 0.0036139000, 0.0171538000, 0.0055752000, -0.091695100, -0.418122800");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.015157100, -0.011889400, -0.003284300, 0.0171469000, 0.0632073000, 0.1801531000, 0.5123678000", \
+                        "-0.015091400, -0.011834400, -0.003229300, 0.0171669000, 0.0633156000, 0.1800816000, 0.5143452000", \
+                        "-0.014938700, -0.011691700, -0.003124500, 0.0172421000, 0.0632012000, 0.1798299000, 0.5117702000", \
+                        "-0.014975600, -0.011747100, -0.003218000, 0.0170543000, 0.0630194000, 0.1805871000, 0.5112811000", \
+                        "-0.015037300, -0.011829200, -0.003355100, 0.0168557000, 0.0629699000, 0.1803904000, 0.5133650000", \
+                        "-0.015165900, -0.011959200, -0.003479000, 0.0167173000, 0.0625888000, 0.1790431000, 0.5130447000", \
+                        "-0.015341300, -0.011971800, -0.003290800, 0.0166937000, 0.0632292000, 0.1793322000, 0.5112425000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.002733600, 0.0035533000, 0.0168500000, 0.0327309000, 0.0242815000, -0.070676400, -0.396364300", \
+                        "-0.002689200, 0.0035894000, 0.0168347000, 0.0327097000, 0.0241419000, -0.070794000, -0.396312200", \
+                        "-0.002593600, 0.0036745000, 0.0168923000, 0.0327279000, 0.0241175000, -0.070840400, -0.396453300", \
+                        "-0.002623000, 0.0036443000, 0.0168624000, 0.0326993000, 0.0241267000, -0.070887500, -0.396613500", \
+                        "-0.002689900, 0.0035573000, 0.0167598000, 0.0325112000, 0.0238976000, -0.071129200, -0.396860200", \
+                        "-0.002834900, 0.0033971000, 0.0165593000, 0.0322492000, 0.0235593000, -0.071584900, -0.397295900", \
+                        "-0.003063800, 0.0033043000, 0.0167832000, 0.0330063000, 0.0237330000, -0.071541900, -0.397248700");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("0.0056062000, 0.0108587000, 0.0217829000, 0.0338720000, 0.0215949000, -0.075581600, -0.402235300", \
+                        "0.0056264000, 0.0108826000, 0.0217990000, 0.0338825000, 0.0215742000, -0.075603100, -0.402244100", \
+                        "0.0056639000, 0.0109032000, 0.0218122000, 0.0338656000, 0.0215658000, -0.075649200, -0.402287600", \
+                        "0.0056481000, 0.0108581000, 0.0217071000, 0.0336587000, 0.0211804000, -0.076116200, -0.402722700", \
+                        "0.0056312000, 0.0108023000, 0.0215591000, 0.0333552000, 0.0207464000, -0.076706600, -0.403433500", \
+                        "0.0056385000, 0.0108127000, 0.0215393000, 0.0333563000, 0.0204697000, -0.077246500, -0.404004300", \
+                        "0.0057374000, 0.0110437000, 0.0220861000, 0.0343416000, 0.0211170000, -0.077332700, -0.404203200");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.000512900, 0.0031516000, 0.0127026000, 0.0349354000, 0.0829933000, 0.2008713000, 0.5334206000", \
+                        "-0.000515500, 0.0031364000, 0.0126336000, 0.0347823000, 0.0830971000, 0.2010458000, 0.5350268000", \
+                        "-0.000515000, 0.0031144000, 0.0125490000, 0.0346452000, 0.0828610000, 0.2007271000, 0.5329991000", \
+                        "-0.000530000, 0.0030857000, 0.0125237000, 0.0345623000, 0.0825600000, 0.2011088000, 0.5343497000", \
+                        "-0.000559200, 0.0030381000, 0.0123850000, 0.0343584000, 0.0823033000, 0.2004075000, 0.5314888000", \
+                        "-0.000614200, 0.0029516000, 0.0122477000, 0.0340774000, 0.0817771000, 0.1998085000, 0.5317224000", \
+                        "-0.000677900, 0.0029207000, 0.0123101000, 0.0344652000, 0.0825377000, 0.2006496000, 0.5349586000");
+                }
+            }
+            max_capacitance : 0.3131200000;
+            max_transition : 1.4976940000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.5715146000, 0.5764608000, 0.5872999000, 0.6094978000, 0.6562273000, 0.7728852000, 1.1070838000", \
+                        "0.5763654000, 0.5813140000, 0.5921482000, 0.6143386000, 0.6610686000, 0.7777333000, 1.1119631000", \
+                        "0.5888512000, 0.5937825000, 0.6047230000, 0.6268766000, 0.6736517000, 0.7902700000, 1.1248132000", \
+                        "0.6198478000, 0.6247883000, 0.6357509000, 0.6578812000, 0.7045739000, 0.8213871000, 1.1548854000", \
+                        "0.6903620000, 0.6953002000, 0.7062560000, 0.7282338000, 0.7750668000, 0.8917673000, 1.2255494000", \
+                        "0.8164339000, 0.8213774000, 0.8323279000, 0.8544688000, 0.9011354000, 1.0179231000, 1.3516137000", \
+                        "1.0122578000, 1.0172030000, 1.0280993000, 1.0502539000, 1.0970421000, 1.2136561000, 1.5477983000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.4959562000, 0.5023387000, 0.5161479000, 0.5469950000, 0.6271237000, 0.8580184000, 1.5340924000", \
+                        "0.5006354000, 0.5070349000, 0.5209054000, 0.5518923000, 0.6322072000, 0.8632844000, 1.5393135000", \
+                        "0.5131978000, 0.5195176000, 0.5335894000, 0.5643231000, 0.6445486000, 0.8759715000, 1.5501265000", \
+                        "0.5441849000, 0.5505837000, 0.5644421000, 0.5954131000, 0.6757394000, 0.9068185000, 1.5835114000", \
+                        "0.6145719000, 0.6209193000, 0.6348982000, 0.6657329000, 0.7461110000, 0.9769409000, 1.6530290000", \
+                        "0.7392129000, 0.7456168000, 0.7594805000, 0.7904654000, 0.8707812000, 1.1018603000, 1.7758524000", \
+                        "0.9295227000, 0.9358828000, 0.9497857000, 0.9805695000, 1.0610020000, 1.2916317000, 1.9667528000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0201573000, 0.0232459000, 0.0306186000, 0.0486452000, 0.0965352000, 0.2431940000, 0.6940848000", \
+                        "0.0201437000, 0.0232326000, 0.0306586000, 0.0486549000, 0.0965757000, 0.2431721000, 0.6876032000", \
+                        "0.0201667000, 0.0232569000, 0.0306308000, 0.0486124000, 0.0960247000, 0.2429552000, 0.6900953000", \
+                        "0.0202455000, 0.0233484000, 0.0308481000, 0.0484582000, 0.0961830000, 0.2426410000, 0.6931582000", \
+                        "0.0202019000, 0.0233392000, 0.0305482000, 0.0483223000, 0.0964494000, 0.2421681000, 0.6853469000", \
+                        "0.0201581000, 0.0232498000, 0.0308296000, 0.0482484000, 0.0962860000, 0.2430605000, 0.6859591000", \
+                        "0.0201717000, 0.0232519000, 0.0309418000, 0.0486065000, 0.0960826000, 0.2419765000, 0.6944766000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0251050000, 0.0294903000, 0.0409939000, 0.0752368000, 0.1855240000, 0.5167228000, 1.4945660000", \
+                        "0.0251651000, 0.0295626000, 0.0409769000, 0.0753114000, 0.1853144000, 0.5173152000, 1.4937516000", \
+                        "0.0251410000, 0.0297028000, 0.0410298000, 0.0752777000, 0.1852855000, 0.5176567000, 1.4939925000", \
+                        "0.0252007000, 0.0296153000, 0.0409639000, 0.0753174000, 0.1853070000, 0.5171768000, 1.4944919000", \
+                        "0.0251212000, 0.0297909000, 0.0410251000, 0.0752155000, 0.1853753000, 0.5164013000, 1.4976937000", \
+                        "0.0251700000, 0.0295755000, 0.0409753000, 0.0753103000, 0.1853055000, 0.5171507000, 1.4917667000", \
+                        "0.0252085000, 0.0295810000, 0.0411068000, 0.0752978000, 0.1851638000, 0.5174594000, 1.4949680000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.3569500000, 0.3619844000, 0.3731203000, 0.3956128000, 0.4426570000, 0.5597244000, 0.8931262000", \
+                        "0.3622413000, 0.3672809000, 0.3783640000, 0.4008872000, 0.4480329000, 0.5649282000, 0.8983347000", \
+                        "0.3747519000, 0.3797861000, 0.3908745000, 0.4133839000, 0.4605446000, 0.5774463000, 0.9108437000", \
+                        "0.4064584000, 0.4114798000, 0.4225946000, 0.4451139000, 0.4922558000, 0.6092485000, 0.9423490000", \
+                        "0.4776291000, 0.4826729000, 0.4937882000, 0.5163199000, 0.5633441000, 0.6804374000, 1.0136628000", \
+                        "0.6092404000, 0.6143447000, 0.6254986000, 0.6480298000, 0.6950774000, 0.8121235000, 1.1454626000", \
+                        "0.8190955000, 0.8241580000, 0.8353403000, 0.8578829000, 0.9050162000, 1.0220408000, 1.3553527000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0210729000, 0.0239388000, 0.0316691000, 0.0491964000, 0.0973032000, 0.2428239000, 0.6870486000", \
+                        "0.0208970000, 0.0240413000, 0.0314562000, 0.0494659000, 0.0968653000, 0.2427730000, 0.6874631000", \
+                        "0.0208662000, 0.0240445000, 0.0314135000, 0.0495144000, 0.0968391000, 0.2428051000, 0.6881803000", \
+                        "0.0208755000, 0.0240514000, 0.0314949000, 0.0494066000, 0.0971266000, 0.2428580000, 0.6877711000", \
+                        "0.0210764000, 0.0243349000, 0.0315942000, 0.0492395000, 0.0973115000, 0.2426421000, 0.6885970000", \
+                        "0.0211746000, 0.0241588000, 0.0316939000, 0.0492636000, 0.0970446000, 0.2428679000, 0.6881231000", \
+                        "0.0209860000, 0.0241299000, 0.0321432000, 0.0493834000, 0.0966777000, 0.2428122000, 0.6875218000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.2564176000, 0.2614302000, 0.2725387000, 0.2950076000, 0.3421301000, 0.4591907000, 0.7924238000", \
+                        "0.2612437000, 0.2662476000, 0.2773562000, 0.2998325000, 0.3470260000, 0.4640048000, 0.7973817000", \
+                        "0.2711862000, 0.2762307000, 0.2873224000, 0.3098577000, 0.3568919000, 0.4740291000, 0.8071379000", \
+                        "0.2926458000, 0.2976569000, 0.3087689000, 0.3312862000, 0.3784337000, 0.4953704000, 0.8288411000", \
+                        "0.3408331000, 0.3458815000, 0.3569794000, 0.3795236000, 0.4265699000, 0.5437021000, 0.8769099000", \
+                        "0.4302336000, 0.4353806000, 0.4467414000, 0.4693780000, 0.5167812000, 0.6339890000, 0.9672280000", \
+                        "0.5529300000, 0.5583712000, 0.5702434000, 0.5937570000, 0.6416908000, 0.7590423000, 1.0925317000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.4224749000, 0.4293239000, 0.4441836000, 0.4758974000, 0.5563016000, 0.7871183000, 1.4626006000", \
+                        "0.4277420000, 0.4345400000, 0.4494435000, 0.4810595000, 0.5615504000, 0.7921701000, 1.4684824000", \
+                        "0.4408006000, 0.4476916000, 0.4624884000, 0.4941161000, 0.5745947000, 0.8057763000, 1.4800906000", \
+                        "0.4725484000, 0.4794249000, 0.4942122000, 0.5259663000, 0.6062797000, 0.8372941000, 1.5144710000", \
+                        "0.5485211000, 0.5554448000, 0.5701400000, 0.6019671000, 0.6822549000, 0.9132370000, 1.5878433000", \
+                        "0.7268801000, 0.7337704000, 0.7485358000, 0.7801466000, 0.8606407000, 1.0917617000, 1.7661357000", \
+                        "1.1070097000, 1.1143877000, 1.1301959000, 1.1625885000, 1.2432194000, 1.4740369000, 2.1501847000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0208376000, 0.0239501000, 0.0314154000, 0.0493645000, 0.0969845000, 0.2427779000, 0.6871465000", \
+                        "0.0208271000, 0.0239649000, 0.0313758000, 0.0494088000, 0.0968049000, 0.2427891000, 0.6869477000", \
+                        "0.0209953000, 0.0242384000, 0.0314810000, 0.0492280000, 0.0972327000, 0.2427051000, 0.6877030000", \
+                        "0.0210263000, 0.0242703000, 0.0314585000, 0.0493937000, 0.0969478000, 0.2427619000, 0.6885201000", \
+                        "0.0210291000, 0.0242992000, 0.0314454000, 0.0492789000, 0.0972438000, 0.2424513000, 0.6875051000", \
+                        "0.0216658000, 0.0248560000, 0.0320964000, 0.0501723000, 0.0970783000, 0.2425572000, 0.6878934000", \
+                        "0.0238759000, 0.0271400000, 0.0346973000, 0.0518432000, 0.0986450000, 0.2434849000, 0.6856774000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0280258000, 0.0323414000, 0.0436556000, 0.0766772000, 0.1857171000, 0.5163333000, 1.4912522000", \
+                        "0.0278694000, 0.0321259000, 0.0438937000, 0.0767928000, 0.1855847000, 0.5176554000, 1.4942477000", \
+                        "0.0278744000, 0.0324466000, 0.0435953000, 0.0767825000, 0.1854573000, 0.5177235000, 1.4913802000", \
+                        "0.0278548000, 0.0325195000, 0.0438040000, 0.0767411000, 0.1855839000, 0.5174336000, 1.4899287000", \
+                        "0.0279749000, 0.0324133000, 0.0435580000, 0.0768631000, 0.1858638000, 0.5168027000, 1.4892525000", \
+                        "0.0278495000, 0.0324141000, 0.0438861000, 0.0767792000, 0.1859082000, 0.5173258000, 1.4936221000", \
+                        "0.0305126000, 0.0349375000, 0.0463838000, 0.0784154000, 0.1860613000, 0.5173134000, 1.4933341000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.013476100, -0.007808700, 0.0038433000, 0.0171758000, 0.0065654000, -0.081863800, -0.379520200", \
+                        "-0.013413300, -0.007758400, 0.0038717000, 0.0171706000, 0.0064893000, -0.081951100, -0.379598300", \
+                        "-0.013259300, -0.007611400, 0.0040074000, 0.0172783000, 0.0065403000, -0.081860900, -0.379524200", \
+                        "-0.013296300, -0.007675400, 0.0038984000, 0.0170793000, 0.0062984000, -0.082157100, -0.379820700", \
+                        "-0.013354200, -0.007735700, 0.0038050000, 0.0169635000, 0.0061589000, -0.082334900, -0.380016000", \
+                        "-0.013480200, -0.007877700, 0.0036513000, 0.0167671000, 0.0059716000, -0.082507300, -0.380098300", \
+                        "-0.013736600, -0.008091600, 0.0035349000, 0.0167024000, 0.0060748000, -0.081939800, -0.379541200");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.014966100, -0.011481700, -0.002692200, 0.0173191000, 0.0612639000, 0.1706042000, 0.4775002000", \
+                        "-0.014900900, -0.011420700, -0.002646600, 0.0173734000, 0.0614351000, 0.1705743000, 0.4750387000", \
+                        "-0.014748900, -0.011282700, -0.002544800, 0.0174225000, 0.0614160000, 0.1704346000, 0.4750288000", \
+                        "-0.014780600, -0.011332100, -0.002622100, 0.0172729000, 0.0611449000, 0.1702684000, 0.4746105000", \
+                        "-0.014842800, -0.011400100, -0.002726200, 0.0171496000, 0.0609693000, 0.1704520000, 0.4735776000", \
+                        "-0.014966300, -0.011527300, -0.002845600, 0.0170281000, 0.0609239000, 0.1698528000, 0.4761877000", \
+                        "-0.015228400, -0.011747200, -0.002996600, 0.0170494000, 0.0612463000, 0.1704112000, 0.4744893000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.004178200, -0.000159300, 0.0097846000, 0.0326144000, 0.0804297000, 0.1912296000, 0.4951224000", \
+                        "-0.004137000, -0.000126900, 0.0097786000, 0.0326240000, 0.0803886000, 0.1915080000, 0.4956842000", \
+                        "-0.004037400, -3.84500e-05, 0.0098461000, 0.0326603000, 0.0803854000, 0.1911351000, 0.4951339000", \
+                        "-0.004068300, -7.90000e-05, 0.0098098000, 0.0326044000, 0.0803131000, 0.1911612000, 0.4957210000", \
+                        "-0.004134400, -0.000155400, 0.0096869000, 0.0324272000, 0.0800889000, 0.1908029000, 0.4947644000", \
+                        "-0.004269800, -0.000299700, 0.0095395000, 0.0321836000, 0.0797697000, 0.1904695000, 0.4949565000", \
+                        "-0.004411000, -0.000143100, 0.0103750000, 0.0330539000, 0.0796050000, 0.1902904000, 0.4951534000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("0.0012235000, 0.0073562000, 0.0200119000, 0.0348383000, 0.0259699000, -0.060895200, -0.357806900", \
+                        "0.0012190000, 0.0073384000, 0.0199611000, 0.0347551000, 0.0258022000, -0.061127600, -0.358110200", \
+                        "0.0012171000, 0.0073185000, 0.0198962000, 0.0345811000, 0.0255906000, -0.061374500, -0.358364500", \
+                        "0.0012026000, 0.0072897000, 0.0198529000, 0.0344933000, 0.0255109000, -0.061532200, -0.358517000", \
+                        "0.0011749000, 0.0072404000, 0.0197402000, 0.0342966000, 0.0251183000, -0.061896100, -0.358855500", \
+                        "0.0011241000, 0.0071628000, 0.0196107000, 0.0340021000, 0.0247226000, -0.062439900, -0.359336500", \
+                        "0.0011122000, 0.0072628000, 0.0198846000, 0.0344209000, 0.0250764000, -0.062106000, -0.358990800");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("0.0041299000, 0.0070904000, 0.0146812000, 0.0337384000, 0.0778454000, 0.1861175000, 0.4889928000", \
+                        "0.0041507000, 0.0071087000, 0.0146959000, 0.0337497000, 0.0778442000, 0.1858724000, 0.4892711000", \
+                        "0.0041933000, 0.0071467000, 0.0147064000, 0.0337361000, 0.0777015000, 0.1861670000, 0.4895061000", \
+                        "0.0041774000, 0.0070978000, 0.0146046000, 0.0335392000, 0.0774036000, 0.1857269000, 0.4886537000", \
+                        "0.0041681000, 0.0070683000, 0.0144972000, 0.0332385000, 0.0768523000, 0.1850578000, 0.4883267000", \
+                        "0.0041890000, 0.0071046000, 0.0145781000, 0.0332356000, 0.0764008000, 0.1844872000, 0.4881155000", \
+                        "0.0043723000, 0.0075617000, 0.0156551000, 0.0343006000, 0.0765542000, 0.1846870000, 0.4876137000");
+                }
+            }
+            max_capacitance : 0.2884010000;
+            max_transition : 1.5033670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.3763170000, 0.3843919000, 0.4022006000, 0.4373844000, 0.5041373000, 0.6392230000, 0.9662938000", \
+                        "0.3808234000, 0.3888878000, 0.4067260000, 0.4419132000, 0.5086438000, 0.6437326000, 0.9705128000", \
+                        "0.3939042000, 0.4019684000, 0.4197971000, 0.4549827000, 0.5217258000, 0.6568194000, 0.9838784000", \
+                        "0.4246916000, 0.4327795000, 0.4505756000, 0.4857624000, 0.5525091000, 0.6875935000, 1.0146693000", \
+                        "0.4944100000, 0.5024735000, 0.5203009000, 0.5554834000, 0.6222327000, 0.7573190000, 1.0843944000", \
+                        "0.6187532000, 0.6267971000, 0.6446536000, 0.6798690000, 0.7465731000, 0.8816615000, 1.2084892000", \
+                        "0.8099303000, 0.8180147000, 0.8358883000, 0.8710416000, 0.9377908000, 1.0729271000, 1.3998034000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.4754696000, 0.4830780000, 0.5008433000, 0.5392443000, 0.6287660000, 0.8655988000, 1.5396003000", \
+                        "0.4805815000, 0.4882857000, 0.5060173000, 0.5444646000, 0.6339805000, 0.8713137000, 1.5456157000", \
+                        "0.4931007000, 0.5008496000, 0.5185862000, 0.5570550000, 0.6465295000, 0.8841574000, 1.5580285000", \
+                        "0.5235747000, 0.5313353000, 0.5490779000, 0.5875020000, 0.6769806000, 0.9139453000, 1.5898461000", \
+                        "0.5945758000, 0.6022753000, 0.6199652000, 0.6584014000, 0.7478982000, 0.9848462000, 1.6619591000", \
+                        "0.7205400000, 0.7282464000, 0.7460075000, 0.7844685000, 0.8739461000, 1.1111632000, 1.7870448000", \
+                        "0.9166170000, 0.9243228000, 0.9420562000, 0.9805304000, 1.0700153000, 1.3070661000, 1.9858468000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0370523000, 0.0419324000, 0.0533276000, 0.0772435000, 0.1307089000, 0.2660635000, 0.6779193000", \
+                        "0.0370687000, 0.0419386000, 0.0534289000, 0.0767435000, 0.1306876000, 0.2660154000, 0.6764859000", \
+                        "0.0370633000, 0.0419384000, 0.0533073000, 0.0768195000, 0.1306748000, 0.2659988000, 0.6776751000", \
+                        "0.0370477000, 0.0419122000, 0.0532975000, 0.0768258000, 0.1306663000, 0.2660959000, 0.6747758000", \
+                        "0.0370646000, 0.0419414000, 0.0533103000, 0.0768253000, 0.1306870000, 0.2661036000, 0.6780513000", \
+                        "0.0369792000, 0.0419855000, 0.0533307000, 0.0768537000, 0.1306940000, 0.2661192000, 0.6766238000", \
+                        "0.0370948000, 0.0420519000, 0.0532604000, 0.0777762000, 0.1305829000, 0.2660807000, 0.6781769000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0305772000, 0.0364714000, 0.0513931000, 0.0896778000, 0.2007032000, 0.5296048000, 1.5033670000", \
+                        "0.0305755000, 0.0364470000, 0.0513472000, 0.0896054000, 0.2001613000, 0.5278695000, 1.4977162000", \
+                        "0.0305543000, 0.0364010000, 0.0512663000, 0.0896626000, 0.2001110000, 0.5286631000, 1.4981242000", \
+                        "0.0305773000, 0.0363822000, 0.0513131000, 0.0896417000, 0.2005884000, 0.5287522000, 1.4961706000", \
+                        "0.0305969000, 0.0363960000, 0.0512587000, 0.0896154000, 0.2005280000, 0.5286129000, 1.4948237000", \
+                        "0.0306586000, 0.0364783000, 0.0513742000, 0.0897105000, 0.2004444000, 0.5291740000, 1.4993334000", \
+                        "0.0305771000, 0.0364532000, 0.0512186000, 0.0895857000, 0.2001291000, 0.5276284000, 1.4972554000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.2579718000, 0.2662404000, 0.2849825000, 0.3252107000, 0.4183699000, 0.6589023000, 1.3327327000", \
+                        "0.2631244000, 0.2713303000, 0.2900478000, 0.3302696000, 0.4235161000, 0.6641178000, 1.3385035000", \
+                        "0.2759796000, 0.2842035000, 0.3029214000, 0.3432003000, 0.4364481000, 0.6767924000, 1.3501018000", \
+                        "0.3070084000, 0.3151611000, 0.3338586000, 0.3741493000, 0.4673684000, 0.7078765000, 1.3825232000", \
+                        "0.3782418000, 0.3864337000, 0.4051553000, 0.4453937000, 0.5386382000, 0.7790814000, 1.4524060000", \
+                        "0.5102199000, 0.5184727000, 0.5371878000, 0.5774532000, 0.6708721000, 0.9113459000, 1.5859747000", \
+                        "0.7187809000, 0.7272160000, 0.7465111000, 0.7874764000, 0.8811937000, 1.1216489000, 1.7961473000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0326389000, 0.0389085000, 0.0545597000, 0.0940370000, 0.2072846000, 0.5333507000, 1.4960871000", \
+                        "0.0326196000, 0.0390445000, 0.0545900000, 0.0938518000, 0.2073848000, 0.5314798000, 1.4942110000", \
+                        "0.0325565000, 0.0390432000, 0.0545643000, 0.0938117000, 0.2074500000, 0.5318563000, 1.4957408000", \
+                        "0.0327080000, 0.0388376000, 0.0544156000, 0.0940721000, 0.2075588000, 0.5316399000, 1.4920928000", \
+                        "0.0326710000, 0.0390309000, 0.0546622000, 0.0939512000, 0.2076845000, 0.5319357000, 1.4956139000", \
+                        "0.0332866000, 0.0391823000, 0.0545846000, 0.0941880000, 0.2073648000, 0.5316025000, 1.4936953000", \
+                        "0.0348623000, 0.0413633000, 0.0567728000, 0.0958143000, 0.2078697000, 0.5309524000, 1.4938975000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.2840244000, 0.2940421000, 0.3156840000, 0.3568763000, 0.4336555000, 0.5791062000, 0.9118324000", \
+                        "0.2892669000, 0.2993277000, 0.3209460000, 0.3621923000, 0.4388827000, 0.5843513000, 0.9173692000", \
+                        "0.3024941000, 0.3125306000, 0.3340317000, 0.3752088000, 0.4519465000, 0.5973877000, 0.9304499000", \
+                        "0.3343806000, 0.3443502000, 0.3658794000, 0.4070605000, 0.4837159000, 0.6291659000, 0.9621020000", \
+                        "0.4102363000, 0.4202280000, 0.4417694000, 0.4829302000, 0.5596233000, 0.7051197000, 1.0381752000", \
+                        "0.5894699000, 0.5993039000, 0.6205453000, 0.6613042000, 0.7377268000, 0.8832052000, 1.2163378000", \
+                        "0.9502040000, 0.9613732000, 0.9857726000, 1.0330520000, 1.1179807000, 1.2701173000, 1.6047741000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.1571745000, 0.1653154000, 0.1840620000, 0.2242950000, 0.3173657000, 0.5576715000, 1.2317614000", \
+                        "0.1619898000, 0.1701290000, 0.1888710000, 0.2291084000, 0.3221931000, 0.5625730000, 1.2359485000", \
+                        "0.1718952000, 0.1801483000, 0.1988399000, 0.2390926000, 0.3321684000, 0.5725935000, 1.2474715000", \
+                        "0.1934017000, 0.2015734000, 0.2202813000, 0.2604924000, 0.3536291000, 0.5940646000, 1.2676989000", \
+                        "0.2413692000, 0.2496410000, 0.2684963000, 0.3087541000, 0.4019135000, 0.6422802000, 1.3152959000", \
+                        "0.3228895000, 0.3323538000, 0.3536570000, 0.3973344000, 0.4929182000, 0.7328386000, 1.4074725000", \
+                        "0.4257004000, 0.4379832000, 0.4651272000, 0.5172880000, 0.6196997000, 0.8604086000, 1.5340766000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0519080000, 0.0569859000, 0.0680630000, 0.0931940000, 0.1481292000, 0.2807891000, 0.6830574000", \
+                        "0.0519784000, 0.0569527000, 0.0680881000, 0.0931880000, 0.1480642000, 0.2809579000, 0.6816239000", \
+                        "0.0518302000, 0.0568045000, 0.0680587000, 0.0929660000, 0.1480467000, 0.2808311000, 0.6819342000", \
+                        "0.0518008000, 0.0567819000, 0.0678475000, 0.0930791000, 0.1480207000, 0.2808920000, 0.6819548000", \
+                        "0.0518260000, 0.0568121000, 0.0680332000, 0.0930991000, 0.1481966000, 0.2805039000, 0.6826213000", \
+                        "0.0514759000, 0.0565259000, 0.0676343000, 0.0928684000, 0.1480915000, 0.2807626000, 0.6825895000", \
+                        "0.0692583000, 0.0740596000, 0.0853881000, 0.1121941000, 0.1650567000, 0.2907655000, 0.6834641000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0325712000, 0.0387431000, 0.0543530000, 0.0938335000, 0.2075386000, 0.5317147000, 1.4935027000", \
+                        "0.0325354000, 0.0387433000, 0.0543381000, 0.0938543000, 0.2074263000, 0.5317742000, 1.4930300000", \
+                        "0.0324105000, 0.0388797000, 0.0544411000, 0.0939312000, 0.2069821000, 0.5321171000, 1.4963973000", \
+                        "0.0325088000, 0.0388857000, 0.0544555000, 0.0939149000, 0.2076045000, 0.5314267000, 1.4957734000", \
+                        "0.0332692000, 0.0394543000, 0.0547731000, 0.0942030000, 0.2068993000, 0.5317229000, 1.4946612000", \
+                        "0.0399578000, 0.0466155000, 0.0620999000, 0.1008018000, 0.2100010000, 0.5311183000, 1.4964007000", \
+                        "0.0548367000, 0.0632438000, 0.0810785000, 0.1188394000, 0.2200218000, 0.5333459000, 1.4950472000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0016230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0129392000, 0.0128486000, 0.0126395000, 0.0126902000, 0.0128071000, 0.0130768000, 0.0136983000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081727000, 0.0081196000, 0.0079971000, 0.0080244000, 0.0080871000, 0.0082320000, 0.0085661000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017090000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0728008000, 0.1966940000, 0.2886536000", \
+                        "-0.145086600, -0.019972700, 0.0719870000", \
+                        "-0.394516900, -0.269403000, -0.176222700");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0764629000, -0.044988900, -0.125962200", \
+                        "0.2748190000, 0.1545879000, 0.0723939000", \
+                        "0.5157044000, 0.3954733000, 0.3145000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2532714000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.104201200, -0.059653600, -0.076336600", \
+                        "-0.226873700, -0.167677700, -0.179477900", \
+                        "-0.332261100, -0.254754600, -0.253126900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1582500000, 0.1429994000, 0.2463522000", \
+                        "0.2967917000, 0.2534648000, 0.3104310000", \
+                        "0.4473450000, 0.3747214000, 0.3999492000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0034300000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033430000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0050604000, 0.0050512000, 0.0050299000, 0.0050437000, 0.0050756000, 0.0051492000, 0.0053189000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004934000, -0.004974100, -0.005066600, -0.005069800, -0.005077400, -0.005094600, -0.005134200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0035170000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.061476600, 0.0306784000, 0.1519349000", \
+                        "-0.247625700, -0.156691400, -0.086704400", \
+                        "-0.454331400, -0.363397100, -0.325148400");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0679180000, -0.021795600, -0.053940800", \
+                        "0.2528463000, 0.1643535000, 0.1322083000", \
+                        "0.4595521000, 0.3698385000, 0.3413555000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1582500000, 0.2967917000, 0.4473450000", \
+                        "0.1429994000, 0.2534648000, 0.3747214000", \
+                        "0.2463522000, 0.3104310000, 0.3999492000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3060000000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.104201200, -0.226873700, -0.332261100", \
+                        "-0.059653600, -0.167677700, -0.254754600", \
+                        "-0.076336600, -0.179477900, -0.253126900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfbbp_1 */
+
+/* removed sky130_fd_sc_hd__dfrbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfrbp_2") {
+        leakage_power () {
+            value : 0.0109677000;
+            when : "RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0096383000;
+            when : "RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0091173000;
+            when : "!RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0103999000;
+            when : "RESET_B&CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0096019000;
+            when : "RESET_B&!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0101696000;
+            when : "!RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0097544000;
+            when : "RESET_B&!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0099155000;
+            when : "RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0092637000;
+            when : "!RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0098513000;
+            when : "RESET_B&CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0111421000;
+            when : "RESET_B&!CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0095879000;
+            when : "!RESET_B&!CLK&D&!Q&Q_N";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfrbp";
+        cell_leakage_power : 0.0099507900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0018000000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0247885000, 0.0246654000, 0.0243817000, 0.0244533000, 0.0246183000, 0.0249988000, 0.0258759000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162728000, 0.0161928000, 0.0160083000, 0.0160284000, 0.0160747000, 0.0161816000, 0.0164281000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018740000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2104295000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1774742000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0019770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0019490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0061315000, 0.0060925000, 0.0060028000, 0.0060651000, 0.0062085000, 0.0065393000, 0.0073019000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000823300, -0.000892800, -0.001052900, -0.001002500, -0.000886200, -0.000618000, 3.2670112e-07");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0020060000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1069805000, 0.3212057000, 0.6280091000", \
+                        "-0.004705700, 0.2034160000, 0.5041159000", \
+                        "-0.090561800, 0.1138978000, 0.4097148000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0581523000, 0.1722799000, 0.2483705000", \
+                        "-0.014471400, 0.0862285000, 0.1488913000", \
+                        "-0.047837200, 0.0492005000, 0.1057598000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.038283200, -0.237860000, -0.492173200", \
+                        "0.0734030000, -0.124953100, -0.389031900", \
+                        "0.1519349000, -0.041538400, -0.305617200");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.135321000, -0.188218100", \
+                        "0.0343405000, -0.061476600, -0.113153000", \
+                        "0.0603822000, -0.032993500, -0.083449200");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("-0.004912400, 0.0001440000, 0.0102231000, 0.0210937000, 0.0107906000, -0.068122400, -0.326630000", \
+                        "-0.004874700, 0.0001710000, 0.0102666000, 0.0211051000, 0.0107580000, -0.068165000, -0.326719400", \
+                        "-0.004790100, 0.0002433000, 0.0102981000, 0.0211196000, 0.0106240000, -0.068316100, -0.326823900", \
+                        "-0.004819500, 0.0001947000, 0.0101782000, 0.0208654000, 0.0103752000, -0.068761000, -0.327318400", \
+                        "-0.004852100, 0.0001593000, 0.0100916000, 0.0207695000, 0.0101465000, -0.068911800, -0.327504600", \
+                        "-0.004900000, 0.0001127000, 0.0100754000, 0.0207763000, 0.0101425000, -0.068922700, -0.327413500", \
+                        "-0.004951500, 0.0001602000, 0.0103488000, 0.0214027000, 0.0112047000, -0.068214800, -0.326677100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("-0.006513000, -0.003726800, 0.0033187000, 0.0199595000, 0.0581184000, 0.1541227000, 0.4182336000", \
+                        "-0.006476500, -0.003698100, 0.0033259000, 0.0199514000, 0.0580520000, 0.1540508000, 0.4181601000", \
+                        "-0.006392000, -0.003623400, 0.0033860000, 0.0199742000, 0.0581636000, 0.1540056000, 0.4183692000", \
+                        "-0.006421100, -0.003685300, 0.0032588000, 0.0197410000, 0.0576814000, 0.1535272000, 0.4187129000", \
+                        "-0.006456600, -0.003733900, 0.0031611000, 0.0195473000, 0.0575311000, 0.1532510000, 0.4179635000", \
+                        "-0.006510900, -0.003793800, 0.0030828000, 0.0194766000, 0.0572673000, 0.1531942000, 0.4168823000", \
+                        "-0.006543600, -0.003678700, 0.0035008000, 0.0201231000, 0.0579020000, 0.1539889000, 0.4178439000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("0.0004682000, 0.0049110000, 0.0136244000, 0.0223287000, 0.0097856000, -0.070699700, -0.329807100", \
+                        "0.0004522000, 0.0048853000, 0.0135647000, 0.0222265000, 0.0097026000, -0.070855900, -0.330008100", \
+                        "0.0004269000, 0.0048461000, 0.0134969000, 0.0221358000, 0.0095944000, -0.070985600, -0.330150900", \
+                        "0.0004211000, 0.0048381000, 0.0134947000, 0.0221081000, 0.0095339000, -0.071010300, -0.330170100", \
+                        "0.0004020000, 0.0048039000, 0.0134352000, 0.0219894000, 0.0093041000, -0.071236800, -0.330402700", \
+                        "0.0003772000, 0.0047745000, 0.0133786000, 0.0218022000, 0.0089823000, -0.071629700, -0.330708000", \
+                        "0.0003637000, 0.0048237000, 0.0134849000, 0.0218965000, 0.0090074000, -0.071622400, -0.330682900");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.2536110000;
+            max_transition : 1.5036290000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.3750218000, 0.3827018000, 0.3993438000, 0.4316703000, 0.4920138000, 0.6119065000, 0.8916552000", \
+                        "0.3797575000, 0.3874059000, 0.4041366000, 0.4364091000, 0.4967755000, 0.6166837000, 0.8963069000", \
+                        "0.3908320000, 0.3984300000, 0.4151392000, 0.4474233000, 0.5077836000, 0.6276644000, 0.9075385000", \
+                        "0.4165462000, 0.4241646000, 0.4408818000, 0.4731570000, 0.5335239000, 0.6534142000, 0.9332538000", \
+                        "0.4643122000, 0.4719879000, 0.4886934000, 0.5209966000, 0.5813354000, 0.7012169000, 0.9808055000", \
+                        "0.5319638000, 0.5396055000, 0.5562855000, 0.5885686000, 0.6489364000, 0.7687978000, 1.0485707000", \
+                        "0.6153743000, 0.6230517000, 0.6396912000, 0.6719856000, 0.7324141000, 0.8522693000, 1.1318625000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.3287315000, 0.3371092000, 0.3560996000, 0.3968400000, 0.4913176000, 0.7308129000, 1.3944776000", \
+                        "0.3332426000, 0.3415758000, 0.3605100000, 0.4013722000, 0.4957664000, 0.7351584000, 1.3940117000", \
+                        "0.3444811000, 0.3528801000, 0.3717980000, 0.4126517000, 0.5070220000, 0.7464020000, 1.4055788000", \
+                        "0.3702577000, 0.3786589000, 0.3975984000, 0.4384692000, 0.5328541000, 0.7722568000, 1.4332089000", \
+                        "0.4195694000, 0.4280006000, 0.4469650000, 0.4877060000, 0.5821690000, 0.8215027000, 1.4826185000", \
+                        "0.4908987000, 0.4993095000, 0.5182434000, 0.5589220000, 0.6534511000, 0.8928175000, 1.5523803000", \
+                        "0.5827753000, 0.5911211000, 0.6100752000, 0.6509521000, 0.7452993000, 0.9847912000, 1.6419607000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0349067000, 0.0396163000, 0.0498968000, 0.0717465000, 0.1209608000, 0.2389145000, 0.5878253000", \
+                        "0.0348774000, 0.0395309000, 0.0498955000, 0.0724141000, 0.1208292000, 0.2387473000, 0.5876803000", \
+                        "0.0348814000, 0.0396452000, 0.0501158000, 0.0717535000, 0.1204692000, 0.2383791000, 0.5877521000", \
+                        "0.0347745000, 0.0395305000, 0.0503982000, 0.0715852000, 0.1209752000, 0.2382899000, 0.5874061000", \
+                        "0.0349255000, 0.0397046000, 0.0500908000, 0.0717554000, 0.1205795000, 0.2383644000, 0.5872517000", \
+                        "0.0347657000, 0.0395644000, 0.0500745000, 0.0716968000, 0.1208852000, 0.2383286000, 0.5875072000", \
+                        "0.0349168000, 0.0396863000, 0.0499002000, 0.0719512000, 0.1208132000, 0.2390555000, 0.5845296000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0336280000, 0.0402270000, 0.0565966000, 0.0989872000, 0.2163850000, 0.5448580000, 1.4996966000", \
+                        "0.0336512000, 0.0402007000, 0.0565606000, 0.0989392000, 0.2163598000, 0.5471942000, 1.5006069000", \
+                        "0.0335591000, 0.0401426000, 0.0565528000, 0.0989504000, 0.2163704000, 0.5447219000, 1.5029711000", \
+                        "0.0336409000, 0.0402629000, 0.0566089000, 0.0989416000, 0.2163857000, 0.5458125000, 1.5012891000", \
+                        "0.0337031000, 0.0403272000, 0.0567000000, 0.0989678000, 0.2163700000, 0.5466726000, 1.5036286000", \
+                        "0.0336093000, 0.0402292000, 0.0565156000, 0.0988475000, 0.2163701000, 0.5471031000, 1.5010717000", \
+                        "0.0337356000, 0.0402818000, 0.0566719000, 0.0989935000, 0.2164457000, 0.5446222000, 1.4978706000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.2151135000, 0.2232139000, 0.2411547000, 0.2761873000, 0.3412790000, 0.4541424000, 0.7282459000", \
+                        "0.2201978000, 0.2283082000, 0.2462495000, 0.2813103000, 0.3464035000, 0.4592895000, 0.7333479000", \
+                        "0.2329246000, 0.2410103000, 0.2590009000, 0.2940912000, 0.3591503000, 0.4720448000, 0.7462038000", \
+                        "0.2644511000, 0.2725311000, 0.2904945000, 0.3255510000, 0.3906705000, 0.5035496000, 0.7776840000", \
+                        "0.3398284000, 0.3479166000, 0.3658502000, 0.4007461000, 0.4658238000, 0.5787353000, 0.8529041000", \
+                        "0.5123360000, 0.5209939000, 0.5396999000, 0.5753176000, 0.6408609000, 0.7537808000, 1.0278233000", \
+                        "0.8115572000, 0.8229812000, 0.8479382000, 0.8953939000, 0.9757523000, 1.0920397000, 1.3662377000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0377890000, 0.0429614000, 0.0550681000, 0.0788634000, 0.1236998000, 0.2272537000, 0.5787851000", \
+                        "0.0378412000, 0.0429827000, 0.0551929000, 0.0789968000, 0.1236318000, 0.2269841000, 0.5800272000", \
+                        "0.0381257000, 0.0430006000, 0.0545491000, 0.0792846000, 0.1238377000, 0.2269082000, 0.5794498000", \
+                        "0.0380877000, 0.0430498000, 0.0544541000, 0.0791572000, 0.1239754000, 0.2271450000, 0.5778361000", \
+                        "0.0377795000, 0.0429557000, 0.0550662000, 0.0789376000, 0.1235909000, 0.2275439000, 0.5797030000", \
+                        "0.0427478000, 0.0478576000, 0.0586850000, 0.0819653000, 0.1249775000, 0.2276119000, 0.5798194000", \
+                        "0.0650289000, 0.0715783000, 0.0854173000, 0.1133138000, 0.1482841000, 0.2336720000, 0.5810534000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.005152800, -0.000290700, 0.0095772000, 0.0199881000, 0.0067346000, -0.084139200, -0.381886600", \
+                        "-0.005118200, -0.000265400, 0.0095889000, 0.0199834000, 0.0066582000, -0.084256000, -0.382003200", \
+                        "-0.005032400, -0.000186400, 0.0096475000, 0.0200075000, 0.0066549000, -0.084293500, -0.382039200", \
+                        "-0.005059100, -0.000244100, 0.0095163000, 0.0197743000, 0.0063068000, -0.084692200, -0.382387900", \
+                        "-0.005094100, -0.000302800, 0.0094096000, 0.0195768000, 0.0060840000, -0.084919100, -0.382730800", \
+                        "-0.005149600, -0.000363300, 0.0093391000, 0.0194731000, 0.0059543000, -0.085077800, -0.382884100", \
+                        "-0.005214600, -0.000325400, 0.0096054000, 0.0201568000, 0.0068664000, -0.084399000, -0.382109000");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.006596300, -0.003825300, 0.0034699000, 0.0211197000, 0.0622547000, 0.1695894000, 0.4705227000", \
+                        "-0.006558400, -0.003785700, 0.0035057000, 0.0211409000, 0.0622927000, 0.1689042000, 0.4709691000", \
+                        "-0.006474400, -0.003719500, 0.0035517000, 0.0211406000, 0.0622241000, 0.1687196000, 0.4732607000", \
+                        "-0.006502200, -0.003777600, 0.0034253000, 0.0208766000, 0.0618394000, 0.1691694000, 0.4722253000", \
+                        "-0.006533100, -0.003825300, 0.0033568000, 0.0207864000, 0.0617034000, 0.1688639000, 0.4726649000", \
+                        "-0.006581200, -0.003860600, 0.0033297000, 0.0207907000, 0.0617426000, 0.1690692000, 0.4694948000", \
+                        "-0.006586700, -0.003685200, 0.0037887000, 0.0214200000, 0.0622710000, 0.1685795000, 0.4731011000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.001183600, 0.0010088000, 0.0069931000, 0.0223172000, 0.0611865000, 0.1664123000, 0.4677455000", \
+                        "-0.001199500, 0.0009796000, 0.0069506000, 0.0222403000, 0.0609714000, 0.1662322000, 0.4695551000", \
+                        "-0.001222300, 0.0009447000, 0.0068906000, 0.0221413000, 0.0608202000, 0.1662221000, 0.4669570000", \
+                        "-0.001229800, 0.0009421000, 0.0068795000, 0.0221204000, 0.0607473000, 0.1659663000, 0.4669906000", \
+                        "-0.001250200, 0.0009015000, 0.0067963000, 0.0219779000, 0.0605564000, 0.1659139000, 0.4690256000", \
+                        "-0.001289100, 0.0008409000, 0.0066898000, 0.0217922000, 0.0602952000, 0.1662621000, 0.4670330000", \
+                        "-0.001311700, 0.0008586000, 0.0066696000, 0.0219068000, 0.0606736000, 0.1659398000, 0.4690497000");
+                }
+            }
+            max_capacitance : 0.2874860000;
+            max_transition : 1.4978490000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.4444617000, 0.4495632000, 0.4608365000, 0.4833460000, 0.5292952000, 0.6377331000, 0.9376793000", \
+                        "0.4488485000, 0.4539771000, 0.4651830000, 0.4878793000, 0.5338599000, 0.6422071000, 0.9417331000", \
+                        "0.4601230000, 0.4652232000, 0.4764465000, 0.4991223000, 0.5451271000, 0.6534892000, 0.9528112000", \
+                        "0.4859582000, 0.4910385000, 0.5022719000, 0.5249329000, 0.5709566000, 0.6793287000, 0.9784070000", \
+                        "0.5352741000, 0.5403815000, 0.5516985000, 0.5742239000, 0.6201437000, 0.7285808000, 1.0285528000", \
+                        "0.6064396000, 0.6115426000, 0.6228331000, 0.6454580000, 0.6913936000, 0.7998152000, 1.0997454000", \
+                        "0.6984217000, 0.7034331000, 0.7147363000, 0.7373466000, 0.7832981000, 0.8917804000, 1.1909173000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.5091128000, 0.5150327000, 0.5290557000, 0.5608269000, 0.6431697000, 0.8766453000, 1.5522718000", \
+                        "0.5139127000, 0.5200007000, 0.5338728000, 0.5657935000, 0.6480682000, 0.8816763000, 1.5558392000", \
+                        "0.5248753000, 0.5309547000, 0.5450994000, 0.5769181000, 0.6591900000, 0.8932169000, 1.5676589000", \
+                        "0.5505368000, 0.5566826000, 0.5707882000, 0.6025039000, 0.6847399000, 0.9181192000, 1.5938323000", \
+                        "0.5984396000, 0.6045294000, 0.6186711000, 0.6504708000, 0.7327161000, 0.9665221000, 1.6406222000", \
+                        "0.6660443000, 0.6722374000, 0.6862178000, 0.7181171000, 0.8004733000, 1.0338498000, 1.7086877000", \
+                        "0.7494573000, 0.7556372000, 0.7695858000, 0.8013248000, 0.8834701000, 1.1173266000, 1.7924551000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0238601000, 0.0270532000, 0.0343562000, 0.0520093000, 0.0957604000, 0.2253816000, 0.6233958000", \
+                        "0.0238018000, 0.0275474000, 0.0346027000, 0.0518428000, 0.0957069000, 0.2256872000, 0.6194541000", \
+                        "0.0238221000, 0.0275916000, 0.0345926000, 0.0518765000, 0.0957375000, 0.2256754000, 0.6197134000", \
+                        "0.0238267000, 0.0276128000, 0.0345822000, 0.0518848000, 0.0957654000, 0.2255237000, 0.6225588000", \
+                        "0.0238146000, 0.0269382000, 0.0342992000, 0.0518862000, 0.0959524000, 0.2261832000, 0.6248491000", \
+                        "0.0239054000, 0.0270759000, 0.0343446000, 0.0517673000, 0.0957054000, 0.2256249000, 0.6251185000", \
+                        "0.0238029000, 0.0269242000, 0.0342466000, 0.0520645000, 0.0958811000, 0.2257965000, 0.6185886000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0265913000, 0.0315751000, 0.0434840000, 0.0793870000, 0.1921284000, 0.5250628000, 1.4920953000", \
+                        "0.0267268000, 0.0310952000, 0.0433873000, 0.0793394000, 0.1923410000, 0.5242928000, 1.4932569000", \
+                        "0.0266143000, 0.0310800000, 0.0434692000, 0.0794102000, 0.1918319000, 0.5254466000, 1.4978487000", \
+                        "0.0267036000, 0.0312000000, 0.0434627000, 0.0795286000, 0.1917921000, 0.5253613000, 1.4940023000", \
+                        "0.0266177000, 0.0311351000, 0.0434178000, 0.0793558000, 0.1921098000, 0.5251247000, 1.4974310000", \
+                        "0.0266827000, 0.0310882000, 0.0432751000, 0.0792681000, 0.1919655000, 0.5252783000, 1.4863309000", \
+                        "0.0266717000, 0.0314192000, 0.0434597000, 0.0794617000, 0.1920046000, 0.5245480000, 1.4976218000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.3591467000, 0.3653671000, 0.3793474000, 0.4111615000, 0.4932298000, 0.7263921000, 1.4025161000", \
+                        "0.3641279000, 0.3703992000, 0.3844144000, 0.4160639000, 0.4981908000, 0.7316658000, 1.4095377000", \
+                        "0.3769269000, 0.3832428000, 0.3972297000, 0.4288333000, 0.5107956000, 0.7448138000, 1.4188557000", \
+                        "0.4083950000, 0.4147346000, 0.4286925000, 0.4603372000, 0.5423095000, 0.7760879000, 1.4510124000", \
+                        "0.4836705000, 0.4898944000, 0.5038788000, 0.5356986000, 0.6177703000, 0.8511475000, 1.5289715000", \
+                        "0.6590759000, 0.6652836000, 0.6792784000, 0.7110895000, 0.7932759000, 1.0270262000, 1.7038765000", \
+                        "0.9979385000, 1.0045696000, 1.0191461000, 1.0512449000, 1.1336030000, 1.3667927000, 2.0443258000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0272248000, 0.0318839000, 0.0435165000, 0.0793941000, 0.1915594000, 0.5247791000, 1.4946353000", \
+                        "0.0273734000, 0.0316127000, 0.0435538000, 0.0793460000, 0.1915622000, 0.5241099000, 1.4945482000", \
+                        "0.0272340000, 0.0315257000, 0.0436186000, 0.0793063000, 0.1917807000, 0.5243422000, 1.4886162000", \
+                        "0.0273313000, 0.0318344000, 0.0435523000, 0.0793500000, 0.1916519000, 0.5243906000, 1.4908457000", \
+                        "0.0272493000, 0.0319063000, 0.0435329000, 0.0794082000, 0.1915806000, 0.5255293000, 1.4930526000", \
+                        "0.0273581000, 0.0319904000, 0.0435985000, 0.0794389000, 0.1915477000, 0.5254915000, 1.4956003000", \
+                        "0.0303613000, 0.0341291000, 0.0455938000, 0.0803116000, 0.1919709000, 0.5246381000, 1.4951477000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0035500000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0034910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0047550000, 0.0047710000, 0.0048079000, 0.0048177000, 0.0048403000, 0.0048926000, 0.0050132000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.005487700, -0.005474000, -0.005442300, -0.005456800, -0.005490200, -0.005567400, -0.005745200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0036090000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.225050800, -0.080405600, 0.2752259000", \
+                        "-0.374578800, -0.239699200, 0.0829733000", \
+                        "-0.500718100, -0.371942000, -0.076125000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3010723000, 0.4359681000, 0.7028952000", \
+                        "0.4359518000, 0.5684063000, 0.8292298000", \
+                        "0.5547669000, 0.6835593000, 0.9370586000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2697491000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfrtn_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfrtp_4") {
+        leakage_power () {
+            value : 0.0134502000;
+            when : "RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0121215000;
+            when : "RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0115999000;
+            when : "!RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0078330000;
+            when : "RESET_B&CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0070350000;
+            when : "RESET_B&!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0126521000;
+            when : "!RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0122373000;
+            when : "RESET_B&!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0123980000;
+            when : "RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0117469000;
+            when : "!RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0072844000;
+            when : "RESET_B&CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0085764000;
+            when : "RESET_B&!CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0120709000;
+            when : "!RESET_B&!CLK&D&!Q";
+        }
+        area : 28.777600000;
+        cell_footprint : "sky130_fd_sc_hd__dfrtp";
+        cell_leakage_power : 0.0107504600;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017940000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0247727000, 0.0246491000, 0.0243641000, 0.0244335000, 0.0245934000, 0.0249623000, 0.0258124000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163760000, 0.0162584000, 0.0159874000, 0.0160035000, 0.0160404000, 0.0161257000, 0.0163223000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018700000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2104295000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1972474000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0019770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0019490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0061312000, 0.0060923000, 0.0060027000, 0.0060655000, 0.0062100000, 0.0065434000, 0.0073120000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000826300, -0.000895100, -0.001053500, -0.001002700, -0.000885600, -0.000615600, 6.746164e-06");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0020060000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1069805000, 0.3212057000, 0.6280091000", \
+                        "-0.004705700, 0.2034160000, 0.5028952000", \
+                        "-0.090561800, 0.1126771000, 0.4084941000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0605937000, 0.1759421000, 0.2532533000", \
+                        "-0.013250700, 0.0898906000, 0.1537741000", \
+                        "-0.046616500, 0.0504212000, 0.1082012000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.035841800, -0.234197900, -0.484849000", \
+                        "0.0758444000, -0.122511700, -0.384149100", \
+                        "0.1543763000, -0.039097000, -0.300734400");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.135321000, -0.188218100", \
+                        "0.0343405000, -0.062697300, -0.113153000", \
+                        "0.0603822000, -0.032993500, -0.083449200");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0299695000, 0.0279332000, 0.0219585000, 0.0054091000, -0.050107800, -0.238744700, -0.853077600", \
+                        "0.0299944000, 0.0279360000, 0.0220453000, 0.0054443000, -0.050062500, -0.238642800, -0.853007100", \
+                        "0.0300718000, 0.0280837000, 0.0221125000, 0.0055147000, -0.049969800, -0.238632800, -0.852971800", \
+                        "0.0296403000, 0.0276000000, 0.0216699000, 0.0050146000, -0.050430700, -0.239058000, -0.853392800", \
+                        "0.0293773000, 0.0273888000, 0.0214311000, 0.0048182000, -0.050663500, -0.239325900, -0.853667900", \
+                        "0.0293486000, 0.0273638000, 0.0214234000, 0.0048024000, -0.050621400, -0.239305600, -0.853608600", \
+                        "0.0370078000, 0.0349519000, 0.0288566000, 0.0103630000, -0.048337400, -0.238551500, -0.852885200");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0347175000, 0.0365158000, 0.0424081000, 0.0616755000, 0.1218193000, 0.3131402000, 0.9192339000", \
+                        "0.0347044000, 0.0364778000, 0.0423421000, 0.0616478000, 0.1218964000, 0.3127662000, 0.9197844000", \
+                        "0.0348540000, 0.0366139000, 0.0425371000, 0.0618122000, 0.1220448000, 0.3116969000, 0.9213186000", \
+                        "0.0343887000, 0.0361597000, 0.0420608000, 0.0613458000, 0.1215771000, 0.3117331000, 0.9209227000", \
+                        "0.0339810000, 0.0357782000, 0.0416577000, 0.0609860000, 0.1211210000, 0.3114851000, 0.9245174000", \
+                        "0.0339275000, 0.0357173000, 0.0415838000, 0.0608545000, 0.1210585000, 0.3112393000, 0.9247014000", \
+                        "0.0364558000, 0.0382480000, 0.0440252000, 0.0627561000, 0.1219810000, 0.3120629000, 0.9195208000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0338738000, 0.0318746000, 0.0259394000, 0.0084556000, -0.046990300, -0.236451000, -0.850829300", \
+                        "0.0337973000, 0.0317783000, 0.0258342000, 0.0082823000, -0.047123000, -0.236609600, -0.851038100", \
+                        "0.0335307000, 0.0315160000, 0.0255985000, 0.0080700000, -0.047353400, -0.236766800, -0.851209200", \
+                        "0.0335631000, 0.0315661000, 0.0256394000, 0.0081175000, -0.047320100, -0.236794600, -0.851200100", \
+                        "0.0333516000, 0.0313601000, 0.0254519000, 0.0078388000, -0.047657200, -0.237097500, -0.851416500", \
+                        "0.0333880000, 0.0313433000, 0.0253175000, 0.0075635000, -0.048187700, -0.237681000, -0.851803800", \
+                        "0.0363885000, 0.0341078000, 0.0274030000, 0.0081871000, -0.048051600, -0.237911200, -0.852013100");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.5511570000;
+            max_transition : 1.5066460000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.4278874000, 0.4333774000, 0.4478247000, 0.4798925000, 0.5433685000, 0.6765929000, 1.0213966000", \
+                        "0.4326854000, 0.4382105000, 0.4526138000, 0.4847573000, 0.5484528000, 0.6811902000, 1.0260175000", \
+                        "0.4436976000, 0.4491410000, 0.4635965000, 0.4956470000, 0.5594823000, 0.6923557000, 1.0370583000", \
+                        "0.4694380000, 0.4749215000, 0.4893426000, 0.5214400000, 0.5852945000, 0.7182639000, 1.0626089000", \
+                        "0.5172320000, 0.5226768000, 0.5371328000, 0.5691848000, 0.6330212000, 0.7658952000, 1.1105971000", \
+                        "0.5847856000, 0.5902963000, 0.6047497000, 0.6368280000, 0.7008215000, 0.8336002000, 1.1780426000", \
+                        "0.6682113000, 0.6737185000, 0.6881254000, 0.7202116000, 0.7840909000, 0.9170253000, 1.2613036000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.3572830000, 0.3631876000, 0.3786668000, 0.4143570000, 0.4970749000, 0.7233552000, 1.4371371000", \
+                        "0.3617534000, 0.3676758000, 0.3831430000, 0.4188302000, 0.5014407000, 0.7284192000, 1.4434673000", \
+                        "0.3729384000, 0.3788586000, 0.3944281000, 0.4301267000, 0.5128277000, 0.7393517000, 1.4570324000", \
+                        "0.3988477000, 0.4047517000, 0.4202051000, 0.4559296000, 0.5385452000, 0.7655248000, 1.4803054000", \
+                        "0.4482011000, 0.4541415000, 0.4696181000, 0.5053349000, 0.5880437000, 0.8144909000, 1.5291550000", \
+                        "0.5194781000, 0.5253744000, 0.5409241000, 0.5765455000, 0.6592902000, 0.8861345000, 1.5992812000", \
+                        "0.6114050000, 0.6173301000, 0.6328089000, 0.6685227000, 0.7512245000, 0.9777996000, 1.6934989000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0474066000, 0.0505642000, 0.0593778000, 0.0785944000, 0.1249593000, 0.2533997000, 0.6811097000", \
+                        "0.0473712000, 0.0505077000, 0.0591728000, 0.0788036000, 0.1247328000, 0.2530802000, 0.6820092000", \
+                        "0.0475022000, 0.0504711000, 0.0592665000, 0.0785787000, 0.1243856000, 0.2534271000, 0.6820495000", \
+                        "0.0473406000, 0.0505583000, 0.0588699000, 0.0784091000, 0.1246490000, 0.2531278000, 0.6811394000", \
+                        "0.0475053000, 0.0504704000, 0.0592665000, 0.0785743000, 0.1243768000, 0.2518425000, 0.6820486000", \
+                        "0.0474097000, 0.0506080000, 0.0591290000, 0.0795225000, 0.1255951000, 0.2530649000, 0.6814603000", \
+                        "0.0472337000, 0.0505736000, 0.0589243000, 0.0784877000, 0.1249136000, 0.2530928000, 0.6793409000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0386571000, 0.0426187000, 0.0541777000, 0.0841579000, 0.1750732000, 0.4824007000, 1.4984988000", \
+                        "0.0385766000, 0.0425911000, 0.0540197000, 0.0843471000, 0.1750427000, 0.4816626000, 1.5013254000", \
+                        "0.0386927000, 0.0428007000, 0.0540112000, 0.0844763000, 0.1749538000, 0.4823544000, 1.5066455000", \
+                        "0.0386188000, 0.0425989000, 0.0539225000, 0.0844749000, 0.1750902000, 0.4818559000, 1.5024750000", \
+                        "0.0385050000, 0.0426073000, 0.0540259000, 0.0843623000, 0.1750873000, 0.4822105000, 1.5017908000", \
+                        "0.0385929000, 0.0423346000, 0.0539535000, 0.0845894000, 0.1750607000, 0.4815070000, 1.5060172000", \
+                        "0.0386478000, 0.0425863000, 0.0540873000, 0.0842954000, 0.1750144000, 0.4808806000, 1.5000137000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.2726160000, 0.2784066000, 0.2938796000, 0.3284342000, 0.3968920000, 0.5207850000, 0.8564664000", \
+                        "0.2778151000, 0.2836729000, 0.2990899000, 0.3336493000, 0.4021628000, 0.5260182000, 0.8617533000", \
+                        "0.2909576000, 0.2967514000, 0.3122574000, 0.3467697000, 0.4152801000, 0.5391452000, 0.8749398000", \
+                        "0.3224239000, 0.3282123000, 0.3436771000, 0.3782305000, 0.4467129000, 0.5706233000, 0.9061194000", \
+                        "0.3973799000, 0.4032437000, 0.4186159000, 0.4531827000, 0.5216273000, 0.6455099000, 0.9812883000", \
+                        "0.5742110000, 0.5800556000, 0.5953559000, 0.6297496000, 0.6979708000, 0.8217648000, 1.1575997000", \
+                        "0.9124713000, 0.9197019000, 0.9385788000, 0.9806795000, 1.0600536000, 1.1860584000, 1.5207570000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0516987000, 0.0550003000, 0.0642348000, 0.0861671000, 0.1273802000, 0.2358921000, 0.6706659000", \
+                        "0.0519331000, 0.0553446000, 0.0648162000, 0.0867895000, 0.1271400000, 0.2362608000, 0.6703673000", \
+                        "0.0516173000, 0.0554186000, 0.0642397000, 0.0863431000, 0.1274503000, 0.2362114000, 0.6711176000", \
+                        "0.0517273000, 0.0550219000, 0.0642619000, 0.0861693000, 0.1272256000, 0.2363089000, 0.6703250000", \
+                        "0.0521422000, 0.0552000000, 0.0647128000, 0.0865526000, 0.1274985000, 0.2362744000, 0.6704258000", \
+                        "0.0526795000, 0.0559651000, 0.0653596000, 0.0872004000, 0.1275650000, 0.2362612000, 0.6702304000", \
+                        "0.0795400000, 0.0830614000, 0.0923383000, 0.1153623000, 0.1486292000, 0.2409714000, 0.6689861000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0035610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0034840000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0047825000, 0.0047938000, 0.0048198000, 0.0048295000, 0.0048520000, 0.0049039000, 0.0050236000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.005531400, -0.005506400, -0.005448700, -0.005463200, -0.005496700, -0.005573700, -0.005751000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0036380000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.217726600, -0.058432900, 0.3643372000", \
+                        "-0.368475300, -0.220168000, 0.1635397000", \
+                        "-0.494614600, -0.354852200, 0.0020000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3010723000, 0.4359681000, 0.7028952000", \
+                        "0.4359518000, 0.5684063000, 0.8292298000", \
+                        "0.5547669000, 0.6835593000, 0.9370586000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3213791000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfsbp_1 */
+
+/* removed sky130_fd_sc_hd__dfsbp_2 */
+
+/* removed sky130_fd_sc_hd__dfstp_1 */
+
+/* removed sky130_fd_sc_hd__dfstp_2 */
+
+    cell ("sky130_fd_sc_hd__dfstp_4") {
+        leakage_power () {
+            value : 0.0137195000;
+            when : "CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0116592000;
+            when : "!CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0097567000;
+            when : "CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0101180000;
+            when : "CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0106245000;
+            when : "!CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0102729000;
+            when : "CLK&D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0130189000;
+            when : "!CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0117775000;
+            when : "CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0099070000;
+            when : "!CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0106342000;
+            when : "CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0099706000;
+            when : "!CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0098873000;
+            when : "!CLK&D&!SET_B&Q";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfstp";
+        cell_leakage_power : 0.0109455200;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017760000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016940000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0232686000, 0.0231860000, 0.0229957000, 0.0230470000, 0.0231652000, 0.0234380000, 0.0240668000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0172554000, 0.0171832000, 0.0170168000, 0.0170337000, 0.0170725000, 0.0171621000, 0.0173687000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018570000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1928533000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3796002000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0023610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0073635000, 0.0072883000, 0.0071149000, 0.0072660000, 0.0076142000, 0.0084169000, 0.0102673000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000121800, -0.000306100, -0.000731100, -0.000592000, -0.000271500, 0.0004675000, 0.0021711000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024880000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0642559000, 0.2418600000, 0.4510072000", \
+                        "-0.044988900, 0.1228496000, 0.3271139000", \
+                        "-0.124741500, 0.0357728000, 0.2339336000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0520488000, 0.1588522000, 0.2386048000", \
+                        "-0.014471400, 0.0825664000, 0.1513327000", \
+                        "-0.042954400, 0.0516419000, 0.1167461000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.006544900, -0.158514300, -0.322495400", \
+                        "0.1014792000, -0.050490200, -0.226678400", \
+                        "0.1726869000, 0.0243796000, -0.153029300");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.130438200, -0.194321600", \
+                        "0.0282370000, -0.065138700, -0.127801400", \
+                        "0.0506165000, -0.041538400, -0.102980500");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0771726000, 0.0791071000, 0.0852948000, 0.1057173000, 0.1706499000, 0.3821558000, 1.0761255000", \
+                        "0.0767719000, 0.0786339000, 0.0848185000, 0.1051668000, 0.1704811000, 0.3837635000, 1.0805878000", \
+                        "0.0763454000, 0.0781876000, 0.0845166000, 0.1049720000, 0.1701005000, 0.3831408000, 1.0793313000", \
+                        "0.0764802000, 0.0783781000, 0.0846643000, 0.1051368000, 0.1702346000, 0.3816382000, 1.0768124000", \
+                        "0.0768287000, 0.0786569000, 0.0849341000, 0.1052710000, 0.1704958000, 0.3819774000, 1.0698654000", \
+                        "0.0767680000, 0.0787412000, 0.0849465000, 0.1053341000, 0.1704507000, 0.3818011000, 1.0766770000", \
+                        "0.0781065000, 0.0799810000, 0.0859369000, 0.1059022000, 0.1718116000, 0.3822543000, 1.0767176000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0433419000, 0.0414575000, 0.0354933000, 0.0172290000, -0.044194500, -0.255907800, -0.956502100", \
+                        "0.0433064000, 0.0414535000, 0.0354470000, 0.0172435000, -0.044264500, -0.255912000, -0.956476800", \
+                        "0.0433349000, 0.0414240000, 0.0354909000, 0.0172677000, -0.044343000, -0.255923000, -0.956471900", \
+                        "0.0428454000, 0.0408816000, 0.0349298000, 0.0167896000, -0.044696700, -0.256338600, -0.956929200", \
+                        "0.0425830000, 0.0407013000, 0.0347944000, 0.0166174000, -0.044911900, -0.256617200, -0.957152800", \
+                        "0.0426975000, 0.0408423000, 0.0347541000, 0.0165389000, -0.044889200, -0.256485400, -0.957171000", \
+                        "0.0484822000, 0.0465386000, 0.0404538000, 0.0210941000, -0.043076500, -0.256025800, -0.956605100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0490495000, 0.0508989000, 0.0571053000, 0.0775204000, 0.1427179000, 0.3553499000, 1.0524642000", \
+                        "0.0489720000, 0.0507552000, 0.0570165000, 0.0774362000, 0.1427736000, 0.3535812000, 1.0476493000", \
+                        "0.0488576000, 0.0507099000, 0.0567990000, 0.0773255000, 0.1426942000, 0.3543849000, 1.0513180000", \
+                        "0.0485259000, 0.0503820000, 0.0564485000, 0.0770206000, 0.1418549000, 0.3534555000, 1.0520203000", \
+                        "0.0481845000, 0.0500706000, 0.0561003000, 0.0765821000, 0.1415671000, 0.3528701000, 1.0443870000", \
+                        "0.0479770000, 0.0497329000, 0.0559182000, 0.0764541000, 0.1415934000, 0.3544899000, 1.0461071000", \
+                        "0.0501290000, 0.0519469000, 0.0579307000, 0.0779220000, 0.1420391000, 0.3527414000, 1.0467670000");
+                }
+            }
+            max_capacitance : 0.6225680000;
+            max_transition : 1.5019950000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.4284925000, 0.4321941000, 0.4423047000, 0.4659346000, 0.5151804000, 0.6272079000, 0.9447566000", \
+                        "0.4331685000, 0.4368633000, 0.4470976000, 0.4707306000, 0.5202038000, 0.6319299000, 0.9497633000", \
+                        "0.4443255000, 0.4480489000, 0.4582560000, 0.4819148000, 0.5314576000, 0.6430140000, 0.9609673000", \
+                        "0.4699173000, 0.4736653000, 0.4838367000, 0.5076669000, 0.5568392000, 0.6686635000, 0.9860633000", \
+                        "0.5179819000, 0.5217022000, 0.5319227000, 0.5555842000, 0.6050702000, 0.7166566000, 1.0342954000", \
+                        "0.5865703000, 0.5903557000, 0.6005407000, 0.6242005000, 0.6734555000, 0.7853897000, 1.1027536000", \
+                        "0.6709817000, 0.6747072000, 0.6848607000, 0.7085558000, 0.7581344000, 0.8697149000, 1.1872144000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.5717622000, 0.5765045000, 0.5893120000, 0.6190649000, 0.6924932000, 0.9136427000, 1.6341102000", \
+                        "0.5762104000, 0.5809723000, 0.5936487000, 0.6235505000, 0.6973653000, 0.9176381000, 1.6383025000", \
+                        "0.5874511000, 0.5922746000, 0.6048618000, 0.6349319000, 0.7086817000, 0.9293988000, 1.6504240000", \
+                        "0.6123801000, 0.6172082000, 0.6297872000, 0.6598624000, 0.7334103000, 0.9536896000, 1.6746365000", \
+                        "0.6597666000, 0.6645022000, 0.6770449000, 0.7070663000, 0.7806367000, 1.0008995000, 1.7207104000", \
+                        "0.7290220000, 0.7337266000, 0.7466210000, 0.7763912000, 0.8503242000, 1.0712047000, 1.7929405000", \
+                        "0.8186149000, 0.8233222000, 0.8360287000, 0.8660978000, 0.9398573000, 1.1600250000, 1.8817088000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0360117000, 0.0380370000, 0.0446432000, 0.0593286000, 0.0987997000, 0.2173298000, 0.6311101000", \
+                        "0.0360349000, 0.0381436000, 0.0443646000, 0.0594668000, 0.0984293000, 0.2170178000, 0.6310075000", \
+                        "0.0360619000, 0.0383774000, 0.0443498000, 0.0601324000, 0.0981054000, 0.2168160000, 0.6312500000", \
+                        "0.0363050000, 0.0384261000, 0.0446557000, 0.0594409000, 0.0990135000, 0.2171381000, 0.6274269000", \
+                        "0.0357993000, 0.0381389000, 0.0443522000, 0.0601772000, 0.0991845000, 0.2174672000, 0.6321128000", \
+                        "0.0361063000, 0.0383930000, 0.0441314000, 0.0593441000, 0.0991100000, 0.2170476000, 0.6283670000", \
+                        "0.0360744000, 0.0383484000, 0.0445071000, 0.0601710000, 0.0987109000, 0.2174121000, 0.6270097000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0390928000, 0.0420609000, 0.0507045000, 0.0757399000, 0.1620567000, 0.4683606000, 1.4944875000", \
+                        "0.0389755000, 0.0419461000, 0.0507103000, 0.0757944000, 0.1619539000, 0.4680689000, 1.4927245000", \
+                        "0.0393475000, 0.0418872000, 0.0504232000, 0.0758617000, 0.1619926000, 0.4675559000, 1.4954976000", \
+                        "0.0393080000, 0.0420011000, 0.0504184000, 0.0758780000, 0.1617079000, 0.4678594000, 1.4947664000", \
+                        "0.0389229000, 0.0420632000, 0.0505382000, 0.0761564000, 0.1621217000, 0.4676407000, 1.4945839000", \
+                        "0.0391077000, 0.0421535000, 0.0508203000, 0.0759162000, 0.1619707000, 0.4688219000, 1.4941088000", \
+                        "0.0392064000, 0.0423718000, 0.0504592000, 0.0758573000, 0.1620008000, 0.4679588000, 1.4958811000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.3906759000, 0.3947574000, 0.4059705000, 0.4337536000, 0.5061108000, 0.7268277000, 1.4478989000", \
+                        "0.3951418000, 0.3989618000, 0.4101809000, 0.4381290000, 0.5106534000, 0.7309117000, 1.4517330000", \
+                        "0.4075777000, 0.4115141000, 0.4227912000, 0.4506797000, 0.5231020000, 0.7436625000, 1.4648177000", \
+                        "0.4401818000, 0.4444075000, 0.4556580000, 0.4835905000, 0.5559058000, 0.7770359000, 1.4968637000", \
+                        "0.5169347000, 0.5207990000, 0.5319921000, 0.5598734000, 0.6324171000, 0.8536604000, 1.5730965000", \
+                        "0.6793061000, 0.6833747000, 0.6945112000, 0.7224204000, 0.7948366000, 1.0161770000, 1.7356486000", \
+                        "0.9691504000, 0.9733365000, 0.9845822000, 1.0126103000, 1.0850896000, 1.3052686000, 2.0249802000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0318401000, 0.0349739000, 0.0437718000, 0.0700532000, 0.1587046000, 0.4690467000, 1.4937702000", \
+                        "0.0316945000, 0.0347259000, 0.0433704000, 0.0700053000, 0.1591753000, 0.4695433000, 1.4985602000", \
+                        "0.0316039000, 0.0346788000, 0.0435802000, 0.0700766000, 0.1592733000, 0.4703238000, 1.4987888000", \
+                        "0.0318493000, 0.0348725000, 0.0434566000, 0.0700030000, 0.1593679000, 0.4688346000, 1.4973740000", \
+                        "0.0316487000, 0.0348440000, 0.0436162000, 0.0702510000, 0.1590421000, 0.4695487000, 1.4927148000", \
+                        "0.0317936000, 0.0347784000, 0.0436296000, 0.0700047000, 0.1593451000, 0.4698462000, 1.4976833000", \
+                        "0.0322716000, 0.0355918000, 0.0441644000, 0.0701699000, 0.1592196000, 0.4669418000, 1.5019950000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0033590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051231000, 0.0051557000, 0.0052307000, 0.0052374000, 0.0052529000, 0.0052886000, 0.0053710000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0012562000, 0.0012139000, 0.0011164000, 0.0011072000, 0.0010859000, 0.0010369000, 0.0009240000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0034190000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.131056600, -0.082847000, -0.103192100", \
+                        "-0.268377600, -0.218947300, -0.238071600", \
+                        "-0.374985700, -0.325555300, -0.341017600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1484844000, 0.1051576000, 0.1303854000", \
+                        "0.2833639000, 0.2375957000, 0.2603821000", \
+                        "0.3887513000, 0.3429831000, 0.3621074000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2400893000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfxbp_2") {
+        leakage_power () {
+            value : 0.0119064000;
+            when : "CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0108319000;
+            when : "!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0134870000;
+            when : "CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0131686000;
+            when : "!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0120101000;
+            when : "!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0108270000;
+            when : "CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0130602000;
+            when : "CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0134159000;
+            when : "!CLK&!D&Q&!Q_N";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__dfxbp";
+        cell_leakage_power : 0.0123383900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017800000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0226628000, 0.0225479000, 0.0222832000, 0.0223632000, 0.0225475000, 0.0229725000, 0.0239523000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0178197000, 0.0176966000, 0.0174129000, 0.0174501000, 0.0175357000, 0.0177334000, 0.0181890000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018650000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2093310000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1851638000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0016770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0055389000, 0.0054574000, 0.0052694000, 0.0053319000, 0.0054760000, 0.0058082000, 0.0065740000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000455300, -0.000515100, -0.000652700, -0.000604900, -0.000494700, -0.000240400, 0.0003457000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016730000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1045391000, 0.3199850000, 0.6219056000", \
+                        "-0.008367800, 0.2009746000, 0.4992331000", \
+                        "-0.096665400, 0.1090150000, 0.4048320000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0544902000, 0.1710592000, 0.2544740000", \
+                        "-0.015692100, 0.0862285000, 0.1513327000", \
+                        "-0.045395800, 0.0516419000, 0.1118633000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.040724600, -0.242742800, -0.500718100", \
+                        "0.0685202000, -0.133498000, -0.403680400", \
+                        "0.1446107000, -0.054966100, -0.327589900");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.028517600, -0.130438200, -0.184556000", \
+                        "0.0355612000, -0.060255900, -0.114373700", \
+                        "0.0579408000, -0.035434900, -0.089552700");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
+                    values("-0.005746100, -0.000554700, 0.0101077000, 0.0217896000, 0.0092629000, -0.082375300, -0.385012600", \
+                        "-0.005684500, -0.000511000, 0.0101369000, 0.0218088000, 0.0093105000, -0.082316500, -0.384993000", \
+                        "-0.005549000, -0.000380900, 0.0102170000, 0.0218235000, 0.0092582000, -0.082443800, -0.385156400", \
+                        "-0.005590000, -0.000451400, 0.0100912000, 0.0216018000, 0.0088505000, -0.082874000, -0.385586500", \
+                        "-0.005643700, -0.000520200, 0.0099935000, 0.0214542000, 0.0086827000, -0.083102900, -0.385870200", \
+                        "-0.005740500, -0.000604900, 0.0099037000, 0.0213946000, 0.0086319000, -0.083117700, -0.385877900", \
+                        "-0.005914100, -0.000697700, 0.0100129000, 0.0218508000, 0.0094369000, -0.082497700, -0.385270600");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
+                    values("-0.007368300, -0.004603000, 0.0026009000, 0.0200155000, 0.0613637000, 0.1692873000, 0.4763728000", \
+                        "-0.007316400, -0.004562000, 0.0025962000, 0.0199795000, 0.0613405000, 0.1692190000, 0.4794763000", \
+                        "-0.007182300, -0.004442600, 0.0026952000, 0.0200202000, 0.0612611000, 0.1696448000, 0.4776952000", \
+                        "-0.007216800, -0.004504800, 0.0025657000, 0.0197987000, 0.0609143000, 0.1690306000, 0.4775162000", \
+                        "-0.007271500, -0.004578300, 0.0024493000, 0.0196147000, 0.0606326000, 0.1693911000, 0.4748742000", \
+                        "-0.007370500, -0.004678500, 0.0023446000, 0.0195079000, 0.0606021000, 0.1685264000, 0.4780004000", \
+                        "-0.007539900, -0.004748600, 0.0024999000, 0.0199316000, 0.0609999000, 0.1692889000, 0.4788320000");
+                }
+            }
+            max_capacitance : 0.2920630000;
+            max_transition : 1.5026260000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.2939337000, 0.2991456000, 0.3105704000, 0.3338237000, 0.3828770000, 0.5017026000, 0.8331890000", \
+                        "0.2986641000, 0.3038158000, 0.3152867000, 0.3383178000, 0.3875935000, 0.5064137000, 0.8379199000", \
+                        "0.3097049000, 0.3148803000, 0.3263292000, 0.3496073000, 0.3986365000, 0.5174657000, 0.8483946000", \
+                        "0.3354556000, 0.3405949000, 0.3520446000, 0.3752912000, 0.4243495000, 0.5431761000, 0.8750231000", \
+                        "0.3825875000, 0.3877902000, 0.3992241000, 0.4224771000, 0.4715326000, 0.5903603000, 0.9218285000", \
+                        "0.4494993000, 0.4546689000, 0.4660974000, 0.4893612000, 0.5384150000, 0.6572429000, 0.9885449000", \
+                        "0.5320565000, 0.5372565000, 0.5487030000, 0.5719559000, 0.6210189000, 0.7398491000, 1.0713375000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.2915415000, 0.2974732000, 0.3111724000, 0.3428633000, 0.4263008000, 0.6614584000, 1.3434092000", \
+                        "0.2963151000, 0.3021714000, 0.3158973000, 0.3476527000, 0.4310308000, 0.6660844000, 1.3439048000", \
+                        "0.3070964000, 0.3130338000, 0.3267790000, 0.3584773000, 0.4419116000, 0.6769550000, 1.3543232000", \
+                        "0.3331387000, 0.3390565000, 0.3527865000, 0.3845348000, 0.4678624000, 0.7029640000, 1.3811806000", \
+                        "0.3814105000, 0.3873324000, 0.4010140000, 0.4327500000, 0.5161817000, 0.7511866000, 1.4292345000", \
+                        "0.4523299000, 0.4582610000, 0.4719295000, 0.5036253000, 0.5871542000, 0.8222781000, 1.4993913000", \
+                        "0.5449576000, 0.5509430000, 0.5646837000, 0.5963235000, 0.6797641000, 0.9150148000, 1.5924395000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.0198373000, 0.0231327000, 0.0309251000, 0.0498398000, 0.0997312000, 0.2437546000, 0.6823145000", \
+                        "0.0196640000, 0.0230318000, 0.0308999000, 0.0501471000, 0.0995483000, 0.2437247000, 0.6822563000", \
+                        "0.0198109000, 0.0230543000, 0.0311045000, 0.0501161000, 0.0994619000, 0.2439108000, 0.6830778000", \
+                        "0.0196387000, 0.0230427000, 0.0309121000, 0.0502598000, 0.0996850000, 0.2441380000, 0.6856588000", \
+                        "0.0198104000, 0.0231680000, 0.0309211000, 0.0498269000, 0.0997233000, 0.2437237000, 0.6874989000", \
+                        "0.0197163000, 0.0231023000, 0.0310053000, 0.0501585000, 0.0994668000, 0.2441724000, 0.6866173000", \
+                        "0.0198658000, 0.0230767000, 0.0310097000, 0.0502092000, 0.0994081000, 0.2439696000, 0.6793464000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.0228861000, 0.0274590000, 0.0402981000, 0.0773530000, 0.1918802000, 0.5256561000, 1.5026261000", \
+                        "0.0227768000, 0.0274077000, 0.0401665000, 0.0773535000, 0.1917161000, 0.5254573000, 1.4945482000", \
+                        "0.0229023000, 0.0274904000, 0.0402333000, 0.0773668000, 0.1916745000, 0.5253888000, 1.4970435000", \
+                        "0.0229005000, 0.0273991000, 0.0401264000, 0.0773527000, 0.1912976000, 0.5252174000, 1.4933316000", \
+                        "0.0228419000, 0.0274808000, 0.0401537000, 0.0773541000, 0.1916395000, 0.5240703000, 1.4943603000", \
+                        "0.0229576000, 0.0276408000, 0.0402595000, 0.0774330000, 0.1914362000, 0.5244811000, 1.5008912000", \
+                        "0.0230037000, 0.0275850000, 0.0402911000, 0.0775002000, 0.1916715000, 0.5243518000, 1.5016002000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
+                    values("-0.005990500, -0.001073900, 0.0091447000, 0.0200345000, 0.0052049000, -0.096170000, -0.431829400", \
+                        "-0.005934900, -0.001032300, 0.0091507000, 0.0200077000, 0.0051357000, -0.096286600, -0.431961400", \
+                        "-0.005803400, -0.000913600, 0.0092290000, 0.0200410000, 0.0051192000, -0.096315400, -0.432047400", \
+                        "-0.005832500, -0.000963100, 0.0091367000, 0.0198648000, 0.0048579000, -0.096618000, -0.432330600", \
+                        "-0.005890700, -0.001045800, 0.0090095000, 0.0196516000, 0.0045285000, -0.097008300, -0.432747400", \
+                        "-0.005988500, -0.001145400, 0.0089047000, 0.0195553000, 0.0044387000, -0.097105100, -0.432807400", \
+                        "-0.006169300, -0.001241900, 0.0089971000, 0.0199684000, 0.0048968000, -0.096703500, -0.432337100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
+                    values("-0.007361000, -0.004489900, 0.0031840000, 0.0218063000, 0.0663540000, 0.1856135000, 0.5269221000", \
+                        "-0.007303200, -0.004441400, 0.0032150000, 0.0218290000, 0.0663637000, 0.1856424000, 0.5271029000", \
+                        "-0.007166700, -0.004313200, 0.0033147000, 0.0218378000, 0.0662840000, 0.1853440000, 0.5282354000", \
+                        "-0.007204100, -0.004380500, 0.0031588000, 0.0216322000, 0.0659281000, 0.1852988000, 0.5277705000", \
+                        "-0.007256300, -0.004450300, 0.0030777000, 0.0214638000, 0.0657698000, 0.1846813000, 0.5262124000", \
+                        "-0.007352400, -0.004544500, 0.0029827000, 0.0213871000, 0.0656759000, 0.1838250000, 0.5251569000", \
+                        "-0.007506200, -0.004581600, 0.0031514000, 0.0218117000, 0.0661818000, 0.1847365000, 0.5233616000");
+                }
+            }
+            max_capacitance : 0.3204440000;
+            max_transition : 1.5000040000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.3731912000, 0.3778953000, 0.3884605000, 0.4101524000, 0.4564214000, 0.5735504000, 0.9111091000", \
+                        "0.3779075000, 0.3826397000, 0.3931673000, 0.4148214000, 0.4611629000, 0.5782286000, 0.9153185000", \
+                        "0.3890191000, 0.3937645000, 0.4042767000, 0.4259400000, 0.4721960000, 0.5893718000, 0.9270197000", \
+                        "0.4143366000, 0.4190992000, 0.4296436000, 0.4512953000, 0.4975888000, 0.6145358000, 0.9511834000", \
+                        "0.4628384000, 0.4675429000, 0.4781117000, 0.4997537000, 0.5460413000, 0.6631465000, 1.0007911000", \
+                        "0.5338146000, 0.5385105000, 0.5489842000, 0.5705450000, 0.6168849000, 0.7339707000, 1.0713894000", \
+                        "0.6267308000, 0.6315005000, 0.6420655000, 0.6636441000, 0.7099950000, 0.8271099000, 1.1647078000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.3774744000, 0.3827781000, 0.3953425000, 0.4250546000, 0.5052750000, 0.7373323000, 1.4205001000", \
+                        "0.3821422000, 0.3874530000, 0.3999971000, 0.4298188000, 0.5100953000, 0.7423455000, 1.4252451000", \
+                        "0.3932538000, 0.3985847000, 0.4111124000, 0.4408532000, 0.5210570000, 0.7531054000, 1.4362779000", \
+                        "0.4189314000, 0.4242763000, 0.4366814000, 0.4665681000, 0.5467103000, 0.7787018000, 1.4618713000", \
+                        "0.4660918000, 0.4714143000, 0.4839641000, 0.5136814000, 0.5937965000, 0.8259054000, 1.5089726000", \
+                        "0.5329846000, 0.5382764000, 0.5507867000, 0.5806411000, 0.6607120000, 0.8930132000, 1.5757120000", \
+                        "0.6156549000, 0.6209541000, 0.6335176000, 0.6631512000, 0.7434952000, 0.9765194000, 1.6592211000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.0187029000, 0.0218779000, 0.0289293000, 0.0468728000, 0.0953051000, 0.2454093000, 0.7025816000", \
+                        "0.0186896000, 0.0216368000, 0.0288264000, 0.0470670000, 0.0956636000, 0.2444467000, 0.7022690000", \
+                        "0.0187617000, 0.0216733000, 0.0288741000, 0.0470651000, 0.0957222000, 0.2452724000, 0.7025432000", \
+                        "0.0187542000, 0.0216799000, 0.0288893000, 0.0470978000, 0.0954611000, 0.2446547000, 0.7032816000", \
+                        "0.0185553000, 0.0215163000, 0.0293217000, 0.0471148000, 0.0956309000, 0.2452111000, 0.6978825000", \
+                        "0.0185762000, 0.0215259000, 0.0290220000, 0.0470565000, 0.0959132000, 0.2451253000, 0.7007563000", \
+                        "0.0185898000, 0.0215943000, 0.0288889000, 0.0471331000, 0.0955531000, 0.2451730000, 0.6958025000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.0198138000, 0.0241140000, 0.0360235000, 0.0717001000, 0.1830411000, 0.5148825000, 1.4977699000", \
+                        "0.0199296000, 0.0240958000, 0.0360666000, 0.0716995000, 0.1830006000, 0.5160203000, 1.4997453000", \
+                        "0.0196496000, 0.0239790000, 0.0359694000, 0.0717413000, 0.1830314000, 0.5149990000, 1.4967306000", \
+                        "0.0198208000, 0.0240416000, 0.0359606000, 0.0717954000, 0.1826920000, 0.5155061000, 1.4979881000", \
+                        "0.0198502000, 0.0241582000, 0.0360400000, 0.0716244000, 0.1830282000, 0.5155956000, 1.4983632000", \
+                        "0.0196348000, 0.0240388000, 0.0360382000, 0.0717838000, 0.1828325000, 0.5159727000, 1.5000038000", \
+                        "0.0197884000, 0.0241350000, 0.0360132000, 0.0718167000, 0.1828389000, 0.5155632000, 1.4953894000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxtp_1 */
+
+/* removed sky130_fd_sc_hd__dfxtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfxtp_4") {
+        leakage_power () {
+            value : 0.0083293000;
+            when : "CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0080413000;
+            when : "!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0100255000;
+            when : "!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0088792000;
+            when : "CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0079211000;
+            when : "CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0082837000;
+            when : "!CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0099385000;
+            when : "CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0088461000;
+            when : "!CLK&!D&!Q";
+        }
+        area : 23.772800000;
+        cell_footprint : "sky130_fd_sc_hd__dfxtp";
+        cell_leakage_power : 0.0087830730;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017750000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017010000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0215156000, 0.0214006000, 0.0211353000, 0.0212090000, 0.0213791000, 0.0217709000, 0.0226744000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163159000, 0.0161908000, 0.0159023000, 0.0159198000, 0.0159602000, 0.0160533000, 0.0162681000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018480000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2016414000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1895578000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0015530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0049067000, 0.0048332000, 0.0046638000, 0.0047201000, 0.0048498000, 0.0051487000, 0.0058380000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("4.5862743e-06, -5.9611988e-05, -0.000207600, -0.000158700, -4.6108282e-05, 0.0002135000, 0.0008119000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015970000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1045391000, 0.3187643000, 0.6145814000", \
+                        "-0.005926400, 0.2021953000, 0.4943503000", \
+                        "-0.085679000, 0.1187806000, 0.4060527000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0569316000, 0.1747214000, 0.2569154000", \
+                        "-0.014471400, 0.0886699000, 0.1562155000", \
+                        "-0.042954400, 0.0553040000, 0.1167461000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.038283200, -0.237860000, -0.486069600", \
+                        "0.0648581000, -0.134718700, -0.396356100", \
+                        "0.1336244000, -0.062290400, -0.327589900");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.028517600, -0.131658800, -0.185776700", \
+                        "0.0343405000, -0.061476600, -0.115594400", \
+                        "0.0567201000, -0.037876300, -0.091994100");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
+                    values("0.0325915000, 0.0308688000, 0.0255683000, 0.0092707000, -0.046987400, -0.235887600, -0.845214800", \
+                        "0.0325283000, 0.0309160000, 0.0255453000, 0.0092825000, -0.046977600, -0.235860400, -0.845192200", \
+                        "0.0326638000, 0.0309763000, 0.0256880000, 0.0094334000, -0.046863500, -0.235767900, -0.845093000", \
+                        "0.0322145000, 0.0304730000, 0.0252135000, 0.0089116000, -0.047353200, -0.236251200, -0.845550100", \
+                        "0.0319152000, 0.0302867000, 0.0249496000, 0.0086724000, -0.047567300, -0.236471200, -0.845805000", \
+                        "0.0320546000, 0.0303536000, 0.0249884000, 0.0088424000, -0.047508100, -0.236396500, -0.845744200", \
+                        "0.0368505000, 0.0351573000, 0.0297109000, 0.0120326000, -0.046139000, -0.235628000, -0.845086200");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
+                    values("0.0360772000, 0.0380032000, 0.0440492000, 0.0631591000, 0.1223195000, 0.3099228000, 0.9141283000", \
+                        "0.0360516000, 0.0380029000, 0.0440639000, 0.0631722000, 0.1223545000, 0.3099230000, 0.9194788000", \
+                        "0.0362177000, 0.0381220000, 0.0441914000, 0.0632922000, 0.1224452000, 0.3101700000, 0.9170330000", \
+                        "0.0357324000, 0.0376431000, 0.0437066000, 0.0628049000, 0.1219554000, 0.3095204000, 0.9134385000", \
+                        "0.0353261000, 0.0372813000, 0.0433476000, 0.0624429000, 0.1215846000, 0.3090473000, 0.9103406000", \
+                        "0.0352455000, 0.0371233000, 0.0432971000, 0.0624707000, 0.1215812000, 0.3087925000, 0.9140495000", \
+                        "0.0371598000, 0.0389855000, 0.0448687000, 0.0636276000, 0.1229831000, 0.3110095000, 0.9114014000");
+                }
+            }
+            max_capacitance : 0.5465800000;
+            max_transition : 1.5072410000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.3049624000, 0.3085415000, 0.3178988000, 0.3386857000, 0.3829389000, 0.4904024000, 0.8119742000", \
+                        "0.3096234000, 0.3132014000, 0.3225409000, 0.3434068000, 0.3876060000, 0.4950955000, 0.8173437000", \
+                        "0.3208047000, 0.3243626000, 0.3336722000, 0.3545471000, 0.3986181000, 0.5062199000, 0.8284514000", \
+                        "0.3464531000, 0.3500527000, 0.3594342000, 0.3802832000, 0.4244696000, 0.5319589000, 0.8549259000", \
+                        "0.3926958000, 0.3962367000, 0.4055965000, 0.4264785000, 0.4706843000, 0.5781396000, 0.9009751000", \
+                        "0.4571456000, 0.4606838000, 0.4700447000, 0.4909137000, 0.5352387000, 0.6426148000, 0.9648238000", \
+                        "0.5352493000, 0.5388660000, 0.5481988000, 0.5690108000, 0.6132437000, 0.7207601000, 1.0424230000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.3036754000, 0.3076816000, 0.3183963000, 0.3450651000, 0.4173999000, 0.6421093000, 1.3662941000", \
+                        "0.3082892000, 0.3123284000, 0.3230377000, 0.3496917000, 0.4220244000, 0.6467577000, 1.3692040000", \
+                        "0.3193385000, 0.3232853000, 0.3340500000, 0.3607293000, 0.4330705000, 0.6577638000, 1.3819251000", \
+                        "0.3449731000, 0.3489183000, 0.3596802000, 0.3863653000, 0.4587125000, 0.6833926000, 1.4076605000", \
+                        "0.3922779000, 0.3963088000, 0.4070815000, 0.4337645000, 0.5061230000, 0.7307539000, 1.4492644000", \
+                        "0.4599940000, 0.4640512000, 0.4748102000, 0.5014663000, 0.5737781000, 0.7984674000, 1.5172652000", \
+                        "0.5475814000, 0.5515320000, 0.5623177000, 0.5890354000, 0.6614193000, 0.8859746000, 1.6055151000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.0248724000, 0.0270659000, 0.0328093000, 0.0472901000, 0.0868302000, 0.2110268000, 0.6402268000", \
+                        "0.0249009000, 0.0271889000, 0.0327922000, 0.0474050000, 0.0870755000, 0.2106803000, 0.6343376000", \
+                        "0.0248607000, 0.0270856000, 0.0328353000, 0.0474125000, 0.0868028000, 0.2105795000, 0.6343514000", \
+                        "0.0251094000, 0.0271272000, 0.0330610000, 0.0477060000, 0.0870384000, 0.2107602000, 0.6364916000", \
+                        "0.0249102000, 0.0271312000, 0.0330175000, 0.0473735000, 0.0870776000, 0.2107678000, 0.6408650000", \
+                        "0.0249287000, 0.0271549000, 0.0328394000, 0.0476896000, 0.0862904000, 0.2106304000, 0.6355685000", \
+                        "0.0251143000, 0.0271376000, 0.0330894000, 0.0473594000, 0.0869250000, 0.2105509000, 0.6342062000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.0253058000, 0.0285074000, 0.0372656000, 0.0650833000, 0.1600722000, 0.4768411000, 1.5051608000", \
+                        "0.0255086000, 0.0283707000, 0.0372961000, 0.0650920000, 0.1599277000, 0.4764398000, 1.5072412000", \
+                        "0.0252954000, 0.0284443000, 0.0372562000, 0.0650629000, 0.1600551000, 0.4769070000, 1.5031556000", \
+                        "0.0252985000, 0.0284381000, 0.0372488000, 0.0650498000, 0.1600406000, 0.4769751000, 1.5048108000", \
+                        "0.0255825000, 0.0284138000, 0.0373266000, 0.0650019000, 0.1599855000, 0.4772211000, 1.4988961000", \
+                        "0.0255681000, 0.0284190000, 0.0372491000, 0.0650777000, 0.1597754000, 0.4765168000, 1.5062674000", \
+                        "0.0254853000, 0.0285354000, 0.0374050000, 0.0651745000, 0.1598625000, 0.4772151000, 1.5028120000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__diode_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_1 */
+
+/* removed sky130_fd_sc_hd__dlclkp_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_4 */
+
+/* removed sky130_fd_sc_hd__dlrbn_1 */
+
+/* removed sky130_fd_sc_hd__dlrbn_2 */
+
+/* removed sky130_fd_sc_hd__dlrbp_1 */
+
+/* removed sky130_fd_sc_hd__dlrbp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_1 */
+
+/* removed sky130_fd_sc_hd__dlrtn_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_4 */
+
+/* removed sky130_fd_sc_hd__dlrtp_1 */
+
+/* removed sky130_fd_sc_hd__dlrtp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtp_4 */
+
+/* removed sky130_fd_sc_hd__dlxbn_1 */
+
+/* removed sky130_fd_sc_hd__dlxbn_2 */
+
+/* removed sky130_fd_sc_hd__dlxbp_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_2 */
+
+/* removed sky130_fd_sc_hd__dlxtn_4 */
+
+/* removed sky130_fd_sc_hd__dlxtp_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_2 */
+
+/* removed sky130_fd_sc_hd__ebufn_4 */
+
+/* removed sky130_fd_sc_hd__ebufn_8 */
+
+/* removed sky130_fd_sc_hd__edfxbp_1 */
+
+/* removed sky130_fd_sc_hd__edfxtp_1 */
+
+/* removed sky130_fd_sc_hd__einvn_0 */
+
+/* removed sky130_fd_sc_hd__einvn_1 */
+
+/* removed sky130_fd_sc_hd__einvn_2 */
+
+/* removed sky130_fd_sc_hd__einvn_4 */
+
+/* removed sky130_fd_sc_hd__einvn_8 */
+
+/* removed sky130_fd_sc_hd__einvp_1 */
+
+/* removed sky130_fd_sc_hd__einvp_2 */
+
+/* removed sky130_fd_sc_hd__einvp_4 */
+
+/* removed sky130_fd_sc_hd__einvp_8 */
+
+/* removed sky130_fd_sc_hd__fa_1 */
+
+/* removed sky130_fd_sc_hd__fa_2 */
+
+/* removed sky130_fd_sc_hd__fa_4 */
+
+/* removed sky130_fd_sc_hd__fah_1 */
+
+/* removed sky130_fd_sc_hd__fahcin_1 */
+
+/* removed sky130_fd_sc_hd__fahcon_1 */
+
+/* removed sky130_fd_sc_hd__ha_1 */
+
+/* removed sky130_fd_sc_hd__ha_2 */
+
+/* removed sky130_fd_sc_hd__ha_4 */
+
+/* removed sky130_fd_sc_hd__inv_1 */
+
+/* removed sky130_fd_sc_hd__inv_12 */
+
+    cell ("sky130_fd_sc_hd__inv_16") {
+        leakage_power () {
+            value : 0.0106779000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0088949000;
+            when : "!A";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0097863830;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0334420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0318840000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0349990000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
+                    values("-0.030674500, -0.032467200, -0.039748000, -0.071664200, -0.204577500, -0.725957200, -2.746443500", \
+                        "-0.034291900, -0.036135800, -0.043434800, -0.074455100, -0.205774200, -0.726450400, -2.746769000", \
+                        "-0.037187700, -0.039198400, -0.047016800, -0.078200400, -0.207941200, -0.727231500, -2.747056700", \
+                        "-0.038362800, -0.040592900, -0.049030100, -0.081728000, -0.211029500, -0.728448800, -2.747455000", \
+                        "-0.033762100, -0.036185600, -0.045457900, -0.079643200, -0.213383200, -0.730580500, -2.748166300", \
+                        "-0.022081300, -0.024792500, -0.035079300, -0.072970700, -0.210198800, -0.728775500, -2.748363600", \
+                        "0.0097426000, 0.0063139000, -0.005425700, -0.046842300, -0.193580100, -0.723880000, -2.746717800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
+                    values("0.0967107000, 0.0997483000, 0.1109936000, 0.1501386000, 0.2871024000, 0.8057040000, 2.7963814000", \
+                        "0.0944329000, 0.0971734000, 0.1076123000, 0.1461634000, 0.2850891000, 0.8039616000, 2.8025622000", \
+                        "0.0936338000, 0.0960910000, 0.1057220000, 0.1422643000, 0.2814786000, 0.8028242000, 2.8037671000", \
+                        "0.0941157000, 0.0965133000, 0.1071366000, 0.1409863000, 0.2776375000, 0.7989318000, 2.7958338000", \
+                        "0.1002031000, 0.1024628000, 0.1110491000, 0.1432086000, 0.2768670000, 0.7948048000, 2.7899073000", \
+                        "0.1095516000, 0.1114562000, 0.1191311000, 0.1511014000, 0.2812488000, 0.7933454000, 2.7910548000", \
+                        "0.1374594000, 0.1389749000, 0.1452969000, 0.1735626000, 0.2969403000, 0.8050621000, 2.7883007000");
+                }
+            }
+            max_capacitance : 1.6818330000;
+            max_transition : 1.5007520000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0152930000, 0.0158333000, 0.0177892000, 0.0242292000, 0.0463429000, 0.1299108000, 0.4538259000", \
+                        "0.0188253000, 0.0194521000, 0.0215532000, 0.0281749000, 0.0504815000, 0.1343457000, 0.4582893000", \
+                        "0.0229632000, 0.0239117000, 0.0272025000, 0.0368235000, 0.0608603000, 0.1450231000, 0.4687391000", \
+                        "0.0254070000, 0.0268786000, 0.0320302000, 0.0471170000, 0.0826403000, 0.1696619000, 0.4924210000", \
+                        "0.0210408000, 0.0233437000, 0.0313762000, 0.0549018000, 0.1106650000, 0.2270754000, 0.5504865000", \
+                        "-0.003563900, 1.840000e-05, 0.0123568000, 0.0487574000, 0.1365364000, 0.3142955000, 0.6832507000", \
+                        "-0.080721300, -0.075057500, -0.056605800, -0.000913900, 0.1341887000, 0.4148222000, 0.9557204000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0244637000, 0.0256173000, 0.0298987000, 0.0444630000, 0.0949800000, 0.2856741000, 1.0256670000", \
+                        "0.0293181000, 0.0304239000, 0.0344107000, 0.0487690000, 0.0998363000, 0.2905712000, 1.0239755000", \
+                        "0.0418382000, 0.0431627000, 0.0475056000, 0.0612770000, 0.1121823000, 0.3035129000, 1.0346417000", \
+                        "0.0616400000, 0.0637383000, 0.0709355000, 0.0910300000, 0.1431699000, 0.3341031000, 1.0729195000", \
+                        "0.0944502000, 0.0976167000, 0.1086020000, 0.1408403000, 0.2159773000, 0.4070581000, 1.1408607000", \
+                        "0.1531090000, 0.1576815000, 0.1737020000, 0.2227999000, 0.3401355000, 0.5801024000, 1.3090837000", \
+                        "0.2686322000, 0.2748245000, 0.2970122000, 0.3678749000, 0.5477521000, 0.9217622000, 1.7041840000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0065605000, 0.0070460000, 0.0090156000, 0.0170993000, 0.0492442000, 0.1747627000, 0.6592694000", \
+                        "0.0077545000, 0.0081687000, 0.0098562000, 0.0173326000, 0.0493403000, 0.1748288000, 0.6599624000", \
+                        "0.0122431000, 0.0128359000, 0.0151293000, 0.0225164000, 0.0503217000, 0.1746397000, 0.6601223000", \
+                        "0.0208532000, 0.0216998000, 0.0249784000, 0.0349794000, 0.0630429000, 0.1749580000, 0.6604945000", \
+                        "0.0364075000, 0.0379101000, 0.0430022000, 0.0577685000, 0.0953186000, 0.1974999000, 0.6590105000", \
+                        "0.0650616000, 0.0669402000, 0.0747888000, 0.0981152000, 0.1536593000, 0.2800197000, 0.6833182000", \
+                        "0.1193746000, 0.1228139000, 0.1347092000, 0.1710026000, 0.2540403000, 0.4320174000, 0.8453999000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0138044000, 0.0150460000, 0.0198161000, 0.0386202000, 0.1116631000, 0.3939241000, 1.4836817000", \
+                        "0.0140210000, 0.0152017000, 0.0199031000, 0.0385668000, 0.1113631000, 0.3935619000, 1.4872183000", \
+                        "0.0188524000, 0.0196174000, 0.0230981000, 0.0391955000, 0.1115320000, 0.3936547000, 1.4838160000", \
+                        "0.0307897000, 0.0320439000, 0.0370288000, 0.0515338000, 0.1128347000, 0.3925901000, 1.4850964000", \
+                        "0.0495808000, 0.0517571000, 0.0593213000, 0.0811927000, 0.1383305000, 0.3924309000, 1.4797776000", \
+                        "0.0802118000, 0.0836347000, 0.0956465000, 0.1303082000, 0.2111467000, 0.4273958000, 1.4814652000", \
+                        "0.1361709000, 0.1405824000, 0.1566964000, 0.2082857000, 0.3366694000, 0.5981944000, 1.5007523000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__inv_2") {
+        leakage_power () {
+            value : 0.0079423000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0005535000;
+            when : "!A";
+        }
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0042479070;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042760000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046420000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
+                    values("-0.004872900, -0.006180700, -0.010453400, -0.023931400, -0.064515700, -0.184718100, -0.539778600", \
+                        "-0.005354600, -0.006681800, -0.010863900, -0.024165200, -0.064604400, -0.184753500, -0.539797800", \
+                        "-0.005688900, -0.007092100, -0.011387800, -0.024547400, -0.064787200, -0.184817000, -0.539825700", \
+                        "-0.005417200, -0.007053000, -0.011521900, -0.024937800, -0.065092600, -0.184962400, -0.539885700", \
+                        "-0.004931500, -0.006566900, -0.011345100, -0.024948000, -0.065357200, -0.185164900, -0.539963400", \
+                        "-0.002729700, -0.004576800, -0.009821000, -0.023764500, -0.064850300, -0.185216200, -0.540020800", \
+                        "0.0026942000, 0.0005644000, -0.005112800, -0.020675300, -0.062857500, -0.184263200, -0.539774700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
+                    values("0.0129073000, 0.0147627000, 0.0198879000, 0.0340719000, 0.0747268000, 0.1938930000, 0.5478985000", \
+                        "0.0126104000, 0.0143517000, 0.0194124000, 0.0336506000, 0.0744926000, 0.1940106000, 0.5436985000", \
+                        "0.0125047000, 0.0143132000, 0.0190767000, 0.0331759000, 0.0742976000, 0.1924800000, 0.5446534000", \
+                        "0.0126884000, 0.0144153000, 0.0189569000, 0.0328509000, 0.0734457000, 0.1937375000, 0.5453426000", \
+                        "0.0135492000, 0.0149941000, 0.0194349000, 0.0329725000, 0.0731844000, 0.1931974000, 0.5464750000", \
+                        "0.0149532000, 0.0163193000, 0.0205688000, 0.0337550000, 0.0737759000, 0.1921072000, 0.5425809000", \
+                        "0.0206515000, 0.0217040000, 0.0253143000, 0.0377926000, 0.0767143000, 0.1949605000, 0.5439724000");
+                }
+            }
+            max_capacitance : 0.3314310000;
+            max_transition : 1.4978170000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
+                    values("0.0119446000, 0.0137840000, 0.0188149000, 0.0327326000, 0.0729366000, 0.1922578000, 0.5454940000", \
+                        "0.0157429000, 0.0180991000, 0.0233701000, 0.0374622000, 0.0781416000, 0.1961942000, 0.5456924000", \
+                        "0.0203785000, 0.0240707000, 0.0324561000, 0.0487044000, 0.0892794000, 0.2076327000, 0.5571236000", \
+                        "0.0248258000, 0.0307580000, 0.0439276000, 0.0689207000, 0.1156458000, 0.2359077000, 0.5860208000", \
+                        "0.0262075000, 0.0354142000, 0.0559354000, 0.0961932000, 0.1673476000, 0.2955090000, 0.6455342000", \
+                        "0.0157468000, 0.0301166000, 0.0619237000, 0.1246900000, 0.2371069000, 0.4263973000, 0.7871234000", \
+                        "-0.027559700, -0.005392600, 0.0434940000, 0.1403033000, 0.3151930000, 0.6122458000, 1.1101468000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
+                    values("0.0175587000, 0.0211484000, 0.0310262000, 0.0584472000, 0.1371815000, 0.3662591000, 1.0435811000", \
+                        "0.0230691000, 0.0264576000, 0.0360719000, 0.0633862000, 0.1425054000, 0.3734522000, 1.0627602000", \
+                        "0.0339028000, 0.0388338000, 0.0493056000, 0.0760130000, 0.1545360000, 0.3864530000, 1.0598706000", \
+                        "0.0498930000, 0.0577606000, 0.0750599000, 0.1075488000, 0.1862726000, 0.4152755000, 1.0963705000", \
+                        "0.0744968000, 0.0868541000, 0.1145327000, 0.1673453000, 0.2583118000, 0.4867961000, 1.1619753000", \
+                        "0.1156072000, 0.1340389000, 0.1760385000, 0.2596266000, 0.4057179000, 0.6556388000, 1.3298844000", \
+                        "0.1928740000, 0.2183706000, 0.2783548000, 0.4048308000, 0.6381117000, 1.0233501000, 1.7170592000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
+                    values("0.0048909000, 0.0069497000, 0.0130525000, 0.0311683000, 0.0847074000, 0.2419201000, 0.7066229000", \
+                        "0.0069554000, 0.0084546000, 0.0135709000, 0.0312350000, 0.0846748000, 0.2428864000, 0.7101457000", \
+                        "0.0116927000, 0.0141965000, 0.0197198000, 0.0335758000, 0.0847194000, 0.2435099000, 0.7091378000", \
+                        "0.0203289000, 0.0240677000, 0.0323348000, 0.0495335000, 0.0902360000, 0.2418217000, 0.7064893000", \
+                        "0.0354595000, 0.0413981000, 0.0546071000, 0.0793592000, 0.1253289000, 0.2517686000, 0.7084765000", \
+                        "0.0637251000, 0.0731900000, 0.0941919000, 0.1324136000, 0.2017282000, 0.3250714000, 0.7157009000", \
+                        "0.1183897000, 0.1329738000, 0.1635937000, 0.2237756000, 0.3292207000, 0.5112171000, 0.8526758000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
+                    values("0.0102030000, 0.0145665000, 0.0274391000, 0.0655113000, 0.1778623000, 0.5106880000, 1.4869649000", \
+                        "0.0107758000, 0.0146772000, 0.0273936000, 0.0654823000, 0.1774442000, 0.5091666000, 1.4832895000", \
+                        "0.0176433000, 0.0206470000, 0.0298357000, 0.0653682000, 0.1774688000, 0.5082211000, 1.4799179000", \
+                        "0.0287666000, 0.0338285000, 0.0452178000, 0.0722948000, 0.1771081000, 0.5095251000, 1.4848178000", \
+                        "0.0472331000, 0.0557641000, 0.0742180000, 0.1079812000, 0.1903953000, 0.5072639000, 1.4842672000", \
+                        "0.0774171000, 0.0903774000, 0.1203311000, 0.1758978000, 0.2700296000, 0.5253227000, 1.4794522000", \
+                        "0.1325493000, 0.1519492000, 0.1976181000, 0.2847110000, 0.4388531000, 0.6966839000, 1.4978170000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__inv_4") {
+        leakage_power () {
+            value : 0.0074091000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0015164000;
+            when : "!A";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0044627330;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0090040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0086000000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0094080000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
+                    values("-0.008451400, -0.009880900, -0.014971700, -0.032921400, -0.093053200, -0.288042800, -0.917349100", \
+                        "-0.009451600, -0.010960200, -0.015935200, -0.033523000, -0.093247600, -0.288115500, -0.917391700", \
+                        "-0.010269900, -0.011829900, -0.016978200, -0.034467100, -0.093737800, -0.288264700, -0.917419800", \
+                        "-0.010436900, -0.012138800, -0.017483800, -0.035422700, -0.094399700, -0.288565100, -0.917547500", \
+                        "-0.009055600, -0.010931200, -0.016856100, -0.035390000, -0.095277800, -0.289054500, -0.917716900", \
+                        "-0.005590600, -0.006977500, -0.013778700, -0.032831400, -0.094059800, -0.289007700, -0.917863100", \
+                        "0.0043734000, 0.0017246000, -0.005377400, -0.027012600, -0.090442300, -0.284430300, -0.916375900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
+                    values("0.0256205000, 0.0278349000, 0.0345681000, 0.0543527000, 0.1148598000, 0.3082690000, 0.9322412000", \
+                        "0.0250545000, 0.0271237000, 0.0334835000, 0.0534180000, 0.1143068000, 0.3084980000, 0.9313100000", \
+                        "0.0247994000, 0.0267260000, 0.0328323000, 0.0520222000, 0.1131029000, 0.3078423000, 0.9258184000", \
+                        "0.0250401000, 0.0268387000, 0.0326378000, 0.0519108000, 0.1121986000, 0.3066267000, 0.9276555000", \
+                        "0.0263479000, 0.0280637000, 0.0335432000, 0.0519775000, 0.1113991000, 0.3069891000, 0.9282885000", \
+                        "0.0290579000, 0.0307895000, 0.0351982000, 0.0532784000, 0.1129382000, 0.3043172000, 0.9301420000", \
+                        "0.0402571000, 0.0406549000, 0.0445595000, 0.0624209000, 0.1186249000, 0.3124213000, 0.9257100000");
+                }
+            }
+            max_capacitance : 0.5630590000;
+            max_transition : 1.5002550000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
+                    values("0.0119441000, 0.0131118000, 0.0165791000, 0.0269376000, 0.0588054000, 0.1629306000, 0.4909586000", \
+                        "0.0156473000, 0.0171343000, 0.0209993000, 0.0315480000, 0.0634309000, 0.1663003000, 0.4954380000", \
+                        "0.0198136000, 0.0221854000, 0.0283758000, 0.0422551000, 0.0746385000, 0.1770034000, 0.5087731000", \
+                        "0.0232107000, 0.0269733000, 0.0367368000, 0.0585419000, 0.1002393000, 0.2026346000, 0.5338917000", \
+                        "0.0216104000, 0.0276301000, 0.0429641000, 0.0770100000, 0.1427411000, 0.2629926000, 0.5917846000", \
+                        "0.0048442000, 0.0139726000, 0.0377789000, 0.0904055000, 0.1929853000, 0.3781642000, 0.7304123000", \
+                        "-0.053742200, -0.039839200, -0.003689300, 0.0783581000, 0.2392779000, 0.5265917000, 1.0342573000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
+                    values("0.0190114000, 0.0215393000, 0.0291288000, 0.0516131000, 0.1202000000, 0.3388957000, 1.0502127000", \
+                        "0.0245228000, 0.0268413000, 0.0340168000, 0.0565974000, 0.1254323000, 0.3475673000, 1.0493975000", \
+                        "0.0363076000, 0.0395220000, 0.0475006000, 0.0689426000, 0.1381457000, 0.3582837000, 1.0632979000", \
+                        "0.0540201000, 0.0592453000, 0.0722161000, 0.1008018000, 0.1697215000, 0.3898457000, 1.1047234000", \
+                        "0.0821914000, 0.0901583000, 0.1112681000, 0.1572504000, 0.2433978000, 0.4624623000, 1.1637457000", \
+                        "0.1312726000, 0.1430227000, 0.1746365000, 0.2465241000, 0.3849189000, 0.6321969000, 1.3318200000", \
+                        "0.2255666000, 0.2420417000, 0.2873321000, 0.3934108000, 0.6110205000, 1.0017863000, 1.7277610000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
+                    values("0.0049571000, 0.0062375000, 0.0103719000, 0.0238937000, 0.0668871000, 0.2087285000, 0.6548602000", \
+                        "0.0070977000, 0.0080130000, 0.0112236000, 0.0238611000, 0.0670169000, 0.2058758000, 0.6553406000", \
+                        "0.0116617000, 0.0131789000, 0.0173638000, 0.0276296000, 0.0669909000, 0.2060657000, 0.6575888000", \
+                        "0.0202925000, 0.0226678000, 0.0287989000, 0.0429853000, 0.0756389000, 0.2062924000, 0.6550083000", \
+                        "0.0354624000, 0.0390051000, 0.0489234000, 0.0703691000, 0.1125576000, 0.2207891000, 0.6543583000", \
+                        "0.0636220000, 0.0696130000, 0.0849741000, 0.1179729000, 0.1810032000, 0.3041805000, 0.6730234000", \
+                        "0.1177379000, 0.1271844000, 0.1500653000, 0.2014630000, 0.2996650000, 0.4817202000, 0.8318283000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
+                    values("0.0114302000, 0.0142771000, 0.0237116000, 0.0540961000, 0.1514573000, 0.4651357000, 1.4865850000", \
+                        "0.0115673000, 0.0143379000, 0.0237317000, 0.0540688000, 0.1513773000, 0.4700103000, 1.4776085000", \
+                        "0.0182523000, 0.0199466000, 0.0265154000, 0.0540666000, 0.1514956000, 0.4667554000, 1.4746315000", \
+                        "0.0294702000, 0.0329037000, 0.0417888000, 0.0631231000, 0.1514760000, 0.4667907000, 1.4800738000", \
+                        "0.0482627000, 0.0545717000, 0.0680175000, 0.0974604000, 0.1686737000, 0.4681324000, 1.4801701000", \
+                        "0.0791388000, 0.0869239000, 0.1099131000, 0.1596422000, 0.2484881000, 0.4876861000, 1.4865859000", \
+                        "0.1335121000, 0.1448872000, 0.1779738000, 0.2570885000, 0.4026016000, 0.6618689000, 1.5002554000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__inv_6 */
+
+    cell ("sky130_fd_sc_hd__inv_8") {
+        leakage_power () {
+            value : 0.0082791000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0038210000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0060500540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0176530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0168400000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0184670000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
+                    values("-0.015426100, -0.017031700, -0.023203100, -0.048025700, -0.141756500, -0.479709900, -1.687156600", \
+                        "-0.017287400, -0.019023500, -0.025157200, -0.049371900, -0.142235500, -0.479822600, -1.687276100", \
+                        "-0.018889200, -0.020716100, -0.027260400, -0.051365100, -0.143363300, -0.480162500, -1.687365700", \
+                        "-0.019349100, -0.021354700, -0.028201800, -0.053315000, -0.145097800, -0.480914900, -1.687397500", \
+                        "-0.016866600, -0.019047500, -0.026670600, -0.052967000, -0.146290000, -0.481858200, -1.687955100", \
+                        "-0.009756300, -0.012544400, -0.021248200, -0.049267200, -0.143573400, -0.482199100, -1.688358100", \
+                        "0.0074301000, 0.0042855000, -0.005131200, -0.036199500, -0.136855400, -0.478916000, -1.688094700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
+                    values("0.0500517000, 0.0526781000, 0.0616626000, 0.0907907000, 0.1858872000, 0.5229385000, 1.7159675000", \
+                        "0.0489046000, 0.0513336000, 0.0597713000, 0.0884790000, 0.1843756000, 0.5199276000, 1.7200452000", \
+                        "0.0488000000, 0.0510048000, 0.0586787000, 0.0865749000, 0.1839729000, 0.5171257000, 1.7152928000", \
+                        "0.0488373000, 0.0510046000, 0.0583381000, 0.0856850000, 0.1809917000, 0.5195810000, 1.7148138000", \
+                        "0.0509897000, 0.0529823000, 0.0600315000, 0.0859068000, 0.1795073000, 0.5171847000, 1.7103024000", \
+                        "0.0552576000, 0.0570631000, 0.0636992000, 0.0889754000, 0.1826954000, 0.5123181000, 1.7093391000", \
+                        "0.0728096000, 0.0741087000, 0.0795625000, 0.1022556000, 0.1917460000, 0.5219979000, 1.7044405000");
+                }
+            }
+            max_capacitance : 1.0354710000;
+            max_transition : 1.4996250000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0123297000, 0.0130319000, 0.0154135000, 0.0230701000, 0.0489012000, 0.1402316000, 0.4666703000", \
+                        "0.0159471000, 0.0168723000, 0.0196942000, 0.0275204000, 0.0536900000, 0.1451606000, 0.4703811000", \
+                        "0.0197728000, 0.0212245000, 0.0258394000, 0.0373346000, 0.0644274000, 0.1556234000, 0.4815072000", \
+                        "0.0224926000, 0.0248146000, 0.0318879000, 0.0500402000, 0.0889616000, 0.1814948000, 0.5052737000", \
+                        "0.0191159000, 0.0227360000, 0.0337779000, 0.0625044000, 0.1231077000, 0.2404573000, 0.5653590000", \
+                        "-0.002589400, 0.0030309000, 0.0201839000, 0.0651178000, 0.1601613000, 0.3434292000, 0.7014345000", \
+                        "-0.072666000, -0.063999000, -0.037823900, 0.0310945000, 0.1805251000, 0.4688840000, 0.9955849000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0202742000, 0.0219295000, 0.0275017000, 0.0455936000, 0.1049458000, 0.3147736000, 1.0550638000", \
+                        "0.0257929000, 0.0273028000, 0.0325167000, 0.0502622000, 0.1101536000, 0.3218706000, 1.0694470000", \
+                        "0.0382296000, 0.0403048000, 0.0461477000, 0.0631958000, 0.1234292000, 0.3310772000, 1.0747949000", \
+                        "0.0571632000, 0.0604406000, 0.0699357000, 0.0942658000, 0.1538116000, 0.3634873000, 1.1030601000", \
+                        "0.0880401000, 0.0931328000, 0.1086796000, 0.1474176000, 0.2291143000, 0.4343165000, 1.1747938000", \
+                        "0.1428338000, 0.1501958000, 0.1732611000, 0.2337166000, 0.3640337000, 0.6095962000, 1.3501105000", \
+                        "0.2501082000, 0.2603140000, 0.2927534000, 0.3813830000, 0.5841018000, 0.9721643000, 1.7479136000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0050158000, 0.0057564000, 0.0084430000, 0.0181318000, 0.0525400000, 0.1754386000, 0.6195076000", \
+                        "0.0070648000, 0.0076214000, 0.0095686000, 0.0181883000, 0.0527483000, 0.1765888000, 0.6151475000", \
+                        "0.0116018000, 0.0125604000, 0.0153961000, 0.0233952000, 0.0533055000, 0.1758861000, 0.6146265000", \
+                        "0.0200380000, 0.0216953000, 0.0260132000, 0.0374396000, 0.0647711000, 0.1753940000, 0.6144052000", \
+                        "0.0352642000, 0.0377053000, 0.0447282000, 0.0622156000, 0.1008997000, 0.1941964000, 0.6150727000", \
+                        "0.0632523000, 0.0669490000, 0.0782894000, 0.1059187000, 0.1652254000, 0.2829769000, 0.6345332000", \
+                        "0.1174102000, 0.1230575000, 0.1396005000, 0.1834808000, 0.2740662000, 0.4483394000, 0.8027686000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0119599000, 0.0138121000, 0.0203450000, 0.0438593000, 0.1268351000, 0.4257829000, 1.4839129000", \
+                        "0.0120751000, 0.0138756000, 0.0203696000, 0.0437900000, 0.1265724000, 0.4254328000, 1.4898222000", \
+                        "0.0180851000, 0.0192025000, 0.0235640000, 0.0438997000, 0.1280050000, 0.4225611000, 1.4858990000", \
+                        "0.0296468000, 0.0318590000, 0.0383461000, 0.0549473000, 0.1276238000, 0.4272980000, 1.4821471000", \
+                        "0.0485468000, 0.0519465000, 0.0622100000, 0.0877744000, 0.1483328000, 0.4255445000, 1.4844611000", \
+                        "0.0794384000, 0.0848726000, 0.1020007000, 0.1425726000, 0.2278606000, 0.4510004000, 1.4871820000", \
+                        "0.1345213000, 0.1419485000, 0.1656099000, 0.2296689000, 0.3676179000, 0.6189584000, 1.4996250000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__macro_sparecell */
+
+/* removed sky130_fd_sc_hd__maj3_1 */
+
+/* removed sky130_fd_sc_hd__maj3_2 */
+
+/* removed sky130_fd_sc_hd__maj3_4 */
+
+/* removed sky130_fd_sc_hd__mux2_1 */
+
+/* removed sky130_fd_sc_hd__mux2_2 */
+
+/* removed sky130_fd_sc_hd__mux2_4 */
+
+/* removed sky130_fd_sc_hd__mux2_8 */
+
+/* removed sky130_fd_sc_hd__mux2i_1 */
+
+/* removed sky130_fd_sc_hd__mux2i_2 */
+
+/* removed sky130_fd_sc_hd__mux2i_4 */
+
+/* removed sky130_fd_sc_hd__mux4_1 */
+
+/* removed sky130_fd_sc_hd__mux4_2 */
+
+/* removed sky130_fd_sc_hd__mux4_4 */
+
+/* removed sky130_fd_sc_hd__nand2_1 */
+
+/* removed sky130_fd_sc_hd__nand2_2 */
+
+    cell ("sky130_fd_sc_hd__nand2_4") {
+        leakage_power () {
+            value : 0.0019122000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0168098000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0082791000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0014905000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nand2";
+        cell_leakage_power : 0.0071228910;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0085370000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181423000, 0.0181690000, 0.0182305000, 0.0182212000, 0.0181998000, 0.0181504000, 0.0180365000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012965000, -0.012981700, -0.013020300, -0.012986000, -0.012906800, -0.012724100, -0.012303100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087960000;
+        }
+        pin ("B") {
+            capacitance : 0.0088300000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158302000, 0.0158272000, 0.0158201000, 0.0158218000, 0.0158258000, 0.0158349000, 0.0158559000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015780500, -0.015776400, -0.015766800, -0.015765400, -0.015761800, -0.015753500, -0.015734500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092720000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0092709000, 0.0077009000, 0.0025027000, -0.015025000, -0.072574000, -0.257171200, -0.847001100", \
+                        "0.0082969000, 0.0067735000, 0.0016752000, -0.015512900, -0.072721600, -0.257184300, -0.846950300", \
+                        "0.0074126000, 0.0057640000, 0.0006926000, -0.016316200, -0.073149300, -0.257310100, -0.846966500", \
+                        "0.0068373000, 0.0053001000, -0.000165400, -0.017304700, -0.073918100, -0.257701000, -0.847107100", \
+                        "0.0074511000, 0.0053845000, -0.000227900, -0.018013700, -0.074543500, -0.258244900, -0.847347200", \
+                        "0.0100396000, 0.0088558000, 0.0026606000, -0.016283000, -0.074757700, -0.258933800, -0.847823400", \
+                        "0.0173091000, 0.0151494000, 0.0083075000, -0.011870700, -0.071891100, -0.258441100, -0.848122700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0091146000, 0.0112783000, 0.0178392000, 0.0369367000, 0.0950041000, 0.2763970000, 0.8621528000", \
+                        "0.0081918000, 0.0102920000, 0.0166638000, 0.0360023000, 0.0947208000, 0.2788672000, 0.8564815000", \
+                        "0.0074836000, 0.0094487000, 0.0154921000, 0.0348579000, 0.0936324000, 0.2760390000, 0.8564804000", \
+                        "0.0075544000, 0.0093879000, 0.0151739000, 0.0334676000, 0.0924796000, 0.2767033000, 0.8606083000", \
+                        "0.0082828000, 0.0100198000, 0.0155066000, 0.0342195000, 0.0906974000, 0.2755006000, 0.8596083000", \
+                        "0.0100462000, 0.0115774000, 0.0168437000, 0.0348121000, 0.0918673000, 0.2721794000, 0.8578122000", \
+                        "0.0211584000, 0.0192862000, 0.0241122000, 0.0402916000, 0.0954585000, 0.2754714000, 0.8561925000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0124667000, 0.0108860000, 0.0055330000, -0.012192100, -0.069814400, -0.254450100, -0.844262700", \
+                        "0.0115513000, 0.0099962000, 0.0048302000, -0.012614800, -0.070006000, -0.254502500, -0.844283200", \
+                        "0.0105588000, 0.0089911000, 0.0038382000, -0.013366100, -0.070359800, -0.254624800, -0.844307900", \
+                        "0.0098371000, 0.0082435000, 0.0028662000, -0.014336500, -0.071013900, -0.254935600, -0.844440300", \
+                        "0.0103310000, 0.0086112000, 0.0031815000, -0.014982500, -0.071688200, -0.255262900, -0.844565600", \
+                        "0.0110791000, 0.0092420000, 0.0035066000, -0.014649000, -0.072463200, -0.256062100, -0.844943400", \
+                        "0.0162366000, 0.0142006000, 0.0078286000, -0.011624200, -0.070533000, -0.255904100, -0.845158800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0246982000, 0.0265806000, 0.0324368000, 0.0507196000, 0.1082635000, 0.2906759000, 0.8724890000", \
+                        "0.0236517000, 0.0255482000, 0.0315826000, 0.0501034000, 0.1077713000, 0.2905790000, 0.8720048000", \
+                        "0.0226210000, 0.0245063000, 0.0306335000, 0.0491354000, 0.1071174000, 0.2901346000, 0.8721392000", \
+                        "0.0222230000, 0.0240774000, 0.0298671000, 0.0482436000, 0.1062879000, 0.2891320000, 0.8720190000", \
+                        "0.0233464000, 0.0251660000, 0.0310043000, 0.0490305000, 0.1064789000, 0.2890935000, 0.8712707000", \
+                        "0.0248859000, 0.0265116000, 0.0319589000, 0.0509890000, 0.1085646000, 0.2896306000, 0.8713115000", \
+                        "0.0315546000, 0.0333030000, 0.0386418000, 0.0553548000, 0.1107202000, 0.2930465000, 0.8722406000");
+                }
+            }
+            max_capacitance : 0.5301000000;
+            max_transition : 1.4986590000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0196846000, 0.0214894000, 0.0270948000, 0.0441384000, 0.0963893000, 0.2626743000, 0.7983328000", \
+                        "0.0232463000, 0.0250872000, 0.0307584000, 0.0478779000, 0.1014861000, 0.2674229000, 0.7975810000", \
+                        "0.0301511000, 0.0327263000, 0.0399473000, 0.0575092000, 0.1106251000, 0.2770611000, 0.8073930000", \
+                        "0.0372339000, 0.0410862000, 0.0521713000, 0.0781962000, 0.1340250000, 0.3011591000, 0.8350764000", \
+                        "0.0401552000, 0.0463746000, 0.0631236000, 0.1029376000, 0.1836212000, 0.3545091000, 0.8851356000", \
+                        "0.0282955000, 0.0379621000, 0.0634936000, 0.1240876000, 0.2477358000, 0.4819245000, 1.0147604000", \
+                        "-0.025064200, -0.011335100, 0.0263611000, 0.1176377000, 0.3079844000, 0.6639810000, 1.3116122000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0242340000, 0.0269078000, 0.0348598000, 0.0579881000, 0.1288697000, 0.3500559000, 1.0564722000", \
+                        "0.0293365000, 0.0318920000, 0.0395086000, 0.0629239000, 0.1342490000, 0.3580886000, 1.0637792000", \
+                        "0.0424911000, 0.0452213000, 0.0526283000, 0.0759010000, 0.1465874000, 0.3692190000, 1.0762998000", \
+                        "0.0641670000, 0.0686250000, 0.0805502000, 0.1072780000, 0.1773256000, 0.3990797000, 1.1052306000", \
+                        "0.0987517000, 0.1059299000, 0.1248592000, 0.1683010000, 0.2522434000, 0.4750554000, 1.1799575000", \
+                        "0.1581990000, 0.1687093000, 0.1984489000, 0.2672093000, 0.4015263000, 0.6471254000, 1.3525815000", \
+                        "0.2686611000, 0.2839625000, 0.3268878000, 0.4302959000, 0.6430589000, 1.0218250000, 1.7544647000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0130327000, 0.0151905000, 0.0223079000, 0.0450649000, 0.1167571000, 0.3458200000, 1.0805018000", \
+                        "0.0131986000, 0.0152698000, 0.0223447000, 0.0449553000, 0.1176859000, 0.3468408000, 1.0782312000", \
+                        "0.0184825000, 0.0208300000, 0.0262382000, 0.0458781000, 0.1173606000, 0.3456738000, 1.0795913000", \
+                        "0.0283107000, 0.0312156000, 0.0391559000, 0.0595476000, 0.1196149000, 0.3465407000, 1.0788846000", \
+                        "0.0473497000, 0.0512064000, 0.0625856000, 0.0893939000, 0.1500424000, 0.3495459000, 1.0761634000", \
+                        "0.0797161000, 0.0861683000, 0.1031389000, 0.1433381000, 0.2262718000, 0.4096607000, 1.0780071000", \
+                        "0.1394519000, 0.1490594000, 0.1757089000, 0.2373024000, 0.3605228000, 0.5942562000, 1.1732155000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0163728000, 0.0193365000, 0.0291154000, 0.0602568000, 0.1598662000, 0.4740094000, 1.4826818000", \
+                        "0.0163340000, 0.0193897000, 0.0290425000, 0.0600941000, 0.1596554000, 0.4764955000, 1.4817469000", \
+                        "0.0207267000, 0.0227527000, 0.0305370000, 0.0600965000, 0.1596094000, 0.4769091000, 1.4796694000", \
+                        "0.0344062000, 0.0372092000, 0.0452310000, 0.0666464000, 0.1593882000, 0.4757272000, 1.4806347000", \
+                        "0.0570896000, 0.0618423000, 0.0737096000, 0.1015869000, 0.1730242000, 0.4760021000, 1.4867120000", \
+                        "0.0930665000, 0.1007368000, 0.1208184000, 0.1653146000, 0.2510803000, 0.4943750000, 1.4874799000", \
+                        "0.1562131000, 0.1687451000, 0.1971350000, 0.2695675000, 0.4079915000, 0.6520386000, 1.4986586000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0253961000, 0.0272441000, 0.0327091000, 0.0495859000, 0.1016656000, 0.2691097000, 0.7995458000", \
+                        "0.0292059000, 0.0310275000, 0.0367110000, 0.0535885000, 0.1069765000, 0.2727188000, 0.8032400000", \
+                        "0.0360932000, 0.0383465000, 0.0446087000, 0.0620557000, 0.1152077000, 0.2825578000, 0.8124311000", \
+                        "0.0452887000, 0.0484803000, 0.0569603000, 0.0789576000, 0.1344180000, 0.3014440000, 0.8323233000", \
+                        "0.0523876000, 0.0572227000, 0.0711605000, 0.1033989000, 0.1756529000, 0.3473160000, 0.8786184000", \
+                        "0.0445039000, 0.0524351000, 0.0752641000, 0.1267944000, 0.2336107000, 0.4488819000, 0.9877016000", \
+                        "-0.007831800, 0.0051118000, 0.0406924000, 0.1246323000, 0.2935974000, 0.6075307000, 1.2241136000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0350992000, 0.0375969000, 0.0449204000, 0.0672063000, 0.1365061000, 0.3554612000, 1.0523779000", \
+                        "0.0401893000, 0.0425308000, 0.0500041000, 0.0725969000, 0.1418545000, 0.3608226000, 1.0587001000", \
+                        "0.0533601000, 0.0556450000, 0.0631076000, 0.0852729000, 0.1554152000, 0.3748254000, 1.0715463000", \
+                        "0.0825839000, 0.0855946000, 0.0945014000, 0.1176278000, 0.1873090000, 0.4060128000, 1.1023487000", \
+                        "0.1305368000, 0.1354267000, 0.1493281000, 0.1848286000, 0.2619334000, 0.4802199000, 1.1769874000", \
+                        "0.2086142000, 0.2163482000, 0.2385785000, 0.2956733000, 0.4165240000, 0.6538708000, 1.3473886000", \
+                        "0.3422315000, 0.3554846000, 0.3890883000, 0.4763761000, 0.6703939000, 1.0324812000, 1.7501620000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0130486000, 0.0153045000, 0.0223979000, 0.0449948000, 0.1170474000, 0.3462942000, 1.0776381000", \
+                        "0.0131341000, 0.0153396000, 0.0224098000, 0.0450121000, 0.1170983000, 0.3457965000, 1.0763236000", \
+                        "0.0160618000, 0.0179452000, 0.0240640000, 0.0454251000, 0.1169452000, 0.3460591000, 1.0776199000", \
+                        "0.0239101000, 0.0261751000, 0.0328030000, 0.0527195000, 0.1186293000, 0.3455221000, 1.0775539000", \
+                        "0.0408777000, 0.0438993000, 0.0524337000, 0.0746259000, 0.1377432000, 0.3488929000, 1.0765813000", \
+                        "0.0737383000, 0.0778088000, 0.0899509000, 0.1204960000, 0.1915944000, 0.3861498000, 1.0824506000", \
+                        "0.1393429000, 0.1447637000, 0.1602991000, 0.2029102000, 0.2995143000, 0.5164569000, 1.1353274000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0262074000, 0.0290839000, 0.0385814000, 0.0688890000, 0.1665689000, 0.4785676000, 1.4708065000", \
+                        "0.0260423000, 0.0290809000, 0.0385178000, 0.0691440000, 0.1666881000, 0.4777270000, 1.4763981000", \
+                        "0.0268695000, 0.0294992000, 0.0384833000, 0.0690093000, 0.1665566000, 0.4775256000, 1.4712840000", \
+                        "0.0392180000, 0.0418221000, 0.0484628000, 0.0729160000, 0.1667060000, 0.4799063000, 1.4715091000", \
+                        "0.0636660000, 0.0676837000, 0.0785134000, 0.1047819000, 0.1790759000, 0.4781919000, 1.4725076000", \
+                        "0.1038778000, 0.1105205000, 0.1281283000, 0.1701179000, 0.2544870000, 0.4989449000, 1.4719324000", \
+                        "0.1682099000, 0.1790331000, 0.2076618000, 0.2767220000, 0.4093141000, 0.6541236000, 1.4922104000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand2_8 */
+
+/* removed sky130_fd_sc_hd__nand2b_1 */
+
+/* removed sky130_fd_sc_hd__nand2b_2 */
+
+/* removed sky130_fd_sc_hd__nand2b_4 */
+
+/* removed sky130_fd_sc_hd__nand3_1 */
+
+/* removed sky130_fd_sc_hd__nand3_2 */
+
+    cell ("sky130_fd_sc_hd__nand3_4") {
+        leakage_power () {
+            value : 0.0001713000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 9.9474228e-05;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0015251000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0001757000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0014820000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0001986000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0155295000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0014760000;
+            when : "A&B&!C";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__nand3";
+        cell_leakage_power : 0.0025822140;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0186038000, 0.0186415000, 0.0187282000, 0.0187153000, 0.0186854000, 0.0186164000, 0.0184575000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013647500, -0.013592800, -0.013466800, -0.013441300, -0.013382400, -0.013246700, -0.012933900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088430000;
+        }
+        pin ("B") {
+            capacitance : 0.0085870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0171354000, 0.0171216000, 0.0170895000, 0.0171503000, 0.0172903000, 0.0176130000, 0.0183568000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015922200, -0.015897700, -0.015841300, -0.015836700, -0.015826200, -0.015802000, -0.015746300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088890000;
+        }
+        pin ("C") {
+            capacitance : 0.0087760000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157436000, 0.0157321000, 0.0157057000, 0.0157098000, 0.0157192000, 0.0157409000, 0.0157910000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015691500, -0.015690900, -0.015689700, -0.015690600, -0.015692700, -0.015697700, -0.015709000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092250000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0148238000, 0.0132860000, 0.0082393000, -0.008183700, -0.060750800, -0.226094800, -0.743746200", \
+                        "0.0138061000, 0.0122942000, 0.0073528000, -0.008774400, -0.061012000, -0.226236100, -0.743880400", \
+                        "0.0125288000, 0.0109903000, 0.0060999000, -0.009828900, -0.061658300, -0.226563600, -0.744066700", \
+                        "0.0119390000, 0.0102876000, 0.0050808000, -0.010900500, -0.062536400, -0.226944100, -0.744244600", \
+                        "0.0118880000, 0.0101811000, 0.0048744000, -0.011581300, -0.063419000, -0.227617300, -0.744549000", \
+                        "0.0141030000, 0.0123688000, 0.0068597000, -0.010015400, -0.062998100, -0.228236400, -0.745082400", \
+                        "0.0212559000, 0.0196006000, 0.0135686000, -0.005512500, -0.060148100, -0.226065800, -0.744652200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0123830000, 0.0144335000, 0.0204769000, 0.0380743000, 0.0910411000, 0.2553705000, 0.7636961000", \
+                        "0.0114321000, 0.0134404000, 0.0195086000, 0.0374665000, 0.0908859000, 0.2550968000, 0.7633567000", \
+                        "0.0105647000, 0.0124402000, 0.0183613000, 0.0362378000, 0.0896426000, 0.2563069000, 0.7686824000", \
+                        "0.0101080000, 0.0119612000, 0.0175856000, 0.0350887000, 0.0887259000, 0.2552921000, 0.7722336000", \
+                        "0.0111988000, 0.0128972000, 0.0180674000, 0.0346287000, 0.0869915000, 0.2538234000, 0.7661253000", \
+                        "0.0124349000, 0.0140626000, 0.0192018000, 0.0365230000, 0.0890295000, 0.2517047000, 0.7659576000", \
+                        "0.0205954000, 0.0213936000, 0.0260153000, 0.0412429000, 0.0911729000, 0.2562551000, 0.7657882000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0177715000, 0.0161247000, 0.0108678000, -0.005797000, -0.058521300, -0.223936400, -0.741606900", \
+                        "0.0169147000, 0.0153343000, 0.0102336000, -0.006199000, -0.058716800, -0.223995800, -0.741633900", \
+                        "0.0157981000, 0.0142461000, 0.0092353000, -0.006948100, -0.059119600, -0.224190200, -0.741785400", \
+                        "0.0148902000, 0.0132826000, 0.0082118000, -0.007933100, -0.059765500, -0.224464700, -0.741899100", \
+                        "0.0146943000, 0.0130180000, 0.0077961000, -0.008573000, -0.060486000, -0.224947500, -0.742052700", \
+                        "0.0154620000, 0.0137562000, 0.0082897000, -0.008485400, -0.061302400, -0.225651500, -0.742607700", \
+                        "0.0196160000, 0.0176976000, 0.0117613000, -0.005998100, -0.059636600, -0.225641500, -0.742782400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0261560000, 0.0279487000, 0.0334644000, 0.0504479000, 0.1029416000, 0.2663360000, 0.7774018000", \
+                        "0.0252622000, 0.0270793000, 0.0326903000, 0.0499507000, 0.1027871000, 0.2662114000, 0.7770667000", \
+                        "0.0241001000, 0.0259593000, 0.0317359000, 0.0491697000, 0.1022096000, 0.2662915000, 0.7774053000", \
+                        "0.0233544000, 0.0252897000, 0.0308375000, 0.0480021000, 0.1011163000, 0.2652256000, 0.7762032000", \
+                        "0.0232486000, 0.0249626000, 0.0303128000, 0.0471554000, 0.0998599000, 0.2642862000, 0.7756777000", \
+                        "0.0249198000, 0.0264727000, 0.0320165000, 0.0485873000, 0.1013283000, 0.2645859000, 0.7747176000", \
+                        "0.0297222000, 0.0311918000, 0.0361543000, 0.0519407000, 0.1030897000, 0.2652951000, 0.7737678000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0172616000, 0.0156488000, 0.0103614000, -0.006312100, -0.059099300, -0.224514100, -0.742150900", \
+                        "0.0164811000, 0.0148750000, 0.0097771000, -0.006712200, -0.059248200, -0.224574200, -0.742268800", \
+                        "0.0155140000, 0.0139388000, 0.0089018000, -0.007351100, -0.059571400, -0.224705500, -0.742320500", \
+                        "0.0146571000, 0.0130339000, 0.0079425000, -0.008291900, -0.060179900, -0.224957000, -0.742394500", \
+                        "0.0146066000, 0.0127506000, 0.0074676000, -0.008939300, -0.060870000, -0.225245300, -0.742517000", \
+                        "0.0147590000, 0.0131024000, 0.0077270000, -0.008992100, -0.061685800, -0.226126000, -0.743031600", \
+                        "0.0179792000, 0.0160696000, 0.0103607000, -0.007113100, -0.060446700, -0.226088900, -0.743204600");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0385330000, 0.0402708000, 0.0457332000, 0.0627508000, 0.1156065000, 0.2791567000, 0.7904297000", \
+                        "0.0377395000, 0.0395026000, 0.0449968000, 0.0621528000, 0.1151536000, 0.2789635000, 0.7896619000", \
+                        "0.0368356000, 0.0386319000, 0.0441755000, 0.0614560000, 0.1146391000, 0.2788045000, 0.7897168000", \
+                        "0.0360106000, 0.0377415000, 0.0433576000, 0.0606083000, 0.1139195000, 0.2778599000, 0.7891288000", \
+                        "0.0358799000, 0.0376210000, 0.0431625000, 0.0599462000, 0.1132483000, 0.2771696000, 0.7896121000", \
+                        "0.0397742000, 0.0415249000, 0.0468238000, 0.0612678000, 0.1136505000, 0.2773930000, 0.7889463000", \
+                        "0.0441251000, 0.0454404000, 0.0504740000, 0.0665281000, 0.1181064000, 0.2806854000, 0.7891014000");
+                }
+            }
+            max_capacitance : 0.4696750000;
+            max_transition : 1.5000220000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0302676000, 0.0329060000, 0.0406888000, 0.0645687000, 0.1361186000, 0.3590482000, 1.0648394000", \
+                        "0.0331539000, 0.0358655000, 0.0438181000, 0.0677186000, 0.1406878000, 0.3630337000, 1.0602202000", \
+                        "0.0421428000, 0.0447874000, 0.0524350000, 0.0765361000, 0.1491480000, 0.3731184000, 1.0701783000", \
+                        "0.0555814000, 0.0595294000, 0.0703919000, 0.0987270000, 0.1712134000, 0.3956915000, 1.0931490000", \
+                        "0.0685256000, 0.0747302000, 0.0915916000, 0.1342628000, 0.2242455000, 0.4477924000, 1.1509889000", \
+                        "0.0735063000, 0.0824164000, 0.1078502000, 0.1712698000, 0.3066237000, 0.5700685000, 1.2707281000", \
+                        "0.0506534000, 0.0640933000, 0.1009098000, 0.1936399000, 0.3981120000, 0.7923255000, 1.5549986000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0277117000, 0.0300593000, 0.0371650000, 0.0576961000, 0.1188868000, 0.3099523000, 0.9046163000", \
+                        "0.0327574000, 0.0350679000, 0.0420725000, 0.0628037000, 0.1248805000, 0.3176835000, 0.9079208000", \
+                        "0.0459637000, 0.0481965000, 0.0550020000, 0.0757706000, 0.1377625000, 0.3288765000, 0.9247297000", \
+                        "0.0692441000, 0.0728561000, 0.0828008000, 0.1065208000, 0.1685722000, 0.3593920000, 0.9526359000", \
+                        "0.1052746000, 0.1112052000, 0.1270643000, 0.1652565000, 0.2414686000, 0.4322622000, 1.0224208000", \
+                        "0.1632590000, 0.1725183000, 0.1978565000, 0.2580154000, 0.3798337000, 0.6000623000, 1.1929892000", \
+                        "0.2647666000, 0.2775693000, 0.3151261000, 0.4065552000, 0.5990414000, 0.9541149000, 1.5856080000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0285984000, 0.0319071000, 0.0420519000, 0.0740418000, 0.1729742000, 0.4821433000, 1.4601245000", \
+                        "0.0282909000, 0.0316011000, 0.0418894000, 0.0736938000, 0.1729777000, 0.4813023000, 1.4474754000", \
+                        "0.0305539000, 0.0333223000, 0.0424051000, 0.0733760000, 0.1727166000, 0.4813105000, 1.4491495000", \
+                        "0.0417622000, 0.0456030000, 0.0555326000, 0.0808436000, 0.1729255000, 0.4829409000, 1.4512634000", \
+                        "0.0635358000, 0.0678036000, 0.0803162000, 0.1129683000, 0.1935836000, 0.4844428000, 1.4500522000", \
+                        "0.1023974000, 0.1095058000, 0.1265735000, 0.1717025000, 0.2714832000, 0.5192799000, 1.4531373000", \
+                        "0.1703103000, 0.1806344000, 0.2053011000, 0.2720454000, 0.4114367000, 0.7010760000, 1.5000218000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0213387000, 0.0241156000, 0.0329913000, 0.0606726000, 0.1476590000, 0.4216956000, 1.2751485000", \
+                        "0.0212796000, 0.0241537000, 0.0329463000, 0.0605811000, 0.1478673000, 0.4221709000, 1.2675441000", \
+                        "0.0242274000, 0.0263747000, 0.0338502000, 0.0606846000, 0.1475153000, 0.4226350000, 1.2765687000", \
+                        "0.0394323000, 0.0414751000, 0.0480517000, 0.0675341000, 0.1478872000, 0.4210181000, 1.2754989000", \
+                        "0.0650113000, 0.0687163000, 0.0788491000, 0.1029325000, 0.1648665000, 0.4203217000, 1.2739746000", \
+                        "0.1072404000, 0.1129065000, 0.1293168000, 0.1693689000, 0.2466275000, 0.4499186000, 1.2714556000", \
+                        "0.1777549000, 0.1893831000, 0.2125928000, 0.2748110000, 0.4005875000, 0.6352814000, 1.3081766000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0406368000, 0.0431720000, 0.0506949000, 0.0741405000, 0.1454709000, 0.3709738000, 1.0666669000", \
+                        "0.0439725000, 0.0465655000, 0.0543576000, 0.0779216000, 0.1496468000, 0.3745434000, 1.0723233000", \
+                        "0.0511655000, 0.0538625000, 0.0618621000, 0.0859823000, 0.1579888000, 0.3812181000, 1.0785375000", \
+                        "0.0632241000, 0.0665652000, 0.0763222000, 0.1031718000, 0.1763061000, 0.4002172000, 1.0983492000", \
+                        "0.0774984000, 0.0825068000, 0.0967978000, 0.1330572000, 0.2182724000, 0.4449335000, 1.1430519000", \
+                        "0.0807745000, 0.0898954000, 0.1112197000, 0.1669533000, 0.2868765000, 0.5446084000, 1.2481962000", \
+                        "0.0468086000, 0.0601038000, 0.0938935000, 0.1805796000, 0.3657763000, 0.7207090000, 1.4780133000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0422749000, 0.0446074000, 0.0518243000, 0.0739549000, 0.1410707000, 0.3489302000, 0.9978199000", \
+                        "0.0472646000, 0.0496986000, 0.0569113000, 0.0792699000, 0.1466850000, 0.3546542000, 1.0039474000", \
+                        "0.0599214000, 0.0623179000, 0.0698152000, 0.0922496000, 0.1600875000, 0.3680974000, 1.0183124000", \
+                        "0.0904054000, 0.0931291000, 0.1013718000, 0.1236222000, 0.1915141000, 0.3998429000, 1.0505085000", \
+                        "0.1409214000, 0.1454535000, 0.1583781000, 0.1916118000, 0.2653373000, 0.4735076000, 1.1226788000", \
+                        "0.2242766000, 0.2312963000, 0.2514369000, 0.3046199000, 0.4190462000, 0.6453268000, 1.2916576000", \
+                        "0.3651656000, 0.3753554000, 0.4060830000, 0.4875207000, 0.6706186000, 1.0182574000, 1.6909090000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0288416000, 0.0320583000, 0.0420794000, 0.0737625000, 0.1727410000, 0.4838017000, 1.4491321000", \
+                        "0.0288789000, 0.0320855000, 0.0421504000, 0.0737191000, 0.1725986000, 0.4828791000, 1.4525401000", \
+                        "0.0302127000, 0.0331529000, 0.0426858000, 0.0739383000, 0.1727034000, 0.4815253000, 1.4484696000", \
+                        "0.0383599000, 0.0413884000, 0.0507352000, 0.0782470000, 0.1731502000, 0.4817615000, 1.4483872000", \
+                        "0.0574879000, 0.0608620000, 0.0710450000, 0.1002170000, 0.1856801000, 0.4835302000, 1.4514718000", \
+                        "0.0961440000, 0.1007437000, 0.1135630000, 0.1495910000, 0.2395392000, 0.5065027000, 1.4539727000", \
+                        "0.1683338000, 0.1748161000, 0.1930253000, 0.2419098000, 0.3571268000, 0.6298424000, 1.4838255000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0332386000, 0.0364586000, 0.0461934000, 0.0767189000, 0.1723928000, 0.4718840000, 1.4075198000", \
+                        "0.0332320000, 0.0363533000, 0.0461717000, 0.0766876000, 0.1724896000, 0.4714933000, 1.4072856000", \
+                        "0.0333722000, 0.0363228000, 0.0460782000, 0.0767273000, 0.1724071000, 0.4716995000, 1.4144720000", \
+                        "0.0443688000, 0.0468638000, 0.0539828000, 0.0797556000, 0.1725886000, 0.4716662000, 1.4135408000", \
+                        "0.0723089000, 0.0756689000, 0.0849731000, 0.1099021000, 0.1839327000, 0.4723677000, 1.4092869000", \
+                        "0.1184961000, 0.1240928000, 0.1395747000, 0.1766017000, 0.2582480000, 0.4934469000, 1.4093442000", \
+                        "0.1930836000, 0.2023822000, 0.2282166000, 0.2899719000, 0.4150365000, 0.6521169000, 1.4317170000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0456467000, 0.0482875000, 0.0557768000, 0.0793095000, 0.1504686000, 0.3768995000, 1.0715164000", \
+                        "0.0491742000, 0.0517222000, 0.0595632000, 0.0830003000, 0.1550859000, 0.3779358000, 1.0754178000", \
+                        "0.0561914000, 0.0587681000, 0.0668162000, 0.0904905000, 0.1640248000, 0.3863628000, 1.0832191000", \
+                        "0.0676077000, 0.0705731000, 0.0792880000, 0.1046303000, 0.1772709000, 0.4019325000, 1.0986809000", \
+                        "0.0816723000, 0.0858016000, 0.0969860000, 0.1278579000, 0.2079219000, 0.4336209000, 1.1320377000", \
+                        "0.0861761000, 0.0930543000, 0.1105985000, 0.1562593000, 0.2606250000, 0.5056250000, 1.2075890000", \
+                        "0.0490743000, 0.0594548000, 0.0894226000, 0.1629416000, 0.3195011000, 0.6354369000, 1.3741289000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0485061000, 0.0509094000, 0.0582133000, 0.0801880000, 0.1462676000, 0.3476912000, 0.9748141000", \
+                        "0.0535530000, 0.0560682000, 0.0634748000, 0.0855859000, 0.1514781000, 0.3530546000, 0.9801169000", \
+                        "0.0664559000, 0.0689102000, 0.0762979000, 0.0986043000, 0.1647680000, 0.3664512000, 0.9931819000", \
+                        "0.0983284000, 0.1007228000, 0.1087613000, 0.1305863000, 0.1967528000, 0.3985919000, 1.0261660000", \
+                        "0.1575121000, 0.1613745000, 0.1724018000, 0.2017820000, 0.2712915000, 0.4733411000, 1.0998743000", \
+                        "0.2533974000, 0.2592144000, 0.2765941000, 0.3219479000, 0.4292643000, 0.6438756000, 1.2687630000", \
+                        "0.4125420000, 0.4211259000, 0.4478486000, 0.5200061000, 0.6877767000, 1.0171779000, 1.6710165000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0287981000, 0.0321048000, 0.0420776000, 0.0739446000, 0.1734321000, 0.4838959000, 1.4490191000", \
+                        "0.0288680000, 0.0320621000, 0.0421544000, 0.0737938000, 0.1727225000, 0.4818771000, 1.4481408000", \
+                        "0.0293318000, 0.0324409000, 0.0423117000, 0.0737508000, 0.1735110000, 0.4816545000, 1.4492808000", \
+                        "0.0345681000, 0.0375915000, 0.0471108000, 0.0762035000, 0.1729069000, 0.4822058000, 1.4488956000", \
+                        "0.0486582000, 0.0515914000, 0.0609690000, 0.0905885000, 0.1808126000, 0.4817513000, 1.4471573000", \
+                        "0.0825321000, 0.0862074000, 0.0971497000, 0.1286256000, 0.2207680000, 0.4984441000, 1.4581208000", \
+                        "0.1533309000, 0.1577750000, 0.1721941000, 0.2121399000, 0.3121181000, 0.5905266000, 1.4756406000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0426683000, 0.0458258000, 0.0552861000, 0.0849477000, 0.1786247000, 0.4712017000, 1.3888447000", \
+                        "0.0425819000, 0.0456585000, 0.0552628000, 0.0850394000, 0.1786357000, 0.4719144000, 1.3900809000", \
+                        "0.0418626000, 0.0450100000, 0.0548506000, 0.0849683000, 0.1783886000, 0.4715334000, 1.3899726000", \
+                        "0.0491979000, 0.0520409000, 0.0597491000, 0.0864532000, 0.1784078000, 0.4715643000, 1.3899165000", \
+                        "0.0767094000, 0.0799735000, 0.0894328000, 0.1127320000, 0.1877672000, 0.4717598000, 1.3938735000", \
+                        "0.1253093000, 0.1300486000, 0.1444019000, 0.1797871000, 0.2590445000, 0.4929216000, 1.3900300000", \
+                        "0.2031041000, 0.2120537000, 0.2351861000, 0.2920672000, 0.4152522000, 0.6466940000, 1.4127383000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand3b_1 */
+
+/* removed sky130_fd_sc_hd__nand3b_2 */
+
+/* removed sky130_fd_sc_hd__nand3b_4 */
+
+/* removed sky130_fd_sc_hd__nand4_1 */
+
+/* removed sky130_fd_sc_hd__nand4_2 */
+
+    cell ("sky130_fd_sc_hd__nand4_4") {
+        leakage_power () {
+            value : 0.0167977000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0167972000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001765000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001012000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001796000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0001051000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019163000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001843000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001981000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0001091000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0014939000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002020000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0014645000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0002237000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0105515000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0014670000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nand4";
+        cell_leakage_power : 0.0032479900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0084590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0182239000, 0.0182852000, 0.0184264000, 0.0184119000, 0.0183786000, 0.0183016000, 0.0181242000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012986800, -0.012976000, -0.012951000, -0.012918800, -0.012844700, -0.012673700, -0.012279600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086040000;
+        }
+        pin ("B") {
+            capacitance : 0.0084720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0173805000, 0.0173653000, 0.0173305000, 0.0173935000, 0.0175389000, 0.0178738000, 0.0186459000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015845200, -0.015836000, -0.015815000, -0.015810800, -0.015801200, -0.015778900, -0.015727600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086960000;
+        }
+        pin ("C") {
+            capacitance : 0.0085330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156250000, 0.0156266000, 0.0156301000, 0.0156303000, 0.0156307000, 0.0156316000, 0.0156337000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015610200, -0.015606800, -0.015598800, -0.015594500, -0.015584600, -0.015561900, -0.015509500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088780000;
+        }
+        pin ("D") {
+            capacitance : 0.0087390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157077000, 0.0156991000, 0.0156792000, 0.0156825000, 0.0156903000, 0.0157082000, 0.0157494000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015654100, -0.015659700, -0.015672500, -0.015670500, -0.015665900, -0.015655500, -0.015631300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092020000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C) | (!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0186030000, 0.0170370000, 0.0124358000, -0.001633200, -0.044553200, -0.173494900, -0.559542800", \
+                        "0.0177491000, 0.0162761000, 0.0117649000, -0.002091900, -0.044761300, -0.173593800, -0.559543000", \
+                        "0.0165783000, 0.0151106000, 0.0107011000, -0.002933900, -0.045243900, -0.173788700, -0.559582200", \
+                        "0.0156784000, 0.0141756000, 0.0097288000, -0.004058600, -0.046174400, -0.174300200, -0.559803200", \
+                        "0.0155492000, 0.0139650000, 0.0092610000, -0.004754400, -0.047018300, -0.175035600, -0.560206700", \
+                        "0.0171759000, 0.0154705000, 0.0106172000, -0.003960600, -0.047219800, -0.175686300, -0.560886300", \
+                        "0.0229424000, 0.0210998000, 0.0155070000, -9.55000e-05, -0.044499700, -0.174742500, -0.560929800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0140159000, 0.0158510000, 0.0211570000, 0.0362073000, 0.0792957000, 0.2076847000, 0.5882907000", \
+                        "0.0132190000, 0.0150592000, 0.0204067000, 0.0356714000, 0.0792600000, 0.2077523000, 0.5863967000", \
+                        "0.0123073000, 0.0141224000, 0.0193596000, 0.0346594000, 0.0786105000, 0.2062564000, 0.5889083000", \
+                        "0.0118642000, 0.0136303000, 0.0186093000, 0.0334509000, 0.0778607000, 0.2066729000, 0.5903794000", \
+                        "0.0119212000, 0.0135386000, 0.0183647000, 0.0330424000, 0.0761926000, 0.2066711000, 0.5851748000", \
+                        "0.0127122000, 0.0142293000, 0.0188276000, 0.0339861000, 0.0766944000, 0.2032347000, 0.5902992000", \
+                        "0.0219010000, 0.0206307000, 0.0248099000, 0.0402238000, 0.0817538000, 0.2065960000, 0.5862779000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0220777000, 0.0204876000, 0.0157842000, 0.0015510000, -0.041459600, -0.170487500, -0.556516600", \
+                        "0.0213333000, 0.0198920000, 0.0152493000, 0.0011976000, -0.041636400, -0.170541700, -0.556488100", \
+                        "0.0203947000, 0.0188965000, 0.0143745000, 0.0004848000, -0.041993100, -0.170656900, -0.556556300", \
+                        "0.0193535000, 0.0178673000, 0.0132940000, -0.000469500, -0.042687900, -0.171021200, -0.556673600", \
+                        "0.0189795000, 0.0173926000, 0.0127677000, -0.001221800, -0.043496700, -0.171489000, -0.556764300", \
+                        "0.0187839000, 0.0171246000, 0.0123499000, -0.001829600, -0.044503900, -0.172448200, -0.557404000", \
+                        "0.0215435000, 0.0197755000, 0.0147902000, -3.00000e-06, -0.043467700, -0.172771900, -0.558006500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0281140000, 0.0297558000, 0.0347118000, 0.0492496000, 0.0921554000, 0.2199161000, 0.6001347000", \
+                        "0.0274511000, 0.0291415000, 0.0341624000, 0.0489121000, 0.0921846000, 0.2199866000, 0.6003756000", \
+                        "0.0263587000, 0.0281735000, 0.0331630000, 0.0481618000, 0.0915770000, 0.2196078000, 0.6011851000", \
+                        "0.0252572000, 0.0270670000, 0.0319664000, 0.0469176000, 0.0904634000, 0.2183960000, 0.6010176000", \
+                        "0.0246571000, 0.0263426000, 0.0313667000, 0.0460568000, 0.0897376000, 0.2173856000, 0.6008098000", \
+                        "0.0254584000, 0.0270093000, 0.0316935000, 0.0458470000, 0.0893974000, 0.2168787000, 0.5987188000", \
+                        "0.0304787000, 0.0331522000, 0.0343500000, 0.0501817000, 0.0926933000, 0.2155185000, 0.5959053000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0218047000, 0.0202398000, 0.0155529000, 0.0012084000, -0.041831800, -0.170855600, -0.556788400", \
+                        "0.0211840000, 0.0196713000, 0.0149985000, 0.0008696000, -0.041999000, -0.170923000, -0.556909700", \
+                        "0.0201740000, 0.0186807000, 0.0141241000, 0.0002420000, -0.042361700, -0.171102200, -0.556985100", \
+                        "0.0192233000, 0.0176839000, 0.0130869000, -0.000716400, -0.043008400, -0.171382800, -0.557166400", \
+                        "0.0186758000, 0.0171753000, 0.0124886000, -0.001448100, -0.043778400, -0.171794500, -0.557230800", \
+                        "0.0187194000, 0.0171936000, 0.0124139000, -0.002236900, -0.044775500, -0.172748500, -0.557733000", \
+                        "0.0203069000, 0.0186431000, 0.0136697000, -0.000916300, -0.044122200, -0.173260100, -0.558327800");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0403066000, 0.0419327000, 0.0468289000, 0.0613239000, 0.1044702000, 0.2322445000, 0.6138008000", \
+                        "0.0397094000, 0.0413489000, 0.0462730000, 0.0609067000, 0.1040309000, 0.2319618000, 0.6134357000", \
+                        "0.0387135000, 0.0403693000, 0.0453671000, 0.0601428000, 0.1035639000, 0.2315899000, 0.6129827000", \
+                        "0.0376617000, 0.0395313000, 0.0443535000, 0.0590728000, 0.1026803000, 0.2307591000, 0.6126902000", \
+                        "0.0376329000, 0.0392940000, 0.0442787000, 0.0588390000, 0.1023200000, 0.2307906000, 0.6122943000", \
+                        "0.0385033000, 0.0400442000, 0.0447379000, 0.0592341000, 0.1023321000, 0.2301129000, 0.6092007000", \
+                        "0.0420935000, 0.0437422000, 0.0482471000, 0.0617512000, 0.1038994000, 0.2306266000, 0.6105945000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0215637000, 0.0199817000, 0.0151932000, 0.0009185000, -0.042162100, -0.171155300, -0.557244900", \
+                        "0.0208993000, 0.0193653000, 0.0146752000, 0.0005654000, -0.042346800, -0.171279500, -0.557253000", \
+                        "0.0199021000, 0.0184326000, 0.0138601000, -7.71000e-05, -0.042658900, -0.171425600, -0.557322700", \
+                        "0.0189905000, 0.0174441000, 0.0128708000, -0.000981100, -0.043300400, -0.171716200, -0.557491100", \
+                        "0.0185800000, 0.0170227000, 0.0123749000, -0.001659700, -0.044011500, -0.172131500, -0.557625800", \
+                        "0.0186345000, 0.0170465000, 0.0122777000, -0.002104700, -0.044927100, -0.173096400, -0.558217600", \
+                        "0.0204040000, 0.0187338000, 0.0137973000, -0.000897300, -0.044114000, -0.173317200, -0.558573000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0505226000, 0.0521053000, 0.0569047000, 0.0713579000, 0.1148573000, 0.2429654000, 0.6249235000", \
+                        "0.0498700000, 0.0515053000, 0.0562804000, 0.0709126000, 0.1143761000, 0.2425654000, 0.6239257000", \
+                        "0.0491379000, 0.0507624000, 0.0556031000, 0.0701663000, 0.1138153000, 0.2423749000, 0.6237191000", \
+                        "0.0482390000, 0.0498540000, 0.0547318000, 0.0694301000, 0.1131392000, 0.2415523000, 0.6234690000", \
+                        "0.0486304000, 0.0502364000, 0.0550297000, 0.0696924000, 0.1124403000, 0.2412978000, 0.6230694000", \
+                        "0.0502503000, 0.0518118000, 0.0565862000, 0.0707688000, 0.1137540000, 0.2416436000, 0.6219960000", \
+                        "0.0547124000, 0.0562051000, 0.0606972000, 0.0742861000, 0.1165083000, 0.2442691000, 0.6236484000");
+                }
+            }
+            max_capacitance : 0.3579810000;
+            max_transition : 1.4971000000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0383248000, 0.0412170000, 0.0502259000, 0.0763721000, 0.1521192000, 0.3783246000, 1.0518199000", \
+                        "0.0409280000, 0.0439713000, 0.0530262000, 0.0795689000, 0.1562497000, 0.3839961000, 1.0562029000", \
+                        "0.0491333000, 0.0519686000, 0.0608142000, 0.0871435000, 0.1640941000, 0.3909202000, 1.0647678000", \
+                        "0.0661648000, 0.0699966000, 0.0809398000, 0.1074941000, 0.1847658000, 0.4131731000, 1.0869045000", \
+                        "0.0846683000, 0.0904326000, 0.1063797000, 0.1465356000, 0.2344251000, 0.4609907000, 1.1395664000", \
+                        "0.0951928000, 0.1038334000, 0.1274654000, 0.1867923000, 0.3172921000, 0.5769888000, 1.2556032000", \
+                        "0.0751527000, 0.0874035000, 0.1220142000, 0.2096603000, 0.4040361000, 0.7831524000, 1.5182311000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0320231000, 0.0342172000, 0.0407722000, 0.0591831000, 0.1119233000, 0.2688587000, 0.7346339000", \
+                        "0.0372808000, 0.0395164000, 0.0460518000, 0.0646804000, 0.1177196000, 0.2744474000, 0.7397638000", \
+                        "0.0506795000, 0.0528485000, 0.0592148000, 0.0780178000, 0.1307937000, 0.2878198000, 0.7528559000", \
+                        "0.0778445000, 0.0810338000, 0.0894054000, 0.1095882000, 0.1629745000, 0.3209841000, 0.7890347000", \
+                        "0.1208821000, 0.1260411000, 0.1395053000, 0.1723104000, 0.2377375000, 0.3946107000, 0.8587291000", \
+                        "0.1908684000, 0.1989702000, 0.2205514000, 0.2729200000, 0.3786590000, 0.5678089000, 1.0323331000", \
+                        "0.3128083000, 0.3246755000, 0.3573060000, 0.4376828000, 0.6045421000, 0.9110664000, 1.4357773000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0465908000, 0.0506948000, 0.0627696000, 0.0980039000, 0.2022073000, 0.5150299000, 1.4446551000", \
+                        "0.0459403000, 0.0501147000, 0.0622082000, 0.0978410000, 0.2030880000, 0.5152956000, 1.4435187000", \
+                        "0.0456785000, 0.0494798000, 0.0610608000, 0.0971547000, 0.2022802000, 0.5142787000, 1.4446755000", \
+                        "0.0568068000, 0.0608516000, 0.0705341000, 0.1013083000, 0.2014810000, 0.5143643000, 1.4456937000", \
+                        "0.0779018000, 0.0823986000, 0.0953350000, 0.1304455000, 0.2177257000, 0.5145317000, 1.4513617000", \
+                        "0.1224332000, 0.1290262000, 0.1454763000, 0.1893772000, 0.2904866000, 0.5497775000, 1.4490301000", \
+                        "0.1992094000, 0.2078732000, 0.2329899000, 0.2954795000, 0.4333107000, 0.7241588000, 1.4971004000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0269890000, 0.0298184000, 0.0379982000, 0.0627903000, 0.1366193000, 0.3594950000, 1.0246688000", \
+                        "0.0270209000, 0.0297435000, 0.0380144000, 0.0626669000, 0.1371527000, 0.3604161000, 1.0210832000", \
+                        "0.0285000000, 0.0308154000, 0.0382813000, 0.0627584000, 0.1367493000, 0.3578145000, 1.0233289000", \
+                        "0.0430854000, 0.0450419000, 0.0501162000, 0.0683148000, 0.1371154000, 0.3615489000, 1.0326445000", \
+                        "0.0712801000, 0.0744411000, 0.0825470000, 0.1025241000, 0.1540045000, 0.3595024000, 1.0200362000", \
+                        "0.1191396000, 0.1240185000, 0.1372889000, 0.1691132000, 0.2346934000, 0.3939952000, 1.0271434000", \
+                        "0.1972724000, 0.2056550000, 0.2273586000, 0.2791839000, 0.3862603000, 0.5751788000, 1.0792415000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0564232000, 0.0592972000, 0.0679257000, 0.0936411000, 0.1695033000, 0.3950422000, 1.0733350000", \
+                        "0.0592226000, 0.0626147000, 0.0714253000, 0.0975395000, 0.1736428000, 0.3996748000, 1.0733352000", \
+                        "0.0667824000, 0.0698247000, 0.0789806000, 0.1053322000, 0.1832331000, 0.4086647000, 1.0828047000", \
+                        "0.0820233000, 0.0856965000, 0.0961493000, 0.1236358000, 0.2014552000, 0.4279437000, 1.1095331000", \
+                        "0.1040514000, 0.1089479000, 0.1230334000, 0.1589021000, 0.2456726000, 0.4741236000, 1.1590279000", \
+                        "0.1180670000, 0.1260621000, 0.1478439000, 0.2029441000, 0.3225964000, 0.5792105000, 1.2568759000", \
+                        "0.0943839000, 0.1068383000, 0.1412613000, 0.2268518000, 0.4119000000, 0.7686818000, 1.5029664000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0494571000, 0.0518314000, 0.0586071000, 0.0788354000, 0.1377354000, 0.3117282000, 0.8302024000", \
+                        "0.0545690000, 0.0571105000, 0.0640539000, 0.0845347000, 0.1435140000, 0.3176506000, 0.8364252000", \
+                        "0.0676315000, 0.0700706000, 0.0769611000, 0.0976507000, 0.1572765000, 0.3315875000, 0.8500119000", \
+                        "0.0994617000, 0.1021278000, 0.1090072000, 0.1290798000, 0.1892663000, 0.3622175000, 0.8810899000", \
+                        "0.1574275000, 0.1615592000, 0.1726635000, 0.2008218000, 0.2643418000, 0.4382104000, 0.9590705000", \
+                        "0.2526361000, 0.2590287000, 0.2769087000, 0.3226133000, 0.4221757000, 0.6146514000, 1.1324321000", \
+                        "0.4146563000, 0.4234545000, 0.4515470000, 0.5239902000, 0.6816458000, 0.9840294000, 1.5378615000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0475955000, 0.0516306000, 0.0632936000, 0.0980462000, 0.2022046000, 0.5136244000, 1.4484471000", \
+                        "0.0474994000, 0.0514681000, 0.0632948000, 0.0982687000, 0.2024012000, 0.5151591000, 1.4444035000", \
+                        "0.0479012000, 0.0515960000, 0.0631903000, 0.0981167000, 0.2031312000, 0.5145391000, 1.4455008000", \
+                        "0.0551396000, 0.0584668000, 0.0686356000, 0.1008030000, 0.2027597000, 0.5140930000, 1.4492454000", \
+                        "0.0753073000, 0.0792231000, 0.0906304000, 0.1223639000, 0.2134811000, 0.5137601000, 1.4541939000", \
+                        "0.1193523000, 0.1244552000, 0.1384168000, 0.1754402000, 0.2689590000, 0.5384117000, 1.4453108000", \
+                        "0.2014291000, 0.2082447000, 0.2274552000, 0.2781693000, 0.3969077000, 0.6706690000, 1.4817925000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0405677000, 0.0436433000, 0.0528979000, 0.0803751000, 0.1630906000, 0.4097949000, 1.1458070000", \
+                        "0.0405957000, 0.0436456000, 0.0529711000, 0.0803546000, 0.1627089000, 0.4085547000, 1.1455072000", \
+                        "0.0405017000, 0.0436525000, 0.0528305000, 0.0804912000, 0.1626279000, 0.4088739000, 1.1471558000", \
+                        "0.0482658000, 0.0507814000, 0.0580445000, 0.0822674000, 0.1627850000, 0.4090896000, 1.1473397000", \
+                        "0.0777250000, 0.0806908000, 0.0891086000, 0.1091726000, 0.1739770000, 0.4085788000, 1.1492137000", \
+                        "0.1305172000, 0.1351136000, 0.1476630000, 0.1791698000, 0.2468423000, 0.4343444000, 1.1456480000", \
+                        "0.2149340000, 0.2227109000, 0.2452325000, 0.2961059000, 0.4021536000, 0.5994781000, 1.1820025000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0652890000, 0.0681994000, 0.0772449000, 0.1028491000, 0.1783361000, 0.4080239000, 1.0798369000", \
+                        "0.0686755000, 0.0717234000, 0.0804349000, 0.1063814000, 0.1827352000, 0.4087265000, 1.0826818000", \
+                        "0.0752996000, 0.0784901000, 0.0873877000, 0.1140676000, 0.1903404000, 0.4162143000, 1.0993739000", \
+                        "0.0879464000, 0.0913843000, 0.1012223000, 0.1283717000, 0.2055718000, 0.4318974000, 1.1080041000", \
+                        "0.1065578000, 0.1113923000, 0.1230420000, 0.1549371000, 0.2378476000, 0.4671782000, 1.1407296000", \
+                        "0.1208487000, 0.1281018000, 0.1453682000, 0.1913714000, 0.2955071000, 0.5427521000, 1.2253755000", \
+                        "0.0949631000, 0.1053537000, 0.1352848000, 0.2077879000, 0.3666922000, 0.6823452000, 1.3972768000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0588805000, 0.0613960000, 0.0687566000, 0.0893115000, 0.1496031000, 0.3258106000, 0.8489254000", \
+                        "0.0644227000, 0.0668696000, 0.0740525000, 0.0948002000, 0.1552635000, 0.3314635000, 0.8554013000", \
+                        "0.0773820000, 0.0799932000, 0.0873357000, 0.1083606000, 0.1688762000, 0.3453456000, 0.8694455000", \
+                        "0.1093876000, 0.1119298000, 0.1189918000, 0.1402438000, 0.1994954000, 0.3761177000, 0.8997130000", \
+                        "0.1746809000, 0.1776163000, 0.1881863000, 0.2137537000, 0.2760516000, 0.4523831000, 0.9754229000", \
+                        "0.2830987000, 0.2887965000, 0.3047029000, 0.3454793000, 0.4382564000, 0.6284473000, 1.1483676000", \
+                        "0.4641965000, 0.4722497000, 0.4968665000, 0.5613176000, 0.7101667000, 1.0020798000, 1.5569466000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0477103000, 0.0516366000, 0.0631245000, 0.0981748000, 0.2022045000, 0.5158033000, 1.4480550000", \
+                        "0.0476622000, 0.0515453000, 0.0632446000, 0.0979800000, 0.2031891000, 0.5146217000, 1.4448752000", \
+                        "0.0478032000, 0.0516728000, 0.0634169000, 0.0983092000, 0.2022283000, 0.5135656000, 1.4494973000", \
+                        "0.0526658000, 0.0562183000, 0.0668323000, 0.1000082000, 0.2025289000, 0.5136342000, 1.4467236000", \
+                        "0.0674148000, 0.0712040000, 0.0821461000, 0.1143053000, 0.2097198000, 0.5153352000, 1.4454734000", \
+                        "0.1060815000, 0.1100126000, 0.1222642000, 0.1560352000, 0.2486376000, 0.5296001000, 1.4492019000", \
+                        "0.1849770000, 0.1908465000, 0.2059091000, 0.2488781000, 0.3535762000, 0.6260367000, 1.4754215000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0516851000, 0.0546727000, 0.0641072000, 0.0922306000, 0.1756981000, 0.4267575000, 1.1786517000", \
+                        "0.0515366000, 0.0547869000, 0.0640353000, 0.0921322000, 0.1762265000, 0.4278311000, 1.1795757000", \
+                        "0.0512628000, 0.0544465000, 0.0639008000, 0.0921495000, 0.1763074000, 0.4267857000, 1.1779488000", \
+                        "0.0555242000, 0.0582411000, 0.0665978000, 0.0926970000, 0.1761127000, 0.4277127000, 1.1796075000", \
+                        "0.0836743000, 0.0873643000, 0.0944414000, 0.1154349000, 0.1848166000, 0.4278768000, 1.1826061000", \
+                        "0.1375031000, 0.1420333000, 0.1541808000, 0.1858552000, 0.2527233000, 0.4503838000, 1.1789381000", \
+                        "0.2273271000, 0.2348182000, 0.2553369000, 0.3042236000, 0.4090115000, 0.6099796000, 1.2176564000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0699904000, 0.0729105000, 0.0814610000, 0.1075825000, 0.1829296000, 0.4083222000, 1.0889757000", \
+                        "0.0732969000, 0.0763512000, 0.0850481000, 0.1115129000, 0.1871218000, 0.4127720000, 1.0866029000", \
+                        "0.0796682000, 0.0829070000, 0.0918910000, 0.1180824000, 0.1958487000, 0.4231515000, 1.0952482000", \
+                        "0.0917260000, 0.0949946000, 0.1042593000, 0.1308856000, 0.2084355000, 0.4343566000, 1.1107135000", \
+                        "0.1081683000, 0.1118881000, 0.1225397000, 0.1518666000, 0.2327760000, 0.4614814000, 1.1380267000", \
+                        "0.1214042000, 0.1266018000, 0.1411784000, 0.1795803000, 0.2739188000, 0.5150339000, 1.1938822000", \
+                        "0.0978673000, 0.1066714000, 0.1304459000, 0.1904859000, 0.3246467000, 0.6125376000, 1.3180546000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0591857000, 0.0615771000, 0.0685171000, 0.0884810000, 0.1445956000, 0.3061654000, 0.7826487000", \
+                        "0.0643185000, 0.0667922000, 0.0738800000, 0.0937000000, 0.1499404000, 0.3123845000, 0.7888840000", \
+                        "0.0774459000, 0.0798603000, 0.0868999000, 0.1068108000, 0.1631279000, 0.3248235000, 0.8009272000", \
+                        "0.1100781000, 0.1123780000, 0.1191995000, 0.1391314000, 0.1954645000, 0.3574258000, 0.8358731000", \
+                        "0.1766741000, 0.1800810000, 0.1891874000, 0.2130239000, 0.2698201000, 0.4312131000, 0.9091851000", \
+                        "0.2865642000, 0.2915498000, 0.3058855000, 0.3417643000, 0.4289881000, 0.6055874000, 1.0792164000", \
+                        "0.4686883000, 0.4762776000, 0.4977991000, 0.5552884000, 0.6910016000, 0.9666764000, 1.4822619000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0476257000, 0.0514888000, 0.0633135000, 0.0983604000, 0.2020957000, 0.5132398000, 1.4489897000", \
+                        "0.0476120000, 0.0515083000, 0.0633064000, 0.0983355000, 0.2025261000, 0.5137091000, 1.4435493000", \
+                        "0.0477677000, 0.0515824000, 0.0633988000, 0.0982325000, 0.2032239000, 0.5153836000, 1.4453997000", \
+                        "0.0505571000, 0.0541632000, 0.0651085000, 0.0990137000, 0.2029405000, 0.5136631000, 1.4472985000", \
+                        "0.0602490000, 0.0640152000, 0.0751794000, 0.1086941000, 0.2073316000, 0.5147535000, 1.4461722000", \
+                        "0.0893699000, 0.0930717000, 0.1039494000, 0.1364164000, 0.2344835000, 0.5271774000, 1.4469789000", \
+                        "0.1594051000, 0.1639729000, 0.1770822000, 0.2134494000, 0.3110788000, 0.5946446000, 1.4693026000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0581279000, 0.0611742000, 0.0697912000, 0.0958916000, 0.1733021000, 0.4052824000, 1.1035320000", \
+                        "0.0579230000, 0.0608157000, 0.0698022000, 0.0957365000, 0.1733129000, 0.4059695000, 1.1025553000", \
+                        "0.0569226000, 0.0600051000, 0.0691459000, 0.0955081000, 0.1730569000, 0.4052540000, 1.1019998000", \
+                        "0.0601238000, 0.0628157000, 0.0709048000, 0.0956330000, 0.1728382000, 0.4058907000, 1.1046422000", \
+                        "0.0880659000, 0.0908521000, 0.0986434000, 0.1185795000, 0.1821149000, 0.4053787000, 1.1041379000", \
+                        "0.1409855000, 0.1450918000, 0.1565868000, 0.1855604000, 0.2515229000, 0.4331570000, 1.1024696000", \
+                        "0.2302440000, 0.2369736000, 0.2556582000, 0.3021644000, 0.4020892000, 0.5989952000, 1.1520200000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand4b_1 */
+
+/* removed sky130_fd_sc_hd__nand4b_2 */
+
+/* removed sky130_fd_sc_hd__nand4b_4 */
+
+/* removed sky130_fd_sc_hd__nand4bb_1 */
+
+/* removed sky130_fd_sc_hd__nand4bb_2 */
+
+/* removed sky130_fd_sc_hd__nand4bb_4 */
+
+/* removed sky130_fd_sc_hd__nor2_1 */
+
+/* removed sky130_fd_sc_hd__nor2_2 */
+
+    cell ("sky130_fd_sc_hd__nor2_4") {
+        leakage_power () {
+            value : 0.0040121000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0038425000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0004326000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0025266000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nor2";
+        cell_leakage_power : 0.0027034450;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0166262000, 0.0166196000, 0.0166045000, 0.0166015000, 0.0165948000, 0.0165793000, 0.0165435000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.014203000, -0.014451100, -0.015023100, -0.015052500, -0.015120400, -0.015276800, -0.015637300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091620000;
+        }
+        pin ("B") {
+            capacitance : 0.0086870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081436000, 0.0081226000, 0.0080741000, 0.0081109000, 0.0081958000, 0.0083914000, 0.0088424000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006803300, -0.006799600, -0.006791000, -0.006789500, -0.006786200, -0.006778400, -0.006760500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092890000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0145888000, 0.0131288000, 0.0090218000, -0.002561700, -0.035331800, -0.128296000, -0.391244100", \
+                        "0.0142153000, 0.0127769000, 0.0086766000, -0.002845900, -0.035513400, -0.128443600, -0.391371600", \
+                        "0.0138944000, 0.0125050000, 0.0084683000, -0.002870700, -0.035438400, -0.128239700, -0.391195500", \
+                        "0.0130502000, 0.0116242000, 0.0076562000, -0.003648700, -0.035904100, -0.128573600, -0.391304800", \
+                        "0.0136694000, 0.0122110000, 0.0081994000, -0.003201600, -0.036291200, -0.128826400, -0.391466700", \
+                        "0.0160415000, 0.0146191000, 0.0104409000, -0.002234800, -0.035401700, -0.128272600, -0.391073900", \
+                        "0.0215214000, 0.0200398000, 0.0154086000, 0.0030774000, -0.031095100, -0.125725300, -0.390139800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0249433000, 0.0265426000, 0.0309809000, 0.0430860000, 0.0763783000, 0.1683058000, 0.4283017000", \
+                        "0.0242285000, 0.0258172000, 0.0302205000, 0.0424180000, 0.0757326000, 0.1680930000, 0.4281469000", \
+                        "0.0237443000, 0.0252968000, 0.0295957000, 0.0415580000, 0.0753023000, 0.1681136000, 0.4278681000", \
+                        "0.0234331000, 0.0249690000, 0.0291991000, 0.0410534000, 0.0741796000, 0.1671085000, 0.4310648000", \
+                        "0.0231148000, 0.0246845000, 0.0288640000, 0.0405805000, 0.0736300000, 0.1667428000, 0.4301930000", \
+                        "0.0232302000, 0.0247032000, 0.0288746000, 0.0406072000, 0.0737207000, 0.1665469000, 0.4262356000", \
+                        "0.0249650000, 0.0262105000, 0.0300107000, 0.0411471000, 0.0743474000, 0.1672062000, 0.4281072000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("-5.18000e-05, -0.001346100, -0.005112600, -0.016321000, -0.049065600, -0.142248100, -0.405451700", \
+                        "-0.000782300, -0.002019900, -0.005667100, -0.016589700, -0.049012800, -0.142011800, -0.405117700", \
+                        "-0.001647300, -0.002906000, -0.006470400, -0.017263100, -0.049292900, -0.142004900, -0.404946800", \
+                        "-0.002350500, -0.003672800, -0.007446400, -0.018167700, -0.050101100, -0.142319400, -0.404969500", \
+                        "-0.001778100, -0.003062700, -0.007073500, -0.018577100, -0.050980400, -0.142884000, -0.405222200", \
+                        "0.0003238000, -0.001299400, -0.005673300, -0.017701800, -0.050703300, -0.143048500, -0.405359200", \
+                        "0.0081546000, 0.0065841000, 0.0007174000, -0.012229700, -0.047334100, -0.141374600, -0.405403900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0234499000, 0.0252083000, 0.0298260000, 0.0424246000, 0.0757155000, 0.1681166000, 0.4281616000", \
+                        "0.0225901000, 0.0242770000, 0.0287232000, 0.0410645000, 0.0751239000, 0.1682812000, 0.4278748000", \
+                        "0.0220176000, 0.0236259000, 0.0279958000, 0.0403448000, 0.0738392000, 0.1684640000, 0.4277499000", \
+                        "0.0224831000, 0.0239328000, 0.0280787000, 0.0397846000, 0.0728287000, 0.1662264000, 0.4279252000", \
+                        "0.0234005000, 0.0248091000, 0.0286947000, 0.0400777000, 0.0728604000, 0.1654970000, 0.4262714000", \
+                        "0.0253165000, 0.0268912000, 0.0307984000, 0.0422785000, 0.0742481000, 0.1664044000, 0.4285354000", \
+                        "0.0315872000, 0.0323786000, 0.0359486000, 0.0468016000, 0.0779553000, 0.1683928000, 0.4261670000");
+                }
+            }
+            max_capacitance : 0.2517800000;
+            max_transition : 1.4964490000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0169715000, 0.0179898000, 0.0207708000, 0.0278877000, 0.0464088000, 0.0962407000, 0.2342976000", \
+                        "0.0218200000, 0.0227798000, 0.0254185000, 0.0324638000, 0.0509264000, 0.1007439000, 0.2387181000", \
+                        "0.0311648000, 0.0324597000, 0.0357109000, 0.0435209000, 0.0617677000, 0.1114110000, 0.2496350000", \
+                        "0.0424904000, 0.0444516000, 0.0494231000, 0.0614109000, 0.0862029000, 0.1371073000, 0.2749299000", \
+                        "0.0523674000, 0.0554190000, 0.0631869000, 0.0816469000, 0.1203738000, 0.1924431000, 0.3342928000", \
+                        "0.0511493000, 0.0557858000, 0.0678160000, 0.0966514000, 0.1572204000, 0.2690975000, 0.4647971000", \
+                        "0.0095339000, 0.0168776000, 0.0358525000, 0.0808120000, 0.1756667000, 0.3539680000, 0.6596342000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0622744000, 0.0664765000, 0.0779111000, 0.1094290000, 0.1954166000, 0.4332344000, 1.1041421000", \
+                        "0.0667856000, 0.0709660000, 0.0823530000, 0.1140250000, 0.2003005000, 0.4389736000, 1.1103100000", \
+                        "0.0798638000, 0.0838861000, 0.0952087000, 0.1260894000, 0.2131699000, 0.4561659000, 1.1249001000", \
+                        "0.1076138000, 0.1118374000, 0.1228784000, 0.1538689000, 0.2394934000, 0.4796278000, 1.1576793000", \
+                        "0.1527445000, 0.1587778000, 0.1737594000, 0.2112743000, 0.2997347000, 0.5399549000, 1.2174648000", \
+                        "0.2263442000, 0.2352630000, 0.2582115000, 0.3114440000, 0.4269287000, 0.6780203000, 1.3543581000", \
+                        "0.3456880000, 0.3598186000, 0.3963414000, 0.4799576000, 0.6491258000, 0.9774964000, 1.6728150000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0124766000, 0.0136194000, 0.0167281000, 0.0253355000, 0.0492240000, 0.1167727000, 0.3080123000", \
+                        "0.0125080000, 0.0135257000, 0.0164962000, 0.0249712000, 0.0490925000, 0.1167750000, 0.3080687000", \
+                        "0.0176730000, 0.0187179000, 0.0212990000, 0.0279611000, 0.0495384000, 0.1167363000, 0.3085307000", \
+                        "0.0277003000, 0.0291974000, 0.0329441000, 0.0415591000, 0.0609881000, 0.1191021000, 0.3082691000", \
+                        "0.0453959000, 0.0477238000, 0.0535742000, 0.0665357000, 0.0940910000, 0.1479985000, 0.3128849000", \
+                        "0.0771960000, 0.0809411000, 0.0904556000, 0.1114286000, 0.1515543000, 0.2297037000, 0.3762480000", \
+                        "0.1353600000, 0.1415310000, 0.1563482000, 0.1899870000, 0.2544940000, 0.3675451000, 0.5692316000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0402232000, 0.0454527000, 0.0599119000, 0.1017079000, 0.2190281000, 0.5447648000, 1.4649204000", \
+                        "0.0402643000, 0.0454902000, 0.0599241000, 0.1014302000, 0.2177543000, 0.5452596000, 1.4655323000", \
+                        "0.0403483000, 0.0455417000, 0.0602361000, 0.1015483000, 0.2189719000, 0.5471781000, 1.4664657000", \
+                        "0.0438942000, 0.0485808000, 0.0622658000, 0.1016263000, 0.2180911000, 0.5448665000, 1.4783624000", \
+                        "0.0609245000, 0.0662622000, 0.0800483000, 0.1158114000, 0.2220661000, 0.5454546000, 1.4779042000", \
+                        "0.0980405000, 0.1042219000, 0.1197569000, 0.1601521000, 0.2630935000, 0.5558799000, 1.4675843000", \
+                        "0.1757244000, 0.1831104000, 0.2033222000, 0.2535891000, 0.3716539000, 0.6541388000, 1.4863820000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0138624000, 0.0147903000, 0.0172767000, 0.0237678000, 0.0414504000, 0.0905806000, 0.2287096000", \
+                        "0.0182299000, 0.0193012000, 0.0218561000, 0.0283958000, 0.0462271000, 0.0953179000, 0.2356907000", \
+                        "0.0237826000, 0.0254619000, 0.0296104000, 0.0387528000, 0.0571306000, 0.1064740000, 0.2446131000", \
+                        "0.0285800000, 0.0312624000, 0.0379018000, 0.0522514000, 0.0802141000, 0.1320774000, 0.2707602000", \
+                        "0.0280358000, 0.0322023000, 0.0425710000, 0.0657560000, 0.1098734000, 0.1865268000, 0.3294210000", \
+                        "0.0095458000, 0.0162749000, 0.0325911000, 0.0691303000, 0.1390075000, 0.2584541000, 0.4607315000", \
+                        "-0.059366700, -0.048490700, -0.023510800, 0.0340899000, 0.1441704000, 0.3356903000, 0.6527048000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0419300000, 0.0465356000, 0.0584431000, 0.0910134000, 0.1781699000, 0.4159947000, 1.0871927000", \
+                        "0.0450207000, 0.0493287000, 0.0609082000, 0.0928722000, 0.1826169000, 0.4218549000, 1.0933071000", \
+                        "0.0562287000, 0.0602519000, 0.0715604000, 0.1031770000, 0.1898992000, 0.4331795000, 1.1038219000", \
+                        "0.0833635000, 0.0884081000, 0.1007163000, 0.1308756000, 0.2159345000, 0.4562961000, 1.1357739000", \
+                        "0.1253656000, 0.1329178000, 0.1526407000, 0.1948177000, 0.2835507000, 0.5216512000, 1.1936074000", \
+                        "0.1955487000, 0.2069636000, 0.2353216000, 0.3007529000, 0.4295797000, 0.6782531000, 1.3499469000", \
+                        "0.3272712000, 0.3421144000, 0.3818280000, 0.4756208000, 0.6683371000, 1.0252291000, 1.7070267000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0068857000, 0.0079172000, 0.0108661000, 0.0193111000, 0.0428918000, 0.1091994000, 0.2961055000", \
+                        "0.0081798000, 0.0089718000, 0.0114750000, 0.0193212000, 0.0429388000, 0.1092325000, 0.2976890000", \
+                        "0.0134535000, 0.0145305000, 0.0174647000, 0.0238283000, 0.0439932000, 0.1091723000, 0.2962383000", \
+                        "0.0230443000, 0.0246661000, 0.0286775000, 0.0381474000, 0.0569112000, 0.1120622000, 0.2984915000", \
+                        "0.0408158000, 0.0432319000, 0.0497885000, 0.0638828000, 0.0916016000, 0.1426618000, 0.3020172000", \
+                        "0.0731927000, 0.0775736000, 0.0871061000, 0.1086018000, 0.1501374000, 0.2266512000, 0.3695773000", \
+                        "0.1334633000, 0.1400780000, 0.1583683000, 0.1922647000, 0.2549426000, 0.3658019000, 0.5634401000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0398289000, 0.0452207000, 0.0597080000, 0.1014653000, 0.2181662000, 0.5454607000, 1.4650987000", \
+                        "0.0395948000, 0.0450032000, 0.0598076000, 0.1013858000, 0.2193156000, 0.5467820000, 1.4662073000", \
+                        "0.0394401000, 0.0444469000, 0.0593656000, 0.1013186000, 0.2176547000, 0.5498381000, 1.4670208000", \
+                        "0.0524386000, 0.0563191000, 0.0668484000, 0.1029512000, 0.2178261000, 0.5451701000, 1.4725396000", \
+                        "0.0749895000, 0.0802406000, 0.0969379000, 0.1303689000, 0.2258270000, 0.5478105000, 1.4688503000", \
+                        "0.1122800000, 0.1208849000, 0.1430223000, 0.1934740000, 0.2917642000, 0.5620057000, 1.4755858000", \
+                        "0.1741214000, 0.1877213000, 0.2188592000, 0.2915491000, 0.4369753000, 0.7131835000, 1.4964487000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor2_8 */
+
+/* removed sky130_fd_sc_hd__nor2b_1 */
+
+/* removed sky130_fd_sc_hd__nor2b_2 */
+
+/* removed sky130_fd_sc_hd__nor2b_4 */
+
+/* removed sky130_fd_sc_hd__nor3_1 */
+
+/* removed sky130_fd_sc_hd__nor3_2 */
+
+    cell ("sky130_fd_sc_hd__nor3_4") {
+        leakage_power () {
+            value : 0.0022547000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0063490000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0002338000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0021546000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0002616000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0022324000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0168094000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0040347000;
+            when : "A&B&!C";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__nor3";
+        cell_leakage_power : 0.0042912730;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0142969000, 0.0143097000, 0.0143392000, 0.0143519000, 0.0143812000, 0.0144486000, 0.0146039000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013326700, -0.013483800, -0.013845900, -0.013901100, -0.014028400, -0.014321800, -0.014998200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091280000;
+        }
+        pin ("B") {
+            capacitance : 0.0090040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163574000, 0.0163542000, 0.0163467000, 0.0163500000, 0.0163575000, 0.0163747000, 0.0164143000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013661800, -0.013966800, -0.014670000, -0.014698300, -0.014763700, -0.014914300, -0.015261500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096290000;
+        }
+        pin ("C") {
+            capacitance : 0.0083840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077610000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075804000, 0.0075711000, 0.0075497000, 0.0075769000, 0.0076396000, 0.0077840000, 0.0081169000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006788000, -0.006791100, -0.006798300, -0.006793500, -0.006782500, -0.006757000, -0.006698300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090080000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0199379000, 0.0186626000, 0.0153205000, 0.0065976000, -0.015967400, -0.074374600, -0.226809300", \
+                        "0.0196014000, 0.0183152000, 0.0149740000, 0.0062845000, -0.016262000, -0.074648500, -0.227148300", \
+                        "0.0193230000, 0.0180300000, 0.0146901000, 0.0061114000, -0.016482700, -0.074859800, -0.227308100", \
+                        "0.0184938000, 0.0172186000, 0.0139127000, 0.0055356000, -0.017009400, -0.075289800, -0.227694300", \
+                        "0.0183470000, 0.0171017000, 0.0137670000, 0.0052763000, -0.017156400, -0.075386800, -0.227853100", \
+                        "0.0208707000, 0.0195771000, 0.0156983000, 0.0069001000, -0.015753500, -0.074644600, -0.227468100", \
+                        "0.0258766000, 0.0245120000, 0.0210551000, 0.0119967000, -0.011104100, -0.071097600, -0.225411300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0419332000, 0.0433019000, 0.0467233000, 0.0557576000, 0.0782246000, 0.1365093000, 0.2880104000", \
+                        "0.0411786000, 0.0425389000, 0.0459865000, 0.0549509000, 0.0778164000, 0.1364060000, 0.2879243000", \
+                        "0.0405733000, 0.0418956000, 0.0453065000, 0.0543634000, 0.0773700000, 0.1361863000, 0.2874550000", \
+                        "0.0401619000, 0.0414900000, 0.0448884000, 0.0536514000, 0.0767059000, 0.1356105000, 0.2870848000", \
+                        "0.0398210000, 0.0410637000, 0.0444403000, 0.0532134000, 0.0759599000, 0.1347622000, 0.2882585000", \
+                        "0.0397544000, 0.0410743000, 0.0444992000, 0.0532753000, 0.0755772000, 0.1343866000, 0.2858779000", \
+                        "0.0388516000, 0.0401609000, 0.0434223000, 0.0526392000, 0.0760440000, 0.1345737000, 0.2859439000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0142556000, 0.0129849000, 0.0096522000, 0.0010167000, -0.021434700, -0.080125200, -0.233151200", \
+                        "0.0143063000, 0.0130362000, 0.0097349000, 0.0011696000, -0.021232500, -0.079899600, -0.232886800", \
+                        "0.0145259000, 0.0131917000, 0.0099707000, 0.0015336000, -0.020778700, -0.079330800, -0.232229900", \
+                        "0.0135534000, 0.0123194000, 0.0091125000, 0.0007452000, -0.021302600, -0.079635200, -0.232389100", \
+                        "0.0135697000, 0.0123205000, 0.0091050000, 0.0006158000, -0.021710700, -0.080089300, -0.232568100", \
+                        "0.0147046000, 0.0134104000, 0.0101398000, 0.0014837000, -0.021296100, -0.079635500, -0.232641900", \
+                        "0.0194847000, 0.0180977000, 0.0145660000, 0.0053740000, -0.017976400, -0.077378900, -0.231021600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0314095000, 0.0328272000, 0.0364328000, 0.0455414000, 0.0682135000, 0.1269929000, 0.2780706000", \
+                        "0.0304710000, 0.0319002000, 0.0354974000, 0.0445383000, 0.0676230000, 0.1264213000, 0.2779885000", \
+                        "0.0297923000, 0.0311795000, 0.0346926000, 0.0436078000, 0.0669969000, 0.1260448000, 0.2775422000", \
+                        "0.0294258000, 0.0307273000, 0.0341787000, 0.0430974000, 0.0658411000, 0.1248810000, 0.2771386000", \
+                        "0.0289657000, 0.0302752000, 0.0336642000, 0.0424145000, 0.0652545000, 0.1239028000, 0.2761691000", \
+                        "0.0289157000, 0.0302567000, 0.0336725000, 0.0424800000, 0.0650870000, 0.1239872000, 0.2751327000", \
+                        "0.0306071000, 0.0318181000, 0.0350267000, 0.0434365000, 0.0657157000, 0.1235841000, 0.2764350000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0014765000, 0.0002150000, -0.003129700, -0.011829600, -0.034445400, -0.093324700, -0.246539700", \
+                        "0.0009819000, -0.000250400, -0.003471400, -0.011956900, -0.034359900, -0.093065100, -0.246197300", \
+                        "0.0001864000, -0.001003200, -0.004127800, -0.012373200, -0.034429500, -0.092879200, -0.245878400", \
+                        "-0.000619800, -0.001741000, -0.004796000, -0.012946800, -0.034882500, -0.092997000, -0.245715400", \
+                        "-0.000643700, -0.001880200, -0.005061700, -0.013256300, -0.035327200, -0.093434500, -0.245911400", \
+                        "0.0006571000, -0.000665300, -0.004055000, -0.012693300, -0.034746500, -0.092958100, -0.245984400", \
+                        "0.0058112000, 0.0043291000, 0.0005567000, -0.008775600, -0.032707200, -0.092377500, -0.245518400");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0300088000, 0.0314758000, 0.0351346000, 0.0443569000, 0.0673434000, 0.1257530000, 0.2773657000", \
+                        "0.0288508000, 0.0303594000, 0.0340765000, 0.0431939000, 0.0664739000, 0.1260338000, 0.2782887000", \
+                        "0.0282233000, 0.0295622000, 0.0330668000, 0.0420783000, 0.0655194000, 0.1246652000, 0.2767622000", \
+                        "0.0281496000, 0.0294557000, 0.0328368000, 0.0416365000, 0.0644769000, 0.1237586000, 0.2761190000", \
+                        "0.0281677000, 0.0294708000, 0.0327529000, 0.0414062000, 0.0639806000, 0.1226242000, 0.2748800000", \
+                        "0.0298666000, 0.0311652000, 0.0344254000, 0.0428357000, 0.0649640000, 0.1228135000, 0.2754221000", \
+                        "0.0349379000, 0.0357968000, 0.0388321000, 0.0469724000, 0.0683805000, 0.1253775000, 0.2758469000");
+                }
+            }
+            max_capacitance : 0.1538190000;
+            max_transition : 1.4951450000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0174855000, 0.0184340000, 0.0208644000, 0.0268790000, 0.0413733000, 0.0759522000, 0.1607760000", \
+                        "0.0228412000, 0.0237286000, 0.0260120000, 0.0317810000, 0.0460489000, 0.0804793000, 0.1652044000", \
+                        "0.0338757000, 0.0350339000, 0.0376741000, 0.0436949000, 0.0572489000, 0.0912593000, 0.1757439000", \
+                        "0.0488273000, 0.0504366000, 0.0543635000, 0.0634235000, 0.0817694000, 0.1171124000, 0.2004549000", \
+                        "0.0659919000, 0.0682947000, 0.0740620000, 0.0873439000, 0.1152536000, 0.1675864000, 0.2599356000", \
+                        "0.0757500000, 0.0792676000, 0.0881226000, 0.1083624000, 0.1509201000, 0.2309555000, 0.3720089000", \
+                        "0.0510694000, 0.0561829000, 0.0692785000, 0.1004629000, 0.1667459000, 0.2929524000, 0.5115211000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1298159000, 0.1355968000, 0.1501819000, 0.1872919000, 0.2819722000, 0.5220789000, 1.1467399000", \
+                        "0.1331755000, 0.1390662000, 0.1533607000, 0.1906723000, 0.2849966000, 0.5268181000, 1.1521017000", \
+                        "0.1451605000, 0.1509002000, 0.1650792000, 0.2025948000, 0.2982548000, 0.5447562000, 1.1666669000", \
+                        "0.1723862000, 0.1781808000, 0.1921589000, 0.2289811000, 0.3240281000, 0.5672227000, 1.1943477000", \
+                        "0.2262289000, 0.2321218000, 0.2472209000, 0.2847693000, 0.3784654000, 0.6209191000, 1.2532270000", \
+                        "0.3142628000, 0.3215296000, 0.3400843000, 0.3849722000, 0.4910379000, 0.7364828000, 1.3637210000", \
+                        "0.4568851000, 0.4693879000, 0.4948969000, 0.5562682000, 0.6914393000, 0.9795311000, 1.6215130000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0177636000, 0.0189838000, 0.0221398000, 0.0299893000, 0.0488784000, 0.0953409000, 0.2141622000", \
+                        "0.0180974000, 0.0191670000, 0.0219415000, 0.0292275000, 0.0484198000, 0.0951764000, 0.2139516000", \
+                        "0.0239326000, 0.0246984000, 0.0268349000, 0.0325979000, 0.0489265000, 0.0946129000, 0.2138524000", \
+                        "0.0362100000, 0.0373315000, 0.0400577000, 0.0468523000, 0.0611602000, 0.0993074000, 0.2135024000", \
+                        "0.0582597000, 0.0599486000, 0.0640821000, 0.0726279000, 0.0931257000, 0.1320733000, 0.2281107000", \
+                        "0.0947308000, 0.0974467000, 0.1044113000, 0.1177873000, 0.1462023000, 0.2026841000, 0.3063559000", \
+                        "0.1616153000, 0.1667246000, 0.1790753000, 0.2018979000, 0.2457702000, 0.3244041000, 0.4720148000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0878985000, 0.0951547000, 0.1140563000, 0.1634166000, 0.2900222000, 0.6166931000, 1.4705920000", \
+                        "0.0880411000, 0.0952453000, 0.1141172000, 0.1626418000, 0.2898620000, 0.6180101000, 1.4702175000", \
+                        "0.0881856000, 0.0953229000, 0.1141622000, 0.1634007000, 0.2904801000, 0.6214129000, 1.4663758000", \
+                        "0.0883323000, 0.0955404000, 0.1143988000, 0.1625666000, 0.2906717000, 0.6193145000, 1.4676541000", \
+                        "0.0965134000, 0.1029909000, 0.1205704000, 0.1668808000, 0.2902321000, 0.6186347000, 1.4799252000", \
+                        "0.1236126000, 0.1308136000, 0.1490097000, 0.1964444000, 0.3118961000, 0.6253068000, 1.4698887000", \
+                        "0.1882582000, 0.1963475000, 0.2163412000, 0.2653510000, 0.3898074000, 0.6904268000, 1.4880702000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0195721000, 0.0204865000, 0.0227717000, 0.0283697000, 0.0417606000, 0.0746293000, 0.1578625000", \
+                        "0.0244824000, 0.0253698000, 0.0275988000, 0.0330007000, 0.0463590000, 0.0791664000, 0.1624030000", \
+                        "0.0347018000, 0.0357575000, 0.0383862000, 0.0443344000, 0.0574041000, 0.0901648000, 0.1733458000", \
+                        "0.0475746000, 0.0491778000, 0.0531290000, 0.0620804000, 0.0805916000, 0.1153359000, 0.1984920000", \
+                        "0.0591916000, 0.0617303000, 0.0675750000, 0.0816729000, 0.1100720000, 0.1639329000, 0.2577930000", \
+                        "0.0582438000, 0.0621531000, 0.0715283000, 0.0939686000, 0.1395103000, 0.2241317000, 0.3678348000", \
+                        "0.0146769000, 0.0208107000, 0.0358447000, 0.0701333000, 0.1420067000, 0.2768593000, 0.5038059000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1142725000, 0.1202723000, 0.1354291000, 0.1730752000, 0.2683934000, 0.5105107000, 1.1349477000", \
+                        "0.1157988000, 0.1218348000, 0.1367999000, 0.1742820000, 0.2696984000, 0.5125184000, 1.1383716000", \
+                        "0.1262602000, 0.1317984000, 0.1466168000, 0.1841995000, 0.2801167000, 0.5246776000, 1.1507342000", \
+                        "0.1525392000, 0.1581648000, 0.1727031000, 0.2095677000, 0.3040389000, 0.5477452000, 1.1756628000", \
+                        "0.2048156000, 0.2116040000, 0.2281908000, 0.2672846000, 0.3620047000, 0.6047445000, 1.2323484000", \
+                        "0.2927722000, 0.3019903000, 0.3251388000, 0.3769600000, 0.4912363000, 0.7390542000, 1.3666539000", \
+                        "0.4408492000, 0.4550161000, 0.4885838000, 0.5659982000, 0.7243198000, 1.0342206000, 1.6829288000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0156992000, 0.0166459000, 0.0190882000, 0.0255265000, 0.0419842000, 0.0853736000, 0.1989443000", \
+                        "0.0153676000, 0.0162562000, 0.0186041000, 0.0250300000, 0.0418266000, 0.0853272000, 0.1993425000", \
+                        "0.0204189000, 0.0209392000, 0.0227567000, 0.0276932000, 0.0425135000, 0.0850439000, 0.1990770000", \
+                        "0.0309497000, 0.0320449000, 0.0349263000, 0.0414388000, 0.0549837000, 0.0903609000, 0.1990117000", \
+                        "0.0509184000, 0.0526848000, 0.0567675000, 0.0660789000, 0.0865589000, 0.1247088000, 0.2135362000", \
+                        "0.0861778000, 0.0890312000, 0.0961018000, 0.1110217000, 0.1413703000, 0.1965280000, 0.2977827000", \
+                        "0.1523646000, 0.1570169000, 0.1680824000, 0.1918130000, 0.2389067000, 0.3234140000, 0.4659654000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0878828000, 0.0950797000, 0.1141156000, 0.1633197000, 0.2900672000, 0.6191880000, 1.4675007000", \
+                        "0.0879162000, 0.0951389000, 0.1142852000, 0.1628861000, 0.2897843000, 0.6175445000, 1.4675549000", \
+                        "0.0883621000, 0.0953542000, 0.1143070000, 0.1628883000, 0.2906612000, 0.6192415000, 1.4668564000", \
+                        "0.0886925000, 0.0958294000, 0.1141918000, 0.1634327000, 0.2896006000, 0.6173739000, 1.4705618000", \
+                        "0.1058244000, 0.1120284000, 0.1275556000, 0.1718821000, 0.2914304000, 0.6183120000, 1.4674681000", \
+                        "0.1457064000, 0.1530166000, 0.1717830000, 0.2175987000, 0.3257934000, 0.6258756000, 1.4674465000", \
+                        "0.2336381000, 0.2417454000, 0.2635151000, 0.3158614000, 0.4377489000, 0.7156046000, 1.4900667000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0166630000, 0.0175123000, 0.0196659000, 0.0248849000, 0.0380139000, 0.0714376000, 0.1578602000", \
+                        "0.0211700000, 0.0220372000, 0.0242017000, 0.0295231000, 0.0427048000, 0.0761763000, 0.1626047000", \
+                        "0.0284252000, 0.0297743000, 0.0329602000, 0.0398711000, 0.0536753000, 0.0872085000, 0.1739171000", \
+                        "0.0355065000, 0.0376028000, 0.0425859000, 0.0536003000, 0.0749217000, 0.1126652000, 0.1985515000", \
+                        "0.0375934000, 0.0409943000, 0.0490976000, 0.0665096000, 0.1003095000, 0.1588000000, 0.2579170000", \
+                        "0.0209890000, 0.0264177000, 0.0390681000, 0.0667888000, 0.1202230000, 0.2140151000, 0.3645091000", \
+                        "-0.050968400, -0.042378700, -0.021936900, 0.0225795000, 0.1080176000, 0.2571367000, 0.4986430000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0693758000, 0.0754909000, 0.0905052000, 0.1288806000, 0.2237668000, 0.4655428000, 1.0908835000", \
+                        "0.0700857000, 0.0760378000, 0.0912834000, 0.1296351000, 0.2253014000, 0.4738527000, 1.0958655000", \
+                        "0.0792077000, 0.0847351000, 0.0989169000, 0.1367923000, 0.2336180000, 0.4771583000, 1.1044766000", \
+                        "0.1084151000, 0.1132198000, 0.1264000000, 0.1620965000, 0.2567785000, 0.5011897000, 1.1289453000", \
+                        "0.1650485000, 0.1721184000, 0.1895115000, 0.2288432000, 0.3199367000, 0.5620018000, 1.1960951000", \
+                        "0.2580751000, 0.2690016000, 0.2942368000, 0.3524377000, 0.4726861000, 0.7108291000, 1.3397407000", \
+                        "0.4224762000, 0.4373680000, 0.4731002000, 0.5571136000, 0.7286381000, 1.0571489000, 1.6824081000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0099043000, 0.0108621000, 0.0134194000, 0.0202097000, 0.0377014000, 0.0834049000, 0.2023953000", \
+                        "0.0106335000, 0.0114928000, 0.0137850000, 0.0202230000, 0.0377256000, 0.0835781000, 0.2020942000", \
+                        "0.0163442000, 0.0172148000, 0.0194349000, 0.0245176000, 0.0392715000, 0.0833790000, 0.2019923000", \
+                        "0.0267918000, 0.0281645000, 0.0313672000, 0.0387342000, 0.0532375000, 0.0892817000, 0.2029456000", \
+                        "0.0465941000, 0.0486352000, 0.0537363000, 0.0636149000, 0.0848939000, 0.1263257000, 0.2165589000", \
+                        "0.0830219000, 0.0853947000, 0.0931576000, 0.1097660000, 0.1420419000, 0.2004886000, 0.3014893000", \
+                        "0.1508091000, 0.1559392000, 0.1679303000, 0.1970926000, 0.2415479000, 0.3278302000, 0.4744952000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0856598000, 0.0931410000, 0.1128413000, 0.1627234000, 0.2900939000, 0.6192534000, 1.4673779000", \
+                        "0.0849465000, 0.0924107000, 0.1121060000, 0.1620091000, 0.2896744000, 0.6224912000, 1.4742988000", \
+                        "0.0826841000, 0.0905084000, 0.1107553000, 0.1614921000, 0.2899253000, 0.6175083000, 1.4683749000", \
+                        "0.0861134000, 0.0929247000, 0.1106008000, 0.1594168000, 0.2897711000, 0.6186904000, 1.4664878000", \
+                        "0.1132848000, 0.1212698000, 0.1357074000, 0.1750334000, 0.2905699000, 0.6179647000, 1.4708655000", \
+                        "0.1542560000, 0.1646399000, 0.1855475000, 0.2364153000, 0.3448199000, 0.6276140000, 1.4774657000", \
+                        "0.2299706000, 0.2397104000, 0.2713543000, 0.3402219000, 0.4781893000, 0.7599425000, 1.4951451000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor3b_1 */
+
+/* removed sky130_fd_sc_hd__nor3b_2 */
+
+/* removed sky130_fd_sc_hd__nor3b_4 */
+
+/* removed sky130_fd_sc_hd__nor4_1 */
+
+/* removed sky130_fd_sc_hd__nor4_2 */
+
+    cell ("sky130_fd_sc_hd__nor4_4") {
+        leakage_power () {
+            value : 0.0041413000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0089600000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0002945000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0026775000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0002967000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0025928000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001617000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0003091000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0002871000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0024317000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001565000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002989000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001561000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0003195000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0169857000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001781000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nor4";
+        cell_leakage_power : 0.0025154460;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081520000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0139736000, 0.0139653000, 0.0139464000, 0.0139425000, 0.0139336000, 0.0139130000, 0.0138654000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013126100, -0.013268400, -0.013596500, -0.013663900, -0.013819400, -0.014177600, -0.015003400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091060000;
+        }
+        pin ("B") {
+            capacitance : 0.0085660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0205394000, 0.0205346000, 0.0205236000, 0.0205256000, 0.0205301000, 0.0205404000, 0.0205641000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013206000, -0.013288200, -0.013477800, -0.013550800, -0.013719100, -0.014107000, -0.015001200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091700000;
+        }
+        pin ("C") {
+            capacitance : 0.0083640000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0076970000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0165717000, 0.0165649000, 0.0165492000, 0.0165512000, 0.0165559000, 0.0165667000, 0.0165917000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013817000, -0.014080300, -0.014687200, -0.014716200, -0.014782900, -0.014936700, -0.015291100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090310000;
+        }
+        pin ("D") {
+            capacitance : 0.0085430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0071704000, 0.0071577000, 0.0071283000, 0.0071537000, 0.0072123000, 0.0073472000, 0.0076583000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006809800, -0.006818000, -0.006836900, -0.006834000, -0.006827200, -0.006811600, -0.006775700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092980000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C&!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0258536000, 0.0246675000, 0.0217951000, 0.0145956000, -0.003172300, -0.046845800, -0.154350300", \
+                        "0.0255606000, 0.0243752000, 0.0214335000, 0.0142787000, -0.003480400, -0.047186700, -0.154671200", \
+                        "0.0253191000, 0.0241583000, 0.0213516000, 0.0140476000, -0.003739200, -0.047392000, -0.154894000", \
+                        "0.0246075000, 0.0234827000, 0.0206176000, 0.0135902000, -0.004173900, -0.047836700, -0.155355600", \
+                        "0.0242454000, 0.0230725000, 0.0201942000, 0.0130485000, -0.004343300, -0.048061600, -0.155483400", \
+                        "0.0258922000, 0.0246844000, 0.0217390000, 0.0144945000, -0.003275400, -0.047504900, -0.154733300", \
+                        "0.0316230000, 0.0303862000, 0.0273510000, 0.0200466000, 0.0021243000, -0.042851700, -0.152236800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0568994000, 0.0581136000, 0.0610980000, 0.0683895000, 0.0861611000, 0.1297799000, 0.2364492000", \
+                        "0.0561970000, 0.0574093000, 0.0604668000, 0.0677914000, 0.0856868000, 0.1295917000, 0.2364734000", \
+                        "0.0556635000, 0.0567906000, 0.0598544000, 0.0672150000, 0.0851368000, 0.1289659000, 0.2369291000", \
+                        "0.0552329000, 0.0564561000, 0.0593433000, 0.0666809000, 0.0846081000, 0.1287856000, 0.2359779000", \
+                        "0.0548319000, 0.0560244000, 0.0589427000, 0.0662090000, 0.0839013000, 0.1276851000, 0.2353038000", \
+                        "0.0546776000, 0.0558880000, 0.0587411000, 0.0659636000, 0.0836877000, 0.1276639000, 0.2347430000", \
+                        "0.0547155000, 0.0559366000, 0.0588459000, 0.0660513000, 0.0841257000, 0.1271616000, 0.2347138000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0201957000, 0.0190150000, 0.0161015000, 0.0088895000, -0.008836400, -0.052396900, -0.160228400", \
+                        "0.0201400000, 0.0189480000, 0.0160408000, 0.0088509000, -0.008871200, -0.052406800, -0.160160000", \
+                        "0.0200465000, 0.0188703000, 0.0159483000, 0.0087606000, -0.008963600, -0.052492400, -0.160263600", \
+                        "0.0193000000, 0.0181201000, 0.0153573000, 0.0082912000, -0.009390900, -0.052747400, -0.160502300", \
+                        "0.0188840000, 0.0177187000, 0.0148436000, 0.0076942000, -0.009857300, -0.052986900, -0.160555000", \
+                        "0.0208977000, 0.0196907000, 0.0168061000, 0.0097550000, -0.008386900, -0.051843500, -0.160062000", \
+                        "0.0257932000, 0.0244995000, 0.0214592000, 0.0141110000, -0.004139400, -0.048523000, -0.157560600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0418712000, 0.0431004000, 0.0461302000, 0.0534739000, 0.0712791000, 0.1149089000, 0.2221098000", \
+                        "0.0410878000, 0.0423052000, 0.0453518000, 0.0529086000, 0.0710503000, 0.1150721000, 0.2219309000", \
+                        "0.0403595000, 0.0416194000, 0.0445829000, 0.0519048000, 0.0700102000, 0.1140205000, 0.2217857000", \
+                        "0.0398668000, 0.0411129000, 0.0440833000, 0.0513871000, 0.0692065000, 0.1132726000, 0.2210306000", \
+                        "0.0394266000, 0.0406540000, 0.0435935000, 0.0507979000, 0.0688461000, 0.1123686000, 0.2201341000", \
+                        "0.0393214000, 0.0405284000, 0.0435511000, 0.0506692000, 0.0683765000, 0.1122321000, 0.2195484000", \
+                        "0.0394760000, 0.0406609000, 0.0435315000, 0.0508074000, 0.0687894000, 0.1121211000, 0.2193161000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0151695000, 0.0139835000, 0.0110700000, 0.0038854000, -0.013791000, -0.057472300, -0.165693800", \
+                        "0.0153108000, 0.0141387000, 0.0112399000, 0.0040888000, -0.013503500, -0.057156000, -0.165345600", \
+                        "0.0156310000, 0.0144686000, 0.0116086000, 0.0045541000, -0.012963000, -0.056504200, -0.164545000", \
+                        "0.0150195000, 0.0139297000, 0.0111226000, 0.0041533000, -0.013153700, -0.056518100, -0.164483300", \
+                        "0.0153607000, 0.0142155000, 0.0113771000, 0.0042743000, -0.013485400, -0.056781400, -0.164544000", \
+                        "0.0164597000, 0.0152759000, 0.0123571000, 0.0053862000, -0.012897800, -0.055857900, -0.164305900", \
+                        "0.0204215000, 0.0191836000, 0.0160917000, 0.0085952000, -0.009594900, -0.053851300, -0.162710700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0364060000, 0.0376655000, 0.0407342000, 0.0481260000, 0.0660603000, 0.1097205000, 0.2168759000", \
+                        "0.0355367000, 0.0367814000, 0.0398679000, 0.0473158000, 0.0654474000, 0.1093261000, 0.2167118000", \
+                        "0.0348295000, 0.0360504000, 0.0391555000, 0.0464541000, 0.0645920000, 0.1086825000, 0.2164088000", \
+                        "0.0344173000, 0.0356609000, 0.0385694000, 0.0458242000, 0.0637399000, 0.1079265000, 0.2158656000", \
+                        "0.0339125000, 0.0351212000, 0.0381331000, 0.0453186000, 0.0633486000, 0.1069773000, 0.2148989000", \
+                        "0.0339676000, 0.0350744000, 0.0380269000, 0.0452673000, 0.0630572000, 0.1067641000, 0.2148413000", \
+                        "0.0352447000, 0.0363975000, 0.0392781000, 0.0462103000, 0.0639357000, 0.1068490000, 0.2147592000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0020474000, 0.0008672000, -0.002068500, -0.009367400, -0.027435800, -0.071628900, -0.180092500", \
+                        "0.0015703000, 0.0004395000, -0.002438400, -0.009592900, -0.027414900, -0.071374100, -0.179722000", \
+                        "0.0007928000, -0.000329300, -0.003133800, -0.010131100, -0.027610300, -0.071204900, -0.179324100", \
+                        "-0.000324800, -0.001421200, -0.004120600, -0.010896900, -0.028061800, -0.071341400, -0.179173800", \
+                        "-0.000270800, -0.001399500, -0.004199400, -0.010879400, -0.028057000, -0.071574700, -0.179231400", \
+                        "0.0009318000, -0.000168100, -0.002994400, -0.010832800, -0.028448600, -0.071758400, -0.179181100", \
+                        "0.0063932000, 0.0039648000, 0.0008123000, -0.007008900, -0.025627700, -0.069590000, -0.177931800");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0363275000, 0.0376833000, 0.0408159000, 0.0484411000, 0.0665670000, 0.1104309000, 0.2181026000", \
+                        "0.0353127000, 0.0365789000, 0.0397452000, 0.0473135000, 0.0658773000, 0.1098842000, 0.2176014000", \
+                        "0.0345309000, 0.0357975000, 0.0388462000, 0.0464790000, 0.0647453000, 0.1090096000, 0.2180210000", \
+                        "0.0343549000, 0.0355494000, 0.0385228000, 0.0458748000, 0.0638758000, 0.1081298000, 0.2158983000", \
+                        "0.0345560000, 0.0356289000, 0.0384902000, 0.0459951000, 0.0633223000, 0.1071781000, 0.2150072000", \
+                        "0.0373415000, 0.0385124000, 0.0413760000, 0.0476575000, 0.0650933000, 0.1077903000, 0.2150446000", \
+                        "0.0409639000, 0.0420970000, 0.0448032000, 0.0514045000, 0.0683057000, 0.1103649000, 0.2158137000");
+                }
+            }
+            max_capacitance : 0.1126200000;
+            max_transition : 1.4888180000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0193964000, 0.0202370000, 0.0223514000, 0.0274228000, 0.0392381000, 0.0667027000, 0.1301931000", \
+                        "0.0247133000, 0.0255499000, 0.0275593000, 0.0324276000, 0.0440852000, 0.0712996000, 0.1345911000", \
+                        "0.0364115000, 0.0374181000, 0.0397948000, 0.0446742000, 0.0556589000, 0.0823060000, 0.1451904000", \
+                        "0.0534250000, 0.0548221000, 0.0582012000, 0.0655158000, 0.0805144000, 0.1087747000, 0.1707596000", \
+                        "0.0747592000, 0.0767650000, 0.0814943000, 0.0923522000, 0.1146586000, 0.1563677000, 0.2298305000", \
+                        "0.0935944000, 0.0965953000, 0.1036819000, 0.1196564000, 0.1530284000, 0.2158915000, 0.3271649000", \
+                        "0.0828202000, 0.0873171000, 0.0979523000, 0.1220692000, 0.1718244000, 0.2709675000, 0.4434555000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.2157051000, 0.2223377000, 0.2387324000, 0.2797581000, 0.3770114000, 0.6151051000, 1.2015151000", \
+                        "0.2181038000, 0.2248109000, 0.2418281000, 0.2828819000, 0.3817732000, 0.6210371000, 1.2076589000", \
+                        "0.2290101000, 0.2355684000, 0.2524831000, 0.2931838000, 0.3916164000, 0.6350207000, 1.2220954000", \
+                        "0.2549880000, 0.2620093000, 0.2788463000, 0.3189234000, 0.4177814000, 0.6575551000, 1.2473347000", \
+                        "0.3099524000, 0.3166008000, 0.3324913000, 0.3727015000, 0.4708845000, 0.7103442000, 1.2991488000", \
+                        "0.4066977000, 0.4137463000, 0.4321690000, 0.4768357000, 0.5783118000, 0.8183057000, 1.4111032000", \
+                        "0.5637575000, 0.5730733000, 0.5953374000, 0.6484591000, 0.7682323000, 1.0355933000, 1.6304902000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0204699000, 0.0216928000, 0.0247275000, 0.0318782000, 0.0488783000, 0.0879051000, 0.1778832000", \
+                        "0.0206207000, 0.0218017000, 0.0245538000, 0.0314307000, 0.0483457000, 0.0873167000, 0.1779467000", \
+                        "0.0262347000, 0.0271475000, 0.0294873000, 0.0348189000, 0.0492424000, 0.0864460000, 0.1775064000", \
+                        "0.0398617000, 0.0409330000, 0.0434214000, 0.0496515000, 0.0617239000, 0.0925948000, 0.1772074000", \
+                        "0.0640157000, 0.0655679000, 0.0691402000, 0.0767606000, 0.0932471000, 0.1252015000, 0.1965112000", \
+                        "0.1058031000, 0.1081279000, 0.1135441000, 0.1241585000, 0.1468419000, 0.1911147000, 0.2757500000", \
+                        "0.1784763000, 0.1819669000, 0.1903398000, 0.2086242000, 0.2441687000, 0.3096373000, 0.4254224000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1471014000, 0.1557794000, 0.1760432000, 0.2293268000, 0.3595388000, 0.6792555000, 1.4712923000", \
+                        "0.1472028000, 0.1559224000, 0.1772182000, 0.2293676000, 0.3595126000, 0.6793623000, 1.4629895000", \
+                        "0.1468696000, 0.1560581000, 0.1766923000, 0.2296580000, 0.3590153000, 0.6801698000, 1.4687057000", \
+                        "0.1470615000, 0.1556080000, 0.1775881000, 0.2297089000, 0.3600041000, 0.6793510000, 1.4642157000", \
+                        "0.1483388000, 0.1569298000, 0.1777967000, 0.2301762000, 0.3592500000, 0.6785599000, 1.4652298000", \
+                        "0.1703348000, 0.1787398000, 0.1990188000, 0.2486714000, 0.3701452000, 0.6820695000, 1.4680837000", \
+                        "0.2190299000, 0.2276419000, 0.2478266000, 0.3005848000, 0.4281617000, 0.7278557000, 1.4819532000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0212197000, 0.0221721000, 0.0243960000, 0.0296707000, 0.0417172000, 0.0692400000, 0.1328612000", \
+                        "0.0264733000, 0.0273474000, 0.0295051000, 0.0346107000, 0.0465360000, 0.0738662000, 0.1373183000", \
+                        "0.0382906000, 0.0392549000, 0.0414879000, 0.0464551000, 0.0577554000, 0.0846028000, 0.1480137000", \
+                        "0.0548283000, 0.0562301000, 0.0594764000, 0.0668769000, 0.0819777000, 0.1101630000, 0.1725815000", \
+                        "0.0731851000, 0.0752699000, 0.0801991000, 0.0913982000, 0.1135221000, 0.1565475000, 0.2312242000", \
+                        "0.0831566000, 0.0862820000, 0.0936078000, 0.1096746000, 0.1454118000, 0.2107256000, 0.3255069000", \
+                        "0.0561493000, 0.0606212000, 0.0720514000, 0.0979217000, 0.1522031000, 0.2552490000, 0.4348051000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.2007191000, 0.2074685000, 0.2240368000, 0.2654947000, 0.3633754000, 0.6015873000, 1.1882382000", \
+                        "0.2014680000, 0.2085743000, 0.2251251000, 0.2667724000, 0.3665485000, 0.6078115000, 1.1919701000", \
+                        "0.2105524000, 0.2171880000, 0.2339913000, 0.2746164000, 0.3737511000, 0.6145652000, 1.2082482000", \
+                        "0.2352427000, 0.2421349000, 0.2587085000, 0.2992333000, 0.3981374000, 0.6383643000, 1.2278650000", \
+                        "0.2887728000, 0.2957519000, 0.3124322000, 0.3527074000, 0.4514963000, 0.6907956000, 1.2801494000", \
+                        "0.3835336000, 0.3915073000, 0.4112180000, 0.4583033000, 0.5644271000, 0.8048086000, 1.3932882000", \
+                        "0.5409192000, 0.5520773000, 0.5788349000, 0.6419716000, 0.7730525000, 1.0507950000, 1.6502183000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0214002000, 0.0225405000, 0.0252722000, 0.0319387000, 0.0473617000, 0.0835541000, 0.1716677000", \
+                        "0.0209750000, 0.0220265000, 0.0246250000, 0.0311299000, 0.0467703000, 0.0832944000, 0.1714104000", \
+                        "0.0254215000, 0.0262074000, 0.0280637000, 0.0333234000, 0.0471840000, 0.0826224000, 0.1712895000", \
+                        "0.0376065000, 0.0386838000, 0.0414738000, 0.0469998000, 0.0589988000, 0.0884555000, 0.1708772000", \
+                        "0.0599613000, 0.0612903000, 0.0660632000, 0.0728643000, 0.0891194000, 0.1211973000, 0.1908433000", \
+                        "0.0994359000, 0.1015590000, 0.1070380000, 0.1190263000, 0.1431604000, 0.1888305000, 0.2715039000", \
+                        "0.1703333000, 0.1750433000, 0.1835578000, 0.2020261000, 0.2391993000, 0.3061655000, 0.4259288000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1470063000, 0.1557003000, 0.1760016000, 0.2292738000, 0.3594780000, 0.6787073000, 1.4625005000", \
+                        "0.1466805000, 0.1557131000, 0.1771308000, 0.2299596000, 0.3600965000, 0.6822220000, 1.4648662000", \
+                        "0.1468064000, 0.1554268000, 0.1765428000, 0.2294096000, 0.3590177000, 0.6782149000, 1.4682039000", \
+                        "0.1470143000, 0.1556046000, 0.1768987000, 0.2297196000, 0.3594739000, 0.6786770000, 1.4640581000", \
+                        "0.1514297000, 0.1594034000, 0.1798394000, 0.2311494000, 0.3600773000, 0.6783745000, 1.4641387000", \
+                        "0.1835499000, 0.1921497000, 0.2125530000, 0.2597398000, 0.3777193000, 0.6835392000, 1.4641912000", \
+                        "0.2568987000, 0.2657791000, 0.2866783000, 0.3385827000, 0.4605740000, 0.7464262000, 1.4870626000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0216729000, 0.0225063000, 0.0244658000, 0.0291382000, 0.0399077000, 0.0651272000, 0.1256967000", \
+                        "0.0264791000, 0.0272791000, 0.0292420000, 0.0338567000, 0.0445712000, 0.0697247000, 0.1303019000", \
+                        "0.0370599000, 0.0380074000, 0.0402478000, 0.0450609000, 0.0555991000, 0.0805266000, 0.1411087000", \
+                        "0.0510705000, 0.0525640000, 0.0559145000, 0.0632026000, 0.0780945000, 0.1061548000, 0.1660976000", \
+                        "0.0642806000, 0.0664421000, 0.0715440000, 0.0830597000, 0.1063718000, 0.1498335000, 0.2243730000", \
+                        "0.0649452000, 0.0683427000, 0.0761926000, 0.0930474000, 0.1311225000, 0.1987648000, 0.3155671000", \
+                        "0.0214212000, 0.0267248000, 0.0394508000, 0.0673107000, 0.1257935000, 0.2345920000, 0.4183102000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1606854000, 0.1674417000, 0.1841279000, 0.2257041000, 0.3243719000, 0.5628746000, 1.1496002000", \
+                        "0.1607507000, 0.1674475000, 0.1845295000, 0.2258134000, 0.3251469000, 0.5655953000, 1.1537217000", \
+                        "0.1687135000, 0.1753466000, 0.1925603000, 0.2332726000, 0.3324687000, 0.5739989000, 1.1632834000", \
+                        "0.1935081000, 0.2002329000, 0.2164628000, 0.2573327000, 0.3571456000, 0.5963337000, 1.1863973000", \
+                        "0.2484653000, 0.2554921000, 0.2722799000, 0.3128889000, 0.4118929000, 0.6506989000, 1.2401521000", \
+                        "0.3469006000, 0.3561340000, 0.3779904000, 0.4289335000, 0.5402631000, 0.7832817000, 1.3783603000", \
+                        "0.5134955000, 0.5273993000, 0.5592642000, 0.6312767000, 0.7802052000, 1.0730298000, 1.6782256000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0174449000, 0.0183922000, 0.0206117000, 0.0261409000, 0.0395629000, 0.0728496000, 0.1556640000", \
+                        "0.0171149000, 0.0179869000, 0.0201753000, 0.0257964000, 0.0393944000, 0.0728523000, 0.1557998000", \
+                        "0.0212648000, 0.0219881000, 0.0236047000, 0.0281575000, 0.0402865000, 0.0726890000, 0.1554258000", \
+                        "0.0326310000, 0.0335113000, 0.0358816000, 0.0413183000, 0.0531065000, 0.0796627000, 0.1560818000", \
+                        "0.0530578000, 0.0546040000, 0.0582501000, 0.0666165000, 0.0826685000, 0.1148760000, 0.1777592000", \
+                        "0.0906204000, 0.0931699000, 0.0990344000, 0.1117756000, 0.1360147000, 0.1820826000, 0.2615011000", \
+                        "0.1603888000, 0.1643540000, 0.1733663000, 0.1927346000, 0.2323397000, 0.3002042000, 0.4172471000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1468896000, 0.1555396000, 0.1759198000, 0.2292294000, 0.3595376000, 0.6786939000, 1.4673825000", \
+                        "0.1468821000, 0.1553313000, 0.1770245000, 0.2288603000, 0.3589142000, 0.6778590000, 1.4659506000", \
+                        "0.1468382000, 0.1553025000, 0.1766459000, 0.2292690000, 0.3585940000, 0.6778250000, 1.4624771000", \
+                        "0.1463126000, 0.1549603000, 0.1768179000, 0.2292344000, 0.3595745000, 0.6794166000, 1.4629861000", \
+                        "0.1560615000, 0.1643192000, 0.1839754000, 0.2333342000, 0.3606122000, 0.6779409000, 1.4631959000", \
+                        "0.1979907000, 0.2062877000, 0.2276539000, 0.2752711000, 0.3864913000, 0.6871141000, 1.4698061000", \
+                        "0.2918360000, 0.3011892000, 0.3235449000, 0.3778484000, 0.4986511000, 0.7686763000, 1.4848925000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0164159000, 0.0171596000, 0.0188569000, 0.0229135000, 0.0326080000, 0.0558092000, 0.1123402000", \
+                        "0.0210773000, 0.0218084000, 0.0235560000, 0.0276065000, 0.0373197000, 0.0605084000, 0.1175268000", \
+                        "0.0289974000, 0.0301058000, 0.0326460000, 0.0380456000, 0.0485006000, 0.0715594000, 0.1284588000", \
+                        "0.0376951000, 0.0394226000, 0.0433678000, 0.0518780000, 0.0684435000, 0.0974589000, 0.1543048000", \
+                        "0.0429256000, 0.0455104000, 0.0518244000, 0.0651084000, 0.0913644000, 0.1371303000, 0.2129550000", \
+                        "0.0308211000, 0.0351600000, 0.0450799000, 0.0670899000, 0.1089847000, 0.1824462000, 0.2995009000", \
+                        "-0.032950500, -0.026249000, -0.010231100, 0.0249926000, 0.0926665000, 0.2101262000, 0.3988873000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0869582000, 0.0936298000, 0.1108970000, 0.1522951000, 0.2524986000, 0.4921450000, 1.0837348000", \
+                        "0.0866143000, 0.0933467000, 0.1099740000, 0.1510310000, 0.2525602000, 0.4943553000, 1.0828911000", \
+                        "0.0948040000, 0.1011967000, 0.1173059000, 0.1579510000, 0.2576952000, 0.5004837000, 1.0980566000", \
+                        "0.1227608000, 0.1282550000, 0.1427657000, 0.1813988000, 0.2794211000, 0.5239660000, 1.1120217000", \
+                        "0.1881298000, 0.1950101000, 0.2102194000, 0.2459316000, 0.3373394000, 0.5763717000, 1.1675954000", \
+                        "0.2933446000, 0.3034437000, 0.3272358000, 0.3789323000, 0.4876655000, 0.7161398000, 1.3117915000", \
+                        "0.4714776000, 0.4862213000, 0.5195100000, 0.5942608000, 0.7505704000, 1.0471086000, 1.6296657000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0107609000, 0.0115777000, 0.0136564000, 0.0187260000, 0.0311013000, 0.0620105000, 0.1391110000", \
+                        "0.0113974000, 0.0120853000, 0.0139551000, 0.0187453000, 0.0311910000, 0.0624330000, 0.1380273000", \
+                        "0.0172111000, 0.0179458000, 0.0196500000, 0.0232600000, 0.0333000000, 0.0625213000, 0.1393195000", \
+                        "0.0283588000, 0.0294997000, 0.0316299000, 0.0370721000, 0.0484861000, 0.0709437000, 0.1396297000", \
+                        "0.0483265000, 0.0495506000, 0.0531997000, 0.0615611000, 0.0779724000, 0.1077036000, 0.1633238000", \
+                        "0.0854376000, 0.0881445000, 0.0941730000, 0.1070071000, 0.1321546000, 0.1740435000, 0.2517621000", \
+                        "0.1572322000, 0.1608975000, 0.1717755000, 0.1919131000, 0.2270973000, 0.2934396000, 0.4047209000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1369178000, 0.1460602000, 0.1696259000, 0.2252919000, 0.3581626000, 0.6784678000, 1.4686953000", \
+                        "0.1345313000, 0.1443000000, 0.1675846000, 0.2243238000, 0.3587755000, 0.6783206000, 1.4641419000", \
+                        "0.1310881000, 0.1409326000, 0.1644384000, 0.2220676000, 0.3578731000, 0.6782066000, 1.4767428000", \
+                        "0.1289892000, 0.1380813000, 0.1609174000, 0.2169620000, 0.3562587000, 0.6807450000, 1.4711325000", \
+                        "0.1516510000, 0.1586596000, 0.1781801000, 0.2257350000, 0.3515012000, 0.6788178000, 1.4637934000", \
+                        "0.1933094000, 0.2037902000, 0.2275826000, 0.2841264000, 0.3920864000, 0.6827688000, 1.4695545000", \
+                        "0.2750249000, 0.2872869000, 0.3156812000, 0.3820756000, 0.5217672000, 0.8019726000, 1.4888180000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor4b_1 */
+
+/* removed sky130_fd_sc_hd__nor4b_2 */
+
+/* removed sky130_fd_sc_hd__nor4b_4 */
+
+/* removed sky130_fd_sc_hd__nor4bb_1 */
+
+/* removed sky130_fd_sc_hd__nor4bb_2 */
+
+/* removed sky130_fd_sc_hd__nor4bb_4 */
+
+/* removed sky130_fd_sc_hd__o2111a_1 */
+
+/* removed sky130_fd_sc_hd__o2111a_2 */
+
+/* removed sky130_fd_sc_hd__o2111a_4 */
+
+/* removed sky130_fd_sc_hd__o2111ai_1 */
+
+/* removed sky130_fd_sc_hd__o2111ai_2 */
+
+/* removed sky130_fd_sc_hd__o2111ai_4 */
+
+/* removed sky130_fd_sc_hd__o211a_1 */
+
+/* removed sky130_fd_sc_hd__o211a_2 */
+
+/* removed sky130_fd_sc_hd__o211a_4 */
+
+/* removed sky130_fd_sc_hd__o211ai_1 */
+
+/* removed sky130_fd_sc_hd__o211ai_2 */
+
+/* removed sky130_fd_sc_hd__o211ai_4 */
+
+/* removed sky130_fd_sc_hd__o21a_1 */
+
+/* removed sky130_fd_sc_hd__o21a_2 */
+
+    cell ("sky130_fd_sc_hd__o21a_4") {
+        leakage_power () {
+            value : 0.0051880000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0039272000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037499000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0039146000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0026220000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__o21a";
+        cell_leakage_power : 0.0041518770;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0048480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081779000, 0.0081694000, 0.0081499000, 0.0081509000, 0.0081531000, 0.0081583000, 0.0081702000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008139400, -0.008136500, -0.008129700, -0.008131200, -0.008134600, -0.008142400, -0.008160400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050770000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075832000, 0.0075840000, 0.0075857000, 0.0075877000, 0.0075922000, 0.0076026000, 0.0076266000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007574500, -0.007573700, -0.007571700, -0.007573300, -0.007577100, -0.007585900, -0.007606200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047490000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0093113000, 0.0093097000, 0.0093062000, 0.0093028000, 0.0092949000, 0.0092768000, 0.0092351000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004740500, -0.004749600, -0.004770600, -0.004747300, -0.004693700, -0.004570200, -0.004285500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046890000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0349028000, 0.0331402000, 0.0279697000, 0.0133849000, -0.038846400, -0.215411900, -0.780559600", \
+                        "0.0343731000, 0.0328957000, 0.0278629000, 0.0131828000, -0.039086500, -0.215625100, -0.780835900", \
+                        "0.0343512000, 0.0325940000, 0.0274996000, 0.0128293000, -0.039413500, -0.215928400, -0.781125400", \
+                        "0.0338105000, 0.0321305000, 0.0271300000, 0.0122806000, -0.039942800, -0.216308200, -0.781469200", \
+                        "0.0336993000, 0.0319363000, 0.0268497000, 0.0119352000, -0.040334100, -0.216731800, -0.781724400", \
+                        "0.0328575000, 0.0310351000, 0.0254072000, 0.0108969000, -0.040757900, -0.216987400, -0.781936400", \
+                        "0.0405978000, 0.0386626000, 0.0328660000, 0.0151461000, -0.040474700, -0.217009500, -0.781222000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0344488000, 0.0363426000, 0.0424278000, 0.0610558000, 0.1178451000, 0.2949718000, 0.8542011000", \
+                        "0.0341556000, 0.0361253000, 0.0422117000, 0.0608381000, 0.1176249000, 0.2948226000, 0.8540360000", \
+                        "0.0338866000, 0.0358399000, 0.0419299000, 0.0605367000, 0.1173418000, 0.2944585000, 0.8539235000", \
+                        "0.0336399000, 0.0355600000, 0.0415777000, 0.0601399000, 0.1168501000, 0.2940188000, 0.8535667000", \
+                        "0.0332854000, 0.0352010000, 0.0411113000, 0.0595469000, 0.1162195000, 0.2935417000, 0.8529137000", \
+                        "0.0340778000, 0.0358745000, 0.0415579000, 0.0595313000, 0.1155557000, 0.2921790000, 0.8515688000", \
+                        "0.0356085000, 0.0373480000, 0.0428863000, 0.0606354000, 0.1171346000, 0.2940427000, 0.8513857000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0305294000, 0.0287249000, 0.0236549000, 0.0087595000, -0.043442400, -0.219717000, -0.784948000", \
+                        "0.0299549000, 0.0283017000, 0.0231859000, 0.0084876000, -0.043691600, -0.220044300, -0.785196600", \
+                        "0.0297775000, 0.0279660000, 0.0228584000, 0.0081665000, -0.044066300, -0.220469500, -0.785549800", \
+                        "0.0293841000, 0.0276790000, 0.0225608000, 0.0078522000, -0.044409000, -0.220781500, -0.785836000", \
+                        "0.0291426000, 0.0273972000, 0.0222902000, 0.0073664000, -0.044507000, -0.221106900, -0.786117800", \
+                        "0.0292481000, 0.0275666000, 0.0221533000, 0.0071859000, -0.044924500, -0.221091700, -0.785780000", \
+                        "0.0393491000, 0.0373496000, 0.0311361000, 0.0131061000, -0.042714600, -0.218760900, -0.783506900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0312794000, 0.0331467000, 0.0392206000, 0.0579581000, 0.1148050000, 0.2915096000, 0.8511920000", \
+                        "0.0311978000, 0.0331274000, 0.0391970000, 0.0579636000, 0.1147774000, 0.2915987000, 0.8478284000", \
+                        "0.0309205000, 0.0328365000, 0.0389439000, 0.0576620000, 0.1145166000, 0.2918043000, 0.8483428000", \
+                        "0.0304083000, 0.0323401000, 0.0383697000, 0.0569140000, 0.1137636000, 0.2910374000, 0.8469606000", \
+                        "0.0300034000, 0.0318251000, 0.0378375000, 0.0562372000, 0.1126580000, 0.2902981000, 0.8516763000", \
+                        "0.0305780000, 0.0323726000, 0.0380586000, 0.0560480000, 0.1121007000, 0.2887010000, 0.8500587000", \
+                        "0.0323767000, 0.0341036000, 0.0396439000, 0.0573750000, 0.1134528000, 0.2903706000, 0.8473345000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0247369000, 0.0235843000, 0.0195587000, 0.0055707000, -0.047762100, -0.225504900, -0.791192600", \
+                        "0.0243170000, 0.0231739000, 0.0192000000, 0.0051222000, -0.048084200, -0.225828100, -0.791494100", \
+                        "0.0238650000, 0.0226560000, 0.0186428000, 0.0045288000, -0.048637200, -0.226316800, -0.792003500", \
+                        "0.0232073000, 0.0219989000, 0.0179122000, 0.0036897000, -0.049367400, -0.226890300, -0.792496900", \
+                        "0.0231594000, 0.0217689000, 0.0174918000, 0.0031894000, -0.049670300, -0.226773300, -0.792312900", \
+                        "0.0284098000, 0.0265827000, 0.0210866000, 0.0036738000, -0.049671400, -0.226627100, -0.791915200", \
+                        "0.0333419000, 0.0314218000, 0.0255302000, 0.0076895000, -0.048017900, -0.225374300, -0.790040200");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0314506000, 0.0333302000, 0.0394235000, 0.0580362000, 0.1149053000, 0.2921032000, 0.8513946000", \
+                        "0.0312377000, 0.0332098000, 0.0391923000, 0.0578483000, 0.1147157000, 0.2918835000, 0.8519878000", \
+                        "0.0309177000, 0.0328472000, 0.0389526000, 0.0575845000, 0.1143825000, 0.2916041000, 0.8509636000", \
+                        "0.0306130000, 0.0324885000, 0.0384521000, 0.0568931000, 0.1136168000, 0.2907188000, 0.8510610000", \
+                        "0.0303449000, 0.0322732000, 0.0381762000, 0.0560965000, 0.1124882000, 0.2901295000, 0.8503830000", \
+                        "0.0311608000, 0.0329620000, 0.0386072000, 0.0563998000, 0.1120234000, 0.2888569000, 0.8520636000", \
+                        "0.0333226000, 0.0350483000, 0.0405597000, 0.0580158000, 0.1141196000, 0.2907186000, 0.8485613000");
+                }
+            }
+            max_capacitance : 0.5099590000;
+            max_transition : 1.5044210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.1794242000, 0.1833074000, 0.1932474000, 0.2152595000, 0.2612872000, 0.3675630000, 0.6715705000", \
+                        "0.1845851000, 0.1884811000, 0.1984325000, 0.2203748000, 0.2662723000, 0.3727826000, 0.6763995000", \
+                        "0.1971462000, 0.2010235000, 0.2110096000, 0.2330001000, 0.2790358000, 0.3853597000, 0.6888844000", \
+                        "0.2242279000, 0.2281207000, 0.2380838000, 0.2600631000, 0.3061917000, 0.4127133000, 0.7165839000", \
+                        "0.2855375000, 0.2894339000, 0.2993225000, 0.3212597000, 0.3672074000, 0.4740194000, 0.7775355000", \
+                        "0.4067305000, 0.4110992000, 0.4221781000, 0.4461673000, 0.4956328000, 0.6044996000, 0.9090384000", \
+                        "0.6166190000, 0.6219832000, 0.6356252000, 0.6643857000, 0.7202821000, 0.8368277000, 1.1410498000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0918284000, 0.0956384000, 0.1060552000, 0.1326806000, 0.2057754000, 0.4299661000, 1.1327927000", \
+                        "0.0962322000, 0.1000703000, 0.1104946000, 0.1371346000, 0.2102197000, 0.4344053000, 1.1371009000", \
+                        "0.1055927000, 0.1094960000, 0.1198841000, 0.1465217000, 0.2195638000, 0.4436351000, 1.1467938000", \
+                        "0.1256645000, 0.1294807000, 0.1398490000, 0.1664581000, 0.2394696000, 0.4634778000, 1.1668773000", \
+                        "0.1614973000, 0.1656273000, 0.1765301000, 0.2039067000, 0.2773710000, 0.5018066000, 1.2047752000", \
+                        "0.2073992000, 0.2122812000, 0.2246534000, 0.2539609000, 0.3286876000, 0.5519538000, 1.2585022000", \
+                        "0.2420341000, 0.2485088000, 0.2644797000, 0.2996433000, 0.3777444000, 0.6013403000, 1.3048087000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0270151000, 0.0292594000, 0.0352753000, 0.0502974000, 0.0903679000, 0.2103345000, 0.6138639000", \
+                        "0.0273606000, 0.0293203000, 0.0358181000, 0.0502917000, 0.0904944000, 0.2104127000, 0.6114365000", \
+                        "0.0270136000, 0.0292738000, 0.0354196000, 0.0504199000, 0.0903610000, 0.2103981000, 0.6112896000", \
+                        "0.0272046000, 0.0294401000, 0.0355469000, 0.0504219000, 0.0903436000, 0.2103777000, 0.6118739000", \
+                        "0.0271586000, 0.0293896000, 0.0353721000, 0.0508849000, 0.0904274000, 0.2104373000, 0.6106762000", \
+                        "0.0327694000, 0.0352907000, 0.0410132000, 0.0568550000, 0.0956348000, 0.2131264000, 0.6138424000", \
+                        "0.0453802000, 0.0476535000, 0.0548346000, 0.0708017000, 0.1101975000, 0.2243486000, 0.6139343000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0210057000, 0.0244143000, 0.0342651000, 0.0643234000, 0.1626311000, 0.4838848000, 1.5011893000", \
+                        "0.0210205000, 0.0244197000, 0.0342405000, 0.0642629000, 0.1626285000, 0.4838946000, 1.5010634000", \
+                        "0.0210512000, 0.0244120000, 0.0342425000, 0.0643404000, 0.1625084000, 0.4838784000, 1.5011247000", \
+                        "0.0211060000, 0.0244514000, 0.0342654000, 0.0644369000, 0.1625435000, 0.4838359000, 1.5011641000", \
+                        "0.0236412000, 0.0269859000, 0.0367336000, 0.0662502000, 0.1635368000, 0.4837685000, 1.5011568000", \
+                        "0.0296821000, 0.0330465000, 0.0432511000, 0.0709208000, 0.1653566000, 0.4819817000, 1.5003772000", \
+                        "0.0419511000, 0.0458914000, 0.0565707000, 0.0835526000, 0.1702403000, 0.4835568000, 1.4945181000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.1629001000, 0.1667835000, 0.1767070000, 0.1988171000, 0.2447848000, 0.3509430000, 0.6547117000", \
+                        "0.1669428000, 0.1708396000, 0.1807852000, 0.2028017000, 0.2488832000, 0.3551968000, 0.6587268000", \
+                        "0.1777025000, 0.1815534000, 0.1914972000, 0.2135167000, 0.2596185000, 0.3659711000, 0.6697596000", \
+                        "0.2061578000, 0.2100685000, 0.2200166000, 0.2420078000, 0.2879404000, 0.3945712000, 0.6987067000", \
+                        "0.2751042000, 0.2790180000, 0.2889020000, 0.3107205000, 0.3564044000, 0.4633104000, 0.7669959000", \
+                        "0.4145607000, 0.4192351000, 0.4309026000, 0.4552873000, 0.5038420000, 0.6126850000, 0.9161629000", \
+                        "0.6408246000, 0.6468981000, 0.6622714000, 0.6944760000, 0.7523265000, 0.8662150000, 1.1725843000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0856212000, 0.0895050000, 0.1001278000, 0.1272672000, 0.2004477000, 0.4236371000, 1.1304541000", \
+                        "0.0901740000, 0.0941451000, 0.1047548000, 0.1318821000, 0.2052042000, 0.4282820000, 1.1327043000", \
+                        "0.0988489000, 0.1027721000, 0.1134161000, 0.1405163000, 0.2137782000, 0.4367532000, 1.1409593000", \
+                        "0.1165524000, 0.1204852000, 0.1311069000, 0.1581384000, 0.2314838000, 0.4553698000, 1.1569835000", \
+                        "0.1457895000, 0.1500709000, 0.1613796000, 0.1893901000, 0.2632160000, 0.4868777000, 1.1932122000", \
+                        "0.1798477000, 0.1849600000, 0.1978663000, 0.2281626000, 0.3035026000, 0.5274052000, 1.2312900000", \
+                        "0.1928019000, 0.1996217000, 0.2167523000, 0.2536663000, 0.3330297000, 0.5567531000, 1.2600262000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0270509000, 0.0292771000, 0.0354355000, 0.0504688000, 0.0904032000, 0.2100605000, 0.6132257000", \
+                        "0.0272395000, 0.0294727000, 0.0354614000, 0.0503279000, 0.0903970000, 0.2103326000, 0.6132346000", \
+                        "0.0273040000, 0.0294473000, 0.0354679000, 0.0502804000, 0.0903407000, 0.2104927000, 0.6113732000", \
+                        "0.0270869000, 0.0293886000, 0.0355313000, 0.0502944000, 0.0904686000, 0.2105812000, 0.6127315000", \
+                        "0.0270877000, 0.0293902000, 0.0354704000, 0.0502345000, 0.0907141000, 0.2106436000, 0.6118317000", \
+                        "0.0366343000, 0.0391440000, 0.0455119000, 0.0588236000, 0.0956770000, 0.2133078000, 0.6119781000", \
+                        "0.0538691000, 0.0569584000, 0.0646356000, 0.0803821000, 0.1146534000, 0.2242430000, 0.6151942000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0212409000, 0.0246061000, 0.0346018000, 0.0648250000, 0.1626774000, 0.4837908000, 1.5018164000", \
+                        "0.0213390000, 0.0247041000, 0.0346230000, 0.0647600000, 0.1629866000, 0.4830731000, 1.4990253000", \
+                        "0.0213363000, 0.0247184000, 0.0346251000, 0.0647693000, 0.1628629000, 0.4827345000, 1.4998432000", \
+                        "0.0217105000, 0.0250423000, 0.0350719000, 0.0649471000, 0.1630079000, 0.4837510000, 1.4995671000", \
+                        "0.0245645000, 0.0279725000, 0.0376876000, 0.0672699000, 0.1636668000, 0.4827794000, 1.5044208000", \
+                        "0.0313618000, 0.0347590000, 0.0447659000, 0.0728697000, 0.1662895000, 0.4820174000, 1.4990331000", \
+                        "0.0447700000, 0.0489775000, 0.0598782000, 0.0867364000, 0.1728573000, 0.4842042000, 1.4982131000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0855756000, 0.0886027000, 0.0964216000, 0.1149069000, 0.1572844000, 0.2597968000, 0.5614166000", \
+                        "0.0908521000, 0.0937988000, 0.1016540000, 0.1201948000, 0.1626319000, 0.2651681000, 0.5668795000", \
+                        "0.1040908000, 0.1069987000, 0.1148100000, 0.1333453000, 0.1758474000, 0.2783760000, 0.5807209000", \
+                        "0.1363760000, 0.1393249000, 0.1471042000, 0.1655543000, 0.2081372000, 0.3108306000, 0.6130395000", \
+                        "0.2052914000, 0.2086408000, 0.2172657000, 0.2370345000, 0.2807059000, 0.3829769000, 0.6852152000", \
+                        "0.3201192000, 0.3244230000, 0.3356884000, 0.3603286000, 0.4115334000, 0.5202398000, 0.8219033000", \
+                        "0.5077440000, 0.5133734000, 0.5273012000, 0.5596177000, 0.6254853000, 0.7485467000, 1.0535538000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0848856000, 0.0886865000, 0.0991085000, 0.1257663000, 0.1989082000, 0.4231330000, 1.1259795000", \
+                        "0.0889653000, 0.0928212000, 0.1031696000, 0.1299097000, 0.2032000000, 0.4264813000, 1.1300901000", \
+                        "0.0987954000, 0.1026995000, 0.1131106000, 0.1397295000, 0.2128038000, 0.4370223000, 1.1400469000", \
+                        "0.1228097000, 0.1265942000, 0.1369288000, 0.1633827000, 0.2365166000, 0.4596065000, 1.1643687000", \
+                        "0.1609926000, 0.1650354000, 0.1758623000, 0.2030252000, 0.2763443000, 0.4998935000, 1.2046936000", \
+                        "0.2049994000, 0.2099421000, 0.2224707000, 0.2510384000, 0.3249547000, 0.5493651000, 1.2530784000", \
+                        "0.2327299000, 0.2393742000, 0.2556191000, 0.2904473000, 0.3664199000, 0.5894520000, 1.2936808000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0172841000, 0.0191860000, 0.0251101000, 0.0411605000, 0.0828023000, 0.2033193000, 0.6088952000", \
+                        "0.0172002000, 0.0193430000, 0.0251820000, 0.0411585000, 0.0827912000, 0.2032008000, 0.6081589000", \
+                        "0.0171893000, 0.0193170000, 0.0249370000, 0.0411120000, 0.0826956000, 0.2032227000, 0.6080784000", \
+                        "0.0171680000, 0.0194030000, 0.0250454000, 0.0411802000, 0.0826515000, 0.2029703000, 0.6078531000", \
+                        "0.0216619000, 0.0237078000, 0.0293331000, 0.0443694000, 0.0844567000, 0.2041599000, 0.6075128000", \
+                        "0.0323305000, 0.0345872000, 0.0415477000, 0.0577726000, 0.0979837000, 0.2102534000, 0.6082649000", \
+                        "0.0487041000, 0.0518258000, 0.0605436000, 0.0806460000, 0.1264223000, 0.2298852000, 0.6118550000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0210194000, 0.0244297000, 0.0342620000, 0.0643472000, 0.1626461000, 0.4838970000, 1.5011384000", \
+                        "0.0209664000, 0.0242673000, 0.0342460000, 0.0643709000, 0.1628384000, 0.4833194000, 1.4978221000", \
+                        "0.0210599000, 0.0243856000, 0.0342183000, 0.0643046000, 0.1625693000, 0.4839160000, 1.5011040000", \
+                        "0.0212124000, 0.0245385000, 0.0343286000, 0.0644579000, 0.1627965000, 0.4822261000, 1.4999936000", \
+                        "0.0241496000, 0.0272303000, 0.0369356000, 0.0666993000, 0.1634964000, 0.4828467000, 1.5006046000", \
+                        "0.0316699000, 0.0349237000, 0.0435945000, 0.0710406000, 0.1655318000, 0.4821830000, 1.4992791000", \
+                        "0.0449280000, 0.0489921000, 0.0589535000, 0.0842841000, 0.1706999000, 0.4841962000, 1.4951113000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ai_0 */
+
+/* removed sky130_fd_sc_hd__o21ai_1 */
+
+/* removed sky130_fd_sc_hd__o21ai_2 */
+
+    cell ("sky130_fd_sc_hd__o21ai_4") {
+        leakage_power () {
+            value : 0.0033032000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0003379000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0055610000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0020090000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0060341000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0019958000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0039633000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0020126000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__o21ai";
+        cell_leakage_power : 0.0031521180;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0091440000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0086780000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0159853000, 0.0159759000, 0.0159543000, 0.0159531000, 0.0159504000, 0.0159442000, 0.0159297000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015936800, -0.015925700, -0.015900200, -0.015894200, -0.015880200, -0.015848000, -0.015773900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096110000;
+        }
+        pin ("A2") {
+            capacitance : 0.0084740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078830000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0151335000, 0.0151232000, 0.0150996000, 0.0150990000, 0.0150977000, 0.0150948000, 0.0150879000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015094100, -0.015094700, -0.015096200, -0.015095400, -0.015093800, -0.015090000, -0.015081200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090640000;
+        }
+        pin ("B1") {
+            capacitance : 0.0086920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0183625000, 0.0183591000, 0.0183515000, 0.0183575000, 0.0183713000, 0.0184031000, 0.0184764000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.009988700, -0.010021200, -0.010096200, -0.010048000, -0.009936600, -0.009680100, -0.009088600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089930000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0198567000, 0.0184379000, 0.0145406000, 0.0036896000, -0.026417700, -0.110016700, -0.341819100", \
+                        "0.0193277000, 0.0179346000, 0.0140290000, 0.0032380000, -0.026839000, -0.110465500, -0.342231200", \
+                        "0.0186186000, 0.0172210000, 0.0133816000, 0.0026657000, -0.027302200, -0.110840900, -0.342521900", \
+                        "0.0177382000, 0.0163835000, 0.0126388000, 0.0020367000, -0.027807300, -0.111236500, -0.342747600", \
+                        "0.0178248000, 0.0164199000, 0.0125143000, 0.0014983000, -0.028204700, -0.111380300, -0.342859300", \
+                        "0.0180236000, 0.0166111000, 0.0125930000, 0.0017153000, -0.028383300, -0.111994000, -0.343325000", \
+                        "0.0207343000, 0.0193630000, 0.0152204000, 0.0039568000, -0.026816500, -0.110951600, -0.343149300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0375294000, 0.0389800000, 0.0429471000, 0.0540202000, 0.0842378000, 0.1673311000, 0.3964341000", \
+                        "0.0368062000, 0.0382830000, 0.0423065000, 0.0534856000, 0.0839196000, 0.1669254000, 0.3962280000", \
+                        "0.0360370000, 0.0375554000, 0.0416340000, 0.0528213000, 0.0833851000, 0.1666621000, 0.3960475000", \
+                        "0.0355080000, 0.0369404000, 0.0409951000, 0.0521038000, 0.0827333000, 0.1660710000, 0.3957360000", \
+                        "0.0350694000, 0.0365372000, 0.0405848000, 0.0516003000, 0.0819388000, 0.1654224000, 0.3952675000", \
+                        "0.0349743000, 0.0364155000, 0.0403696000, 0.0515763000, 0.0819511000, 0.1652728000, 0.3947931000", \
+                        "0.0359171000, 0.0373066000, 0.0410647000, 0.0516545000, 0.0825219000, 0.1663649000, 0.3951909000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0130528000, 0.0117167000, 0.0079095000, -0.002826200, -0.033009800, -0.116827400, -0.348823200", \
+                        "0.0124754000, 0.0112210000, 0.0075921000, -0.002924400, -0.032876000, -0.116583800, -0.348546000", \
+                        "0.0115637000, 0.0103112000, 0.0067716000, -0.003419900, -0.033037100, -0.116493700, -0.348339200", \
+                        "0.0104974000, 0.0092569000, 0.0056897000, -0.004461700, -0.033686600, -0.116730100, -0.348356200", \
+                        "0.0105889000, 0.0092430000, 0.0054671000, -0.005108600, -0.034617800, -0.117288100, -0.348512800", \
+                        "0.0108225000, 0.0093814000, 0.0054624000, -0.005347800, -0.035111700, -0.118232300, -0.349117400", \
+                        "0.0143662000, 0.0127120000, 0.0084355000, -0.003074600, -0.033952600, -0.117821100, -0.349499500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0285894000, 0.0300678000, 0.0340754000, 0.0451522000, 0.0753900000, 0.1584686000, 0.3874950000", \
+                        "0.0277337000, 0.0292123000, 0.0333278000, 0.0445479000, 0.0749813000, 0.1581746000, 0.3873588000", \
+                        "0.0268622000, 0.0283082000, 0.0324520000, 0.0437338000, 0.0743148000, 0.1577178000, 0.3870611000", \
+                        "0.0262646000, 0.0277460000, 0.0318726000, 0.0429547000, 0.0735726000, 0.1573816000, 0.3868761000", \
+                        "0.0262932000, 0.0277355000, 0.0316658000, 0.0427235000, 0.0730024000, 0.1564933000, 0.3863418000", \
+                        "0.0286772000, 0.0300672000, 0.0339801000, 0.0447559000, 0.0746524000, 0.1568866000, 0.3846276000", \
+                        "0.0334104000, 0.0350448000, 0.0388090000, 0.0488631000, 0.0777342000, 0.1595000000, 0.3864958000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0136968000, 0.0123635000, 0.0086711000, -0.001806700, -0.031658500, -0.115108100, -0.346844800", \
+                        "0.0131097000, 0.0118073000, 0.0081701000, -0.002242800, -0.031864800, -0.115141800, -0.346754100", \
+                        "0.0124934000, 0.0111805000, 0.0074595000, -0.002876400, -0.032294900, -0.115355000, -0.346929700", \
+                        "0.0117042000, 0.0103759000, 0.0067285000, -0.003712400, -0.033130000, -0.115908300, -0.347092600", \
+                        "0.0116757000, 0.0102637000, 0.0063666000, -0.004325800, -0.033881600, -0.116782600, -0.347502500", \
+                        "0.0139444000, 0.0125770000, 0.0087520000, -0.002509100, -0.033672700, -0.117010300, -0.348111200", \
+                        "0.0190410000, 0.0174023000, 0.0129922000, 0.0011417000, -0.030255200, -0.115330700, -0.348173900");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0181989000, 0.0200062000, 0.0246691000, 0.0365772000, 0.0674511000, 0.1505339000, 0.3795280000", \
+                        "0.0172663000, 0.0189542000, 0.0234684000, 0.0356349000, 0.0667807000, 0.1504597000, 0.3796086000", \
+                        "0.0165928000, 0.0181578000, 0.0225441000, 0.0344036000, 0.0655030000, 0.1499659000, 0.3798025000", \
+                        "0.0168151000, 0.0182569000, 0.0219413000, 0.0334912000, 0.0646330000, 0.1485199000, 0.3783599000", \
+                        "0.0174958000, 0.0187294000, 0.0225190000, 0.0333222000, 0.0638333000, 0.1477859000, 0.3775810000", \
+                        "0.0198439000, 0.0211351000, 0.0248243000, 0.0354356000, 0.0658961000, 0.1474220000, 0.3739525000", \
+                        "0.0264546000, 0.0275616000, 0.0307605000, 0.0428123000, 0.0687302000, 0.1518231000, 0.3774925000");
+                }
+            }
+            max_capacitance : 0.2243140000;
+            max_transition : 1.4943390000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0341694000, 0.0357726000, 0.0401979000, 0.0514408000, 0.0808595000, 0.1590864000, 0.3728817000", \
+                        "0.0383389000, 0.0400060000, 0.0443702000, 0.0557198000, 0.0850638000, 0.1633668000, 0.3768873000", \
+                        "0.0469476000, 0.0486026000, 0.0529413000, 0.0642507000, 0.0936581000, 0.1719120000, 0.3856913000", \
+                        "0.0608769000, 0.0628951000, 0.0683591000, 0.0814181000, 0.1122911000, 0.1908625000, 0.4048147000", \
+                        "0.0783892000, 0.0813325000, 0.0891538000, 0.1071802000, 0.1468141000, 0.2333676000, 0.4484930000", \
+                        "0.0889602000, 0.0934999000, 0.1053196000, 0.1327943000, 0.1929517000, 0.3092364000, 0.5470426000", \
+                        "0.0619597000, 0.0692746000, 0.0881530000, 0.1328153000, 0.2284248000, 0.4078190000, 0.7277438000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.1003323000, 0.1045961000, 0.1163993000, 0.1479843000, 0.2335145000, 0.4678911000, 1.1167905000", \
+                        "0.1045577000, 0.1090350000, 0.1207176000, 0.1527927000, 0.2386732000, 0.4737271000, 1.1194455000", \
+                        "0.1163351000, 0.1210212000, 0.1327891000, 0.1650161000, 0.2516572000, 0.4868996000, 1.1329389000", \
+                        "0.1435823000, 0.1477670000, 0.1596073000, 0.1916122000, 0.2779779000, 0.5136339000, 1.1600039000", \
+                        "0.1974026000, 0.2022735000, 0.2159943000, 0.2502936000, 0.3364303000, 0.5720435000, 1.2193372000", \
+                        "0.2916447000, 0.2982642000, 0.3156072000, 0.3604048000, 0.4647673000, 0.7066279000, 1.3550692000", \
+                        "0.4518075000, 0.4620334000, 0.4888832000, 0.5539021000, 0.6975475000, 1.0023979000, 1.6678967000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0248376000, 0.0265352000, 0.0312712000, 0.0447122000, 0.0825021000, 0.1881129000, 0.4816426000", \
+                        "0.0246349000, 0.0263442000, 0.0312032000, 0.0446635000, 0.0824659000, 0.1881151000, 0.4817008000", \
+                        "0.0254089000, 0.0269940000, 0.0316061000, 0.0447636000, 0.0823877000, 0.1881472000, 0.4811362000", \
+                        "0.0319613000, 0.0335619000, 0.0381648000, 0.0503605000, 0.0848552000, 0.1882185000, 0.4811554000", \
+                        "0.0482819000, 0.0501802000, 0.0554483000, 0.0690068000, 0.1037211000, 0.1972017000, 0.4818376000", \
+                        "0.0809816000, 0.0839376000, 0.0910628000, 0.1091840000, 0.1507977000, 0.2462794000, 0.5021340000", \
+                        "0.1424125000, 0.1467481000, 0.1578898000, 0.1855008000, 0.2438027000, 0.3603943000, 0.6180320000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0712219000, 0.0768922000, 0.0923835000, 0.1357977000, 0.2535419000, 0.5781401000, 1.4785918000", \
+                        "0.0711968000, 0.0768112000, 0.0925288000, 0.1357988000, 0.2534997000, 0.5794961000, 1.4805310000", \
+                        "0.0712527000, 0.0769249000, 0.0926642000, 0.1357819000, 0.2549503000, 0.5796232000, 1.4805505000", \
+                        "0.0715915000, 0.0771026000, 0.0925965000, 0.1355664000, 0.2533746000, 0.5790159000, 1.4769895000", \
+                        "0.0870297000, 0.0920774000, 0.1056263000, 0.1439173000, 0.2559129000, 0.5784745000, 1.4788840000", \
+                        "0.1222318000, 0.1286375000, 0.1440239000, 0.1855596000, 0.2898668000, 0.5878960000, 1.4809189000", \
+                        "0.1994151000, 0.2069881000, 0.2263395000, 0.2748045000, 0.3910973000, 0.6767196000, 1.4932955000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0280072000, 0.0295461000, 0.0335594000, 0.0444858000, 0.0739375000, 0.1554548000, 0.3780100000", \
+                        "0.0320494000, 0.0336314000, 0.0378587000, 0.0488544000, 0.0785241000, 0.1592009000, 0.3821911000", \
+                        "0.0390645000, 0.0409414000, 0.0455713000, 0.0571004000, 0.0872889000, 0.1683377000, 0.3914664000", \
+                        "0.0483930000, 0.0508283000, 0.0569545000, 0.0714429000, 0.1044099000, 0.1860021000, 0.4096711000", \
+                        "0.0550642000, 0.0588121000, 0.0683213000, 0.0901613000, 0.1344108000, 0.2261607000, 0.4518472000", \
+                        "0.0458952000, 0.0514218000, 0.0666574000, 0.1020145000, 0.1708357000, 0.2961164000, 0.5457395000", \
+                        "-0.018259800, -0.007830900, 0.0181535000, 0.0757855000, 0.1868152000, 0.3816133000, 0.7152793000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0809942000, 0.0851180000, 0.0968628000, 0.1286109000, 0.2144106000, 0.4487218000, 1.0941149000", \
+                        "0.0839757000, 0.0882662000, 0.1000463000, 0.1322937000, 0.2184165000, 0.4530866000, 1.0988620000", \
+                        "0.0938002000, 0.0980872000, 0.1102753000, 0.1425253000, 0.2287812000, 0.4641668000, 1.1102106000", \
+                        "0.1221292000, 0.1264555000, 0.1382112000, 0.1694495000, 0.2559851000, 0.4918345000, 1.1381432000", \
+                        "0.1857744000, 0.1911097000, 0.2048040000, 0.2390225000, 0.3239434000, 0.5589635000, 1.2087839000", \
+                        "0.2918167000, 0.2999071000, 0.3209723000, 0.3714707000, 0.4815919000, 0.7172781000, 1.3584690000", \
+                        "0.4712327000, 0.4837261000, 0.5142463000, 0.5885430000, 0.7546582000, 1.0789938000, 1.7284796000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0151399000, 0.0170417000, 0.0222124000, 0.0369683000, 0.0773120000, 0.1894418000, 0.5004201000", \
+                        "0.0151697000, 0.0170653000, 0.0223144000, 0.0369674000, 0.0774802000, 0.1891781000, 0.4985138000", \
+                        "0.0172727000, 0.0188491000, 0.0235780000, 0.0373395000, 0.0774886000, 0.1894945000, 0.5003991000", \
+                        "0.0246024000, 0.0263318000, 0.0310976000, 0.0444883000, 0.0804673000, 0.1891732000, 0.4983581000", \
+                        "0.0417217000, 0.0439134000, 0.0497962000, 0.0642389000, 0.1002596000, 0.1987887000, 0.4985591000", \
+                        "0.0749543000, 0.0785231000, 0.0866960000, 0.1058591000, 0.1488864000, 0.2474977000, 0.5176258000", \
+                        "0.1422240000, 0.1462398000, 0.1574384000, 0.1849700000, 0.2442120000, 0.3641032000, 0.6320210000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0710834000, 0.0768147000, 0.0925858000, 0.1354576000, 0.2536767000, 0.5782630000, 1.4763843000", \
+                        "0.0713316000, 0.0769620000, 0.0924520000, 0.1354318000, 0.2536487000, 0.5782950000, 1.4777517000", \
+                        "0.0712379000, 0.0767689000, 0.0925094000, 0.1353815000, 0.2535794000, 0.5783640000, 1.4764886000", \
+                        "0.0730897000, 0.0782811000, 0.0931792000, 0.1351159000, 0.2534334000, 0.5790052000, 1.4758105000", \
+                        "0.0984298000, 0.1040615000, 0.1162663000, 0.1506047000, 0.2569069000, 0.5784232000, 1.4791952000", \
+                        "0.1446964000, 0.1521369000, 0.1714505000, 0.2147365000, 0.3102775000, 0.5900521000, 1.4761424000", \
+                        "0.2196438000, 0.2319243000, 0.2601366000, 0.3241244000, 0.4587456000, 0.7199569000, 1.4943392000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0268924000, 0.0285883000, 0.0331602000, 0.0447837000, 0.0744197000, 0.1526743000, 0.3667182000", \
+                        "0.0307669000, 0.0325205000, 0.0370520000, 0.0487408000, 0.0784958000, 0.1570224000, 0.3708949000", \
+                        "0.0412525000, 0.0429358000, 0.0471777000, 0.0584628000, 0.0883949000, 0.1670163000, 0.3809805000", \
+                        "0.0568080000, 0.0591756000, 0.0655417000, 0.0804963000, 0.1117964000, 0.1903845000, 0.4044485000", \
+                        "0.0727300000, 0.0762373000, 0.0858414000, 0.1080148000, 0.1550945000, 0.2454053000, 0.4595437000", \
+                        "0.0791271000, 0.0846019000, 0.0988493000, 0.1323774000, 0.2040172000, 0.3415962000, 0.5867895000", \
+                        "0.0509830000, 0.0591293000, 0.0803043000, 0.1315119000, 0.2410677000, 0.4512840000, 0.8214778000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0262463000, 0.0286122000, 0.0347495000, 0.0504813000, 0.0911037000, 0.2008829000, 0.5028712000", \
+                        "0.0311709000, 0.0334262000, 0.0394469000, 0.0552869000, 0.0963862000, 0.2070279000, 0.5105821000", \
+                        "0.0446820000, 0.0467849000, 0.0524819000, 0.0678634000, 0.1086067000, 0.2204906000, 0.5246265000", \
+                        "0.0676343000, 0.0712773000, 0.0800668000, 0.0992125000, 0.1397415000, 0.2513532000, 0.5527575000", \
+                        "0.1048718000, 0.1107573000, 0.1245562000, 0.1549045000, 0.2128023000, 0.3244017000, 0.6262394000", \
+                        "0.1676070000, 0.1762069000, 0.1976046000, 0.2456275000, 0.3368510000, 0.4952342000, 0.7930516000", \
+                        "0.2844502000, 0.2968476000, 0.3278376000, 0.3979799000, 0.5397457000, 0.7907355000, 1.1985344000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0248096000, 0.0265626000, 0.0312374000, 0.0446303000, 0.0824516000, 0.1881089000, 0.4816876000", \
+                        "0.0238526000, 0.0257068000, 0.0309175000, 0.0445300000, 0.0824011000, 0.1878784000, 0.4809093000", \
+                        "0.0262272000, 0.0276889000, 0.0318985000, 0.0445189000, 0.0822033000, 0.1879746000, 0.4824167000", \
+                        "0.0361592000, 0.0382037000, 0.0439570000, 0.0562034000, 0.0868976000, 0.1879226000, 0.4819619000", \
+                        "0.0554302000, 0.0584629000, 0.0659080000, 0.0831305000, 0.1199788000, 0.2032903000, 0.4813021000", \
+                        "0.0898670000, 0.0944214000, 0.1061864000, 0.1328061000, 0.1839803000, 0.2843639000, 0.5171772000", \
+                        "0.1511542000, 0.1583000000, 0.1760443000, 0.2154980000, 0.2945386000, 0.4392601000, 0.7041171000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0258049000, 0.0287961000, 0.0372633000, 0.0601138000, 0.1212455000, 0.2800027000, 0.7095466000", \
+                        "0.0257874000, 0.0289506000, 0.0370638000, 0.0600273000, 0.1212707000, 0.2802889000, 0.7105490000", \
+                        "0.0293723000, 0.0318699000, 0.0385561000, 0.0601383000, 0.1212897000, 0.2801169000, 0.7112838000", \
+                        "0.0490444000, 0.0506590000, 0.0542409000, 0.0694612000, 0.1227241000, 0.2800868000, 0.7111312000", \
+                        "0.0844502000, 0.0866605000, 0.0923319000, 0.1085408000, 0.1484248000, 0.2839644000, 0.7109633000", \
+                        "0.1464882000, 0.1493994000, 0.1578119000, 0.1806522000, 0.2316862000, 0.3408435000, 0.7146481000", \
+                        "0.2450864000, 0.2492935000, 0.2617000000, 0.2957463000, 0.3747755000, 0.5244851000, 0.8320422000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ba_1 */
+
+/* removed sky130_fd_sc_hd__o21ba_2 */
+
+/* removed sky130_fd_sc_hd__o21ba_4 */
+
+/* removed sky130_fd_sc_hd__o21bai_1 */
+
+/* removed sky130_fd_sc_hd__o21bai_2 */
+
+/* removed sky130_fd_sc_hd__o21bai_4 */
+
+/* removed sky130_fd_sc_hd__o221a_1 */
+
+/* removed sky130_fd_sc_hd__o221a_2 */
+
+/* removed sky130_fd_sc_hd__o221a_4 */
+
+/* removed sky130_fd_sc_hd__o221ai_1 */
+
+/* removed sky130_fd_sc_hd__o221ai_2 */
+
+/* removed sky130_fd_sc_hd__o221ai_4 */
+
+/* removed sky130_fd_sc_hd__o22a_1 */
+
+/* removed sky130_fd_sc_hd__o22a_2 */
+
+    cell ("sky130_fd_sc_hd__o22a_4") {
+        leakage_power () {
+            value : 0.0050025000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0037075000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0050073000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0049961000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0036430000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054476000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0028449000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0033494000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038034000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054365000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0030054000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0035098000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0025429000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054417000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0017447000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0022492000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__o22a";
+        cell_leakage_power : 0.0038582540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0047950000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080550000, 0.0080421000, 0.0080123000, 0.0080096000, 0.0080033000, 0.0079887000, 0.0079552000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007985000, -0.007981300, -0.007972600, -0.007974500, -0.007978800, -0.007988700, -0.008011600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050350000;
+        }
+        pin ("A2") {
+            capacitance : 0.0043350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075522000, 0.0075517000, 0.0075505000, 0.0075518000, 0.0075549000, 0.0075621000, 0.0075785000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007529000, -0.007528000, -0.007525800, -0.007527400, -0.007531100, -0.007539600, -0.007559100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046440000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048190000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0095412000, 0.0095350000, 0.0095207000, 0.0095234000, 0.0095297000, 0.0095442000, 0.0095776000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003298400, -0.003309200, -0.003334100, -0.003301000, -0.003224800, -0.003049100, -0.002644100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050240000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088068000, 0.0088065000, 0.0088061000, 0.0088034000, 0.0087973000, 0.0087833000, 0.0087508000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002505000, -0.002516800, -0.002544000, -0.002511900, -0.002437900, -0.002267300, -0.001874100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045610000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0369371000, 0.0351447000, 0.0299084000, 0.0148925000, -0.038303800, -0.220741100, -0.809786600", \
+                        "0.0364942000, 0.0348167000, 0.0295989000, 0.0147418000, -0.038739800, -0.220973600, -0.810070400", \
+                        "0.0364389000, 0.0346691000, 0.0293792000, 0.0143038000, -0.038985700, -0.221474400, -0.810414000", \
+                        "0.0358994000, 0.0341665000, 0.0289320000, 0.0137488000, -0.039530300, -0.221791000, -0.810942800", \
+                        "0.0355372000, 0.0337958000, 0.0285325000, 0.0134469000, -0.040053000, -0.222311400, -0.811188000", \
+                        "0.0362431000, 0.0344504000, 0.0291009000, 0.0141126000, -0.039630500, -0.221976900, -0.810745600", \
+                        "0.0462291000, 0.0441772000, 0.0380405000, 0.0196019000, -0.037941500, -0.220771900, -0.809163200");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0344612000, 0.0363977000, 0.0425981000, 0.0615304000, 0.1201462000, 0.3050391000, 0.8864905000", \
+                        "0.0344759000, 0.0364213000, 0.0425108000, 0.0615671000, 0.1201862000, 0.3036672000, 0.8872135000", \
+                        "0.0343372000, 0.0362882000, 0.0423867000, 0.0614484000, 0.1200136000, 0.3034343000, 0.8874666000", \
+                        "0.0340779000, 0.0359885000, 0.0421467000, 0.0611299000, 0.1195629000, 0.3034907000, 0.8903197000", \
+                        "0.0337238000, 0.0356455000, 0.0416627000, 0.0602423000, 0.1186496000, 0.3027571000, 0.8859493000", \
+                        "0.0343746000, 0.0362035000, 0.0420911000, 0.0604944000, 0.1179095000, 0.3006603000, 0.8899084000", \
+                        "0.0356145000, 0.0373557000, 0.0430783000, 0.0609732000, 0.1192055000, 0.3027149000, 0.8841320000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0268516000, 0.0252333000, 0.0207557000, 0.0073273000, -0.044481700, -0.226819300, -0.816395200", \
+                        "0.0265584000, 0.0250744000, 0.0205046000, 0.0071573000, -0.044878900, -0.227113900, -0.816670700", \
+                        "0.0262112000, 0.0246107000, 0.0200107000, 0.0066869000, -0.045388300, -0.227596500, -0.817148800", \
+                        "0.0255209000, 0.0239339000, 0.0193788000, 0.0058746000, -0.046017300, -0.228202400, -0.817725100", \
+                        "0.0251633000, 0.0235286000, 0.0188956000, 0.0052476000, -0.046626500, -0.228707800, -0.818118600", \
+                        "0.0252668000, 0.0234020000, 0.0176291000, 0.0042334000, -0.047305900, -0.228955100, -0.818184700", \
+                        "0.0371943000, 0.0352302000, 0.0294160000, 0.0111422000, -0.045392400, -0.228486900, -0.817691000");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0331761000, 0.0351847000, 0.0413112000, 0.0603631000, 0.1189868000, 0.3030172000, 0.8902141000", \
+                        "0.0330383000, 0.0349983000, 0.0411504000, 0.0600540000, 0.1187426000, 0.3024646000, 0.8865269000", \
+                        "0.0326672000, 0.0346442000, 0.0408159000, 0.0598503000, 0.1184439000, 0.3036287000, 0.8858063000", \
+                        "0.0324777000, 0.0344720000, 0.0405761000, 0.0595369000, 0.1179869000, 0.3021044000, 0.8894655000", \
+                        "0.0322807000, 0.0341673000, 0.0401477000, 0.0583862000, 0.1167183000, 0.3009164000, 0.8852024000", \
+                        "0.0329937000, 0.0347904000, 0.0405669000, 0.0589475000, 0.1163289000, 0.2992103000, 0.8877744000", \
+                        "0.0346544000, 0.0363816000, 0.0420467000, 0.0599841000, 0.1177207000, 0.3014606000, 0.8829927000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0227629000, 0.0211309000, 0.0166697000, 0.0032615000, -0.048596100, -0.230635200, -0.820039500", \
+                        "0.0224612000, 0.0208848000, 0.0164432000, 0.0029942000, -0.048818900, -0.230917000, -0.820336400", \
+                        "0.0219371000, 0.0202954000, 0.0157876000, 0.0023537000, -0.049436800, -0.231461400, -0.820854600", \
+                        "0.0213963000, 0.0197801000, 0.0152460000, 0.0017206000, -0.050105700, -0.232093700, -0.821446800", \
+                        "0.0210137000, 0.0194040000, 0.0148088000, 0.0009965000, -0.050760200, -0.232588000, -0.821807700", \
+                        "0.0234534000, 0.0214261000, 0.0154423000, 0.0012311000, -0.050188700, -0.231995000, -0.821201000", \
+                        "0.0367487000, 0.0346790000, 0.0287815000, 0.0099758000, -0.047678300, -0.230188200, -0.819266200");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0294303000, 0.0313940000, 0.0375623000, 0.0566547000, 0.1152695000, 0.2991847000, 0.8862554000", \
+                        "0.0294985000, 0.0314658000, 0.0375546000, 0.0566752000, 0.1151963000, 0.2991228000, 0.8859734000", \
+                        "0.0293261000, 0.0313245000, 0.0374796000, 0.0564969000, 0.1151583000, 0.2988207000, 0.8817462000", \
+                        "0.0292224000, 0.0311598000, 0.0372277000, 0.0561619000, 0.1144919000, 0.2984184000, 0.8851732000", \
+                        "0.0286709000, 0.0305388000, 0.0365649000, 0.0550475000, 0.1133536000, 0.2975362000, 0.8813778000", \
+                        "0.0300040000, 0.0317721000, 0.0374964000, 0.0558447000, 0.1128650000, 0.2961650000, 0.8814172000", \
+                        "0.0311869000, 0.0328782000, 0.0384781000, 0.0566277000, 0.1144346000, 0.2975070000, 0.8780664000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0414133000, 0.0396274000, 0.0344584000, 0.0193498000, -0.033874900, -0.216335800, -0.805344800", \
+                        "0.0413175000, 0.0396719000, 0.0342825000, 0.0192780000, -0.033881500, -0.216545000, -0.805569100", \
+                        "0.0407871000, 0.0389720000, 0.0338822000, 0.0187423000, -0.034439000, -0.216887000, -0.805924700", \
+                        "0.0403729000, 0.0386166000, 0.0334200000, 0.0183330000, -0.034973800, -0.217268800, -0.806203300", \
+                        "0.0400114000, 0.0382009000, 0.0330704000, 0.0178637000, -0.035428400, -0.217802500, -0.806707400", \
+                        "0.0395713000, 0.0377461000, 0.0324779000, 0.0174932000, -0.035670900, -0.218170000, -0.806932000", \
+                        "0.0480374000, 0.0460874000, 0.0401276000, 0.0219641000, -0.035301700, -0.218103000, -0.806351100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0377647000, 0.0396964000, 0.0457728000, 0.0648574000, 0.1233898000, 0.3071191000, 0.8906275000", \
+                        "0.0375306000, 0.0394895000, 0.0455554000, 0.0646409000, 0.1231736000, 0.3070670000, 0.8939375000", \
+                        "0.0372526000, 0.0392364000, 0.0453518000, 0.0643709000, 0.1230020000, 0.3069444000, 0.8941316000", \
+                        "0.0369299000, 0.0389032000, 0.0450205000, 0.0640090000, 0.1225929000, 0.3065167000, 0.8896844000", \
+                        "0.0370424000, 0.0389597000, 0.0450337000, 0.0634639000, 0.1220068000, 0.3058141000, 0.8898175000", \
+                        "0.0376638000, 0.0394281000, 0.0452774000, 0.0636670000, 0.1214548000, 0.3046629000, 0.8888077000", \
+                        "0.0391950000, 0.0409377000, 0.0466037000, 0.0645557000, 0.1228226000, 0.3064150000, 0.8870449000");
+                }
+            }
+            max_capacitance : 0.5301000000;
+            max_transition : 1.5014620000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.2093929000, 0.2137404000, 0.2248173000, 0.2488763000, 0.2988721000, 0.4125689000, 0.7338822000", \
+                        "0.2145612000, 0.2189154000, 0.2299540000, 0.2541224000, 0.3034984000, 0.4176702000, 0.7397274000", \
+                        "0.2270176000, 0.2313635000, 0.2423806000, 0.2665031000, 0.3162714000, 0.4301897000, 0.7523147000", \
+                        "0.2540733000, 0.2584028000, 0.2694237000, 0.2935680000, 0.3433605000, 0.4569344000, 0.7784421000", \
+                        "0.3151698000, 0.3194976000, 0.3304731000, 0.3545826000, 0.4043248000, 0.5184190000, 0.8405291000", \
+                        "0.4431795000, 0.4478469000, 0.4596463000, 0.4848917000, 0.5365600000, 0.6524004000, 0.9743016000", \
+                        "0.6661068000, 0.6719179000, 0.6864280000, 0.7170421000, 0.7757245000, 0.8985466000, 1.2195877000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1057784000, 0.1096749000, 0.1202656000, 0.1472490000, 0.2209285000, 0.4461737000, 1.1589165000", \
+                        "0.1103004000, 0.1142133000, 0.1247655000, 0.1517727000, 0.2254658000, 0.4507684000, 1.1665658000", \
+                        "0.1201044000, 0.1240316000, 0.1345962000, 0.1615717000, 0.2352146000, 0.4601628000, 1.1738093000", \
+                        "0.1408617000, 0.1447802000, 0.1553082000, 0.1822469000, 0.2558065000, 0.4809775000, 1.1937081000", \
+                        "0.1810772000, 0.1852018000, 0.1961945000, 0.2236995000, 0.2973241000, 0.5225455000, 1.2365038000", \
+                        "0.2369407000, 0.2416374000, 0.2541434000, 0.2835536000, 0.3584901000, 0.5836948000, 1.2974231000", \
+                        "0.2882988000, 0.2947109000, 0.3105690000, 0.3453560000, 0.4234553000, 0.6489100000, 1.3620668000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0304603000, 0.0330848000, 0.0392633000, 0.0548422000, 0.0965496000, 0.2212327000, 0.6427952000", \
+                        "0.0304523000, 0.0330339000, 0.0391815000, 0.0551707000, 0.0968004000, 0.2213730000, 0.6421518000", \
+                        "0.0305505000, 0.0330640000, 0.0395025000, 0.0553314000, 0.0969240000, 0.2211878000, 0.6426983000", \
+                        "0.0308235000, 0.0328867000, 0.0398184000, 0.0552330000, 0.0966467000, 0.2211493000, 0.6428325000", \
+                        "0.0304570000, 0.0330232000, 0.0392699000, 0.0551496000, 0.0967673000, 0.2211932000, 0.6426020000", \
+                        "0.0350499000, 0.0377338000, 0.0441451000, 0.0597365000, 0.1002876000, 0.2225213000, 0.6404342000", \
+                        "0.0466463000, 0.0496797000, 0.0570942000, 0.0734654000, 0.1140620000, 0.2336643000, 0.6436526000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0216665000, 0.0248922000, 0.0347423000, 0.0642662000, 0.1612612000, 0.4794264000, 1.4986217000", \
+                        "0.0216087000, 0.0248938000, 0.0346784000, 0.0643370000, 0.1611478000, 0.4798824000, 1.4987231000", \
+                        "0.0217111000, 0.0249680000, 0.0346050000, 0.0642603000, 0.1611394000, 0.4804044000, 1.4993213000", \
+                        "0.0216623000, 0.0249517000, 0.0345761000, 0.0642485000, 0.1611636000, 0.4800366000, 1.4970289000", \
+                        "0.0236069000, 0.0269232000, 0.0366083000, 0.0656069000, 0.1615305000, 0.4802459000, 1.4996491000", \
+                        "0.0290692000, 0.0327338000, 0.0423330000, 0.0700568000, 0.1633452000, 0.4788258000, 1.4984815000", \
+                        "0.0405737000, 0.0446660000, 0.0548550000, 0.0820366000, 0.1683581000, 0.4811870000, 1.4966253000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1920340000, 0.1963445000, 0.2073777000, 0.2314677000, 0.2811950000, 0.3951749000, 0.7172739000", \
+                        "0.1960273000, 0.2003567000, 0.2114364000, 0.2354174000, 0.2853798000, 0.3987851000, 0.7208638000", \
+                        "0.2065200000, 0.2108674000, 0.2218423000, 0.2460093000, 0.2959055000, 0.4097450000, 0.7318102000", \
+                        "0.2345372000, 0.2388783000, 0.2499185000, 0.2739944000, 0.3239596000, 0.4376099000, 0.7592690000", \
+                        "0.3032123000, 0.3075610000, 0.3185786000, 0.3425789000, 0.3924510000, 0.5064739000, 0.8285181000", \
+                        "0.4511455000, 0.4560653000, 0.4687186000, 0.4946010000, 0.5459791000, 0.6613515000, 0.9836868000", \
+                        "0.6943732000, 0.7008210000, 0.7170893000, 0.7509479000, 0.8114657000, 0.9326636000, 1.2576459000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0987718000, 0.1027221000, 0.1135002000, 0.1407258000, 0.2144802000, 0.4397588000, 1.1519286000", \
+                        "0.1036435000, 0.1075690000, 0.1182925000, 0.1455481000, 0.2192468000, 0.4439252000, 1.1575240000", \
+                        "0.1130605000, 0.1169900000, 0.1277169000, 0.1549940000, 0.2287682000, 0.4535868000, 1.1668752000", \
+                        "0.1323001000, 0.1362406000, 0.1469292000, 0.1740325000, 0.2478021000, 0.4729083000, 1.1877636000", \
+                        "0.1673128000, 0.1715369000, 0.1827120000, 0.2106112000, 0.2847466000, 0.5102124000, 1.2225285000", \
+                        "0.2127535000, 0.2177177000, 0.2305582000, 0.2605774000, 0.3359287000, 0.5611321000, 1.2786635000", \
+                        "0.2444585000, 0.2510607000, 0.2679000000, 0.3038701000, 0.3833627000, 0.6087065000, 1.3215057000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0304273000, 0.0331289000, 0.0394669000, 0.0548376000, 0.0968177000, 0.2212661000, 0.6424578000", \
+                        "0.0306237000, 0.0331720000, 0.0396732000, 0.0551831000, 0.0966320000, 0.2212540000, 0.6415518000", \
+                        "0.0305183000, 0.0329273000, 0.0392362000, 0.0550738000, 0.0966455000, 0.2211534000, 0.6423163000", \
+                        "0.0304094000, 0.0328812000, 0.0399351000, 0.0548268000, 0.0966354000, 0.2212367000, 0.6409628000", \
+                        "0.0304886000, 0.0329688000, 0.0395922000, 0.0549244000, 0.0966529000, 0.2212447000, 0.6419448000", \
+                        "0.0378499000, 0.0403181000, 0.0465204000, 0.0612851000, 0.1001859000, 0.2227501000, 0.6429771000", \
+                        "0.0558580000, 0.0591843000, 0.0670548000, 0.0827920000, 0.1184294000, 0.2335157000, 0.6438121000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0218397000, 0.0251885000, 0.0349429000, 0.0645445000, 0.1611789000, 0.4806799000, 1.4970548000", \
+                        "0.0218400000, 0.0250943000, 0.0349466000, 0.0644674000, 0.1610907000, 0.4802534000, 1.4994435000", \
+                        "0.0218082000, 0.0251317000, 0.0349387000, 0.0644587000, 0.1611418000, 0.4788276000, 1.5009325000", \
+                        "0.0218698000, 0.0251759000, 0.0349365000, 0.0644277000, 0.1609022000, 0.4801960000, 1.5013237000", \
+                        "0.0241776000, 0.0275240000, 0.0372358000, 0.0664038000, 0.1618110000, 0.4799612000, 1.4983770000", \
+                        "0.0302489000, 0.0335865000, 0.0435846000, 0.0712545000, 0.1640588000, 0.4777278000, 1.5007726000", \
+                        "0.0426502000, 0.0466582000, 0.0574680000, 0.0840786000, 0.1697513000, 0.4813022000, 1.4933533000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1721674000, 0.1763763000, 0.1874817000, 0.2131912000, 0.2701460000, 0.3946634000, 0.7209769000", \
+                        "0.1771224000, 0.1813217000, 0.1923661000, 0.2180662000, 0.2751591000, 0.3995614000, 0.7258814000", \
+                        "0.1891849000, 0.1933683000, 0.2043779000, 0.2300166000, 0.2871371000, 0.4115162000, 0.7378695000", \
+                        "0.2166495000, 0.2208183000, 0.2318684000, 0.2573614000, 0.3146513000, 0.4390650000, 0.7654534000", \
+                        "0.2797769000, 0.2839498000, 0.2949061000, 0.3205141000, 0.3778396000, 0.5024074000, 0.8287303000", \
+                        "0.4032484000, 0.4079363000, 0.4201576000, 0.4482276000, 0.5092115000, 0.6368326000, 0.9639790000", \
+                        "0.6192064000, 0.6248779000, 0.6396504000, 0.6726917000, 0.7420063000, 0.8822598000, 1.2163761000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0968901000, 0.1007574000, 0.1113371000, 0.1383468000, 0.2120576000, 0.4373285000, 1.1518552000", \
+                        "0.1009144000, 0.1048873000, 0.1154281000, 0.1423643000, 0.2159582000, 0.4410584000, 1.1551805000", \
+                        "0.1107984000, 0.1146963000, 0.1253053000, 0.1522544000, 0.2258521000, 0.4516548000, 1.1646903000", \
+                        "0.1349186000, 0.1388134000, 0.1493084000, 0.1761977000, 0.2496927000, 0.4748290000, 1.1887450000", \
+                        "0.1790161000, 0.1830691000, 0.1938798000, 0.2209087000, 0.2947473000, 0.5198604000, 1.2342107000", \
+                        "0.2326831000, 0.2375195000, 0.2498145000, 0.2785462000, 0.3527470000, 0.5787452000, 1.2955661000", \
+                        "0.2739361000, 0.2804067000, 0.2962604000, 0.3304713000, 0.4069727000, 0.6312123000, 1.3455812000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0283245000, 0.0310572000, 0.0389354000, 0.0586921000, 0.1090360000, 0.2342711000, 0.6449170000", \
+                        "0.0283408000, 0.0312781000, 0.0388299000, 0.0588600000, 0.1089282000, 0.2344302000, 0.6449146000", \
+                        "0.0284120000, 0.0312907000, 0.0388301000, 0.0588941000, 0.1089564000, 0.2343145000, 0.6449520000", \
+                        "0.0283103000, 0.0313018000, 0.0389763000, 0.0588358000, 0.1087803000, 0.2343064000, 0.6439930000", \
+                        "0.0286930000, 0.0313516000, 0.0391572000, 0.0587688000, 0.1089459000, 0.2340393000, 0.6448737000", \
+                        "0.0348110000, 0.0377092000, 0.0456124000, 0.0661651000, 0.1155296000, 0.2381973000, 0.6449993000", \
+                        "0.0483418000, 0.0516172000, 0.0599450000, 0.0820045000, 0.1356217000, 0.2572834000, 0.6507659000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0216270000, 0.0250628000, 0.0346872000, 0.0642370000, 0.1609093000, 0.4803836000, 1.5014622000", \
+                        "0.0216693000, 0.0250440000, 0.0346347000, 0.0642085000, 0.1611289000, 0.4802177000, 1.5003234000", \
+                        "0.0216793000, 0.0250076000, 0.0347330000, 0.0641239000, 0.1611187000, 0.4808680000, 1.4984654000", \
+                        "0.0215205000, 0.0248071000, 0.0346679000, 0.0641520000, 0.1610653000, 0.4805456000, 1.5002151000", \
+                        "0.0238705000, 0.0270769000, 0.0366262000, 0.0660914000, 0.1617612000, 0.4803832000, 1.5000311000", \
+                        "0.0305929000, 0.0335590000, 0.0425731000, 0.0698504000, 0.1634324000, 0.4784561000, 1.4996046000", \
+                        "0.0433540000, 0.0470412000, 0.0568450000, 0.0815963000, 0.1679801000, 0.4809712000, 1.4937941000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1532577000, 0.1574764000, 0.1685609000, 0.1943561000, 0.2512436000, 0.3757085000, 0.7020176000", \
+                        "0.1568785000, 0.1610586000, 0.1720526000, 0.1976962000, 0.2548786000, 0.3794879000, 0.7057009000", \
+                        "0.1669148000, 0.1710972000, 0.1821429000, 0.2078019000, 0.2648960000, 0.3894965000, 0.7158347000", \
+                        "0.1941771000, 0.1983961000, 0.2092782000, 0.2346667000, 0.2918680000, 0.4163039000, 0.7427592000", \
+                        "0.2630154000, 0.2671723000, 0.2780700000, 0.3035941000, 0.3607014000, 0.4852557000, 0.8112860000", \
+                        "0.3970722000, 0.4020726000, 0.4149619000, 0.4438053000, 0.5052286000, 0.6334053000, 0.9605577000", \
+                        "0.6151275000, 0.6214599000, 0.6380013000, 0.6743121000, 0.7490785000, 0.8909181000, 1.2251584000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0910817000, 0.0950513000, 0.1058560000, 0.1332759000, 0.2072243000, 0.4318584000, 1.1449078000", \
+                        "0.0954199000, 0.0993799000, 0.1101716000, 0.1375806000, 0.2115239000, 0.4365628000, 1.1512413000", \
+                        "0.1056978000, 0.1096739000, 0.1204742000, 0.1478398000, 0.2217548000, 0.4477658000, 1.1588041000", \
+                        "0.1294809000, 0.1334236000, 0.1441143000, 0.1713270000, 0.2450009000, 0.4701868000, 1.1853889000", \
+                        "0.1696524000, 0.1738074000, 0.1849478000, 0.2128330000, 0.2868427000, 0.5123804000, 1.2247777000", \
+                        "0.2149076000, 0.2199523000, 0.2329249000, 0.2622985000, 0.3366593000, 0.5621806000, 1.2766408000", \
+                        "0.2416499000, 0.2484086000, 0.2651533000, 0.3009606000, 0.3787040000, 0.6028382000, 1.3155081000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0285543000, 0.0309944000, 0.0389186000, 0.0587149000, 0.1088505000, 0.2342501000, 0.6449822000", \
+                        "0.0284312000, 0.0312480000, 0.0386513000, 0.0588452000, 0.1091932000, 0.2344262000, 0.6449801000", \
+                        "0.0283151000, 0.0310223000, 0.0389199000, 0.0587852000, 0.1089013000, 0.2343694000, 0.6450179000", \
+                        "0.0285593000, 0.0313199000, 0.0389545000, 0.0589204000, 0.1085704000, 0.2343549000, 0.6449605000", \
+                        "0.0287921000, 0.0312924000, 0.0392255000, 0.0590531000, 0.1091777000, 0.2344197000, 0.6450845000", \
+                        "0.0394570000, 0.0423168000, 0.0500475000, 0.0697746000, 0.1175543000, 0.2394475000, 0.6453221000", \
+                        "0.0578941000, 0.0612897000, 0.0704420000, 0.0941046000, 0.1457911000, 0.2614662000, 0.6521572000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0220681000, 0.0253973000, 0.0352041000, 0.0647436000, 0.1609829000, 0.4801778000, 1.4990500000", \
+                        "0.0219959000, 0.0253597000, 0.0351672000, 0.0648012000, 0.1613494000, 0.4796840000, 1.4994103000", \
+                        "0.0221123000, 0.0254060000, 0.0352232000, 0.0647895000, 0.1612474000, 0.4798414000, 1.4971623000", \
+                        "0.0219887000, 0.0253646000, 0.0352338000, 0.0648978000, 0.1613825000, 0.4795478000, 1.4962090000", \
+                        "0.0249097000, 0.0281120000, 0.0376916000, 0.0669411000, 0.1621684000, 0.4796576000, 1.4990738000", \
+                        "0.0332104000, 0.0361791000, 0.0453592000, 0.0716375000, 0.1644540000, 0.4795321000, 1.5003191000", \
+                        "0.0471276000, 0.0507739000, 0.0608882000, 0.0872101000, 0.1703108000, 0.4817594000, 1.4948887000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o22ai_1 */
+
+/* removed sky130_fd_sc_hd__o22ai_2 */
+
+/* removed sky130_fd_sc_hd__o22ai_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_4 */
+
+/* removed sky130_fd_sc_hd__o311a_1 */
+
+/* removed sky130_fd_sc_hd__o311a_2 */
+
+/* removed sky130_fd_sc_hd__o311a_4 */
+
+/* removed sky130_fd_sc_hd__o311ai_0 */
+
+/* removed sky130_fd_sc_hd__o311ai_1 */
+
+/* removed sky130_fd_sc_hd__o311ai_2 */
+
+/* removed sky130_fd_sc_hd__o311ai_4 */
+
+/* removed sky130_fd_sc_hd__o31a_1 */
+
+/* removed sky130_fd_sc_hd__o31a_2 */
+
+/* removed sky130_fd_sc_hd__o31a_4 */
+
+/* removed sky130_fd_sc_hd__o31ai_1 */
+
+/* removed sky130_fd_sc_hd__o31ai_2 */
+
+/* removed sky130_fd_sc_hd__o31ai_4 */
+
+/* removed sky130_fd_sc_hd__o32a_1 */
+
+/* removed sky130_fd_sc_hd__o32a_2 */
+
+    cell ("sky130_fd_sc_hd__o32a_4") {
+        leakage_power () {
+            value : 0.0093865000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076254000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0094194000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0093876000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0131066000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089315000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0097119000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0118297000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0076435000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089322000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0042486000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0063664000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054414000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089321000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020465000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041643000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0075086000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089331000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0041137000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062315000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054449000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089322000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020496000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041674000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054330000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089341000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020380000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041558000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0053047000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089319000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0019098000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0040277000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 22.521600000;
+        cell_footprint : "sky130_fd_sc_hd__o32a";
+        cell_leakage_power : 0.0067278040;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041450000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079952000, 0.0079865000, 0.0079664000, 0.0079692000, 0.0079757000, 0.0079907000, 0.0080251000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007876900, -0.007874100, -0.007867600, -0.007870800, -0.007878200, -0.007895300, -0.007934800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045570000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079386000, 0.0079291000, 0.0079070000, 0.0079097000, 0.0079160000, 0.0079303000, 0.0079635000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007857200, -0.007856300, -0.007854300, -0.007851200, -0.007844200, -0.007828100, -0.007790900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045270000;
+        }
+        pin ("A3") {
+            capacitance : 0.0042940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039360000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082388000, 0.0082310000, 0.0082130000, 0.0082112000, 0.0082068000, 0.0081969000, 0.0081739000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008189200, -0.008184200, -0.008172500, -0.008169400, -0.008162100, -0.008145300, -0.008106600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046510000;
+        }
+        pin ("B1") {
+            capacitance : 0.0042390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091941000, 0.0091903000, 0.0091814000, 0.0091843000, 0.0091909000, 0.0092062000, 0.0092415000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001430600, -0.001472900, -0.001570300, -0.001516400, -0.001392100, -0.001105600, -0.000445400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044050000;
+        }
+        pin ("B2") {
+            capacitance : 0.0042170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092649000, 0.0092642000, 0.0092625000, 0.0092649000, 0.0092702000, 0.0092825000, 0.0093109000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001418900, -0.001448700, -0.001517400, -0.001469900, -0.001360400, -0.001108100, -0.000526400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044600000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0457043000, 0.0437829000, 0.0383290000, 0.0228184000, -0.031390600, -0.220139800, -0.840718700", \
+                        "0.0455581000, 0.0436117000, 0.0382151000, 0.0227530000, -0.031443700, -0.220549600, -0.840979900", \
+                        "0.0451734000, 0.0432983000, 0.0377997000, 0.0223906000, -0.031857500, -0.220628100, -0.841197700", \
+                        "0.0451173000, 0.0432260000, 0.0376090000, 0.0221566000, -0.032030100, -0.221173800, -0.841613400", \
+                        "0.0444085000, 0.0425881000, 0.0370578000, 0.0217008000, -0.032543000, -0.221515400, -0.841871200", \
+                        "0.0447732000, 0.0429314000, 0.0373133000, 0.0218052000, -0.032720300, -0.221877400, -0.842115400", \
+                        "0.0545601000, 0.0523635000, 0.0461041000, 0.0271263000, -0.032011600, -0.221837800, -0.842127800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0429197000, 0.0447870000, 0.0509854000, 0.0708763000, 0.1319595000, 0.3246019000, 0.9400425000", \
+                        "0.0425347000, 0.0445133000, 0.0507383000, 0.0706265000, 0.1316929000, 0.3241994000, 0.9401735000", \
+                        "0.0423565000, 0.0442514000, 0.0505158000, 0.0702281000, 0.1313851000, 0.3242199000, 0.9386433000", \
+                        "0.0419108000, 0.0438219000, 0.0501038000, 0.0699286000, 0.1309796000, 0.3234774000, 0.9390490000", \
+                        "0.0418846000, 0.0440303000, 0.0501184000, 0.0696816000, 0.1306588000, 0.3233008000, 0.9423967000", \
+                        "0.0438381000, 0.0457128000, 0.0514949000, 0.0701667000, 0.1300364000, 0.3220577000, 0.9420025000", \
+                        "0.0452001000, 0.0469321000, 0.0527784000, 0.0715651000, 0.1314235000, 0.3240090000, 0.9368697000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0409297000, 0.0390522000, 0.0335384000, 0.0181844000, -0.035828200, -0.224988100, -0.845331700", \
+                        "0.0407685000, 0.0388473000, 0.0333872000, 0.0179295000, -0.036235400, -0.225180500, -0.845421600", \
+                        "0.0405229000, 0.0385752000, 0.0331596000, 0.0177079000, -0.036497100, -0.225594100, -0.845969100", \
+                        "0.0400729000, 0.0381882000, 0.0327353000, 0.0172431000, -0.036984200, -0.225729200, -0.846293000", \
+                        "0.0397067000, 0.0378519000, 0.0323258000, 0.0169244000, -0.037277600, -0.226350600, -0.846747800", \
+                        "0.0401084000, 0.0380745000, 0.0324493000, 0.0169242000, -0.037364100, -0.226619200, -0.846807000", \
+                        "0.0502909000, 0.0481688000, 0.0417167000, 0.0224864000, -0.037641800, -0.226684600, -0.846623100");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0395613000, 0.0415751000, 0.0477936000, 0.0672979000, 0.1280675000, 0.3205658000, 0.9349088000", \
+                        "0.0396479000, 0.0415302000, 0.0476371000, 0.0672571000, 0.1281309000, 0.3201915000, 0.9342857000", \
+                        "0.0395153000, 0.0414037000, 0.0476438000, 0.0671509000, 0.1279228000, 0.3204590000, 0.9348257000", \
+                        "0.0391068000, 0.0410134000, 0.0472676000, 0.0668522000, 0.1276982000, 0.3200455000, 0.9351994000", \
+                        "0.0390553000, 0.0409748000, 0.0471969000, 0.0665343000, 0.1271950000, 0.3192372000, 0.9355423000", \
+                        "0.0398778000, 0.0416927000, 0.0476057000, 0.0663883000, 0.1265581000, 0.3181038000, 0.9334557000", \
+                        "0.0416282000, 0.0434252000, 0.0491869000, 0.0674288000, 0.1276963000, 0.3192591000, 0.9327795000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0362418000, 0.0343806000, 0.0289794000, 0.0134635000, -0.040812400, -0.229896300, -0.850265700", \
+                        "0.0358080000, 0.0339242000, 0.0284324000, 0.0129968000, -0.041208000, -0.230159200, -0.850552200", \
+                        "0.0354411000, 0.0335238000, 0.0280614000, 0.0126045000, -0.041617000, -0.230400800, -0.850932000", \
+                        "0.0349191000, 0.0330562000, 0.0275643000, 0.0122281000, -0.041958300, -0.231023000, -0.851347400", \
+                        "0.0347406000, 0.0328281000, 0.0273896000, 0.0118894000, -0.042338800, -0.231426600, -0.851742900", \
+                        "0.0358484000, 0.0338972000, 0.0282465000, 0.0125436000, -0.041937100, -0.231069300, -0.851126500", \
+                        "0.0506431000, 0.0484517000, 0.0418505000, 0.0223977000, -0.036971600, -0.227118600, -0.847431300");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0359283000, 0.0378282000, 0.0440853000, 0.0636111000, 0.1244188000, 0.3160895000, 0.9299288000", \
+                        "0.0360349000, 0.0379312000, 0.0441767000, 0.0637181000, 0.1244008000, 0.3166103000, 0.9306387000", \
+                        "0.0359663000, 0.0379126000, 0.0440536000, 0.0636657000, 0.1245127000, 0.3164734000, 0.9314713000", \
+                        "0.0356441000, 0.0375328000, 0.0438203000, 0.0634009000, 0.1241192000, 0.3160873000, 0.9304755000", \
+                        "0.0354905000, 0.0374160000, 0.0435212000, 0.0626111000, 0.1231999000, 0.3156737000, 0.9342956000", \
+                        "0.0361116000, 0.0379285000, 0.0437941000, 0.0627099000, 0.1225578000, 0.3138935000, 0.9298413000", \
+                        "0.0379849000, 0.0397639000, 0.0455313000, 0.0638384000, 0.1241133000, 0.3159176000, 0.9270070000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0278589000, 0.0263467000, 0.0219733000, 0.0080621000, -0.043384400, -0.231043200, -0.852024700", \
+                        "0.0276590000, 0.0260941000, 0.0216974000, 0.0077267000, -0.043592600, -0.231256400, -0.852232800", \
+                        "0.0274330000, 0.0257901000, 0.0214789000, 0.0074665000, -0.044013300, -0.231684700, -0.852642300", \
+                        "0.0267282000, 0.0252244000, 0.0207782000, 0.0068774000, -0.044632200, -0.232274100, -0.853176800", \
+                        "0.0263487000, 0.0248079000, 0.0203911000, 0.0060983000, -0.045424200, -0.232861900, -0.853665000", \
+                        "0.0275805000, 0.0256809000, 0.0198554000, 0.0050089000, -0.046034000, -0.233183400, -0.853773000", \
+                        "0.0436586000, 0.0416433000, 0.0354669000, 0.0165787000, -0.042631200, -0.232947800, -0.853243100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0366708000, 0.0385962000, 0.0448635000, 0.0645450000, 0.1257367000, 0.3185193000, 0.9320236000", \
+                        "0.0365077000, 0.0384045000, 0.0445746000, 0.0643913000, 0.1255210000, 0.3181312000, 0.9337654000", \
+                        "0.0361695000, 0.0380667000, 0.0443517000, 0.0642335000, 0.1252918000, 0.3179270000, 0.9331048000", \
+                        "0.0357545000, 0.0377131000, 0.0439389000, 0.0637006000, 0.1248928000, 0.3174280000, 0.9324048000", \
+                        "0.0359368000, 0.0378343000, 0.0439429000, 0.0634860000, 0.1236328000, 0.3164707000, 0.9328664000", \
+                        "0.0372811000, 0.0390876000, 0.0450124000, 0.0632649000, 0.1238305000, 0.3156721000, 0.9316596000", \
+                        "0.0402745000, 0.0419672000, 0.0477163000, 0.0663875000, 0.1257456000, 0.3175765000, 0.9309257000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0231539000, 0.0215249000, 0.0170558000, 0.0032606000, -0.048117600, -0.235562700, -0.856450200", \
+                        "0.0228792000, 0.0214161000, 0.0169640000, 0.0030321000, -0.048312500, -0.235841900, -0.856717500", \
+                        "0.0223473000, 0.0208159000, 0.0163494000, 0.0024457000, -0.048825600, -0.236352200, -0.857184300", \
+                        "0.0217210000, 0.0201595000, 0.0158205000, 0.0017925000, -0.049562400, -0.237061100, -0.857858400", \
+                        "0.0214075000, 0.0198167000, 0.0152800000, 0.0010485000, -0.050382300, -0.237589100, -0.858233500", \
+                        "0.0246294000, 0.0226291000, 0.0165657000, 0.0009267000, -0.049950900, -0.236954500, -0.857518800", \
+                        "0.0424356000, 0.0402689000, 0.0338257000, 0.0145207000, -0.044712700, -0.234914400, -0.855270600");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0325260000, 0.0345066000, 0.0407062000, 0.0604844000, 0.1217914000, 0.3139711000, 0.9285386000", \
+                        "0.0325844000, 0.0344574000, 0.0407607000, 0.0606841000, 0.1217277000, 0.3139776000, 0.9293349000", \
+                        "0.0326142000, 0.0345176000, 0.0407081000, 0.0605783000, 0.1217242000, 0.3141654000, 0.9293893000", \
+                        "0.0322859000, 0.0342293000, 0.0405124000, 0.0602799000, 0.1213277000, 0.3136986000, 0.9328106000", \
+                        "0.0324342000, 0.0343929000, 0.0401279000, 0.0599424000, 0.1199661000, 0.3129087000, 0.9283573000", \
+                        "0.0336791000, 0.0354730000, 0.0412382000, 0.0597827000, 0.1198403000, 0.3112314000, 0.9285972000", \
+                        "0.0359831000, 0.0376815000, 0.0434298000, 0.0617937000, 0.1214178000, 0.3132171000, 0.9262779000");
+                }
+            }
+            max_capacitance : 0.5566500000;
+            max_transition : 1.5033630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.3374238000, 0.3428658000, 0.3570133000, 0.3880938000, 0.4517398000, 0.5867798000, 0.9378868000", \
+                        "0.3420642000, 0.3475130000, 0.3615453000, 0.3928944000, 0.4562940000, 0.5920468000, 0.9429860000", \
+                        "0.3539506000, 0.3593208000, 0.3734780000, 0.4046737000, 0.4683490000, 0.6034151000, 0.9544638000", \
+                        "0.3797618000, 0.3850454000, 0.3994484000, 0.4307207000, 0.4941276000, 0.6298845000, 0.9810073000", \
+                        "0.4335429000, 0.4389742000, 0.4530707000, 0.4840254000, 0.5474997000, 0.6832127000, 1.0346962000", \
+                        "0.5455875000, 0.5510737000, 0.5653810000, 0.5968788000, 0.6604858000, 0.7958005000, 1.1468563000", \
+                        "0.7457549000, 0.7517569000, 0.7680133000, 0.8027854000, 0.8725596000, 1.0155393000, 1.3706132000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1339664000, 0.1383595000, 0.1502299000, 0.1797273000, 0.2557103000, 0.4823635000, 1.1973705000", \
+                        "0.1382342000, 0.1426572000, 0.1546236000, 0.1841018000, 0.2600593000, 0.4867325000, 1.2022336000", \
+                        "0.1479599000, 0.1523643000, 0.1642214000, 0.1936406000, 0.2697735000, 0.4957367000, 1.2107753000", \
+                        "0.1671702000, 0.1715728000, 0.1834578000, 0.2128964000, 0.2889281000, 0.5153538000, 1.2333328000", \
+                        "0.2078375000, 0.2123729000, 0.2243302000, 0.2539014000, 0.3298582000, 0.5558492000, 1.2743534000", \
+                        "0.2728726000, 0.2778618000, 0.2909908000, 0.3220975000, 0.3988670000, 0.6245442000, 1.3434680000", \
+                        "0.3463795000, 0.3526182000, 0.3689148000, 0.4044361000, 0.4846256000, 0.7111969000, 1.4263811000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0427416000, 0.0461229000, 0.0544953000, 0.0735815000, 0.1209224000, 0.2546715000, 0.6858198000", \
+                        "0.0426790000, 0.0457757000, 0.0549672000, 0.0741827000, 0.1225941000, 0.2542200000, 0.6870736000", \
+                        "0.0428332000, 0.0462222000, 0.0548912000, 0.0735872000, 0.1217855000, 0.2543784000, 0.6862417000", \
+                        "0.0428623000, 0.0462503000, 0.0549384000, 0.0747263000, 0.1225272000, 0.2539292000, 0.6857357000", \
+                        "0.0429356000, 0.0461523000, 0.0540487000, 0.0741470000, 0.1223739000, 0.2548940000, 0.6860744000", \
+                        "0.0445207000, 0.0473970000, 0.0556573000, 0.0753475000, 0.1219967000, 0.2549539000, 0.6868994000", \
+                        "0.0529111000, 0.0560639000, 0.0651716000, 0.0854348000, 0.1344779000, 0.2658163000, 0.6913922000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0256959000, 0.0292613000, 0.0394948000, 0.0690069000, 0.1633822000, 0.4784313000, 1.5027217000", \
+                        "0.0258626000, 0.0292779000, 0.0393795000, 0.0690924000, 0.1635075000, 0.4788874000, 1.5030456000", \
+                        "0.0255769000, 0.0290827000, 0.0393281000, 0.0690117000, 0.1634756000, 0.4788092000, 1.5010977000", \
+                        "0.0256756000, 0.0291940000, 0.0392303000, 0.0689749000, 0.1635637000, 0.4794485000, 1.5026074000", \
+                        "0.0263550000, 0.0301670000, 0.0400725000, 0.0694416000, 0.1634271000, 0.4786597000, 1.5018601000", \
+                        "0.0307179000, 0.0345627000, 0.0445329000, 0.0730720000, 0.1651770000, 0.4776780000, 1.5033631000", \
+                        "0.0405955000, 0.0445930000, 0.0557744000, 0.0831907000, 0.1698283000, 0.4801947000, 1.5010774000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.3183661000, 0.3237971000, 0.3378986000, 0.3691115000, 0.4322769000, 0.5680018000, 0.9192411000", \
+                        "0.3220856000, 0.3273768000, 0.3416451000, 0.3727365000, 0.4362056000, 0.5716361000, 0.9227775000", \
+                        "0.3324488000, 0.3379017000, 0.3519418000, 0.3832314000, 0.4466967000, 0.5824448000, 0.9333617000", \
+                        "0.3571660000, 0.3626117000, 0.3768160000, 0.4079182000, 0.4715260000, 0.6066248000, 0.9577322000", \
+                        "0.4132117000, 0.4185360000, 0.4326273000, 0.4638146000, 0.5272028000, 0.6628985000, 1.0141087000", \
+                        "0.5403712000, 0.5459728000, 0.5602422000, 0.5922895000, 0.6554853000, 0.7916747000, 1.1427179000", \
+                        "0.7737040000, 0.7800448000, 0.7970892000, 0.8329969000, 0.9032699000, 1.0464302000, 1.4023775000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1234323000, 0.1276451000, 0.1388782000, 0.1671259000, 0.2413519000, 0.4659013000, 1.1817889000", \
+                        "0.1282702000, 0.1324166000, 0.1436412000, 0.1719099000, 0.2462965000, 0.4709902000, 1.1845077000", \
+                        "0.1378971000, 0.1420649000, 0.1533673000, 0.1815577000, 0.2558067000, 0.4803765000, 1.1964174000", \
+                        "0.1567299000, 0.1609433000, 0.1721916000, 0.2003875000, 0.2747726000, 0.4990674000, 1.2147833000", \
+                        "0.1945604000, 0.1988391000, 0.2103682000, 0.2389335000, 0.3134756000, 0.5379799000, 1.2536427000", \
+                        "0.2503916000, 0.2552684000, 0.2681369000, 0.2986444000, 0.3745037000, 0.5991342000, 1.3142215000", \
+                        "0.3034725000, 0.3097957000, 0.3260977000, 0.3617076000, 0.4412667000, 0.6664227000, 1.3811023000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0429938000, 0.0462168000, 0.0540798000, 0.0736395000, 0.1222221000, 0.2546751000, 0.6866215000", \
+                        "0.0428160000, 0.0461840000, 0.0544970000, 0.0735851000, 0.1211185000, 0.2552474000, 0.6863130000", \
+                        "0.0426376000, 0.0458086000, 0.0549568000, 0.0740209000, 0.1226275000, 0.2542165000, 0.6868658000", \
+                        "0.0429655000, 0.0462480000, 0.0544612000, 0.0735675000, 0.1210600000, 0.2551038000, 0.6854565000", \
+                        "0.0428341000, 0.0460941000, 0.0541903000, 0.0737531000, 0.1216580000, 0.2550197000, 0.6864096000", \
+                        "0.0450817000, 0.0481145000, 0.0563018000, 0.0761587000, 0.1240651000, 0.2550036000, 0.6865623000", \
+                        "0.0564374000, 0.0594934000, 0.0681679000, 0.0887337000, 0.1360408000, 0.2664476000, 0.6918377000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0239128000, 0.0271534000, 0.0370699000, 0.0663926000, 0.1610106000, 0.4770273000, 1.5025268000", \
+                        "0.0238435000, 0.0272504000, 0.0372421000, 0.0665200000, 0.1608374000, 0.4781932000, 1.4985306000", \
+                        "0.0237399000, 0.0271589000, 0.0372292000, 0.0664106000, 0.1610395000, 0.4770642000, 1.5031868000", \
+                        "0.0238023000, 0.0272425000, 0.0370813000, 0.0662854000, 0.1610316000, 0.4780263000, 1.5017524000", \
+                        "0.0253283000, 0.0287259000, 0.0384883000, 0.0672638000, 0.1613656000, 0.4770109000, 1.5028326000", \
+                        "0.0299458000, 0.0337452000, 0.0434875000, 0.0716147000, 0.1635923000, 0.4762973000, 1.5007138000", \
+                        "0.0407747000, 0.0446348000, 0.0556323000, 0.0830951000, 0.1692307000, 0.4782402000, 1.4959580000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.2773952000, 0.2828373000, 0.2969399000, 0.3281382000, 0.3915401000, 0.5273045000, 0.8785163000", \
+                        "0.2799952000, 0.2854280000, 0.2995680000, 0.3306826000, 0.3942691000, 0.5301882000, 0.8804502000", \
+                        "0.2878505000, 0.2932872000, 0.3074740000, 0.3385169000, 0.4021811000, 0.5375013000, 0.8884460000", \
+                        "0.3099519000, 0.3153711000, 0.3295237000, 0.3604971000, 0.4239220000, 0.5596689000, 0.9111476000", \
+                        "0.3693253000, 0.3747245000, 0.3889580000, 0.4200360000, 0.4834543000, 0.6192335000, 0.9704134000", \
+                        "0.5098268000, 0.5153938000, 0.5295176000, 0.5607911000, 0.6239672000, 0.7603168000, 1.1117149000", \
+                        "0.7529789000, 0.7599040000, 0.7773030000, 0.8153439000, 0.8841055000, 1.0242200000, 1.3800142000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1110479000, 0.1151638000, 0.1262837000, 0.1543039000, 0.2282925000, 0.4524888000, 1.1652799000", \
+                        "0.1160951000, 0.1201941000, 0.1313545000, 0.1593354000, 0.2332095000, 0.4570912000, 1.1728721000", \
+                        "0.1262949000, 0.1304098000, 0.1415213000, 0.1695305000, 0.2435278000, 0.4669676000, 1.1822991000", \
+                        "0.1460656000, 0.1501523000, 0.1613155000, 0.1892686000, 0.2632102000, 0.4872155000, 1.2013244000", \
+                        "0.1836185000, 0.1879610000, 0.1994961000, 0.2280943000, 0.3021857000, 0.5264020000, 1.2438087000", \
+                        "0.2365001000, 0.2415490000, 0.2545920000, 0.2853955000, 0.3614726000, 0.5856539000, 1.3021361000", \
+                        "0.2854872000, 0.2920827000, 0.3090902000, 0.3461616000, 0.4267094000, 0.6513443000, 1.3658724000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0427516000, 0.0459540000, 0.0540473000, 0.0748921000, 0.1215321000, 0.2547279000, 0.6868898000", \
+                        "0.0427922000, 0.0459956000, 0.0547697000, 0.0736522000, 0.1217978000, 0.2549178000, 0.6863154000", \
+                        "0.0427830000, 0.0459485000, 0.0546447000, 0.0736137000, 0.1218787000, 0.2549397000, 0.6854326000", \
+                        "0.0428576000, 0.0461286000, 0.0539916000, 0.0741412000, 0.1215688000, 0.2549921000, 0.6870848000", \
+                        "0.0430956000, 0.0463428000, 0.0547220000, 0.0735574000, 0.1215049000, 0.2545957000, 0.6866476000", \
+                        "0.0454232000, 0.0485037000, 0.0565395000, 0.0748300000, 0.1222023000, 0.2554178000, 0.6869203000", \
+                        "0.0641246000, 0.0678637000, 0.0780255000, 0.0946842000, 0.1386974000, 0.2648934000, 0.6924227000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0231624000, 0.0265384000, 0.0364289000, 0.0657385000, 0.1599573000, 0.4775295000, 1.4982469000", \
+                        "0.0232164000, 0.0266241000, 0.0365010000, 0.0656866000, 0.1603002000, 0.4765651000, 1.5030409000", \
+                        "0.0232900000, 0.0266516000, 0.0365303000, 0.0655934000, 0.1602909000, 0.4769416000, 1.5015771000", \
+                        "0.0231642000, 0.0266019000, 0.0364050000, 0.0655667000, 0.1602243000, 0.4780247000, 1.4998736000", \
+                        "0.0251638000, 0.0285734000, 0.0384667000, 0.0671093000, 0.1607044000, 0.4768108000, 1.5019355000", \
+                        "0.0310325000, 0.0343431000, 0.0449412000, 0.0723698000, 0.1634560000, 0.4760773000, 1.5010372000", \
+                        "0.0428709000, 0.0471168000, 0.0585563000, 0.0855852000, 0.1704072000, 0.4785917000, 1.4977196000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1798884000, 0.1842811000, 0.1958874000, 0.2235323000, 0.2875499000, 0.4363642000, 0.7980317000", \
+                        "0.1847473000, 0.1891439000, 0.2007564000, 0.2286807000, 0.2924379000, 0.4411611000, 0.8030360000", \
+                        "0.1965174000, 0.2008173000, 0.2124604000, 0.2402093000, 0.3041265000, 0.4528170000, 0.8145651000", \
+                        "0.2225968000, 0.2269761000, 0.2385611000, 0.2662559000, 0.3301646000, 0.4789126000, 0.8406479000", \
+                        "0.2811233000, 0.2854791000, 0.2970047000, 0.3246641000, 0.3885965000, 0.5375727000, 0.8994000000", \
+                        "0.3927419000, 0.3975580000, 0.4105565000, 0.4407682000, 0.5086535000, 0.6609721000, 1.0239646000", \
+                        "0.5804688000, 0.5861794000, 0.6015243000, 0.6370933000, 0.7150790000, 0.8824114000, 1.2560522000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1228419000, 0.1272559000, 0.1390930000, 0.1685002000, 0.2446634000, 0.4704938000, 1.1866442000", \
+                        "0.1268247000, 0.1312255000, 0.1430589000, 0.1725172000, 0.2485434000, 0.4752195000, 1.1904326000", \
+                        "0.1366578000, 0.1410508000, 0.1529261000, 0.1823712000, 0.2584787000, 0.4847660000, 1.2031407000", \
+                        "0.1610592000, 0.1654460000, 0.1773060000, 0.2066955000, 0.2827238000, 0.5088786000, 1.2273812000", \
+                        "0.2145764000, 0.2190063000, 0.2308226000, 0.2600239000, 0.3355853000, 0.5619346000, 1.2779251000", \
+                        "0.2894196000, 0.2944544000, 0.3072686000, 0.3375853000, 0.4139136000, 0.6397413000, 1.3594158000", \
+                        "0.3682289000, 0.3746690000, 0.3912481000, 0.4260677000, 0.5038518000, 0.7291139000, 1.4452093000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0295786000, 0.0325736000, 0.0405721000, 0.0637195000, 0.1229063000, 0.2704127000, 0.6930111000", \
+                        "0.0293428000, 0.0323095000, 0.0406151000, 0.0632609000, 0.1229018000, 0.2702811000, 0.6926913000", \
+                        "0.0295602000, 0.0325387000, 0.0410767000, 0.0636086000, 0.1229147000, 0.2701370000, 0.6928036000", \
+                        "0.0296441000, 0.0323526000, 0.0410456000, 0.0636636000, 0.1228861000, 0.2702635000, 0.6929461000", \
+                        "0.0296198000, 0.0325670000, 0.0413529000, 0.0635578000, 0.1230838000, 0.2704930000, 0.6929465000", \
+                        "0.0358784000, 0.0390061000, 0.0476344000, 0.0710666000, 0.1302490000, 0.2750111000, 0.6934493000", \
+                        "0.0495209000, 0.0530483000, 0.0624386000, 0.0880169000, 0.1505446000, 0.2969490000, 0.7026522000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0254994000, 0.0290467000, 0.0392715000, 0.0689834000, 0.1634745000, 0.4789511000, 1.4984879000", \
+                        "0.0256075000, 0.0290736000, 0.0394356000, 0.0688962000, 0.1632227000, 0.4782173000, 1.5028874000", \
+                        "0.0256901000, 0.0291805000, 0.0392800000, 0.0689555000, 0.1635447000, 0.4791726000, 1.5003232000", \
+                        "0.0253880000, 0.0290047000, 0.0391418000, 0.0687133000, 0.1632940000, 0.4788765000, 1.5002158000", \
+                        "0.0263353000, 0.0296940000, 0.0396035000, 0.0690251000, 0.1634426000, 0.4792951000, 1.5030384000", \
+                        "0.0323501000, 0.0356116000, 0.0448838000, 0.0727960000, 0.1652831000, 0.4787433000, 1.5007050000", \
+                        "0.0440457000, 0.0481102000, 0.0582553000, 0.0837788000, 0.1693355000, 0.4808101000, 1.4985173000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1563498000, 0.1607132000, 0.1723696000, 0.2002537000, 0.2641203000, 0.4131478000, 0.7752374000", \
+                        "0.1597902000, 0.1641771000, 0.1758182000, 0.2036868000, 0.2677792000, 0.4167975000, 0.7787206000", \
+                        "0.1691352000, 0.1733856000, 0.1849535000, 0.2129602000, 0.2769606000, 0.4260820000, 0.7880583000", \
+                        "0.1943542000, 0.1986614000, 0.2107449000, 0.2385334000, 0.3027572000, 0.4520086000, 0.8138941000", \
+                        "0.2595313000, 0.2638225000, 0.2752859000, 0.3029345000, 0.3668527000, 0.5160483000, 0.8779824000", \
+                        "0.3823531000, 0.3874468000, 0.4008861000, 0.4321576000, 0.4997004000, 0.6533893000, 1.0170251000", \
+                        "0.5750908000, 0.5813734000, 0.5982075000, 0.6365936000, 0.7182769000, 0.8893892000, 1.2645140000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1096369000, 0.1140901000, 0.1260426000, 0.1554752000, 0.2317209000, 0.4569075000, 1.1735680000", \
+                        "0.1140660000, 0.1184828000, 0.1303928000, 0.1599480000, 0.2360141000, 0.4621647000, 1.1795187000", \
+                        "0.1247170000, 0.1291329000, 0.1409516000, 0.1704706000, 0.2465801000, 0.4728312000, 1.1874501000", \
+                        "0.1493424000, 0.1537138000, 0.1656153000, 0.1948892000, 0.2707764000, 0.4964736000, 1.2140217000", \
+                        "0.2002453000, 0.2046982000, 0.2165936000, 0.2458956000, 0.3209036000, 0.5470814000, 1.2619231000", \
+                        "0.2668666000, 0.2720933000, 0.2852117000, 0.3157513000, 0.3917823000, 0.6176832000, 1.3341687000", \
+                        "0.3312898000, 0.3380530000, 0.3549651000, 0.3914100000, 0.4697485000, 0.6948718000, 1.4109246000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0295097000, 0.0323250000, 0.0407369000, 0.0634212000, 0.1231931000, 0.2707848000, 0.6923234000", \
+                        "0.0294355000, 0.0325973000, 0.0410636000, 0.0636316000, 0.1232522000, 0.2708736000, 0.6931063000", \
+                        "0.0295571000, 0.0324043000, 0.0410426000, 0.0634039000, 0.1230552000, 0.2706134000, 0.6930100000", \
+                        "0.0294336000, 0.0323898000, 0.0410711000, 0.0634420000, 0.1232523000, 0.2706007000, 0.6920777000", \
+                        "0.0298633000, 0.0325331000, 0.0408954000, 0.0638586000, 0.1236296000, 0.2710591000, 0.6932042000", \
+                        "0.0404869000, 0.0436052000, 0.0524097000, 0.0744115000, 0.1326549000, 0.2769748000, 0.6942268000", \
+                        "0.0584583000, 0.0620433000, 0.0726980000, 0.0995353000, 0.1620075000, 0.3038573000, 0.7057341000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0254277000, 0.0289086000, 0.0392810000, 0.0687403000, 0.1630203000, 0.4779973000, 1.4994486000", \
+                        "0.0254514000, 0.0291269000, 0.0392080000, 0.0688759000, 0.1632396000, 0.4788459000, 1.5018214000", \
+                        "0.0254658000, 0.0289534000, 0.0392746000, 0.0687725000, 0.1630841000, 0.4777256000, 1.5021767000", \
+                        "0.0250591000, 0.0285975000, 0.0388727000, 0.0683385000, 0.1628134000, 0.4780131000, 1.5029122000", \
+                        "0.0265874000, 0.0301617000, 0.0402774000, 0.0693071000, 0.1629754000, 0.4775571000, 1.5021843000", \
+                        "0.0337357000, 0.0369968000, 0.0460878000, 0.0737215000, 0.1651911000, 0.4773439000, 1.5027919000", \
+                        "0.0463831000, 0.0504869000, 0.0607555000, 0.0858109000, 0.1705038000, 0.4802090000, 1.5010300000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o32ai_1 */
+
+/* removed sky130_fd_sc_hd__o32ai_2 */
+
+    cell ("sky130_fd_sc_hd__o32ai_4") {
+        leakage_power () {
+            value : 0.0053448000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0005871000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054625000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0053790000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0082742000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045240000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044326000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0068122000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0068104000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045239000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0029688000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0053484000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044275000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045203000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0005860000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029656000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0085026000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045231000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046609000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0070405000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046113000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045279000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0007686000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031482000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046084000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045240000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0007668000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031464000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0043299000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045187000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0004894000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0028679000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o32ai";
+        cell_leakage_power : 0.0042500690;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158764000, 0.0158631000, 0.0158324000, 0.0158273000, 0.0158156000, 0.0157886000, 0.0157264000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015758400, -0.015753400, -0.015741800, -0.015736900, -0.015725600, -0.015699700, -0.015640000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090620000;
+        }
+        pin ("A2") {
+            capacitance : 0.0082520000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077010000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0155843000, 0.0155750000, 0.0155536000, 0.0155555000, 0.0155599000, 0.0155700000, 0.0155933000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015522600, -0.015518000, -0.015507400, -0.015510500, -0.015517800, -0.015534500, -0.015573000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088020000;
+        }
+        pin ("A3") {
+            capacitance : 0.0084670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157120000, 0.0157042000, 0.0156863000, 0.0156806000, 0.0156676000, 0.0156376000, 0.0155684000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015658300, -0.015656200, -0.015651300, -0.015654200, -0.015660900, -0.015676300, -0.015711700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091230000;
+        }
+        pin ("B1") {
+            capacitance : 0.0083800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181881000, 0.0181904000, 0.0181956000, 0.0181893000, 0.0181746000, 0.0181409000, 0.0180631000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001575200, -0.001649800, -0.001821800, -0.001726700, -0.001507400, -0.001002000, 0.0001629000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086670000;
+        }
+        pin ("B2") {
+            capacitance : 0.0083060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079060000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0182531000, 0.0182485000, 0.0182380000, 0.0182401000, 0.0182452000, 0.0182567000, 0.0182834000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001745800, -0.001800500, -0.001926700, -0.001833700, -0.001619500, -0.001125600, 1.2835066e-05");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087070000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3) | (!B1&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0345127000, 0.0332180000, 0.0299230000, 0.0211787000, -0.001287300, -0.059728500, -0.212273800", \
+                        "0.0340475000, 0.0327624000, 0.0294331000, 0.0207095000, -0.001762300, -0.060183600, -0.212601100", \
+                        "0.0334474000, 0.0321824000, 0.0288096000, 0.0202009000, -0.002172200, -0.060694500, -0.213201400", \
+                        "0.0329806000, 0.0317009000, 0.0283812000, 0.0196568000, -0.002789900, -0.061107100, -0.213449500", \
+                        "0.0326278000, 0.0313450000, 0.0280667000, 0.0195451000, -0.002800400, -0.061151700, -0.213671500", \
+                        "0.0327239000, 0.0314313000, 0.0281450000, 0.0192910000, -0.003297500, -0.061707500, -0.213980200", \
+                        "0.0355252000, 0.0342167000, 0.0307949000, 0.0219711000, -0.000976700, -0.060247400, -0.213221300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0669955000, 0.0683560000, 0.0716935000, 0.0804267000, 0.1031608000, 0.1617628000, 0.3133855000", \
+                        "0.0664714000, 0.0677558000, 0.0711613000, 0.0800131000, 0.1027167000, 0.1614102000, 0.3130823000", \
+                        "0.0657432000, 0.0672523000, 0.0705277000, 0.0794216000, 0.1022995000, 0.1610793000, 0.3129967000", \
+                        "0.0652044000, 0.0664741000, 0.0699337000, 0.0789070000, 0.1017333000, 0.1606642000, 0.3127250000", \
+                        "0.0646385000, 0.0659424000, 0.0693394000, 0.0781185000, 0.1009948000, 0.1598506000, 0.3120094000", \
+                        "0.0643939000, 0.0657493000, 0.0690244000, 0.0779672000, 0.1005400000, 0.1595654000, 0.3114826000", \
+                        "0.0637495000, 0.0651534000, 0.0689613000, 0.0783318000, 0.1012411000, 0.1598400000, 0.3117722000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0287912000, 0.0275086000, 0.0242443000, 0.0155855000, -0.006792800, -0.065476400, -0.218131100", \
+                        "0.0286727000, 0.0273901000, 0.0241349000, 0.0155068000, -0.006892200, -0.065504300, -0.218183400", \
+                        "0.0283357000, 0.0270633000, 0.0237784000, 0.0151978000, -0.007149200, -0.065612500, -0.218365900", \
+                        "0.0276879000, 0.0263862000, 0.0231783000, 0.0146488000, -0.007625900, -0.065917600, -0.218548400", \
+                        "0.0268126000, 0.0255293000, 0.0222788000, 0.0139828000, -0.008177000, -0.066164800, -0.218806600", \
+                        "0.0269441000, 0.0256660000, 0.0223917000, 0.0137456000, -0.008831300, -0.067128700, -0.219056000", \
+                        "0.0289944000, 0.0277122000, 0.0242878000, 0.0155648000, -0.007211000, -0.066249600, -0.219259800");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0582765000, 0.0595533000, 0.0629435000, 0.0717570000, 0.0944415000, 0.1530367000, 0.3048388000", \
+                        "0.0576401000, 0.0590264000, 0.0624278000, 0.0712133000, 0.0940197000, 0.1526860000, 0.3042664000", \
+                        "0.0567585000, 0.0582696000, 0.0615724000, 0.0704792000, 0.0933686000, 0.1524677000, 0.3040001000", \
+                        "0.0561413000, 0.0574805000, 0.0607305000, 0.0696624000, 0.0926494000, 0.1516626000, 0.3038533000", \
+                        "0.0554207000, 0.0567217000, 0.0600729000, 0.0689485000, 0.0918638000, 0.1509370000, 0.3033154000", \
+                        "0.0554005000, 0.0566524000, 0.0599861000, 0.0690711000, 0.0917371000, 0.1504866000, 0.3026250000", \
+                        "0.0564215000, 0.0577032000, 0.0609004000, 0.0695175000, 0.0924083000, 0.1506142000, 0.3031254000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0211797000, 0.0199340000, 0.0166707000, 0.0081186000, -0.014277100, -0.072965600, -0.226026700", \
+                        "0.0211159000, 0.0198925000, 0.0167007000, 0.0082120000, -0.014066800, -0.072638500, -0.225554400", \
+                        "0.0208599000, 0.0196451000, 0.0165419000, 0.0081136000, -0.014006300, -0.072430200, -0.225264000", \
+                        "0.0201889000, 0.0189881000, 0.0158913000, 0.0076028000, -0.014356200, -0.072431900, -0.225059200", \
+                        "0.0193992000, 0.0181967000, 0.0150703000, 0.0066859000, -0.015099100, -0.072877300, -0.225249200", \
+                        "0.0196325000, 0.0182784000, 0.0149665000, 0.0065702000, -0.015759100, -0.073999800, -0.225778100", \
+                        "0.0220273000, 0.0207077000, 0.0170610000, 0.0084416000, -0.014564500, -0.073412600, -0.226185900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0497270000, 0.0510053000, 0.0544311000, 0.0632882000, 0.0860527000, 0.1447346000, 0.2965584000", \
+                        "0.0487642000, 0.0502832000, 0.0534980000, 0.0624965000, 0.0854266000, 0.1443306000, 0.2958851000", \
+                        "0.0474610000, 0.0489171000, 0.0523407000, 0.0613211000, 0.0844777000, 0.1437470000, 0.2955945000", \
+                        "0.0464239000, 0.0478462000, 0.0512086000, 0.0603111000, 0.0834214000, 0.1428023000, 0.2950125000", \
+                        "0.0462426000, 0.0475865000, 0.0509483000, 0.0597831000, 0.0822104000, 0.1412933000, 0.2943264000", \
+                        "0.0484784000, 0.0497347000, 0.0531144000, 0.0618488000, 0.0847541000, 0.1415251000, 0.2931228000", \
+                        "0.0555177000, 0.0566994000, 0.0575593000, 0.0655306000, 0.0887282000, 0.1464065000, 0.2954459000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0211092000, 0.0198347000, 0.0165468000, 0.0078357000, -0.014531800, -0.072964900, -0.225374300", \
+                        "0.0206560000, 0.0193800000, 0.0161150000, 0.0074390000, -0.014851100, -0.073310700, -0.225806400", \
+                        "0.0201813000, 0.0189155000, 0.0156170000, 0.0070277000, -0.015290500, -0.073537000, -0.225785300", \
+                        "0.0193617000, 0.0181131000, 0.0148676000, 0.0062727000, -0.016007300, -0.073962300, -0.226249800", \
+                        "0.0191386000, 0.0178138000, 0.0145117000, 0.0059267000, -0.016294100, -0.074462400, -0.226464800", \
+                        "0.0195343000, 0.0182555000, 0.0149117000, 0.0061696000, -0.017090500, -0.075255300, -0.227103400", \
+                        "0.0232009000, 0.0218666000, 0.0184166000, 0.0096408000, -0.012765000, -0.073041800, -0.227086300");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0338398000, 0.0352719000, 0.0389025000, 0.0480205000, 0.0708695000, 0.1293188000, 0.2805288000", \
+                        "0.0330024000, 0.0344561000, 0.0381976000, 0.0474325000, 0.0705962000, 0.1293385000, 0.2807274000", \
+                        "0.0321223000, 0.0335228000, 0.0371309000, 0.0464148000, 0.0697904000, 0.1289245000, 0.2806819000", \
+                        "0.0315209000, 0.0328141000, 0.0363395000, 0.0453770000, 0.0686000000, 0.1280875000, 0.2801807000", \
+                        "0.0309993000, 0.0322921000, 0.0357728000, 0.0446467000, 0.0674833000, 0.1266598000, 0.2791466000", \
+                        "0.0310422000, 0.0323306000, 0.0356698000, 0.0444801000, 0.0673438000, 0.1262063000, 0.2779546000", \
+                        "0.0327379000, 0.0339212000, 0.0370094000, 0.0456964000, 0.0684069000, 0.1264110000, 0.2791932000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0138108000, 0.0126137000, 0.0093994000, 0.0009592000, -0.021275000, -0.079549100, -0.232181700", \
+                        "0.0137279000, 0.0125367000, 0.0093447000, 0.0009344000, -0.021107200, -0.079233000, -0.231711600", \
+                        "0.0136163000, 0.0123802000, 0.0092261000, 0.0008310000, -0.021168400, -0.079086800, -0.231401500", \
+                        "0.0131038000, 0.0118807000, 0.0086542000, 0.0002614000, -0.021700300, -0.079481300, -0.231576400", \
+                        "0.0126518000, 0.0113822000, 0.0081042000, -0.000453400, -0.022231800, -0.080295200, -0.232144100", \
+                        "0.0126887000, 0.0114097000, 0.0080678000, -0.000485500, -0.023000700, -0.081051600, -0.233131600", \
+                        "0.0160245000, 0.0147179000, 0.0111707000, 0.0023248000, -0.020950800, -0.079379600, -0.233327000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0245711000, 0.0260838000, 0.0298386000, 0.0390683000, 0.0621262000, 0.1205688000, 0.2718514000", \
+                        "0.0235387000, 0.0250230000, 0.0287955000, 0.0382725000, 0.0616512000, 0.1205787000, 0.2720410000", \
+                        "0.0226046000, 0.0240320000, 0.0277634000, 0.0370238000, 0.0606010000, 0.1202232000, 0.2719009000", \
+                        "0.0221033000, 0.0234601000, 0.0269762000, 0.0361319000, 0.0594441000, 0.1189266000, 0.2714005000", \
+                        "0.0223061000, 0.0236307000, 0.0269500000, 0.0356090000, 0.0586531000, 0.1176695000, 0.2702767000", \
+                        "0.0245330000, 0.0257698000, 0.0290019000, 0.0376949000, 0.0597971000, 0.1187747000, 0.2688978000", \
+                        "0.0307565000, 0.0322582000, 0.0356743000, 0.0425767000, 0.0636384000, 0.1206441000, 0.2738738000");
+                }
+            }
+            max_capacitance : 0.1538190000;
+            max_transition : 1.5101650000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0634559000, 0.0653257000, 0.0700737000, 0.0814931000, 0.1092210000, 0.1765194000, 0.3435645000", \
+                        "0.0678179000, 0.0696024000, 0.0743106000, 0.0858012000, 0.1134854000, 0.1807836000, 0.3478599000", \
+                        "0.0769281000, 0.0788078000, 0.0834429000, 0.0949166000, 0.1227964000, 0.1898089000, 0.3570086000", \
+                        "0.0948407000, 0.0966748000, 0.1011260000, 0.1126693000, 0.1401080000, 0.2073085000, 0.3745437000", \
+                        "0.1250314000, 0.1272429000, 0.1320560000, 0.1460141000, 0.1764944000, 0.2463092000, 0.4136265000", \
+                        "0.1626124000, 0.1657212000, 0.1738022000, 0.1914417000, 0.2333184000, 0.3185578000, 0.5014621000", \
+                        "0.1828071000, 0.1875033000, 0.1992948000, 0.2272980000, 0.2899480000, 0.4174018000, 0.6581006000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.2198283000, 0.2248810000, 0.2383767000, 0.2740121000, 0.3640344000, 0.5968951000, 1.1972150000", \
+                        "0.2237695000, 0.2291937000, 0.2431259000, 0.2785376000, 0.3693755000, 0.6014671000, 1.2029181000", \
+                        "0.2346847000, 0.2390264000, 0.2541418000, 0.2900045000, 0.3808314000, 0.6143990000, 1.2159037000", \
+                        "0.2591881000, 0.2644198000, 0.2779360000, 0.3132827000, 0.4047160000, 0.6390204000, 1.2405967000", \
+                        "0.3095353000, 0.3144977000, 0.3280957000, 0.3634582000, 0.4550162000, 0.6890651000, 1.2936050000", \
+                        "0.4062264000, 0.4122019000, 0.4277752000, 0.4657046000, 0.5620601000, 0.7949891000, 1.3972660000", \
+                        "0.5705038000, 0.5775878000, 0.5953855000, 0.6456517000, 0.7601581000, 1.0236374000, 1.6359581000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0526568000, 0.0546747000, 0.0599266000, 0.0736434000, 0.1082335000, 0.1968394000, 0.4273771000", \
+                        "0.0524067000, 0.0544726000, 0.0597538000, 0.0734431000, 0.1080978000, 0.1966541000, 0.4276282000", \
+                        "0.0518484000, 0.0539271000, 0.0592958000, 0.0730021000, 0.1077174000, 0.1966370000, 0.4273623000", \
+                        "0.0540352000, 0.0560228000, 0.0610607000, 0.0741103000, 0.1081550000, 0.1963484000, 0.4271926000", \
+                        "0.0676596000, 0.0695809000, 0.0749001000, 0.0876360000, 0.1193970000, 0.2018121000, 0.4275903000", \
+                        "0.1025832000, 0.1048789000, 0.1112142000, 0.1250901000, 0.1602129000, 0.2400776000, 0.4474226000", \
+                        "0.1717172000, 0.1751251000, 0.1835107000, 0.2027989000, 0.2472318000, 0.3423278000, 0.5526390000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1570056000, 0.1642768000, 0.1823515000, 0.2302506000, 0.3526545000, 0.6696890000, 1.4902223000", \
+                        "0.1567360000, 0.1638958000, 0.1820015000, 0.2298328000, 0.3526091000, 0.6686884000, 1.4915970000", \
+                        "0.1566349000, 0.1648002000, 0.1824968000, 0.2298362000, 0.3526142000, 0.6691201000, 1.4877912000", \
+                        "0.1570419000, 0.1636996000, 0.1822997000, 0.2306843000, 0.3527008000, 0.6696984000, 1.4894804000", \
+                        "0.1594688000, 0.1665435000, 0.1839920000, 0.2305556000, 0.3533758000, 0.6705136000, 1.4891360000", \
+                        "0.1840823000, 0.1911377000, 0.2083335000, 0.2547940000, 0.3679031000, 0.6731636000, 1.4870671000", \
+                        "0.2444722000, 0.2521253000, 0.2712983000, 0.3197688000, 0.4393245000, 0.7312903000, 1.5034490000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0598996000, 0.0615359000, 0.0658675000, 0.0761600000, 0.1016371000, 0.1652302000, 0.3268520000", \
+                        "0.0645591000, 0.0661788000, 0.0705280000, 0.0807398000, 0.1062795000, 0.1699381000, 0.3315876000", \
+                        "0.0740976000, 0.0756163000, 0.0797866000, 0.0901792000, 0.1158812000, 0.1793477000, 0.3409175000", \
+                        "0.0918103000, 0.0933814000, 0.0978272000, 0.1086300000, 0.1342642000, 0.1978993000, 0.3597883000", \
+                        "0.1191609000, 0.1213417000, 0.1269325000, 0.1404504000, 0.1705686000, 0.2385698000, 0.4009462000", \
+                        "0.1478484000, 0.1511010000, 0.1592288000, 0.1793142000, 0.2220431000, 0.3109116000, 0.4942142000", \
+                        "0.1478173000, 0.1533791000, 0.1664121000, 0.1965742000, 0.2645361000, 0.4001763000, 0.6494170000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.2060386000, 0.2113910000, 0.2253440000, 0.2603997000, 0.3511886000, 0.5838098000, 1.1841556000", \
+                        "0.2088612000, 0.2144671000, 0.2283427000, 0.2629296000, 0.3542369000, 0.5874065000, 1.1880903000", \
+                        "0.2183804000, 0.2226237000, 0.2378544000, 0.2733415000, 0.3652486000, 0.5980642000, 1.1994494000", \
+                        "0.2429254000, 0.2491211000, 0.2631975000, 0.2985512000, 0.3901780000, 0.6245234000, 1.2267469000", \
+                        "0.3010002000, 0.3068200000, 0.3199939000, 0.3555556000, 0.4466766000, 0.6811719000, 1.2842593000", \
+                        "0.4215279000, 0.4281659000, 0.4438248000, 0.4853479000, 0.5820750000, 0.8160305000, 1.4187937000", \
+                        "0.6311591000, 0.6401612000, 0.6627107000, 0.7178666000, 0.8462167000, 1.1190462000, 1.7351607000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0416919000, 0.0436366000, 0.0486437000, 0.0614970000, 0.0947322000, 0.1814062000, 0.4068555000", \
+                        "0.0416969000, 0.0436159000, 0.0486317000, 0.0615173000, 0.0948434000, 0.1813864000, 0.4069075000", \
+                        "0.0415932000, 0.0435299000, 0.0485317000, 0.0614529000, 0.0946641000, 0.1811890000, 0.4070174000", \
+                        "0.0448133000, 0.0467081000, 0.0511152000, 0.0633430000, 0.0954962000, 0.1813513000, 0.4065814000", \
+                        "0.0599517000, 0.0618388000, 0.0667616000, 0.0793501000, 0.1097351000, 0.1883419000, 0.4075401000", \
+                        "0.0962995000, 0.0987672000, 0.1048439000, 0.1198558000, 0.1539510000, 0.2333925000, 0.4324732000", \
+                        "0.1656964000, 0.1689564000, 0.1784920000, 0.2005709000, 0.2462021000, 0.3435820000, 0.5470427000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1567602000, 0.1639096000, 0.1819757000, 0.2298642000, 0.3525033000, 0.6692148000, 1.4915819000", \
+                        "0.1567655000, 0.1639877000, 0.1821962000, 0.2299755000, 0.3526098000, 0.6709591000, 1.4873838000", \
+                        "0.1566157000, 0.1648403000, 0.1825320000, 0.2299705000, 0.3526985000, 0.6689391000, 1.4848284000", \
+                        "0.1573045000, 0.1640928000, 0.1820554000, 0.2298357000, 0.3526330000, 0.6709037000, 1.4915337000", \
+                        "0.1618326000, 0.1688797000, 0.1857155000, 0.2311088000, 0.3532922000, 0.6685512000, 1.4863508000", \
+                        "0.1996020000, 0.2067576000, 0.2235016000, 0.2654152000, 0.3738293000, 0.6733404000, 1.4892765000", \
+                        "0.2900615000, 0.2973877000, 0.3162180000, 0.3634872000, 0.4827837000, 0.7465049000, 1.5033821000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0458665000, 0.0474218000, 0.0512970000, 0.0607423000, 0.0839245000, 0.1422340000, 0.2913739000", \
+                        "0.0507340000, 0.0521896000, 0.0561530000, 0.0655117000, 0.0888533000, 0.1472680000, 0.2962445000", \
+                        "0.0605617000, 0.0621186000, 0.0660622000, 0.0755574000, 0.0991891000, 0.1577763000, 0.3068745000", \
+                        "0.0779344000, 0.0796842000, 0.0843266000, 0.0947308000, 0.1190141000, 0.1778749000, 0.3274388000", \
+                        "0.1005320000, 0.1030143000, 0.1095016000, 0.1235135000, 0.1551888000, 0.2215607000, 0.3725398000", \
+                        "0.1167183000, 0.1209041000, 0.1305330000, 0.1524252000, 0.2003993000, 0.2933072000, 0.4721626000", \
+                        "0.0952932000, 0.1014104000, 0.1164547000, 0.1538644000, 0.2285788000, 0.3740253000, 0.6297182000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1647324000, 0.1703224000, 0.1832449000, 0.2196188000, 0.3099044000, 0.5428016000, 1.1432353000", \
+                        "0.1659165000, 0.1707974000, 0.1853043000, 0.2214799000, 0.3126162000, 0.5457569000, 1.1465651000", \
+                        "0.1725368000, 0.1781564000, 0.1919072000, 0.2286517000, 0.3205957000, 0.5546860000, 1.1570772000", \
+                        "0.1954652000, 0.2009037000, 0.2152845000, 0.2503835000, 0.3432795000, 0.5782637000, 1.1811140000", \
+                        "0.2593066000, 0.2643418000, 0.2776627000, 0.3128824000, 0.4017976000, 0.6366405000, 1.2401778000", \
+                        "0.3952213000, 0.4019998000, 0.4191159000, 0.4604287000, 0.5540794000, 0.7796800000, 1.3811158000", \
+                        "0.6171946000, 0.6277217000, 0.6520804000, 0.7103356000, 0.8457113000, 1.1273439000, 1.7245027000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0296031000, 0.0313444000, 0.0357935000, 0.0476216000, 0.0782985000, 0.1580673000, 0.3660032000", \
+                        "0.0295309000, 0.0312678000, 0.0357772000, 0.0476371000, 0.0782991000, 0.1580126000, 0.3657256000", \
+                        "0.0295022000, 0.0312804000, 0.0357522000, 0.0475746000, 0.0783229000, 0.1581364000, 0.3659608000", \
+                        "0.0354624000, 0.0370795000, 0.0412579000, 0.0514992000, 0.0800763000, 0.1581108000, 0.3657758000", \
+                        "0.0528915000, 0.0547112000, 0.0593158000, 0.0709468000, 0.0989027000, 0.1685820000, 0.3669045000", \
+                        "0.0897749000, 0.0922227000, 0.0983631000, 0.1136274000, 0.1470062000, 0.2217774000, 0.3987694000", \
+                        "0.1590398000, 0.1626734000, 0.1717102000, 0.1946208000, 0.2414539000, 0.3366144000, 0.5280526000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1568235000, 0.1636200000, 0.1822121000, 0.2302752000, 0.3526028000, 0.6687254000, 1.4861015000", \
+                        "0.1565243000, 0.1642830000, 0.1822509000, 0.2303084000, 0.3524857000, 0.6684617000, 1.4849265000", \
+                        "0.1567935000, 0.1641793000, 0.1824399000, 0.2294858000, 0.3527821000, 0.6683067000, 1.4915715000", \
+                        "0.1554622000, 0.1629198000, 0.1813062000, 0.2295506000, 0.3528471000, 0.6706558000, 1.4864945000", \
+                        "0.1621227000, 0.1687968000, 0.1859036000, 0.2306829000, 0.3512898000, 0.6685068000, 1.4907353000", \
+                        "0.2132370000, 0.2205459000, 0.2388699000, 0.2805025000, 0.3819971000, 0.6741649000, 1.4920574000", \
+                        "0.3042253000, 0.3142086000, 0.3394526000, 0.3967982000, 0.5190539000, 0.7858444000, 1.5101654000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0513215000, 0.0531678000, 0.0579121000, 0.0692654000, 0.0971513000, 0.1640971000, 0.3313117000", \
+                        "0.0550856000, 0.0569626000, 0.0616862000, 0.0730860000, 0.1010326000, 0.1680844000, 0.3352175000", \
+                        "0.0647423000, 0.0666198000, 0.0712442000, 0.0827935000, 0.1104631000, 0.1778053000, 0.3450521000", \
+                        "0.0893068000, 0.0911897000, 0.0955587000, 0.1064096000, 0.1334020000, 0.2004430000, 0.3677239000", \
+                        "0.1259152000, 0.1285069000, 0.1352122000, 0.1509234000, 0.1850745000, 0.2550060000, 0.4217377000", \
+                        "0.1635055000, 0.1674175000, 0.1765458000, 0.1995909000, 0.2530406000, 0.3541399000, 0.5471835000", \
+                        "0.1788392000, 0.1846342000, 0.1991058000, 0.2336794000, 0.3109369000, 0.4695788000, 0.7621294000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0792204000, 0.0834163000, 0.0939052000, 0.1199934000, 0.1859499000, 0.3524727000, 0.7822319000", \
+                        "0.0831628000, 0.0873329000, 0.0979320000, 0.1244350000, 0.1908667000, 0.3576772000, 0.7891137000", \
+                        "0.0947185000, 0.0987072000, 0.1090248000, 0.1356374000, 0.2027744000, 0.3713541000, 0.8052640000", \
+                        "0.1217184000, 0.1255421000, 0.1357804000, 0.1617414000, 0.2281990000, 0.3981131000, 0.8301085000", \
+                        "0.1698880000, 0.1751796000, 0.1881236000, 0.2184820000, 0.2858575000, 0.4544796000, 0.8884484000", \
+                        "0.2502680000, 0.2581948000, 0.2762763000, 0.3180836000, 0.4093902000, 0.5906166000, 1.0245110000", \
+                        "0.3842713000, 0.3961251000, 0.4246823000, 0.4880846000, 0.6185492000, 0.8623986000, 1.3428663000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0516550000, 0.0536827000, 0.0589770000, 0.0726792000, 0.1073196000, 0.1965140000, 0.4272577000", \
+                        "0.0517493000, 0.0537786000, 0.0591125000, 0.0727891000, 0.1073759000, 0.1966382000, 0.4273292000", \
+                        "0.0502483000, 0.0523155000, 0.0578549000, 0.0720081000, 0.1073861000, 0.1963085000, 0.4270915000", \
+                        "0.0567047000, 0.0584129000, 0.0631861000, 0.0751971000, 0.1077845000, 0.1960623000, 0.4271190000", \
+                        "0.0784322000, 0.0809395000, 0.0871288000, 0.1016173000, 0.1334942000, 0.2082171000, 0.4272521000", \
+                        "0.1214887000, 0.1251885000, 0.1342296000, 0.1547802000, 0.1958149000, 0.2838720000, 0.4693759000", \
+                        "0.1949400000, 0.2003322000, 0.2135885000, 0.2441496000, 0.3087761000, 0.4294281000, 0.6503731000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0967887000, 0.1019099000, 0.1151702000, 0.1495030000, 0.2376917000, 0.4639955000, 1.0527158000", \
+                        "0.0968391000, 0.1019198000, 0.1151739000, 0.1495078000, 0.2376349000, 0.4638414000, 1.0515320000", \
+                        "0.0969174000, 0.1019885000, 0.1152463000, 0.1495194000, 0.2376658000, 0.4638747000, 1.0532438000", \
+                        "0.0993821000, 0.1040104000, 0.1163640000, 0.1497975000, 0.2376218000, 0.4642853000, 1.0525668000", \
+                        "0.1202482000, 0.1239684000, 0.1344283000, 0.1635489000, 0.2436354000, 0.4640641000, 1.0520377000", \
+                        "0.1728244000, 0.1769312000, 0.1879715000, 0.2161414000, 0.2880447000, 0.4838429000, 1.0521723000", \
+                        "0.2770544000, 0.2818396000, 0.2932750000, 0.3252684000, 0.4035652000, 0.5930438000, 1.0968682000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0398049000, 0.0419475000, 0.0472208000, 0.0599396000, 0.0900344000, 0.1617752000, 0.3403261000", \
+                        "0.0440104000, 0.0462057000, 0.0513729000, 0.0642556000, 0.0944078000, 0.1664387000, 0.3451251000", \
+                        "0.0547086000, 0.0567092000, 0.0619766000, 0.0743065000, 0.1045272000, 0.1766478000, 0.3554658000", \
+                        "0.0797085000, 0.0819111000, 0.0873939000, 0.0997102000, 0.1285689000, 0.2004095000, 0.3794376000", \
+                        "0.1108533000, 0.1140804000, 0.1219742000, 0.1400626000, 0.1794418000, 0.2548627000, 0.4333636000", \
+                        "0.1407719000, 0.1455024000, 0.1570480000, 0.1838468000, 0.2424166000, 0.3558498000, 0.5599824000", \
+                        "0.1441490000, 0.1511124000, 0.1683414000, 0.2084562000, 0.2968317000, 0.4689596000, 0.7824718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0558125000, 0.0600677000, 0.0707630000, 0.0975658000, 0.1635061000, 0.3322808000, 0.7619566000", \
+                        "0.0579974000, 0.0621648000, 0.0729793000, 0.0999973000, 0.1671560000, 0.3342411000, 0.7657153000", \
+                        "0.0677964000, 0.0717915000, 0.0824206000, 0.1087485000, 0.1761958000, 0.3464983000, 0.7770790000", \
+                        "0.0958815000, 0.1000091000, 0.1095686000, 0.1352561000, 0.2006917000, 0.3703770000, 0.8036033000", \
+                        "0.1441102000, 0.1504186000, 0.1655108000, 0.1981660000, 0.2663888000, 0.4331353000, 0.8674768000", \
+                        "0.2225903000, 0.2318431000, 0.2542661000, 0.3053086000, 0.4039866000, 0.5873463000, 1.0143826000", \
+                        "0.3625560000, 0.3751406000, 0.4066645000, 0.4785030000, 0.6261088000, 0.8996188000, 1.3742841000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0470078000, 0.0491327000, 0.0546222000, 0.0690100000, 0.1056936000, 0.2004551000, 0.4473643000", \
+                        "0.0466672000, 0.0489236000, 0.0545125000, 0.0691108000, 0.1057072000, 0.2004564000, 0.4473489000", \
+                        "0.0453892000, 0.0474414000, 0.0531182000, 0.0676620000, 0.1053384000, 0.2004825000, 0.4472024000", \
+                        "0.0544414000, 0.0561023000, 0.0609553000, 0.0726371000, 0.1061115000, 0.1997632000, 0.4472468000", \
+                        "0.0756354000, 0.0783049000, 0.0849273000, 0.1003153000, 0.1345478000, 0.2121296000, 0.4467409000", \
+                        "0.1171367000, 0.1209469000, 0.1303795000, 0.1524906000, 0.1984094000, 0.2868873000, 0.4863498000", \
+                        "0.1910377000, 0.1964955000, 0.2109367000, 0.2441011000, 0.3109850000, 0.4416512000, 0.6687170000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0970667000, 0.1021988000, 0.1155891000, 0.1499743000, 0.2381218000, 0.4647097000, 1.0535203000", \
+                        "0.0969241000, 0.1020286000, 0.1154806000, 0.1499480000, 0.2381197000, 0.4644866000, 1.0531522000", \
+                        "0.0960438000, 0.1014145000, 0.1150225000, 0.1498218000, 0.2381010000, 0.4644991000, 1.0531443000", \
+                        "0.1045844000, 0.1086751000, 0.1198898000, 0.1506244000, 0.2378198000, 0.4646515000, 1.0537832000", \
+                        "0.1429665000, 0.1451605000, 0.1529327000, 0.1769429000, 0.2482767000, 0.4642505000, 1.0523895000", \
+                        "0.2052948000, 0.2099460000, 0.2221750000, 0.2520701000, 0.3174375000, 0.4949549000, 1.0521913000", \
+                        "0.3140391000, 0.3207013000, 0.3368382000, 0.3770839000, 0.4705830000, 0.6600374000, 1.1234288000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41a_1 */
+
+/* removed sky130_fd_sc_hd__o41a_2 */
+
+    cell ("sky130_fd_sc_hd__o41a_4") {
+        leakage_power () {
+            value : 0.0078470000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0048416000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0083431000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0068856000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0049030000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054225000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0066887000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054209000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048914000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054218000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048945000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054189000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0047815000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054229000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0060117000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048222000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054182000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0051636000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054218000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077229000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054226000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048391000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0050057000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054252000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0446182000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054272000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0076984000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054248000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__o41a";
+        cell_leakage_power : 0.0069153160;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044650000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042480000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080646000, 0.0080569000, 0.0080392000, 0.0080422000, 0.0080492000, 0.0080654000, 0.0081028000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008021600, -0.008019300, -0.008013800, -0.008010300, -0.008002100, -0.007983300, -0.007939900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046820000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080316000, 0.0080303000, 0.0080273000, 0.0080288000, 0.0080320000, 0.0080394000, 0.0080567000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008003900, -0.008001300, -0.007995200, -0.007993900, -0.007990900, -0.007984000, -0.007968100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047700000;
+        }
+        pin ("A3") {
+            capacitance : 0.0041920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0038750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079123000, 0.0079071000, 0.0078952000, 0.0078955000, 0.0078960000, 0.0078971000, 0.0079000000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007889800, -0.007884800, -0.007873400, -0.007872500, -0.007870300, -0.007865400, -0.007854000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045090000;
+        }
+        pin ("A4") {
+            capacitance : 0.0042080000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0038560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078895000, 0.0078851000, 0.0078750000, 0.0078757000, 0.0078773000, 0.0078810000, 0.0078895000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007856100, -0.007853800, -0.007848600, -0.007844900, -0.007836300, -0.007816400, -0.007770500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045590000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044470000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043050000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0093262000, 0.0093268000, 0.0093283000, 0.0093259000, 0.0093206000, 0.0093083000, 0.0092799000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000791800, -0.000838700, -0.000946600, -0.000884800, -0.000742200, -0.000413600, 0.0003440000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045900000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0439783000, 0.0420588000, 0.0363499000, 0.0206423000, -0.032700900, -0.216793700, -0.822394600", \
+                        "0.0434283000, 0.0414422000, 0.0358783000, 0.0201095000, -0.033098600, -0.216902800, -0.822340600", \
+                        "0.0430692000, 0.0411515000, 0.0356104000, 0.0198103000, -0.033166600, -0.217192500, -0.823002300", \
+                        "0.0428186000, 0.0408255000, 0.0352140000, 0.0194362000, -0.033823700, -0.217613300, -0.823036100", \
+                        "0.0425683000, 0.0406415000, 0.0350768000, 0.0192229000, -0.034028800, -0.218092300, -0.823637700", \
+                        "0.0423393000, 0.0403639000, 0.0347474000, 0.0188939000, -0.034320300, -0.218315600, -0.823822900", \
+                        "0.0492557000, 0.0471022000, 0.0407517000, 0.0218911000, -0.035200600, -0.218704500, -0.824031100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0403625000, 0.0423646000, 0.0487949000, 0.0687762000, 0.1295633000, 0.3183138000, 0.9190766000", \
+                        "0.0400714000, 0.0421021000, 0.0485029000, 0.0685753000, 0.1294449000, 0.3183636000, 0.9183605000", \
+                        "0.0398104000, 0.0417908000, 0.0482347000, 0.0682545000, 0.1290435000, 0.3181637000, 0.9185025000", \
+                        "0.0394890000, 0.0414731000, 0.0479014000, 0.0680668000, 0.1287064000, 0.3173079000, 0.9228043000", \
+                        "0.0398670000, 0.0418956000, 0.0482104000, 0.0678781000, 0.1281317000, 0.3170033000, 0.9183726000", \
+                        "0.0419722000, 0.0437768000, 0.0496679000, 0.0682533000, 0.1275311000, 0.3155306000, 0.9172893000", \
+                        "0.0437613000, 0.0454915000, 0.0512630000, 0.0695798000, 0.1282973000, 0.3173296000, 0.9207710000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0390438000, 0.0370633000, 0.0315110000, 0.0157321000, -0.037645600, -0.221546700, -0.827086800", \
+                        "0.0385463000, 0.0365789000, 0.0309455000, 0.0156753000, -0.037640600, -0.221778300, -0.827494900", \
+                        "0.0382374000, 0.0363316000, 0.0306724000, 0.0149397000, -0.038343900, -0.222106100, -0.827604400", \
+                        "0.0378451000, 0.0359278000, 0.0303863000, 0.0145842000, -0.038396900, -0.222427800, -0.828214400", \
+                        "0.0375523000, 0.0358627000, 0.0301477000, 0.0144437000, -0.038937600, -0.223019900, -0.828435300", \
+                        "0.0375658000, 0.0360522000, 0.0301556000, 0.0142912000, -0.039183400, -0.223172000, -0.828700900", \
+                        "0.0452374000, 0.0431158000, 0.0367460000, 0.0177181000, -0.040324100, -0.223202200, -0.828618300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0380630000, 0.0400615000, 0.0463119000, 0.0658966000, 0.1257634000, 0.3143191000, 0.9143748000", \
+                        "0.0380200000, 0.0400728000, 0.0462863000, 0.0658658000, 0.1257283000, 0.3142796000, 0.9144596000", \
+                        "0.0379782000, 0.0399219000, 0.0462261000, 0.0657185000, 0.1256797000, 0.3138374000, 0.9148175000", \
+                        "0.0376877000, 0.0396593000, 0.0459457000, 0.0655247000, 0.1253555000, 0.3134844000, 0.9149345000", \
+                        "0.0374958000, 0.0394235000, 0.0456765000, 0.0647142000, 0.1247714000, 0.3132616000, 0.9182063000", \
+                        "0.0389490000, 0.0407544000, 0.0466183000, 0.0654256000, 0.1240667000, 0.3119548000, 0.9128569000", \
+                        "0.0405450000, 0.0423042000, 0.0479534000, 0.0665072000, 0.1247702000, 0.3133675000, 0.9119709000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0343739000, 0.0324025000, 0.0268763000, 0.0110654000, -0.041872400, -0.225945300, -0.831625100", \
+                        "0.0342880000, 0.0322979000, 0.0266566000, 0.0109307000, -0.042362200, -0.226300700, -0.831842300", \
+                        "0.0337146000, 0.0318592000, 0.0262641000, 0.0104792000, -0.042734800, -0.226501200, -0.832214100", \
+                        "0.0334191000, 0.0314438000, 0.0258575000, 0.0100850000, -0.043179200, -0.226927900, -0.832288900", \
+                        "0.0329216000, 0.0310751000, 0.0255115000, 0.0097958000, -0.043366900, -0.227558300, -0.832903100", \
+                        "0.0331044000, 0.0311562000, 0.0259851000, 0.0099536000, -0.043370500, -0.227600000, -0.833159100", \
+                        "0.0423261000, 0.0401158000, 0.0335169000, 0.0141243000, -0.043586700, -0.227409700, -0.832938000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0358202000, 0.0377941000, 0.0439090000, 0.0632301000, 0.1228587000, 0.3107045000, 0.9105037000", \
+                        "0.0358626000, 0.0378245000, 0.0440044000, 0.0632952000, 0.1229186000, 0.3107838000, 0.9112044000", \
+                        "0.0356858000, 0.0376373000, 0.0438611000, 0.0632530000, 0.1228485000, 0.3108195000, 0.9109318000", \
+                        "0.0354400000, 0.0374106000, 0.0435856000, 0.0629445000, 0.1224129000, 0.3107541000, 0.9151230000", \
+                        "0.0352527000, 0.0371666000, 0.0432604000, 0.0621232000, 0.1217293000, 0.3097939000, 0.9109627000", \
+                        "0.0356026000, 0.0374393000, 0.0434128000, 0.0619765000, 0.1208833000, 0.3079884000, 0.9133936000", \
+                        "0.0370423000, 0.0387471000, 0.0445285000, 0.0626394000, 0.1220228000, 0.3101518000, 0.9072910000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0296892000, 0.0277885000, 0.0221692000, 0.0064379000, -0.046818000, -0.230654100, -0.836031400", \
+                        "0.0294191000, 0.0274772000, 0.0218150000, 0.0061055000, -0.047102100, -0.231015600, -0.836423000", \
+                        "0.0290284000, 0.0270384000, 0.0214279000, 0.0057453000, -0.047487200, -0.231538900, -0.836995600", \
+                        "0.0284552000, 0.0264498000, 0.0208321000, 0.0052342000, -0.047985500, -0.231930400, -0.837501200", \
+                        "0.0282014000, 0.0262540000, 0.0206234000, 0.0049771000, -0.048299200, -0.232209100, -0.837777300", \
+                        "0.0287623000, 0.0267884000, 0.0212183000, 0.0054041000, -0.047528200, -0.231720600, -0.837305500", \
+                        "0.0414128000, 0.0392017000, 0.0325931000, 0.0123139000, -0.045360400, -0.229491200, -0.835169600");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0315990000, 0.0335710000, 0.0396948000, 0.0590487000, 0.1185276000, 0.3058755000, 0.9063555000", \
+                        "0.0316010000, 0.0335699000, 0.0397859000, 0.0590165000, 0.1185418000, 0.3058158000, 0.9077790000", \
+                        "0.0314515000, 0.0333929000, 0.0395825000, 0.0590017000, 0.1183955000, 0.3059716000, 0.9023346000", \
+                        "0.0311171000, 0.0330875000, 0.0392372000, 0.0584656000, 0.1179035000, 0.3044266000, 0.9021256000", \
+                        "0.0306301000, 0.0325638000, 0.0387374000, 0.0577178000, 0.1169476000, 0.3053911000, 0.9069618000", \
+                        "0.0311787000, 0.0330438000, 0.0388764000, 0.0576232000, 0.1160474000, 0.3031590000, 0.9059032000", \
+                        "0.0325830000, 0.0342665000, 0.0401550000, 0.0583728000, 0.1177410000, 0.3053359000, 0.9019621000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0254726000, 0.0241533000, 0.0203355000, 0.0064708000, -0.046689300, -0.234649700, -0.841895400", \
+                        "0.0251286000, 0.0238630000, 0.0200237000, 0.0061532000, -0.046977400, -0.234932000, -0.842180700", \
+                        "0.0246352000, 0.0232545000, 0.0193606000, 0.0055166000, -0.047467300, -0.235383100, -0.842616900", \
+                        "0.0240316000, 0.0229461000, 0.0187083000, 0.0047399000, -0.048228900, -0.235957500, -0.843130200", \
+                        "0.0236742000, 0.0222067000, 0.0178812000, 0.0035650000, -0.049019500, -0.236335700, -0.843307400", \
+                        "0.0318221000, 0.0300103000, 0.0243323000, 0.0064947000, -0.049059900, -0.235612500, -0.842428500", \
+                        "0.0399853000, 0.0380160000, 0.0320851000, 0.0135664000, -0.044686800, -0.233268900, -0.839268800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0331971000, 0.0351517000, 0.0416173000, 0.0617114000, 0.1225435000, 0.3114694000, 0.9164569000", \
+                        "0.0330519000, 0.0349976000, 0.0414698000, 0.0615522000, 0.1223706000, 0.3113037000, 0.9162728000", \
+                        "0.0328083000, 0.0348558000, 0.0412755000, 0.0613813000, 0.1221784000, 0.3111827000, 0.9117541000", \
+                        "0.0326575000, 0.0346367000, 0.0410446000, 0.0610449000, 0.1217241000, 0.3106129000, 0.9121651000", \
+                        "0.0327938000, 0.0347461000, 0.0409619000, 0.0605559000, 0.1207347000, 0.3095516000, 0.9150723000", \
+                        "0.0353410000, 0.0371317000, 0.0430159000, 0.0612612000, 0.1207518000, 0.3083159000, 0.9151623000", \
+                        "0.0379209000, 0.0401051000, 0.0457781000, 0.0642517000, 0.1224170000, 0.3104794000, 0.9103267000");
+                }
+            }
+            max_capacitance : 0.5447490000;
+            max_transition : 1.5032640000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.4460322000, 0.4519886000, 0.4675211000, 0.5014674000, 0.5699773000, 0.7134920000, 1.0631832000", \
+                        "0.4497962000, 0.4558108000, 0.4714082000, 0.5052580000, 0.5740716000, 0.7167658000, 1.0665929000", \
+                        "0.4610607000, 0.4667726000, 0.4825044000, 0.5164446000, 0.5845542000, 0.7282804000, 1.0777331000", \
+                        "0.4870039000, 0.4930262000, 0.5084254000, 0.5424898000, 0.6109259000, 0.7538081000, 1.1037488000", \
+                        "0.5419073000, 0.5479038000, 0.5635211000, 0.5974554000, 0.6659818000, 0.8090536000, 1.1580749000", \
+                        "0.6532471000, 0.6592472000, 0.6747865000, 0.7087438000, 0.7773094000, 0.9207025000, 1.2704546000", \
+                        "0.8557954000, 0.8621984000, 0.8788715000, 0.9149967000, 0.9869221000, 1.1352312000, 1.4885694000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1170069000, 0.1215445000, 0.1338094000, 0.1642170000, 0.2429642000, 0.4725256000, 1.1898970000", \
+                        "0.1214504000, 0.1259674000, 0.1382323000, 0.1686346000, 0.2472977000, 0.4769404000, 1.1948121000", \
+                        "0.1312368000, 0.1357535000, 0.1479816000, 0.1783599000, 0.2569832000, 0.4857461000, 1.2054413000", \
+                        "0.1510106000, 0.1555515000, 0.1676887000, 0.1979555000, 0.2761217000, 0.5059154000, 1.2250447000", \
+                        "0.1913350000, 0.1959015000, 0.2082371000, 0.2383304000, 0.3162982000, 0.5451249000, 1.2622823000", \
+                        "0.2514433000, 0.2565593000, 0.2700352000, 0.3016992000, 0.3800912000, 0.6084176000, 1.3284666000", \
+                        "0.3115759000, 0.3181081000, 0.3348453000, 0.3712956000, 0.4522452000, 0.6804983000, 1.3983329000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0531609000, 0.0565111000, 0.0645686000, 0.0846616000, 0.1341176000, 0.2673334000, 0.6724763000", \
+                        "0.0527596000, 0.0560011000, 0.0649656000, 0.0848552000, 0.1342564000, 0.2672857000, 0.6733554000", \
+                        "0.0530293000, 0.0562082000, 0.0646823000, 0.0849191000, 0.1354189000, 0.2671171000, 0.6734683000", \
+                        "0.0531124000, 0.0560247000, 0.0653036000, 0.0853508000, 0.1356062000, 0.2673917000, 0.6719931000", \
+                        "0.0528121000, 0.0560890000, 0.0652111000, 0.0848319000, 0.1343800000, 0.2675550000, 0.6735683000", \
+                        "0.0528112000, 0.0560622000, 0.0646741000, 0.0848628000, 0.1343545000, 0.2674743000, 0.6722591000", \
+                        "0.0598538000, 0.0628591000, 0.0723148000, 0.0927517000, 0.1448058000, 0.2734464000, 0.6771499000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0261837000, 0.0298409000, 0.0405339000, 0.0710954000, 0.1676810000, 0.4822021000, 1.5007117000", \
+                        "0.0262293000, 0.0297414000, 0.0404969000, 0.0709349000, 0.1676666000, 0.4827144000, 1.4984134000", \
+                        "0.0260961000, 0.0297288000, 0.0402856000, 0.0710790000, 0.1673650000, 0.4828702000, 1.5006698000", \
+                        "0.0257904000, 0.0294179000, 0.0398831000, 0.0707435000, 0.1670675000, 0.4825699000, 1.5026804000", \
+                        "0.0270473000, 0.0306736000, 0.0410710000, 0.0708341000, 0.1665882000, 0.4814569000, 1.5015600000", \
+                        "0.0318055000, 0.0354000000, 0.0458847000, 0.0746605000, 0.1680678000, 0.4810743000, 1.5015368000", \
+                        "0.0429491000, 0.0469663000, 0.0580433000, 0.0849795000, 0.1727201000, 0.4828208000, 1.5003134000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.4258368000, 0.4316786000, 0.4474010000, 0.4814196000, 0.5499479000, 0.6932312000, 1.0418882000", \
+                        "0.4282430000, 0.4342410000, 0.4497539000, 0.4835377000, 0.5523051000, 0.6958131000, 1.0454223000", \
+                        "0.4376611000, 0.4436854000, 0.4591184000, 0.4932725000, 0.5616989000, 0.7045083000, 1.0544345000", \
+                        "0.4609423000, 0.4666608000, 0.4823879000, 0.5163096000, 0.5843776000, 0.7281055000, 1.0775832000", \
+                        "0.5124691000, 0.5184487000, 0.5339362000, 0.5679238000, 0.6364815000, 0.7798074000, 1.1287934000", \
+                        "0.6246329000, 0.6307632000, 0.6462929000, 0.6803469000, 0.7489669000, 0.8925095000, 1.2420894000", \
+                        "0.8357137000, 0.8422531000, 0.8593117000, 0.8965426000, 0.9698656000, 1.1187065000, 1.4733565000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1074683000, 0.1116083000, 0.1227794000, 0.1510753000, 0.2260052000, 0.4519218000, 1.1669866000", \
+                        "0.1122534000, 0.1163901000, 0.1276129000, 0.1558746000, 0.2307931000, 0.4567370000, 1.1720462000", \
+                        "0.1220451000, 0.1261782000, 0.1374434000, 0.1656684000, 0.2406947000, 0.4673350000, 1.1826309000", \
+                        "0.1415334000, 0.1456577000, 0.1568256000, 0.1849578000, 0.2598884000, 0.4865582000, 1.2021963000", \
+                        "0.1786485000, 0.1829413000, 0.1944777000, 0.2230273000, 0.2979799000, 0.5240171000, 1.2421553000", \
+                        "0.2297966000, 0.2347339000, 0.2474873000, 0.2776716000, 0.3536867000, 0.5794319000, 1.2988502000", \
+                        "0.2712223000, 0.2776510000, 0.2939002000, 0.3298389000, 0.4085896000, 0.6349403000, 1.3504854000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0527665000, 0.0564636000, 0.0653370000, 0.0861735000, 0.1345033000, 0.2673622000, 0.6736801000", \
+                        "0.0530818000, 0.0564181000, 0.0653109000, 0.0854497000, 0.1345728000, 0.2675729000, 0.6711371000", \
+                        "0.0530387000, 0.0559449000, 0.0653080000, 0.0854564000, 0.1359679000, 0.2675450000, 0.6729775000", \
+                        "0.0530263000, 0.0562030000, 0.0646892000, 0.0849079000, 0.1353329000, 0.2671809000, 0.6734902000", \
+                        "0.0527839000, 0.0564768000, 0.0646741000, 0.0860251000, 0.1341607000, 0.2672208000, 0.6732760000", \
+                        "0.0532201000, 0.0565904000, 0.0649693000, 0.0851975000, 0.1342992000, 0.2675332000, 0.6732490000", \
+                        "0.0619342000, 0.0654406000, 0.0750254000, 0.0969188000, 0.1452747000, 0.2770273000, 0.6781026000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0234745000, 0.0268233000, 0.0369903000, 0.0665242000, 0.1623492000, 0.4794063000, 1.4982259000", \
+                        "0.0234522000, 0.0268500000, 0.0369563000, 0.0665311000, 0.1623008000, 0.4794299000, 1.4988061000", \
+                        "0.0234559000, 0.0268106000, 0.0368405000, 0.0666464000, 0.1625316000, 0.4785973000, 1.5005908000", \
+                        "0.0233835000, 0.0267733000, 0.0367347000, 0.0664880000, 0.1624457000, 0.4785913000, 1.5016596000", \
+                        "0.0249934000, 0.0285249000, 0.0381929000, 0.0674760000, 0.1624895000, 0.4787927000, 1.5020364000", \
+                        "0.0306412000, 0.0340516000, 0.0439670000, 0.0717374000, 0.1645770000, 0.4783839000, 1.4988633000", \
+                        "0.0422334000, 0.0461022000, 0.0566501000, 0.0838695000, 0.1696827000, 0.4799056000, 1.4974968000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.3898703000, 0.3958698000, 0.4114748000, 0.4454841000, 0.5137991000, 0.6573499000, 1.0069851000", \
+                        "0.3921682000, 0.3981758000, 0.4137470000, 0.4477632000, 0.5163208000, 0.6596308000, 1.0081360000", \
+                        "0.4008693000, 0.4068915000, 0.4223717000, 0.4562785000, 0.5250438000, 0.6681272000, 1.0177367000", \
+                        "0.4239917000, 0.4300004000, 0.4455681000, 0.4794123000, 0.5481104000, 0.6907360000, 1.0406471000", \
+                        "0.4787056000, 0.4846768000, 0.5002834000, 0.5341958000, 0.6024069000, 0.7457555000, 1.0951123000", \
+                        "0.6082217000, 0.6143460000, 0.6296175000, 0.6635854000, 0.7321386000, 0.8756052000, 1.2251206000", \
+                        "0.8566788000, 0.8634338000, 0.8809641000, 0.9187390000, 0.9924385000, 1.1418256000, 1.4965027000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1054513000, 0.1094580000, 0.1203034000, 0.1478108000, 0.2214556000, 0.4462439000, 1.1645130000", \
+                        "0.1102978000, 0.1143137000, 0.1251828000, 0.1527095000, 0.2265219000, 0.4521318000, 1.1657649000", \
+                        "0.1203056000, 0.1242890000, 0.1351563000, 0.1627457000, 0.2365586000, 0.4622025000, 1.1762500000", \
+                        "0.1400263000, 0.1440252000, 0.1548673000, 0.1823776000, 0.2561422000, 0.4810755000, 1.1980832000", \
+                        "0.1762355000, 0.1804856000, 0.1917942000, 0.2199164000, 0.2942126000, 0.5195284000, 1.2350344000", \
+                        "0.2229555000, 0.2279549000, 0.2409449000, 0.2713624000, 0.3469264000, 0.5720742000, 1.2908840000", \
+                        "0.2550577000, 0.2616631000, 0.2786782000, 0.3151956000, 0.3952305000, 0.6204004000, 1.3361368000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0530171000, 0.0563731000, 0.0646378000, 0.0848557000, 0.1348824000, 0.2676135000, 0.6715721000", \
+                        "0.0527211000, 0.0560719000, 0.0645700000, 0.0863208000, 0.1344648000, 0.2673699000, 0.6735265000", \
+                        "0.0529950000, 0.0562904000, 0.0648267000, 0.0848825000, 0.1340922000, 0.2670450000, 0.6730036000", \
+                        "0.0527383000, 0.0559932000, 0.0650580000, 0.0848745000, 0.1347147000, 0.2674853000, 0.6719336000", \
+                        "0.0532752000, 0.0565950000, 0.0646006000, 0.0850097000, 0.1348441000, 0.2668804000, 0.6731314000", \
+                        "0.0532610000, 0.0565712000, 0.0657878000, 0.0869842000, 0.1347773000, 0.2678076000, 0.6730706000", \
+                        "0.0658138000, 0.0692338000, 0.0778928000, 0.0987029000, 0.1475351000, 0.2769986000, 0.6778836000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0224415000, 0.0257493000, 0.0355794000, 0.0650219000, 0.1604506000, 0.4775591000, 1.5001380000", \
+                        "0.0224495000, 0.0257956000, 0.0356328000, 0.0651573000, 0.1605694000, 0.4787197000, 1.4984257000", \
+                        "0.0224976000, 0.0257958000, 0.0356377000, 0.0651633000, 0.1606354000, 0.4788505000, 1.4995934000", \
+                        "0.0224270000, 0.0257248000, 0.0357101000, 0.0651478000, 0.1608696000, 0.4785962000, 1.5024605000", \
+                        "0.0246893000, 0.0280560000, 0.0378781000, 0.0668346000, 0.1610973000, 0.4791171000, 1.5010397000", \
+                        "0.0309581000, 0.0347503000, 0.0443675000, 0.0718159000, 0.1636560000, 0.4775063000, 1.4984801000", \
+                        "0.0435880000, 0.0476591000, 0.0584437000, 0.0851800000, 0.1698472000, 0.4798442000, 1.4975327000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.3183442000, 0.3242281000, 0.3400256000, 0.3738921000, 0.4425905000, 0.5856670000, 0.9355270000", \
+                        "0.3198367000, 0.3258696000, 0.3414250000, 0.3754900000, 0.4440605000, 0.5870420000, 0.9370527000", \
+                        "0.3263274000, 0.3323077000, 0.3479716000, 0.3820110000, 0.4506076000, 0.5938992000, 0.9429654000", \
+                        "0.3473613000, 0.3534007000, 0.3687377000, 0.4027371000, 0.4714506000, 0.6148227000, 0.9644536000", \
+                        "0.4054137000, 0.4113994000, 0.4269095000, 0.4609297000, 0.5294972000, 0.6732119000, 1.0226120000", \
+                        "0.5480916000, 0.5537593000, 0.5687782000, 0.6020763000, 0.6689054000, 0.8122237000, 1.1620221000", \
+                        "0.8216861000, 0.8287880000, 0.8471848000, 0.8854573000, 0.9566201000, 1.1002005000, 1.4535849000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0905773000, 0.0944103000, 0.1048869000, 0.1317671000, 0.2046523000, 0.4296258000, 1.1422416000", \
+                        "0.0954168000, 0.0992766000, 0.1097961000, 0.1366371000, 0.2095107000, 0.4334286000, 1.1516151000", \
+                        "0.1056008000, 0.1094434000, 0.1199465000, 0.1468976000, 0.2197758000, 0.4439794000, 1.1598087000", \
+                        "0.1257952000, 0.1296150000, 0.1400757000, 0.1669148000, 0.2396922000, 0.4637771000, 1.1785418000", \
+                        "0.1590401000, 0.1633071000, 0.1745545000, 0.2025172000, 0.2762048000, 0.5006867000, 1.2147990000", \
+                        "0.1971469000, 0.2023664000, 0.2157653000, 0.2465809000, 0.3219593000, 0.5467042000, 1.2625015000", \
+                        "0.2134756000, 0.2204485000, 0.2381255000, 0.2768072000, 0.3581948000, 0.5830144000, 1.2978342000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0531094000, 0.0562611000, 0.0649954000, 0.0848952000, 0.1346227000, 0.2673708000, 0.6730666000", \
+                        "0.0530745000, 0.0559685000, 0.0654039000, 0.0852200000, 0.1360530000, 0.2674019000, 0.6726900000", \
+                        "0.0529407000, 0.0562908000, 0.0645191000, 0.0863997000, 0.1336662000, 0.2673499000, 0.6735383000", \
+                        "0.0528058000, 0.0561378000, 0.0648991000, 0.0853310000, 0.1342562000, 0.2673582000, 0.6715014000", \
+                        "0.0532231000, 0.0564456000, 0.0653528000, 0.0848352000, 0.1342954000, 0.2673648000, 0.6730859000", \
+                        "0.0516001000, 0.0549920000, 0.0638440000, 0.0835072000, 0.1353756000, 0.2678434000, 0.6729035000", \
+                        "0.0717438000, 0.0752958000, 0.0840183000, 0.1026138000, 0.1466097000, 0.2733901000, 0.6766001000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0211031000, 0.0245017000, 0.0341983000, 0.0633566000, 0.1594554000, 0.4779632000, 1.4991096000", \
+                        "0.0211562000, 0.0244040000, 0.0340964000, 0.0633348000, 0.1594673000, 0.4784551000, 1.5030981000", \
+                        "0.0212118000, 0.0245297000, 0.0341119000, 0.0635042000, 0.1595106000, 0.4765380000, 1.4994489000", \
+                        "0.0214916000, 0.0246625000, 0.0343310000, 0.0634567000, 0.1594146000, 0.4763225000, 1.4946753000", \
+                        "0.0248459000, 0.0280111000, 0.0374507000, 0.0660677000, 0.1603468000, 0.4787347000, 1.5002236000", \
+                        "0.0325663000, 0.0361027000, 0.0454116000, 0.0726753000, 0.1634968000, 0.4758024000, 1.5030533000", \
+                        "0.0470376000, 0.0514442000, 0.0627320000, 0.0893341000, 0.1714039000, 0.4792640000, 1.4940441000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0789005000, 0.0817451000, 0.0893510000, 0.1078132000, 0.1527552000, 0.2680574000, 0.5930092000", \
+                        "0.0840946000, 0.0869825000, 0.0945828000, 0.1130521000, 0.1580298000, 0.2733402000, 0.5980763000", \
+                        "0.0971666000, 0.0999857000, 0.1075347000, 0.1260263000, 0.1710622000, 0.2864023000, 0.6112640000", \
+                        "0.1284865000, 0.1312572000, 0.1387862000, 0.1573635000, 0.2021853000, 0.3180416000, 0.6429481000", \
+                        "0.1924858000, 0.1957441000, 0.2043276000, 0.2242925000, 0.2709049000, 0.3869728000, 0.7120465000", \
+                        "0.2936751000, 0.2979090000, 0.3090940000, 0.3342609000, 0.3889724000, 0.5119332000, 0.8381048000", \
+                        "0.4559594000, 0.4614216000, 0.4757217000, 0.5081396000, 0.5780390000, 0.7197353000, 1.0533668000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1044842000, 0.1089872000, 0.1212026000, 0.1515942000, 0.2301370000, 0.4596608000, 1.1792396000", \
+                        "0.1084844000, 0.1129889000, 0.1252136000, 0.1555998000, 0.2341599000, 0.4636422000, 1.1832788000", \
+                        "0.1187219000, 0.1232298000, 0.1353961000, 0.1657889000, 0.2442271000, 0.4739840000, 1.1925391000", \
+                        "0.1440150000, 0.1484560000, 0.1603983000, 0.1903544000, 0.2683647000, 0.4972214000, 1.2150716000", \
+                        "0.1947724000, 0.1992239000, 0.2111245000, 0.2408741000, 0.3177965000, 0.5471286000, 1.2666046000", \
+                        "0.2618431000, 0.2669405000, 0.2797753000, 0.3097809000, 0.3873272000, 0.6159013000, 1.3365954000", \
+                        "0.3296643000, 0.3360105000, 0.3521311000, 0.3869819000, 0.4646220000, 0.6926928000, 1.4109145000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0160122000, 0.0181230000, 0.0238572000, 0.0406851000, 0.0881987000, 0.2190589000, 0.6411507000", \
+                        "0.0160580000, 0.0182130000, 0.0238817000, 0.0406819000, 0.0882130000, 0.2189914000, 0.6407411000", \
+                        "0.0161852000, 0.0181492000, 0.0238485000, 0.0405972000, 0.0881236000, 0.2190732000, 0.6404328000", \
+                        "0.0161843000, 0.0184080000, 0.0242025000, 0.0407383000, 0.0883777000, 0.2191868000, 0.6410263000", \
+                        "0.0213697000, 0.0234850000, 0.0293079000, 0.0448739000, 0.0907070000, 0.2198218000, 0.6405876000", \
+                        "0.0317823000, 0.0342991000, 0.0410509000, 0.0588048000, 0.1051357000, 0.2290610000, 0.6417514000", \
+                        "0.0477592000, 0.0508605000, 0.0593601000, 0.0818223000, 0.1348322000, 0.2568974000, 0.6472405000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0260295000, 0.0296796000, 0.0400730000, 0.0708164000, 0.1673993000, 0.4821205000, 1.5023667000", \
+                        "0.0260730000, 0.0296871000, 0.0400879000, 0.0708615000, 0.1674098000, 0.4823483000, 1.5017654000", \
+                        "0.0258600000, 0.0294670000, 0.0399848000, 0.0709076000, 0.1673557000, 0.4829581000, 1.4996497000", \
+                        "0.0253509000, 0.0289795000, 0.0395783000, 0.0700925000, 0.1665520000, 0.4822430000, 1.5026946000", \
+                        "0.0266446000, 0.0302270000, 0.0404956000, 0.0704212000, 0.1657986000, 0.4816628000, 1.5029037000", \
+                        "0.0331629000, 0.0364724000, 0.0453557000, 0.0739150000, 0.1677229000, 0.4811189000, 1.5032637000", \
+                        "0.0454190000, 0.0490748000, 0.0589211000, 0.0839539000, 0.1707953000, 0.4838620000, 1.4998842000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41ai_1 */
+
+/* removed sky130_fd_sc_hd__o41ai_2 */
+
+    cell ("sky130_fd_sc_hd__o41ai_4") {
+        leakage_power () {
+            value : 0.0056372000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0170682000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0115471000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0100833000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015152000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077004000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015183000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0099986000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077019000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015208000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077149000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015176000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0373424000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015071000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0098375000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015168000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0076912000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015126000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0081314000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015284000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0078103000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015149000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0083207000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015105000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0376818000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015146000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0577513000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015115000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0373388000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o41ai";
+        cell_leakage_power : 0.0097529590;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086400000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158266000, 0.0158195000, 0.0158030000, 0.0157976000, 0.0157852000, 0.0157569000, 0.0156916000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015751600, -0.015747800, -0.015739100, -0.015740600, -0.015744100, -0.015752300, -0.015770900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090710000;
+        }
+        pin ("A2") {
+            capacitance : 0.0084130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158447000, 0.0158326000, 0.0158048000, 0.0158012000, 0.0157932000, 0.0157747000, 0.0157320000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015802800, -0.015788600, -0.015755900, -0.015751400, -0.015741000, -0.015717000, -0.015661700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089800000;
+        }
+        pin ("A3") {
+            capacitance : 0.0083630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158071000, 0.0157967000, 0.0157727000, 0.0157667000, 0.0157528000, 0.0157210000, 0.0156478000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015781400, -0.015766400, -0.015731700, -0.015723100, -0.015703300, -0.015657800, -0.015552600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089770000;
+        }
+        pin ("A4") {
+            capacitance : 0.0084050000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158524000, 0.0158443000, 0.0158256000, 0.0158204000, 0.0158083000, 0.0157806000, 0.0157167000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015811800, -0.015801500, -0.015778000, -0.015772300, -0.015759400, -0.015729700, -0.015661000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090990000;
+        }
+        pin ("B1") {
+            capacitance : 0.0086500000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0184853000, 0.0184942000, 0.0185147000, 0.0185125000, 0.0185074000, 0.0184955000, 0.0184682000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002287600, -0.002356700, -0.002515900, -0.002417300, -0.002189900, -0.001665700, -0.000457400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088240000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3&!A4) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0335820000, 0.0324435000, 0.0295460000, 0.0224904000, 0.0052049000, -0.037058000, -0.140648200", \
+                        "0.0330832000, 0.0319430000, 0.0290717000, 0.0220002000, 0.0046753000, -0.037554100, -0.141212400", \
+                        "0.0325117000, 0.0313173000, 0.0285060000, 0.0214064000, 0.0040939000, -0.038163400, -0.141722400", \
+                        "0.0319710000, 0.0307878000, 0.0279193000, 0.0208373000, 0.0035437000, -0.038664400, -0.142242900", \
+                        "0.0314140000, 0.0302145000, 0.0274018000, 0.0205042000, 0.0033479000, -0.038836100, -0.142515800", \
+                        "0.0309295000, 0.0297733000, 0.0269733000, 0.0200110000, 0.0026365000, -0.039372800, -0.142856700", \
+                        "0.0334235000, 0.0323313000, 0.0294552000, 0.0222344000, 0.0049136000, -0.037904700, -0.142515000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0650367000, 0.0661575000, 0.0691201000, 0.0761574000, 0.0934381000, 0.1358574000, 0.2393663000", \
+                        "0.0644364000, 0.0655722000, 0.0685828000, 0.0756386000, 0.0929762000, 0.1354170000, 0.2388989000", \
+                        "0.0637364000, 0.0649043000, 0.0679057000, 0.0750446000, 0.0925173000, 0.1350812000, 0.2387580000", \
+                        "0.0631451000, 0.0642617000, 0.0673229000, 0.0744255000, 0.0919035000, 0.1346335000, 0.2383338000", \
+                        "0.0626666000, 0.0638319000, 0.0668468000, 0.0738342000, 0.0913011000, 0.1340561000, 0.2378856000", \
+                        "0.0623043000, 0.0634736000, 0.0664677000, 0.0735471000, 0.0909527000, 0.1335741000, 0.2373883000", \
+                        "0.0624146000, 0.0635159000, 0.0664394000, 0.0736058000, 0.0910954000, 0.1334086000, 0.2373376000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0271840000, 0.0260238000, 0.0231744000, 0.0160986000, -0.001123100, -0.043286400, -0.147317600", \
+                        "0.0270906000, 0.0259134000, 0.0230745000, 0.0160083000, -0.001237300, -0.043422900, -0.147418600", \
+                        "0.0267595000, 0.0256135000, 0.0227492000, 0.0157037000, -0.001503900, -0.043685300, -0.147618000", \
+                        "0.0261862000, 0.0250665000, 0.0222073000, 0.0152184000, -0.001970000, -0.044074200, -0.148026500", \
+                        "0.0252255000, 0.0241158000, 0.0212779000, 0.0144184000, -0.002495500, -0.044368000, -0.148312300", \
+                        "0.0254154000, 0.0242050000, 0.0214534000, 0.0144165000, -0.003078300, -0.045308100, -0.148707400", \
+                        "0.0270820000, 0.0258100000, 0.0229209000, 0.0159603000, -0.001635000, -0.044271100, -0.148968000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0558578000, 0.0570403000, 0.0599761000, 0.0670868000, 0.0844170000, 0.1268955000, 0.2304720000", \
+                        "0.0553655000, 0.0563907000, 0.0592948000, 0.0665066000, 0.0838841000, 0.1263247000, 0.2298458000", \
+                        "0.0545584000, 0.0557316000, 0.0585388000, 0.0657728000, 0.0833295000, 0.1259327000, 0.2296187000", \
+                        "0.0537219000, 0.0549009000, 0.0579246000, 0.0650859000, 0.0825951000, 0.1254036000, 0.2290576000", \
+                        "0.0531547000, 0.0544072000, 0.0572275000, 0.0645619000, 0.0818380000, 0.1245811000, 0.2285115000", \
+                        "0.0528763000, 0.0540616000, 0.0569890000, 0.0641297000, 0.0815995000, 0.1241540000, 0.2279855000", \
+                        "0.0530971000, 0.0541906000, 0.0571569000, 0.0643182000, 0.0818878000, 0.1243254000, 0.2281407000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0215374000, 0.0203738000, 0.0175434000, 0.0105294000, -0.006693300, -0.049160400, -0.153367900", \
+                        "0.0214295000, 0.0202891000, 0.0174680000, 0.0105139000, -0.006704200, -0.049129000, -0.153472600", \
+                        "0.0211045000, 0.0199938000, 0.0171904000, 0.0103153000, -0.006761500, -0.049056900, -0.153290800", \
+                        "0.0202962000, 0.0191982000, 0.0164434000, 0.0097559000, -0.007159400, -0.049150900, -0.153211300", \
+                        "0.0192500000, 0.0181344000, 0.0153643000, 0.0087022000, -0.008016700, -0.049627200, -0.153434900", \
+                        "0.0192099000, 0.0180596000, 0.0152112000, 0.0083484000, -0.008616200, -0.050846900, -0.154152800", \
+                        "0.0205341000, 0.0194331000, 0.0164513000, 0.0093695000, -0.008049600, -0.050408400, -0.154741900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0475283000, 0.0487276000, 0.0516955000, 0.0587332000, 0.0761266000, 0.1184928000, 0.2219116000", \
+                        "0.0468133000, 0.0480872000, 0.0510015000, 0.0580845000, 0.0755721000, 0.1180353000, 0.2216737000", \
+                        "0.0459089000, 0.0472069000, 0.0501152000, 0.0572717000, 0.0749028000, 0.1175727000, 0.2212160000", \
+                        "0.0450701000, 0.0462602000, 0.0492323000, 0.0565835000, 0.0740867000, 0.1169028000, 0.2208559000", \
+                        "0.0445660000, 0.0457841000, 0.0486648000, 0.0557806000, 0.0733014000, 0.1161179000, 0.2201500000", \
+                        "0.0444623000, 0.0456160000, 0.0485402000, 0.0557393000, 0.0732287000, 0.1156640000, 0.2194421000", \
+                        "0.0451312000, 0.0463307000, 0.0491173000, 0.0561444000, 0.0734796000, 0.1161463000, 0.2198492000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0142543000, 0.0131060000, 0.0103101000, 0.0032949000, -0.014005400, -0.056534900, -0.160995800", \
+                        "0.0136497000, 0.0125911000, 0.0098835000, 0.0030893000, -0.013939200, -0.056230400, -0.160619300", \
+                        "0.0126907000, 0.0116535000, 0.0090657000, 0.0025350000, -0.014123900, -0.056127000, -0.160286200", \
+                        "0.0116297000, 0.0105943000, 0.0080611000, 0.0016132000, -0.014733800, -0.056299500, -0.160115000", \
+                        "0.0112440000, 0.0102436000, 0.0074503000, 0.0006120000, -0.015707600, -0.056961400, -0.160370600", \
+                        "0.0112679000, 0.0101296000, 0.0073202000, 0.0004801000, -0.016225400, -0.058225100, -0.161189000", \
+                        "0.0136027000, 0.0123589000, 0.0094226000, 0.0020481000, -0.015491300, -0.057858100, -0.161795400");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0382044000, 0.0393983000, 0.0424063000, 0.0495168000, 0.0668340000, 0.1092937000, 0.2129387000", \
+                        "0.0371609000, 0.0383179000, 0.0413915000, 0.0485635000, 0.0661583000, 0.1088135000, 0.2125104000", \
+                        "0.0357904000, 0.0370750000, 0.0400302000, 0.0476132000, 0.0651539000, 0.1081262000, 0.2120318000", \
+                        "0.0349840000, 0.0361442000, 0.0389856000, 0.0465519000, 0.0642280000, 0.1074463000, 0.2114504000", \
+                        "0.0347589000, 0.0359183000, 0.0388442000, 0.0459874000, 0.0632012000, 0.1059796000, 0.2105561000", \
+                        "0.0367211000, 0.0377362000, 0.0405825000, 0.0477389000, 0.0652333000, 0.1065435000, 0.2103172000", \
+                        "0.0412427000, 0.0425878000, 0.0459413000, 0.0528042000, 0.0691260000, 0.1099306000, 0.2121374000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0194462000, 0.0183427000, 0.0156466000, 0.0089152000, -0.008061700, -0.050084500, -0.153642700", \
+                        "0.0189340000, 0.0178404000, 0.0151883000, 0.0084428000, -0.008421900, -0.050227500, -0.153604900", \
+                        "0.0185334000, 0.0174224000, 0.0146848000, 0.0079166000, -0.008874100, -0.050544700, -0.153712300", \
+                        "0.0178789000, 0.0167820000, 0.0140818000, 0.0072318000, -0.009686200, -0.051367100, -0.154172700", \
+                        "0.0180242000, 0.0168514000, 0.0139951000, 0.0070528000, -0.009894500, -0.052037000, -0.155008800", \
+                        "0.0186839000, 0.0174866000, 0.0145726000, 0.0074201000, -0.009932200, -0.051979700, -0.155753000", \
+                        "0.0240363000, 0.0227711000, 0.0196540000, 0.0122375000, -0.005496300, -0.048353000, -0.155740100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0367253000, 0.0381901000, 0.0416180000, 0.0495376000, 0.0675671000, 0.1104151000, 0.2144057000", \
+                        "0.0359024000, 0.0372744000, 0.0406761000, 0.0487778000, 0.0670774000, 0.1100779000, 0.2145769000", \
+                        "0.0351943000, 0.0365940000, 0.0398264000, 0.0475050000, 0.0659873000, 0.1096563000, 0.2136787000", \
+                        "0.0350505000, 0.0362855000, 0.0392302000, 0.0468243000, 0.0647865000, 0.1082682000, 0.2130774000", \
+                        "0.0357339000, 0.0368471000, 0.0396455000, 0.0466875000, 0.0641756000, 0.1072048000, 0.2119991000", \
+                        "0.0386914000, 0.0398449000, 0.0424580000, 0.0492047000, 0.0659899000, 0.1086017000, 0.2118225000", \
+                        "0.0460743000, 0.0470550000, 0.0503741000, 0.0560320000, 0.0710716000, 0.1124830000, 0.2167992000");
+                }
+            }
+            max_capacitance : 0.1089580000;
+            max_transition : 1.4948920000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0490083000, 0.0511526000, 0.0560846000, 0.0676698000, 0.0937063000, 0.1519649000, 0.2835788000", \
+                        "0.0536368000, 0.0557651000, 0.0606135000, 0.0721033000, 0.0980456000, 0.1561501000, 0.2880506000", \
+                        "0.0630271000, 0.0649874000, 0.0699070000, 0.0812085000, 0.1069333000, 0.1648506000, 0.2965582000", \
+                        "0.0800484000, 0.0822212000, 0.0872914000, 0.0985812000, 0.1240464000, 0.1814922000, 0.3130041000", \
+                        "0.1069422000, 0.1094968000, 0.1153147000, 0.1289086000, 0.1570291000, 0.2169911000, 0.3487538000", \
+                        "0.1397497000, 0.1431039000, 0.1511453000, 0.1700394000, 0.2073803000, 0.2811576000, 0.4267670000", \
+                        "0.1533173000, 0.1582672000, 0.1708541000, 0.1974145000, 0.2545746000, 0.3614823000, 0.5563700000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2869563000, 0.2928388000, 0.3113121000, 0.3515894000, 0.4487748000, 0.6829688000, 1.2534348000", \
+                        "0.2900632000, 0.2960218000, 0.3146005000, 0.3549624000, 0.4525935000, 0.6868908000, 1.2574926000", \
+                        "0.3008525000, 0.3065675000, 0.3251295000, 0.3659378000, 0.4632137000, 0.6987697000, 1.2700392000", \
+                        "0.3260999000, 0.3319910000, 0.3505796000, 0.3911735000, 0.4894476000, 0.7250353000, 1.2970159000", \
+                        "0.3799177000, 0.3878055000, 0.4044325000, 0.4442618000, 0.5420152000, 0.7780919000, 1.3514118000", \
+                        "0.4851879000, 0.4921095000, 0.5097973000, 0.5533713000, 0.6510785000, 0.8862163000, 1.4585120000", \
+                        "0.6606019000, 0.6672498000, 0.6900283000, 0.7381128000, 0.8518970000, 1.1052359000, 1.6832666000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0482631000, 0.0505753000, 0.0562608000, 0.0699239000, 0.1016926000, 0.1745265000, 0.3476280000", \
+                        "0.0476068000, 0.0499514000, 0.0556194000, 0.0692252000, 0.1010610000, 0.1741124000, 0.3472198000", \
+                        "0.0469605000, 0.0491572000, 0.0549130000, 0.0684395000, 0.1004222000, 0.1734823000, 0.3469059000", \
+                        "0.0509562000, 0.0529424000, 0.0581727000, 0.0703212000, 0.1008020000, 0.1729656000, 0.3470362000", \
+                        "0.0662301000, 0.0680428000, 0.0728795000, 0.0847975000, 0.1129618000, 0.1791733000, 0.3477794000", \
+                        "0.1026806000, 0.1048377000, 0.1096522000, 0.1222225000, 0.1510881000, 0.2164035000, 0.3718094000", \
+                        "0.1698206000, 0.1735158000, 0.1816030000, 0.1968595000, 0.2352873000, 0.3116289000, 0.4706067000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1864820000, 0.1947768000, 0.2170005000, 0.2692028000, 0.3978421000, 0.7109061000, 1.4776961000", \
+                        "0.1864812000, 0.1947891000, 0.2170494000, 0.2692639000, 0.3976831000, 0.7106363000, 1.4737536000", \
+                        "0.1864935000, 0.1950739000, 0.2170403000, 0.2692662000, 0.3979665000, 0.7109464000, 1.4744587000", \
+                        "0.1865171000, 0.1950474000, 0.2171293000, 0.2692656000, 0.3974930000, 0.7106837000, 1.4737628000", \
+                        "0.1868338000, 0.1959517000, 0.2171957000, 0.2698220000, 0.3981984000, 0.7112498000, 1.4739823000", \
+                        "0.2030238000, 0.2116545000, 0.2311655000, 0.2807555000, 0.4049114000, 0.7123855000, 1.4741923000", \
+                        "0.2479497000, 0.2564829000, 0.2787927000, 0.3309706000, 0.4569943000, 0.7518285000, 1.4873726000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0510660000, 0.0529704000, 0.0575844000, 0.0681593000, 0.0921550000, 0.1461061000, 0.2722466000", \
+                        "0.0557837000, 0.0576590000, 0.0622711000, 0.0728504000, 0.0967531000, 0.1509027000, 0.2771068000", \
+                        "0.0654992000, 0.0674587000, 0.0719601000, 0.0824859000, 0.1063885000, 0.1605037000, 0.2865176000", \
+                        "0.0828810000, 0.0849953000, 0.0898961000, 0.1003802000, 0.1241868000, 0.1782309000, 0.3045288000", \
+                        "0.1096114000, 0.1118590000, 0.1174996000, 0.1307000000, 0.1580190000, 0.2156865000, 0.3429299000", \
+                        "0.1374388000, 0.1408656000, 0.1493646000, 0.1684950000, 0.2068076000, 0.2808742000, 0.4260592000", \
+                        "0.1399760000, 0.1446460000, 0.1577857000, 0.1875136000, 0.2461262000, 0.3596246000, 0.5601840000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2715610000, 0.2786383000, 0.2953486000, 0.3345014000, 0.4320314000, 0.6663136000, 1.2370648000", \
+                        "0.2730878000, 0.2799412000, 0.2969436000, 0.3361289000, 0.4339776000, 0.6690949000, 1.2399712000", \
+                        "0.2811841000, 0.2884343000, 0.3054336000, 0.3451345000, 0.4435763000, 0.6794290000, 1.2508009000", \
+                        "0.3048165000, 0.3100361000, 0.3285525000, 0.3697202000, 0.4679518000, 0.7041988000, 1.2761617000", \
+                        "0.3579388000, 0.3648969000, 0.3808651000, 0.4221339000, 0.5202991000, 0.7559009000, 1.3289190000", \
+                        "0.4640342000, 0.4713980000, 0.4904427000, 0.5333780000, 0.6336264000, 0.8691236000, 1.4418947000", \
+                        "0.6490644000, 0.6581979000, 0.6809544000, 0.7354345000, 0.8538060000, 1.1184510000, 1.6975281000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0424779000, 0.0444354000, 0.0495138000, 0.0615777000, 0.0903970000, 0.1595512000, 0.3297732000", \
+                        "0.0423466000, 0.0443054000, 0.0493844000, 0.0614210000, 0.0903383000, 0.1597429000, 0.3297302000", \
+                        "0.0419008000, 0.0439237000, 0.0488615000, 0.0610423000, 0.0900580000, 0.1596109000, 0.3297103000", \
+                        "0.0457983000, 0.0475899000, 0.0521186000, 0.0630011000, 0.0908105000, 0.1591785000, 0.3296706000", \
+                        "0.0599945000, 0.0618172000, 0.0664700000, 0.0772544000, 0.1039106000, 0.1669812000, 0.3313562000", \
+                        "0.0944545000, 0.0967843000, 0.1021694000, 0.1152098000, 0.1442397000, 0.2072179000, 0.3589196000", \
+                        "0.1613195000, 0.1644657000, 0.1722999000, 0.1913923000, 0.2303499000, 0.3074146000, 0.4645145000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1861025000, 0.1949636000, 0.2170582000, 0.2697333000, 0.3978958000, 0.7109691000, 1.4744843000", \
+                        "0.1864487000, 0.1951398000, 0.2162603000, 0.2695804000, 0.3979826000, 0.7109628000, 1.4744178000", \
+                        "0.1864236000, 0.1955827000, 0.2166183000, 0.2693269000, 0.3979531000, 0.7108997000, 1.4743297000", \
+                        "0.1865736000, 0.1950686000, 0.2170846000, 0.2690886000, 0.3978017000, 0.7108143000, 1.4733063000", \
+                        "0.1877872000, 0.1962209000, 0.2175037000, 0.2696883000, 0.3977748000, 0.7104258000, 1.4739217000", \
+                        "0.2134752000, 0.2217074000, 0.2418359000, 0.2898713000, 0.4108303000, 0.7137280000, 1.4786659000", \
+                        "0.2795046000, 0.2882462000, 0.3108082000, 0.3612736000, 0.4833170000, 0.7670845000, 1.4922815000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0483590000, 0.0499543000, 0.0536515000, 0.0625488000, 0.0829409000, 0.1316637000, 0.2485757000", \
+                        "0.0530040000, 0.0546411000, 0.0583621000, 0.0672699000, 0.0877483000, 0.1364259000, 0.2534928000", \
+                        "0.0627014000, 0.0643679000, 0.0680854000, 0.0770266000, 0.0977942000, 0.1465093000, 0.2634531000", \
+                        "0.0797623000, 0.0813707000, 0.0855734000, 0.0953700000, 0.1168744000, 0.1658286000, 0.2831141000", \
+                        "0.1027560000, 0.1050549000, 0.1103894000, 0.1237321000, 0.1501636000, 0.2054995000, 0.3250085000", \
+                        "0.1202724000, 0.1246656000, 0.1332449000, 0.1526536000, 0.1933606000, 0.2707146000, 0.4127784000", \
+                        "0.1041635000, 0.1102584000, 0.1250335000, 0.1563900000, 0.2209331000, 0.3416762000, 0.5485217000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2343357000, 0.2394189000, 0.2580980000, 0.2984338000, 0.3957415000, 0.6301579000, 1.2005896000", \
+                        "0.2350792000, 0.2409597000, 0.2594951000, 0.3003714000, 0.3979097000, 0.6326941000, 1.2036107000", \
+                        "0.2424012000, 0.2479126000, 0.2664497000, 0.3080854000, 0.4063223000, 0.6415273000, 1.2131678000", \
+                        "0.2656735000, 0.2729589000, 0.2893603000, 0.3305916000, 0.4284312000, 0.6650271000, 1.2379299000", \
+                        "0.3213474000, 0.3276187000, 0.3452753000, 0.3854378000, 0.4837002000, 0.7201482000, 1.2931590000", \
+                        "0.4400340000, 0.4480021000, 0.4675478000, 0.5116249000, 0.6147227000, 0.8505037000, 1.4237053000", \
+                        "0.6473486000, 0.6582161000, 0.6845082000, 0.7436492000, 0.8734888000, 1.1499590000, 1.7296478000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0300066000, 0.0317989000, 0.0361961000, 0.0469716000, 0.0735679000, 0.1390243000, 0.2998936000", \
+                        "0.0300315000, 0.0317803000, 0.0361930000, 0.0469604000, 0.0736788000, 0.1391957000, 0.3000570000", \
+                        "0.0299464000, 0.0317551000, 0.0361671000, 0.0470304000, 0.0736854000, 0.1391821000, 0.3001156000", \
+                        "0.0347141000, 0.0363265000, 0.0404459000, 0.0502485000, 0.0754684000, 0.1392181000, 0.2999880000", \
+                        "0.0499704000, 0.0518859000, 0.0562984000, 0.0667690000, 0.0914832000, 0.1495834000, 0.3027523000", \
+                        "0.0845448000, 0.0871555000, 0.0927802000, 0.1061181000, 0.1347457000, 0.1962902000, 0.3360435000", \
+                        "0.1508965000, 0.1545896000, 0.1631725000, 0.1824881000, 0.2237005000, 0.3012985000, 0.4523912000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1860858000, 0.1949031000, 0.2168151000, 0.2692054000, 0.3979022000, 0.7107781000, 1.4773428000", \
+                        "0.1859932000, 0.1951935000, 0.2169234000, 0.2690108000, 0.3977700000, 0.7108347000, 1.4736845000", \
+                        "0.1859763000, 0.1951674000, 0.2168084000, 0.2689977000, 0.3977796000, 0.7110261000, 1.4745091000", \
+                        "0.1863157000, 0.1950309000, 0.2168870000, 0.2699254000, 0.3979467000, 0.7110610000, 1.4743463000", \
+                        "0.1887261000, 0.1977632000, 0.2179084000, 0.2702566000, 0.3986328000, 0.7106660000, 1.4739298000", \
+                        "0.2254712000, 0.2334942000, 0.2519560000, 0.2984803000, 0.4152456000, 0.7167393000, 1.4743214000", \
+                        "0.3127773000, 0.3222217000, 0.3437242000, 0.3979010000, 0.5160199000, 0.7886937000, 1.4907872000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0354414000, 0.0367848000, 0.0401123000, 0.0478034000, 0.0659550000, 0.1097377000, 0.2175224000", \
+                        "0.0396798000, 0.0410764000, 0.0445390000, 0.0523398000, 0.0708026000, 0.1153219000, 0.2226897000", \
+                        "0.0489392000, 0.0504667000, 0.0540858000, 0.0622526000, 0.0808556000, 0.1252091000, 0.2327279000", \
+                        "0.0622015000, 0.0643210000, 0.0689616000, 0.0789974000, 0.1002337000, 0.1455129000, 0.2536423000", \
+                        "0.0750949000, 0.0783047000, 0.0857154000, 0.1006273000, 0.1302369000, 0.1856950000, 0.2976527000", \
+                        "0.0758268000, 0.0807743000, 0.0918401000, 0.1161558000, 0.1630993000, 0.2456146000, 0.3912635000", \
+                        "0.0283805000, 0.0367053000, 0.0552368000, 0.0947133000, 0.1716271000, 0.3026897000, 0.5180431000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1605711000, 0.1678798000, 0.1855995000, 0.2251851000, 0.3234600000, 0.5578819000, 1.1293945000", \
+                        "0.1609387000, 0.1673463000, 0.1851659000, 0.2254542000, 0.3242768000, 0.5596480000, 1.1311697000", \
+                        "0.1651043000, 0.1727499000, 0.1893662000, 0.2315074000, 0.3295775000, 0.5678807000, 1.1405776000", \
+                        "0.1874608000, 0.1942411000, 0.2103170000, 0.2523436000, 0.3515758000, 0.5884208000, 1.1630095000", \
+                        "0.2499389000, 0.2562588000, 0.2719996000, 0.3114279000, 0.4065110000, 0.6435445000, 1.2174921000", \
+                        "0.3869520000, 0.3937796000, 0.4126456000, 0.4570042000, 0.5541857000, 0.7845396000, 1.3546475000", \
+                        "0.6048227000, 0.6139396000, 0.6478315000, 0.7109457000, 0.8459337000, 1.1170691000, 1.6812499000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0166804000, 0.0183641000, 0.0224932000, 0.0325987000, 0.0571481000, 0.1175230000, 0.2659324000", \
+                        "0.0168083000, 0.0184707000, 0.0225144000, 0.0325155000, 0.0573301000, 0.1183346000, 0.2667095000", \
+                        "0.0177456000, 0.0192321000, 0.0230706000, 0.0327956000, 0.0573242000, 0.1177650000, 0.2667257000", \
+                        "0.0249206000, 0.0264810000, 0.0302396000, 0.0392752000, 0.0608538000, 0.1184647000, 0.2662661000", \
+                        "0.0423043000, 0.0439598000, 0.0480689000, 0.0580795000, 0.0808581000, 0.1337222000, 0.2696960000", \
+                        "0.0761578000, 0.0787973000, 0.0848798000, 0.0989026000, 0.1273913000, 0.1843474000, 0.3151270000", \
+                        "0.1446580000, 0.1486109000, 0.1567620000, 0.1760168000, 0.2173615000, 0.2921123000, 0.4351862000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1839696000, 0.1930564000, 0.2147728000, 0.2684286000, 0.3975610000, 0.7110428000, 1.4758724000", \
+                        "0.1830854000, 0.1923783000, 0.2141102000, 0.2681881000, 0.3976263000, 0.7111610000, 1.4740719000", \
+                        "0.1813644000, 0.1908562000, 0.2132685000, 0.2674165000, 0.3979352000, 0.7108771000, 1.4792979000", \
+                        "0.1771883000, 0.1862466000, 0.2098422000, 0.2650708000, 0.3968635000, 0.7112223000, 1.4782975000", \
+                        "0.1813719000, 0.1893364000, 0.2108003000, 0.2615150000, 0.3921393000, 0.7131450000, 1.4748868000", \
+                        "0.2241334000, 0.2332119000, 0.2573929000, 0.3054527000, 0.4177911000, 0.7121687000, 1.4731767000", \
+                        "0.3060802000, 0.3191779000, 0.3446383000, 0.4099493000, 0.5434029000, 0.8115849000, 1.4948923000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0370563000, 0.0391612000, 0.0442085000, 0.0559199000, 0.0819657000, 0.1399856000, 0.2717058000", \
+                        "0.0408029000, 0.0429254000, 0.0480197000, 0.0596914000, 0.0858164000, 0.1440249000, 0.2757860000", \
+                        "0.0519573000, 0.0539176000, 0.0586469000, 0.0698448000, 0.0957896000, 0.1540706000, 0.2860546000", \
+                        "0.0783126000, 0.0805202000, 0.0862174000, 0.0971487000, 0.1208932000, 0.1775680000, 0.3094333000", \
+                        "0.1158211000, 0.1189690000, 0.1262199000, 0.1420656000, 0.1743723000, 0.2352782000, 0.3648649000", \
+                        "0.1588487000, 0.1632659000, 0.1736054000, 0.1965714000, 0.2439495000, 0.3344858000, 0.4944769000", \
+                        "0.1919241000, 0.1982584000, 0.2131381000, 0.2466874000, 0.3161563000, 0.4495850000, 0.6927416000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0235669000, 0.0252406000, 0.0290999000, 0.0380026000, 0.0584247000, 0.1067409000, 0.2244512000", \
+                        "0.0287838000, 0.0303679000, 0.0341317000, 0.0431399000, 0.0637290000, 0.1122719000, 0.2299789000", \
+                        "0.0417645000, 0.0436304000, 0.0473721000, 0.0559495000, 0.0764071000, 0.1252459000, 0.2430211000", \
+                        "0.0625638000, 0.0653669000, 0.0717599000, 0.0845222000, 0.1074660000, 0.1565159000, 0.2741808000", \
+                        "0.0954236000, 0.0998000000, 0.1097624000, 0.1301592000, 0.1676247000, 0.2297207000, 0.3475898000", \
+                        "0.1492218000, 0.1554244000, 0.1707457000, 0.2027610000, 0.2620813000, 0.3611996000, 0.5153308000", \
+                        "0.2442917000, 0.2541989000, 0.2765189000, 0.3234362000, 0.4138644000, 0.5705348000, 0.8202494000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0458958000, 0.0481059000, 0.0537666000, 0.0673466000, 0.0991105000, 0.1726593000, 0.3468568000", \
+                        "0.0454096000, 0.0478528000, 0.0536571000, 0.0672718000, 0.0991269000, 0.1726387000, 0.3465221000", \
+                        "0.0448701000, 0.0468657000, 0.0523964000, 0.0657934000, 0.0985888000, 0.1724802000, 0.3464710000", \
+                        "0.0555826000, 0.0571310000, 0.0615009000, 0.0720981000, 0.0998507000, 0.1711039000, 0.3461871000", \
+                        "0.0762890000, 0.0791476000, 0.0860029000, 0.0995550000, 0.1301161000, 0.1863562000, 0.3463630000", \
+                        "0.1183389000, 0.1223062000, 0.1313868000, 0.1514707000, 0.1908715000, 0.2599670000, 0.4004749000", \
+                        "0.1870598000, 0.1931494000, 0.2069710000, 0.2363024000, 0.2937759000, 0.3989376000, 0.5750747000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0232291000, 0.0251508000, 0.0302795000, 0.0433679000, 0.0741996000, 0.1475953000, 0.3223308000", \
+                        "0.0231154000, 0.0251103000, 0.0306438000, 0.0433114000, 0.0741506000, 0.1476254000, 0.3222497000", \
+                        "0.0275460000, 0.0291921000, 0.0330501000, 0.0439991000, 0.0742823000, 0.1474957000, 0.3221733000", \
+                        "0.0480720000, 0.0492644000, 0.0519803000, 0.0578096000, 0.0810821000, 0.1479741000, 0.3221148000", \
+                        "0.0869474000, 0.0879324000, 0.0911140000, 0.0994764000, 0.1192203000, 0.1691198000, 0.3238810000", \
+                        "0.1583393000, 0.1591714000, 0.1630235000, 0.1737499000, 0.2002360000, 0.2566353000, 0.3774692000", \
+                        "0.2937083000, 0.2941882000, 0.2968440000, 0.3081085000, 0.3441219000, 0.4265306000, 0.5725317000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2_0 */
+
+/* removed sky130_fd_sc_hd__or2_1 */
+
+/* removed sky130_fd_sc_hd__or2_2 */
+
+    cell ("sky130_fd_sc_hd__or2_4") {
+        leakage_power () {
+            value : 0.0039006000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0051014000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0019054000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0024409000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__or2";
+        cell_leakage_power : 0.0033370700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0038017000, 0.0037975000, 0.0037879000, 0.0037856000, 0.0037805000, 0.0037685000, 0.0037411000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003393700, -0.003474400, -0.003660400, -0.003663000, -0.003669000, -0.003682800, -0.003714600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025450000;
+        }
+        pin ("B") {
+            capacitance : 0.0023670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025058000, 0.0025020000, 0.0024934000, 0.0025005000, 0.0025169000, 0.0025548000, 0.0026421000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001705600, -0.001714800, -0.001735800, -0.001737300, -0.001740800, -0.001748800, -0.001767400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025440000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0232723000, 0.0214184000, 0.0162580000, 0.0003805000, -0.052333100, -0.229904000, -0.800944800", \
+                        "0.0230936000, 0.0212606000, 0.0157738000, 0.0002076000, -0.052466300, -0.230045500, -0.801080000", \
+                        "0.0228989000, 0.0210645000, 0.0157223000, 0.0002486000, -0.052504200, -0.230369400, -0.801237100", \
+                        "0.0226394000, 0.0209322000, 0.0153566000, -0.000267100, -0.052968100, -0.230539700, -0.801379000", \
+                        "0.0224637000, 0.0206198000, 0.0151345000, -0.000536500, -0.053238000, -0.230811600, -0.801492300", \
+                        "0.0227526000, 0.0210745000, 0.0154117000, -0.000551000, -0.053486100, -0.231058100, -0.801681200", \
+                        "0.0303365000, 0.0282261000, 0.0221180000, 0.0037531000, -0.051704500, -0.230395000, -0.801104500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0286235000, 0.0305126000, 0.0363910000, 0.0545969000, 0.1112136000, 0.2889002000, 0.8544917000", \
+                        "0.0285417000, 0.0304530000, 0.0363906000, 0.0546310000, 0.1111845000, 0.2894908000, 0.8539360000", \
+                        "0.0284986000, 0.0304123000, 0.0363358000, 0.0546450000, 0.1112311000, 0.2894023000, 0.8537122000", \
+                        "0.0284570000, 0.0302706000, 0.0361273000, 0.0543756000, 0.1109699000, 0.2891574000, 0.8533447000", \
+                        "0.0284386000, 0.0302695000, 0.0359359000, 0.0538503000, 0.1104723000, 0.2890010000, 0.8528260000", \
+                        "0.0291942000, 0.0308635000, 0.0364804000, 0.0543278000, 0.1101187000, 0.2878113000, 0.8524655000", \
+                        "0.0309683000, 0.0326149000, 0.0381295000, 0.0555754000, 0.1117895000, 0.2895769000, 0.8524368000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0221650000, 0.0203423000, 0.0148669000, -0.000693100, -0.053153000, -0.230833200, -0.801648600", \
+                        "0.0220521000, 0.0202065000, 0.0150642000, -0.000604100, -0.053263000, -0.231078000, -0.801725500", \
+                        "0.0219977000, 0.0201246000, 0.0146369000, -0.001035500, -0.053663400, -0.231161600, -0.802038400", \
+                        "0.0216519000, 0.0198016000, 0.0143119000, -0.001174700, -0.053884000, -0.231488500, -0.802248100", \
+                        "0.0216355000, 0.0197584000, 0.0142501000, -0.001457200, -0.053992700, -0.231630500, -0.802121300", \
+                        "0.0223833000, 0.0204426000, 0.0147362000, -0.001384100, -0.053886300, -0.231392700, -0.801809900", \
+                        "0.0324177000, 0.0302202000, 0.0241752000, 0.0056002000, -0.052254300, -0.230513900, -0.800898400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0255991000, 0.0274595000, 0.0334881000, 0.0522884000, 0.1097284000, 0.2871626000, 0.8500438000", \
+                        "0.0256228000, 0.0275842000, 0.0335285000, 0.0523005000, 0.1097024000, 0.2887230000, 0.8551200000", \
+                        "0.0254512000, 0.0274014000, 0.0334704000, 0.0521393000, 0.1095297000, 0.2885820000, 0.8503954000", \
+                        "0.0252886000, 0.0271336000, 0.0331422000, 0.0515506000, 0.1088528000, 0.2865570000, 0.8506712000", \
+                        "0.0253141000, 0.0271758000, 0.0330240000, 0.0511925000, 0.1077119000, 0.2862281000, 0.8491875000", \
+                        "0.0262430000, 0.0280133000, 0.0337712000, 0.0515624000, 0.1072580000, 0.2858998000, 0.8528188000", \
+                        "0.0278329000, 0.0295232000, 0.0350044000, 0.0524900000, 0.1091695000, 0.2869061000, 0.8501583000");
+                }
+            }
+            max_capacitance : 0.5145360000;
+            max_transition : 1.5082430000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.2137088000, 0.2183824000, 0.2302343000, 0.2566178000, 0.3098437000, 0.4239624000, 0.7291177000", \
+                        "0.2187554000, 0.2234216000, 0.2352952000, 0.2616577000, 0.3148669000, 0.4290656000, 0.7342330000", \
+                        "0.2309985000, 0.2356463000, 0.2474871000, 0.2738701000, 0.3268787000, 0.4414097000, 0.7460039000", \
+                        "0.2581295000, 0.2627427000, 0.2745648000, 0.3008716000, 0.3541238000, 0.4684144000, 0.7734041000", \
+                        "0.3211711000, 0.3258127000, 0.3376285000, 0.3638801000, 0.4172704000, 0.5319142000, 0.8371943000", \
+                        "0.4551697000, 0.4605309000, 0.4731016000, 0.5009789000, 0.5561339000, 0.6720682000, 0.9769994000", \
+                        "0.6913723000, 0.6969356000, 0.7119864000, 0.7445384000, 0.8077465000, 0.9333941000, 1.2433057000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0807491000, 0.0842950000, 0.0939403000, 0.1194046000, 0.1919323000, 0.4165965000, 1.1299173000", \
+                        "0.0853266000, 0.0888770000, 0.0985615000, 0.1240040000, 0.1964740000, 0.4213961000, 1.1349703000", \
+                        "0.0961611000, 0.0997108000, 0.1093915000, 0.1348787000, 0.2074188000, 0.4330450000, 1.1449347000", \
+                        "0.1220859000, 0.1255382000, 0.1351539000, 0.1604682000, 0.2330520000, 0.4588213000, 1.1705484000", \
+                        "0.1655284000, 0.1695724000, 0.1797901000, 0.2061790000, 0.2787501000, 0.5037983000, 1.2161099000", \
+                        "0.2169357000, 0.2217929000, 0.2351352000, 0.2643510000, 0.3377413000, 0.5629877000, 1.2765985000", \
+                        "0.2575608000, 0.2645745000, 0.2821473000, 0.3190986000, 0.3965888000, 0.6204084000, 1.3318943000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0383121000, 0.0406893000, 0.0481218000, 0.0652495000, 0.1061489000, 0.2230022000, 0.6095994000", \
+                        "0.0383303000, 0.0407013000, 0.0476939000, 0.0652069000, 0.1060085000, 0.2230218000, 0.6096217000", \
+                        "0.0383184000, 0.0406621000, 0.0477649000, 0.0645976000, 0.1056722000, 0.2222116000, 0.6105005000", \
+                        "0.0381354000, 0.0410542000, 0.0481913000, 0.0652971000, 0.1059275000, 0.2224328000, 0.6104021000", \
+                        "0.0383090000, 0.0406336000, 0.0481101000, 0.0645462000, 0.1059201000, 0.2223047000, 0.6105104000", \
+                        "0.0441205000, 0.0463884000, 0.0541662000, 0.0701226000, 0.1100020000, 0.2239820000, 0.6115812000", \
+                        "0.0597725000, 0.0625045000, 0.0702132000, 0.0884335000, 0.1302642000, 0.2414170000, 0.6149622000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0205169000, 0.0236607000, 0.0331820000, 0.0631427000, 0.1625263000, 0.4828211000, 1.5039689000", \
+                        "0.0204463000, 0.0235243000, 0.0331120000, 0.0631037000, 0.1621676000, 0.4837327000, 1.5046411000", \
+                        "0.0205054000, 0.0235554000, 0.0331820000, 0.0631510000, 0.1620977000, 0.4840823000, 1.5018004000", \
+                        "0.0206719000, 0.0238621000, 0.0333611000, 0.0631143000, 0.1625672000, 0.4839442000, 1.5014426000", \
+                        "0.0255581000, 0.0284943000, 0.0377331000, 0.0658835000, 0.1628919000, 0.4828858000, 1.4991135000", \
+                        "0.0356656000, 0.0390992000, 0.0472201000, 0.0730005000, 0.1655779000, 0.4825906000, 1.4997319000", \
+                        "0.0514482000, 0.0554553000, 0.0660413000, 0.0909883000, 0.1732682000, 0.4863337000, 1.4997638000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.1976790000, 0.2022558000, 0.2141532000, 0.2405277000, 0.2936560000, 0.4081665000, 0.7131666000", \
+                        "0.2011334000, 0.2058005000, 0.2176500000, 0.2440178000, 0.2970023000, 0.4117454000, 0.7164324000", \
+                        "0.2109617000, 0.2155853000, 0.2274386000, 0.2537543000, 0.3071902000, 0.4214349000, 0.7265234000", \
+                        "0.2377717000, 0.2424574000, 0.2543642000, 0.2805555000, 0.3333799000, 0.4480584000, 0.7529937000", \
+                        "0.3040399000, 0.3086079000, 0.3203694000, 0.3466420000, 0.3993192000, 0.5143097000, 0.8194251000", \
+                        "0.4448855000, 0.4499710000, 0.4630451000, 0.4913479000, 0.5472223000, 0.6634500000, 0.9690264000", \
+                        "0.6713215000, 0.6775635000, 0.6937186000, 0.7296629000, 0.7964133000, 0.9211518000, 1.2306777000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0766843000, 0.0801989000, 0.0899554000, 0.1158205000, 0.1890230000, 0.4144321000, 1.1272705000", \
+                        "0.0815329000, 0.0851001000, 0.0947761000, 0.1206416000, 0.1939330000, 0.4198064000, 1.1349165000", \
+                        "0.0926256000, 0.0961906000, 0.1059665000, 0.1317210000, 0.2047044000, 0.4308927000, 1.1442847000", \
+                        "0.1185782000, 0.1221283000, 0.1318177000, 0.1573357000, 0.2301930000, 0.4560837000, 1.1812580000", \
+                        "0.1610377000, 0.1650624000, 0.1757984000, 0.2021894000, 0.2748573000, 0.5002060000, 1.2139014000", \
+                        "0.2117351000, 0.2171271000, 0.2307981000, 0.2602074000, 0.3340081000, 0.5587384000, 1.2748960000", \
+                        "0.2556143000, 0.2628765000, 0.2811337000, 0.3187899000, 0.3978068000, 0.6220622000, 1.3330393000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0382047000, 0.0408590000, 0.0479365000, 0.0652887000, 0.1050214000, 0.2226141000, 0.6099038000", \
+                        "0.0383267000, 0.0406863000, 0.0481449000, 0.0653628000, 0.1058043000, 0.2230118000, 0.6105391000", \
+                        "0.0382338000, 0.0409847000, 0.0480260000, 0.0644885000, 0.1057845000, 0.2229854000, 0.6095013000", \
+                        "0.0383132000, 0.0406645000, 0.0477107000, 0.0645892000, 0.1068408000, 0.2227683000, 0.6100032000", \
+                        "0.0382028000, 0.0410356000, 0.0482171000, 0.0651870000, 0.1066169000, 0.2226540000, 0.6101659000", \
+                        "0.0477268000, 0.0505309000, 0.0576597000, 0.0734782000, 0.1115982000, 0.2247476000, 0.6100723000", \
+                        "0.0709782000, 0.0738544000, 0.0819397000, 0.1005786000, 0.1384347000, 0.2446590000, 0.6169061000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0202628000, 0.0233756000, 0.0328938000, 0.0627924000, 0.1618441000, 0.4825502000, 1.5002392000", \
+                        "0.0202157000, 0.0232617000, 0.0328277000, 0.0627250000, 0.1617813000, 0.4840375000, 1.5082426000", \
+                        "0.0203363000, 0.0233693000, 0.0328515000, 0.0628739000, 0.1621113000, 0.4858338000, 1.5028366000", \
+                        "0.0208050000, 0.0239239000, 0.0332998000, 0.0630843000, 0.1621112000, 0.4825753000, 1.5028541000", \
+                        "0.0262351000, 0.0293671000, 0.0378597000, 0.0657330000, 0.1623505000, 0.4834794000, 1.5009581000", \
+                        "0.0368830000, 0.0401949000, 0.0491770000, 0.0745705000, 0.1656782000, 0.4823836000, 1.5040700000", \
+                        "0.0538846000, 0.0577029000, 0.0684114000, 0.0939876000, 0.1748017000, 0.4845890000, 1.4987890000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2b_1 */
+
+/* removed sky130_fd_sc_hd__or2b_2 */
+
+/* removed sky130_fd_sc_hd__or2b_4 */
+
+/* removed sky130_fd_sc_hd__or3_1 */
+
+/* removed sky130_fd_sc_hd__or3_2 */
+
+    cell ("sky130_fd_sc_hd__or3_4") {
+        leakage_power () {
+            value : 0.0040967000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0046321000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0020287000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0024994000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0020171000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0023608000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0019971000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0020186000;
+            when : "A&B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or3";
+        cell_leakage_power : 0.0027063310;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024030000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0038554000, 0.0038459000, 0.0038240000, 0.0038266000, 0.0038327000, 0.0038468000, 0.0038794000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003301300, -0.003331300, -0.003400400, -0.003415100, -0.003448900, -0.003526800, -0.003706600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025440000;
+        }
+        pin ("B") {
+            capacitance : 0.0023510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021930000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0037651000, 0.0037661000, 0.0037684000, 0.0037708000, 0.0037762000, 0.0037887000, 0.0038176000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003286600, -0.003375600, -0.003580800, -0.003587200, -0.003601900, -0.003635700, -0.003713800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025090000;
+        }
+        pin ("C") {
+            capacitance : 0.0023010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025669000, 0.0025655000, 0.0025623000, 0.0025698000, 0.0025871000, 0.0026271000, 0.0027193000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001724100, -0.001719200, -0.001708100, -0.001710800, -0.001717100, -0.001731500, -0.001764900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024920000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0255141000, 0.0235106000, 0.0176216000, 0.0013860000, -0.052050500, -0.233636200, -0.824800700", \
+                        "0.0254915000, 0.0234275000, 0.0175912000, 0.0013077000, -0.052137200, -0.233708400, -0.824958600", \
+                        "0.0251932000, 0.0235843000, 0.0172059000, 0.0008973000, -0.052526200, -0.233978500, -0.825138000", \
+                        "0.0249575000, 0.0228715000, 0.0170033000, 0.0007651000, -0.052754600, -0.234274100, -0.825371000", \
+                        "0.0247337000, 0.0226569000, 0.0168143000, 0.0007278000, -0.052906300, -0.234418400, -0.825450900", \
+                        "0.0247041000, 0.0226766000, 0.0168149000, 0.0006638000, -0.053054200, -0.234611300, -0.825642900", \
+                        "0.0317538000, 0.0295077000, 0.0230163000, 0.0040802000, -0.053828400, -0.234674400, -0.825591500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0299347000, 0.0319496000, 0.0379576000, 0.0567263000, 0.1149203000, 0.2987714000, 0.8830631000", \
+                        "0.0299204000, 0.0318373000, 0.0378169000, 0.0566522000, 0.1149092000, 0.2982869000, 0.8873712000", \
+                        "0.0297922000, 0.0316950000, 0.0377833000, 0.0565876000, 0.1148425000, 0.2982241000, 0.8840089000", \
+                        "0.0299190000, 0.0318942000, 0.0378799000, 0.0565631000, 0.1147243000, 0.2980506000, 0.8834338000", \
+                        "0.0298831000, 0.0317320000, 0.0376445000, 0.0561016000, 0.1137406000, 0.2978539000, 0.8871875000", \
+                        "0.0311214000, 0.0329043000, 0.0385587000, 0.0568393000, 0.1137600000, 0.2970050000, 0.8836660000", \
+                        "0.0326205000, 0.0342938000, 0.0398850000, 0.0578694000, 0.1148949000, 0.2985944000, 0.8820063000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0232521000, 0.0211033000, 0.0152832000, -0.000531500, -0.054069900, -0.235814800, -0.826810800", \
+                        "0.0230542000, 0.0209961000, 0.0151498000, -0.000677200, -0.054170000, -0.235945500, -0.826952200", \
+                        "0.0228477000, 0.0208783000, 0.0149655000, -0.001270800, -0.054693600, -0.236194800, -0.827243900", \
+                        "0.0226742000, 0.0205921000, 0.0147287000, -0.001587000, -0.054755700, -0.236406200, -0.827317200", \
+                        "0.0225760000, 0.0205138000, 0.0146485000, -0.001613800, -0.055145900, -0.236637500, -0.827515100", \
+                        "0.0226005000, 0.0205096000, 0.0146025000, -0.001741400, -0.055311000, -0.236830200, -0.827757500", \
+                        "0.0294169000, 0.0272438000, 0.0205255000, 0.0014032000, -0.055912000, -0.235835700, -0.827042600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0290379000, 0.0309777000, 0.0369958000, 0.0559287000, 0.1146797000, 0.2986661000, 0.8850506000", \
+                        "0.0290749000, 0.0310054000, 0.0369980000, 0.0560052000, 0.1147260000, 0.2991859000, 0.8883061000", \
+                        "0.0291216000, 0.0310460000, 0.0371236000, 0.0561110000, 0.1147119000, 0.2992451000, 0.8884353000", \
+                        "0.0290397000, 0.0310235000, 0.0370383000, 0.0558330000, 0.1143924000, 0.2989365000, 0.8881638000", \
+                        "0.0289711000, 0.0308378000, 0.0366287000, 0.0550456000, 0.1134956000, 0.2978762000, 0.8845201000", \
+                        "0.0297850000, 0.0315699000, 0.0371783000, 0.0554714000, 0.1129293000, 0.2966984000, 0.8827160000", \
+                        "0.0313511000, 0.0330393000, 0.0385785000, 0.0564306000, 0.1143053000, 0.2982789000, 0.8805977000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0222697000, 0.0202153000, 0.0144059000, -0.001967800, -0.055211900, -0.236593500, -0.827641000", \
+                        "0.0221959000, 0.0200996000, 0.0142032000, -0.001817500, -0.055258300, -0.236817800, -0.827724000", \
+                        "0.0220395000, 0.0199494000, 0.0140801000, -0.002204900, -0.055688800, -0.237121100, -0.828055200", \
+                        "0.0217318000, 0.0197499000, 0.0139547000, -0.002216300, -0.055810800, -0.237287200, -0.828232100", \
+                        "0.0215261000, 0.0194354000, 0.0135845000, -0.002592700, -0.056190800, -0.237453600, -0.828286500", \
+                        "0.0220775000, 0.0199806000, 0.0140027000, -0.001444300, -0.055399300, -0.237362400, -0.828300200", \
+                        "0.0321245000, 0.0298909000, 0.0230514000, 0.0034339000, -0.054364100, -0.235821900, -0.826997700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0257994000, 0.0277347000, 0.0338848000, 0.0527346000, 0.1115687000, 0.2958618000, 0.8824956000", \
+                        "0.0257490000, 0.0277040000, 0.0338206000, 0.0527317000, 0.1115235000, 0.2945015000, 0.8820683000", \
+                        "0.0256538000, 0.0276162000, 0.0337165000, 0.0527662000, 0.1114048000, 0.2957524000, 0.8777199000", \
+                        "0.0256158000, 0.0275224000, 0.0336305000, 0.0524429000, 0.1109451000, 0.2953697000, 0.8823388000", \
+                        "0.0256737000, 0.0275188000, 0.0334146000, 0.0516441000, 0.1099686000, 0.2945569000, 0.8825168000", \
+                        "0.0265424000, 0.0284621000, 0.0341784000, 0.0524853000, 0.1094272000, 0.2933500000, 0.8802382000", \
+                        "0.0279431000, 0.0295961000, 0.0351437000, 0.0531570000, 0.1115391000, 0.2941553000, 0.8817169000");
+                }
+            }
+            max_capacitance : 0.5319310000;
+            max_transition : 1.5070720000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.3459759000, 0.3519301000, 0.3672774000, 0.4013956000, 0.4689475000, 0.6050974000, 0.9332050000", \
+                        "0.3504629000, 0.3564133000, 0.3716655000, 0.4059412000, 0.4735529000, 0.6093772000, 0.9376722000", \
+                        "0.3619217000, 0.3678751000, 0.3831757000, 0.4172194000, 0.4849762000, 0.6210951000, 0.9491448000", \
+                        "0.3879018000, 0.3937147000, 0.4091606000, 0.4431471000, 0.5108351000, 0.6470487000, 0.9751912000", \
+                        "0.4450830000, 0.4508968000, 0.4662083000, 0.5000853000, 0.5674502000, 0.7041038000, 1.0321195000", \
+                        "0.5702332000, 0.5761056000, 0.5914033000, 0.6252407000, 0.6928736000, 0.8297968000, 1.1573101000", \
+                        "0.8066398000, 0.8132645000, 0.8303217000, 0.8678373000, 0.9427552000, 1.0862934000, 1.4184540000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0842214000, 0.0878244000, 0.0975802000, 0.1230933000, 0.1953703000, 0.4192494000, 1.1307968000", \
+                        "0.0887973000, 0.0924025000, 0.1020998000, 0.1276477000, 0.1998561000, 0.4244325000, 1.1389891000", \
+                        "0.0998479000, 0.1034357000, 0.1132139000, 0.1387216000, 0.2108926000, 0.4350826000, 1.1503253000", \
+                        "0.1258950000, 0.1294419000, 0.1391071000, 0.1643502000, 0.2362366000, 0.4609243000, 1.1757864000", \
+                        "0.1727291000, 0.1767696000, 0.1872393000, 0.2132203000, 0.2853855000, 0.5088492000, 1.2232134000", \
+                        "0.2290893000, 0.2343430000, 0.2472672000, 0.2764051000, 0.3492003000, 0.5730623000, 1.2861322000", \
+                        "0.2759523000, 0.2829448000, 0.3004853000, 0.3374520000, 0.4146551000, 0.6374002000, 1.3480580000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0554249000, 0.0586787000, 0.0673611000, 0.0880915000, 0.1366495000, 0.2580715000, 0.6385142000", \
+                        "0.0553418000, 0.0582554000, 0.0673455000, 0.0885551000, 0.1349636000, 0.2581423000, 0.6372824000", \
+                        "0.0553636000, 0.0583989000, 0.0674031000, 0.0889105000, 0.1355402000, 0.2581226000, 0.6383712000", \
+                        "0.0553997000, 0.0583414000, 0.0670513000, 0.0877747000, 0.1362831000, 0.2580008000, 0.6382292000", \
+                        "0.0551651000, 0.0583483000, 0.0673033000, 0.0882174000, 0.1357026000, 0.2577308000, 0.6389781000", \
+                        "0.0570047000, 0.0598744000, 0.0681769000, 0.0890612000, 0.1358157000, 0.2583084000, 0.6387924000", \
+                        "0.0686798000, 0.0717879000, 0.0811113000, 0.1023196000, 0.1512418000, 0.2724840000, 0.6445931000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0204332000, 0.0234761000, 0.0327853000, 0.0621686000, 0.1601252000, 0.4796596000, 1.4985264000", \
+                        "0.0203640000, 0.0234207000, 0.0328129000, 0.0621878000, 0.1602102000, 0.4792802000, 1.5011704000", \
+                        "0.0204560000, 0.0234420000, 0.0327490000, 0.0621000000, 0.1601910000, 0.4783828000, 1.5010418000", \
+                        "0.0203585000, 0.0233621000, 0.0326725000, 0.0619858000, 0.1601535000, 0.4793868000, 1.4991167000", \
+                        "0.0250410000, 0.0278217000, 0.0364804000, 0.0641209000, 0.1605244000, 0.4791870000, 1.5020035000", \
+                        "0.0346662000, 0.0377596000, 0.0459025000, 0.0715005000, 0.1629469000, 0.4789252000, 1.5017667000", \
+                        "0.0494961000, 0.0536105000, 0.0643422000, 0.0886908000, 0.1709363000, 0.4820537000, 1.4983637000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.3289307000, 0.3347550000, 0.3501545000, 0.3840742000, 0.4517262000, 0.5878463000, 0.9158468000", \
+                        "0.3325248000, 0.3383526000, 0.3537816000, 0.3877642000, 0.4554525000, 0.5915065000, 0.9195666000", \
+                        "0.3429934000, 0.3489844000, 0.3642702000, 0.3983222000, 0.4659464000, 0.6021949000, 0.9303607000", \
+                        "0.3684825000, 0.3742925000, 0.3896261000, 0.4237173000, 0.4913384000, 0.6280371000, 0.9554376000", \
+                        "0.4292613000, 0.4351625000, 0.4504693000, 0.4845531000, 0.5517768000, 0.6884379000, 1.0168567000", \
+                        "0.5692631000, 0.5751594000, 0.5906133000, 0.6247841000, 0.6926189000, 0.8294604000, 1.1569316000", \
+                        "0.8361279000, 0.8427015000, 0.8600776000, 0.8987137000, 0.9737414000, 1.1182566000, 1.4503452000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0839679000, 0.0875375000, 0.0972925000, 0.1229211000, 0.1954485000, 0.4196942000, 1.1327953000", \
+                        "0.0885846000, 0.0921888000, 0.1019298000, 0.1276231000, 0.2000398000, 0.4243317000, 1.1372094000", \
+                        "0.0995256000, 0.1031338000, 0.1129555000, 0.1386163000, 0.2109576000, 0.4352364000, 1.1480996000", \
+                        "0.1255216000, 0.1290965000, 0.1388406000, 0.1642785000, 0.2364704000, 0.4611993000, 1.1751685000", \
+                        "0.1708538000, 0.1749512000, 0.1852745000, 0.2118014000, 0.2840981000, 0.5084814000, 1.2220005000", \
+                        "0.2249340000, 0.2302365000, 0.2434590000, 0.2730581000, 0.3463367000, 0.5706722000, 1.2838133000", \
+                        "0.2686359000, 0.2757412000, 0.2936942000, 0.3308283000, 0.4091496000, 0.6320571000, 1.3436704000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0553561000, 0.0583394000, 0.0672179000, 0.0881909000, 0.1359003000, 0.2580871000, 0.6390817000", \
+                        "0.0554173000, 0.0583171000, 0.0671624000, 0.0880688000, 0.1353739000, 0.2580486000, 0.6391747000", \
+                        "0.0554389000, 0.0587904000, 0.0673590000, 0.0879570000, 0.1366249000, 0.2580341000, 0.6384760000", \
+                        "0.0553765000, 0.0583407000, 0.0673072000, 0.0888864000, 0.1349998000, 0.2575922000, 0.6386908000", \
+                        "0.0552410000, 0.0584023000, 0.0673554000, 0.0882764000, 0.1367096000, 0.2576012000, 0.6377047000", \
+                        "0.0569508000, 0.0601268000, 0.0685765000, 0.0887852000, 0.1357136000, 0.2577085000, 0.6389919000", \
+                        "0.0736922000, 0.0761565000, 0.0858827000, 0.1067121000, 0.1534132000, 0.2718409000, 0.6459367000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0201870000, 0.0232659000, 0.0325774000, 0.0618147000, 0.1599399000, 0.4795678000, 1.5026096000", \
+                        "0.0201994000, 0.0232222000, 0.0326083000, 0.0618511000, 0.1597870000, 0.4800760000, 1.5018807000", \
+                        "0.0202390000, 0.0232845000, 0.0325571000, 0.0617876000, 0.1597658000, 0.4798605000, 1.5014510000", \
+                        "0.0203197000, 0.0233630000, 0.0325951000, 0.0618945000, 0.1597921000, 0.4800952000, 1.5036409000", \
+                        "0.0250800000, 0.0280257000, 0.0370800000, 0.0645286000, 0.1600262000, 0.4794346000, 1.5025242000", \
+                        "0.0349193000, 0.0383346000, 0.0467592000, 0.0722114000, 0.1627270000, 0.4778110000, 1.4979511000", \
+                        "0.0509912000, 0.0550556000, 0.0656038000, 0.0911762000, 0.1722037000, 0.4813135000, 1.4986000000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.2949677000, 0.3008004000, 0.3159917000, 0.3503244000, 0.4181522000, 0.5539835000, 0.8822564000", \
+                        "0.2976156000, 0.3034358000, 0.3188829000, 0.3528645000, 0.4205396000, 0.5572641000, 0.8847942000", \
+                        "0.3055687000, 0.3113421000, 0.3267971000, 0.3609155000, 0.4286358000, 0.5654451000, 0.8929234000", \
+                        "0.3286381000, 0.3344736000, 0.3499053000, 0.3839359000, 0.4516909000, 0.5884265000, 0.9155640000", \
+                        "0.3888136000, 0.3946206000, 0.4100035000, 0.4440982000, 0.5116114000, 0.6481958000, 0.9764881000", \
+                        "0.5324228000, 0.5383110000, 0.5535152000, 0.5876579000, 0.6550555000, 0.7904822000, 1.1186873000", \
+                        "0.7913129000, 0.7985643000, 0.8166291000, 0.8577409000, 0.9345733000, 1.0786332000, 1.4100765000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0804629000, 0.0841171000, 0.0940829000, 0.1198769000, 0.1924399000, 0.4172655000, 1.1337414000", \
+                        "0.0853003000, 0.0889518000, 0.0989196000, 0.1247174000, 0.1973201000, 0.4220023000, 1.1376146000", \
+                        "0.0967231000, 0.1003732000, 0.1103344000, 0.1362267000, 0.2087456000, 0.4339745000, 1.1453045000", \
+                        "0.1228214000, 0.1264381000, 0.1363085000, 0.1619038000, 0.2343577000, 0.4603581000, 1.1704490000", \
+                        "0.1675030000, 0.1717195000, 0.1826026000, 0.2092410000, 0.2817608000, 0.5065109000, 1.2238187000", \
+                        "0.2215470000, 0.2270924000, 0.2411013000, 0.2713802000, 0.3446588000, 0.5681314000, 1.2850137000", \
+                        "0.2690808000, 0.2764007000, 0.2951617000, 0.3337575000, 0.4140073000, 0.6378605000, 1.3474812000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0554015000, 0.0583384000, 0.0674927000, 0.0889060000, 0.1351814000, 0.2580363000, 0.6375613000", \
+                        "0.0554124000, 0.0583248000, 0.0671233000, 0.0892245000, 0.1348807000, 0.2575456000, 0.6391707000", \
+                        "0.0552789000, 0.0582638000, 0.0673539000, 0.0878847000, 0.1350002000, 0.2576948000, 0.6385562000", \
+                        "0.0552144000, 0.0582066000, 0.0673036000, 0.0890417000, 0.1354705000, 0.2577838000, 0.6391940000", \
+                        "0.0554137000, 0.0583199000, 0.0671738000, 0.0887097000, 0.1350381000, 0.2572246000, 0.6384019000", \
+                        "0.0575518000, 0.0605989000, 0.0687883000, 0.0894113000, 0.1375733000, 0.2593991000, 0.6384021000", \
+                        "0.0826437000, 0.0855698000, 0.0947989000, 0.1174094000, 0.1607158000, 0.2763350000, 0.6467580000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0204222000, 0.0234652000, 0.0327905000, 0.0621264000, 0.1596693000, 0.4796953000, 1.5059775000", \
+                        "0.0204284000, 0.0235562000, 0.0328111000, 0.0620892000, 0.1599868000, 0.4786538000, 1.5015917000", \
+                        "0.0204285000, 0.0234938000, 0.0328243000, 0.0620479000, 0.1599879000, 0.4806552000, 1.4971254000", \
+                        "0.0207910000, 0.0237940000, 0.0330911000, 0.0622801000, 0.1599425000, 0.4807758000, 1.5010900000", \
+                        "0.0261740000, 0.0290487000, 0.0377731000, 0.0652784000, 0.1603542000, 0.4801560000, 1.5070716000", \
+                        "0.0367054000, 0.0399413000, 0.0493622000, 0.0740055000, 0.1635425000, 0.4788038000, 1.5046610000", \
+                        "0.0535327000, 0.0581115000, 0.0687030000, 0.0944681000, 0.1736763000, 0.4813303000, 1.4973982000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or3b_1 */
+
+/* removed sky130_fd_sc_hd__or3b_2 */
+
+/* removed sky130_fd_sc_hd__or3b_4 */
+
+/* removed sky130_fd_sc_hd__or4_1 */
+
+/* removed sky130_fd_sc_hd__or4_2 */
+
+    cell ("sky130_fd_sc_hd__or4_4") {
+        leakage_power () {
+            value : 0.0040669000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0059004000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019956000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0024239000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019875000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0023181000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019676000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019889000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019855000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0022894000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019669000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019870000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019657000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0019915000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019594000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019700000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or4";
+        cell_leakage_power : 0.0024227670;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039518000, 0.0039475000, 0.0039376000, 0.0039377000, 0.0039379000, 0.0039382000, 0.0039390000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003309400, -0.003343200, -0.003421000, -0.003436800, -0.003473200, -0.003557100, -0.003750600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024930000;
+        }
+        pin ("B") {
+            capacitance : 0.0024510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023070000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0040186000, 0.0040153000, 0.0040077000, 0.0040091000, 0.0040126000, 0.0040205000, 0.0040387000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003348100, -0.003388100, -0.003480200, -0.003498100, -0.003539300, -0.003634300, -0.003853300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025950000;
+        }
+        pin ("C") {
+            capacitance : 0.0024460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039025000, 0.0039009000, 0.0038971000, 0.0038971000, 0.0038971000, 0.0038970000, 0.0038968000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003453500, -0.003528400, -0.003701000, -0.003710500, -0.003732600, -0.003783600, -0.003900900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0026250000;
+        }
+        pin ("D") {
+            capacitance : 0.0023000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0020960000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0027252000, 0.0027229000, 0.0027176000, 0.0027245000, 0.0027405000, 0.0027774000, 0.0028624000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001701400, -0.001707500, -0.001721600, -0.001719700, -0.001715100, -0.001704700, -0.001680600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025030000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C) | (D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0281561000, 0.0258964000, 0.0194889000, 0.0028612000, -0.051366200, -0.233357500, -0.827457000", \
+                        "0.0279853000, 0.0260372000, 0.0199057000, 0.0028668000, -0.051556900, -0.233500000, -0.827545200", \
+                        "0.0277519000, 0.0255106000, 0.0191379000, 0.0019609000, -0.052106300, -0.233580300, -0.827619500", \
+                        "0.0275254000, 0.0253560000, 0.0189731000, 0.0017489000, -0.052336500, -0.233867100, -0.827809400", \
+                        "0.0273720000, 0.0251337000, 0.0187386000, 0.0016520000, -0.052571800, -0.234077900, -0.827979900", \
+                        "0.0272004000, 0.0250030000, 0.0185773000, 0.0016318000, -0.052675300, -0.234254000, -0.828176400", \
+                        "0.0300004000, 0.0276878000, 0.0207812000, 0.0014401000, -0.052550200, -0.234194200, -0.828165600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0301260000, 0.0320327000, 0.0382112000, 0.0570218000, 0.1155306000, 0.2997719000, 0.8919592000", \
+                        "0.0300449000, 0.0319652000, 0.0381315000, 0.0569621000, 0.1154608000, 0.2995145000, 0.8918918000", \
+                        "0.0299583000, 0.0319142000, 0.0380548000, 0.0569785000, 0.1154684000, 0.2996341000, 0.8878506000", \
+                        "0.0300454000, 0.0319525000, 0.0380916000, 0.0569593000, 0.1154251000, 0.2993898000, 0.8907827000", \
+                        "0.0303407000, 0.0322191000, 0.0381150000, 0.0567990000, 0.1147463000, 0.2991823000, 0.8870623000", \
+                        "0.0316833000, 0.0334555000, 0.0391418000, 0.0572759000, 0.1149322000, 0.2988419000, 0.8917020000", \
+                        "0.0339688000, 0.0356818000, 0.0412768000, 0.0590525000, 0.1162392000, 0.3005466000, 0.8868471000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0257908000, 0.0235337000, 0.0171833000, 2.360000e-05, -0.053803800, -0.235620700, -0.829523200", \
+                        "0.0256845000, 0.0234661000, 0.0170554000, 3.930000e-05, -0.054147800, -0.235634300, -0.829490900", \
+                        "0.0254924000, 0.0232151000, 0.0168632000, -0.000264000, -0.054450400, -0.235855800, -0.829637500", \
+                        "0.0254946000, 0.0232718000, 0.0166856000, -0.000532400, -0.054283600, -0.236089800, -0.829846700", \
+                        "0.0251463000, 0.0229334000, 0.0167290000, -0.000646100, -0.054688600, -0.236263300, -0.830120600", \
+                        "0.0250672000, 0.0228831000, 0.0165079000, -0.000657800, -0.054976900, -0.236561800, -0.830304500", \
+                        "0.0272180000, 0.0248852000, 0.0180480000, -0.000665500, -0.055003700, -0.236213800, -0.830103700");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0295149000, 0.0314358000, 0.0375994000, 0.0565027000, 0.1155496000, 0.3006517000, 0.8879528000", \
+                        "0.0294803000, 0.0314377000, 0.0374899000, 0.0566443000, 0.1156075000, 0.3003705000, 0.8930446000", \
+                        "0.0294624000, 0.0313724000, 0.0375183000, 0.0565743000, 0.1155166000, 0.3002252000, 0.8898807000", \
+                        "0.0296273000, 0.0315587000, 0.0376343000, 0.0565269000, 0.1152537000, 0.2998312000, 0.8929266000", \
+                        "0.0294528000, 0.0313574000, 0.0372796000, 0.0558767000, 0.1143629000, 0.3000185000, 0.8926754000", \
+                        "0.0309538000, 0.0327268000, 0.0384004000, 0.0567040000, 0.1140024000, 0.2986259000, 0.8881437000", \
+                        "0.0323164000, 0.0339692000, 0.0395959000, 0.0574653000, 0.1153879000, 0.3001500000, 0.8873850000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0238491000, 0.0216851000, 0.0152488000, -0.001934300, -0.055986100, -0.237295400, -0.831018200", \
+                        "0.0237788000, 0.0215888000, 0.0151598000, -0.001926300, -0.056094400, -0.237394500, -0.831122900", \
+                        "0.0236100000, 0.0213929000, 0.0149644000, -0.002120600, -0.056287900, -0.237583100, -0.831299000", \
+                        "0.0233569000, 0.0210661000, 0.0147333000, -0.002230100, -0.056396900, -0.237870200, -0.831531100", \
+                        "0.0232301000, 0.0209007000, 0.0145104000, -0.002119600, -0.056449900, -0.238140000, -0.831841900", \
+                        "0.0233786000, 0.0210886000, 0.0147123000, -0.002468800, -0.056737600, -0.238339100, -0.832064700", \
+                        "0.0254079000, 0.0230868000, 0.0161443000, -0.002347600, -0.056792900, -0.238374200, -0.831431900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0284754000, 0.0304019000, 0.0365329000, 0.0554128000, 0.1143389000, 0.2993861000, 0.8916833000", \
+                        "0.0285399000, 0.0304594000, 0.0366037000, 0.0554966000, 0.1143525000, 0.2992063000, 0.8885622000", \
+                        "0.0285983000, 0.0305269000, 0.0366003000, 0.0556402000, 0.1145254000, 0.2996357000, 0.8920419000", \
+                        "0.0286762000, 0.0305737000, 0.0366257000, 0.0554808000, 0.1141634000, 0.2992072000, 0.8869599000", \
+                        "0.0287702000, 0.0306153000, 0.0365412000, 0.0548548000, 0.1134861000, 0.2985847000, 0.8883737000", \
+                        "0.0298474000, 0.0316160000, 0.0372719000, 0.0555087000, 0.1132057000, 0.2971369000, 0.8911575000", \
+                        "0.0309319000, 0.0326170000, 0.0381467000, 0.0560190000, 0.1139959000, 0.2981307000, 0.8888828000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0228270000, 0.0205962000, 0.0143258000, -0.002766500, -0.056954800, -0.238389800, -0.832015800", \
+                        "0.0226854000, 0.0204672000, 0.0140755000, -0.003051700, -0.057203100, -0.238515400, -0.832005900", \
+                        "0.0223535000, 0.0201483000, 0.0138138000, -0.003379000, -0.057331200, -0.238736000, -0.832406300", \
+                        "0.0222538000, 0.0200129000, 0.0136475000, -0.003483200, -0.057655200, -0.239001300, -0.832454400", \
+                        "0.0219723000, 0.0197989000, 0.0133941000, -0.003687400, -0.057869600, -0.239219300, -0.832807900", \
+                        "0.0223065000, 0.0200925000, 0.0136969000, -0.003145800, -0.057488100, -0.238996700, -0.832662200", \
+                        "0.0290203000, 0.0265881000, 0.0194381000, -0.000584800, -0.056388500, -0.238071000, -0.831623100");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0250182000, 0.0269475000, 0.0329997000, 0.0520989000, 0.1112281000, 0.2963141000, 0.8897799000", \
+                        "0.0249971000, 0.0269450000, 0.0330643000, 0.0522537000, 0.1111654000, 0.2964007000, 0.8827494000", \
+                        "0.0249411000, 0.0268849000, 0.0329996000, 0.0521537000, 0.1111267000, 0.2958377000, 0.8866489000", \
+                        "0.0249543000, 0.0268575000, 0.0329618000, 0.0518477000, 0.1106497000, 0.2957795000, 0.8830836000", \
+                        "0.0249898000, 0.0268378000, 0.0326264000, 0.0510261000, 0.1096715000, 0.2947796000, 0.8862220000", \
+                        "0.0257524000, 0.0275208000, 0.0332088000, 0.0518321000, 0.1096442000, 0.2929823000, 0.8850457000", \
+                        "0.0274773000, 0.0289880000, 0.0345559000, 0.0522320000, 0.1103551000, 0.2947956000, 0.8820250000");
+                }
+            }
+            max_capacitance : 0.5346780000;
+            max_transition : 1.5078210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4914132000, 0.4982088000, 0.5162480000, 0.5564371000, 0.6363137000, 0.7936776000, 1.1470217000", \
+                        "0.4954120000, 0.5022173000, 0.5203575000, 0.5605744000, 0.6407498000, 0.7976570000, 1.1511713000", \
+                        "0.5061124000, 0.5130667000, 0.5305983000, 0.5711051000, 0.6511199000, 0.8078365000, 1.1621484000", \
+                        "0.5314365000, 0.5384135000, 0.5563630000, 0.5962234000, 0.6761890000, 0.8328743000, 1.1872786000", \
+                        "0.5873011000, 0.5941201000, 0.6115942000, 0.6520635000, 0.7318983000, 0.8887763000, 1.2432230000", \
+                        "0.7038614000, 0.7106193000, 0.7283524000, 0.7692376000, 0.8495202000, 1.0071419000, 1.3610394000", \
+                        "0.9354281000, 0.9425728000, 0.9609944000, 1.0037359000, 1.0865630000, 1.2485422000, 1.6055099000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0876665000, 0.0914850000, 0.1018409000, 0.1282909000, 0.2016966000, 0.4268333000, 1.1413070000", \
+                        "0.0922595000, 0.0960948000, 0.1064385000, 0.1328672000, 0.2062900000, 0.4314621000, 1.1452620000", \
+                        "0.1032796000, 0.1071288000, 0.1174100000, 0.1438671000, 0.2173836000, 0.4424535000, 1.1548764000", \
+                        "0.1300736000, 0.1338521000, 0.1440402000, 0.1701876000, 0.2427525000, 0.4678474000, 1.1817560000", \
+                        "0.1793437000, 0.1835504000, 0.1943527000, 0.2211278000, 0.2937061000, 0.5187229000, 1.2318099000", \
+                        "0.2397252000, 0.2451299000, 0.2585070000, 0.2876349000, 0.3612992000, 0.5851170000, 1.3005148000", \
+                        "0.2947162000, 0.3017531000, 0.3194419000, 0.3567017000, 0.4349419000, 0.6586778000, 1.3688634000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721265000, 0.0752971000, 0.0854764000, 0.1097659000, 0.1646583000, 0.2931584000, 0.6781201000", \
+                        "0.0721038000, 0.0756131000, 0.0860699000, 0.1107579000, 0.1636138000, 0.2946543000, 0.6782400000", \
+                        "0.0722579000, 0.0759717000, 0.0854235000, 0.1094144000, 0.1644540000, 0.2957461000, 0.6784009000", \
+                        "0.0722971000, 0.0760519000, 0.0858136000, 0.1093954000, 0.1648248000, 0.2958235000, 0.6776397000", \
+                        "0.0723350000, 0.0760569000, 0.0856061000, 0.1094786000, 0.1631982000, 0.2956620000, 0.6769875000", \
+                        "0.0720267000, 0.0757059000, 0.0854246000, 0.1106935000, 0.1647953000, 0.2943387000, 0.6768753000", \
+                        "0.0808025000, 0.0844916000, 0.0944700000, 0.1190932000, 0.1755423000, 0.3006082000, 0.6797883000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0218532000, 0.0249930000, 0.0345172000, 0.0642888000, 0.1619624000, 0.4801233000, 1.5016410000", \
+                        "0.0217560000, 0.0248926000, 0.0344779000, 0.0642158000, 0.1619003000, 0.4800937000, 1.4986263000", \
+                        "0.0216805000, 0.0248891000, 0.0343955000, 0.0640968000, 0.1615609000, 0.4791532000, 1.5002369000", \
+                        "0.0213129000, 0.0245416000, 0.0341004000, 0.0637475000, 0.1613394000, 0.4800055000, 1.4996466000", \
+                        "0.0258693000, 0.0289207000, 0.0377112000, 0.0654402000, 0.1612808000, 0.4803584000, 1.4972457000", \
+                        "0.0351003000, 0.0382410000, 0.0469625000, 0.0731255000, 0.1638551000, 0.4787382000, 1.5029858000", \
+                        "0.0497124000, 0.0535986000, 0.0648038000, 0.0889062000, 0.1716188000, 0.4818649000, 1.4988587000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4724322000, 0.4792221000, 0.4972090000, 0.5375278000, 0.6171499000, 0.7747223000, 1.1281616000", \
+                        "0.4756401000, 0.4825544000, 0.5004768000, 0.5409160000, 0.6206838000, 0.7776795000, 1.1319068000", \
+                        "0.4855485000, 0.4924666000, 0.5100228000, 0.5505307000, 0.6303847000, 0.7872357000, 1.1417696000", \
+                        "0.5102955000, 0.5170872000, 0.5353725000, 0.5754005000, 0.6554365000, 0.8129576000, 1.1666070000", \
+                        "0.5669324000, 0.5736043000, 0.5914942000, 0.6315348000, 0.7109291000, 0.8684277000, 1.2226873000", \
+                        "0.6922470000, 0.6991123000, 0.7170506000, 0.7572592000, 0.8371817000, 0.9949090000, 1.3491634000", \
+                        "0.9485567000, 0.9557439000, 0.9757921000, 1.0186871000, 1.1026913000, 1.2646244000, 1.6222537000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0867381000, 0.0904582000, 0.1005953000, 0.1266934000, 0.1997952000, 0.4241539000, 1.1369080000", \
+                        "0.0913392000, 0.0950548000, 0.1051577000, 0.1313640000, 0.2043104000, 0.4293156000, 1.1444630000", \
+                        "0.1022697000, 0.1059879000, 0.1161163000, 0.1422317000, 0.2152844000, 0.4404405000, 1.1532491000", \
+                        "0.1282260000, 0.1318990000, 0.1419221000, 0.1678094000, 0.2404795000, 0.4651073000, 1.1803655000", \
+                        "0.1759205000, 0.1800426000, 0.1905849000, 0.2171874000, 0.2898969000, 0.5145707000, 1.2290472000", \
+                        "0.2333694000, 0.2387717000, 0.2519710000, 0.2816094000, 0.3550474000, 0.5785067000, 1.2949590000", \
+                        "0.2806537000, 0.2877081000, 0.3055773000, 0.3429197000, 0.4210527000, 0.6447772000, 1.3562133000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721369000, 0.0752950000, 0.0855465000, 0.1094088000, 0.1654661000, 0.2934692000, 0.6776344000", \
+                        "0.0720191000, 0.0757554000, 0.0856911000, 0.1101784000, 0.1629585000, 0.2956226000, 0.6774825000", \
+                        "0.0721390000, 0.0759190000, 0.0859097000, 0.1095660000, 0.1633346000, 0.2957425000, 0.6770353000", \
+                        "0.0719774000, 0.0755703000, 0.0857557000, 0.1093914000, 0.1635911000, 0.2951396000, 0.6775303000", \
+                        "0.0720147000, 0.0757951000, 0.0855107000, 0.1095179000, 0.1637763000, 0.2947341000, 0.6777080000", \
+                        "0.0719245000, 0.0756796000, 0.0861399000, 0.1105288000, 0.1628068000, 0.2927503000, 0.6773420000", \
+                        "0.0828268000, 0.0864246000, 0.0963311000, 0.1217217000, 0.1741559000, 0.3042412000, 0.6807104000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0209719000, 0.0239567000, 0.0333849000, 0.0627871000, 0.1601547000, 0.4794958000, 1.4974526000", \
+                        "0.0208369000, 0.0239364000, 0.0334700000, 0.0627568000, 0.1603059000, 0.4787872000, 1.5026667000", \
+                        "0.0209663000, 0.0239782000, 0.0334066000, 0.0627015000, 0.1600573000, 0.4795613000, 1.5024837000", \
+                        "0.0206374000, 0.0237975000, 0.0332682000, 0.0625345000, 0.1600028000, 0.4780420000, 1.5031244000", \
+                        "0.0250284000, 0.0282485000, 0.0371115000, 0.0647583000, 0.1604680000, 0.4793601000, 1.5011046000", \
+                        "0.0345356000, 0.0377455000, 0.0462755000, 0.0720021000, 0.1631732000, 0.4781063000, 1.4988718000", \
+                        "0.0490917000, 0.0533878000, 0.0643977000, 0.0899277000, 0.1714147000, 0.4821970000, 1.4969771000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4418807000, 0.4488891000, 0.4664235000, 0.5069158000, 0.5868996000, 0.7435859000, 1.0980891000", \
+                        "0.4445943000, 0.4514996000, 0.4694387000, 0.5099116000, 0.5896360000, 0.7465351000, 1.1009655000", \
+                        "0.4533574000, 0.4602407000, 0.4781372000, 0.5186041000, 0.5982890000, 0.7552363000, 1.1096412000", \
+                        "0.4769170000, 0.4838238000, 0.5017543000, 0.5420148000, 0.6219139000, 0.7786352000, 1.1330378000", \
+                        "0.5346943000, 0.5412298000, 0.5589479000, 0.5998075000, 0.6798167000, 0.8374552000, 1.1908099000", \
+                        "0.6730183000, 0.6797946000, 0.6978303000, 0.7374207000, 0.8180894000, 0.9756824000, 1.3297131000", \
+                        "0.9572609000, 0.9644725000, 0.9835041000, 1.0267118000, 1.1113941000, 1.2745849000, 1.6318085000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0844826000, 0.0881436000, 0.0981324000, 0.1239143000, 0.1964714000, 0.4208459000, 1.1357778000", \
+                        "0.0891848000, 0.0928407000, 0.1028475000, 0.1286490000, 0.2012214000, 0.4251366000, 1.1387719000", \
+                        "0.1001732000, 0.1038482000, 0.1138331000, 0.1397212000, 0.2121873000, 0.4365779000, 1.1509407000", \
+                        "0.1262631000, 0.1298458000, 0.1397633000, 0.1654756000, 0.2378105000, 0.4621777000, 1.1744404000", \
+                        "0.1715578000, 0.1756967000, 0.1864958000, 0.2130282000, 0.2850936000, 0.5091467000, 1.2229444000", \
+                        "0.2244281000, 0.2297898000, 0.2430995000, 0.2728755000, 0.3467281000, 0.5700772000, 1.2857582000", \
+                        "0.2664264000, 0.2736608000, 0.2916754000, 0.3294091000, 0.4085970000, 0.6320247000, 1.3437592000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0720153000, 0.0760472000, 0.0854036000, 0.1094325000, 0.1641890000, 0.2958080000, 0.6780149000", \
+                        "0.0719680000, 0.0757111000, 0.0856800000, 0.1100532000, 0.1627886000, 0.2950385000, 0.6773994000", \
+                        "0.0721150000, 0.0757134000, 0.0856817000, 0.1101191000, 0.1628393000, 0.2952348000, 0.6773969000", \
+                        "0.0721861000, 0.0754861000, 0.0857704000, 0.1102934000, 0.1632075000, 0.2957077000, 0.6781329000", \
+                        "0.0723980000, 0.0759748000, 0.0854999000, 0.1106629000, 0.1634653000, 0.2947326000, 0.6777718000", \
+                        "0.0719725000, 0.0754802000, 0.0854916000, 0.1094973000, 0.1636278000, 0.2949587000, 0.6775374000", \
+                        "0.0863607000, 0.0898707000, 0.0993048000, 0.1231514000, 0.1759940000, 0.3034848000, 0.6820781000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0203705000, 0.0234009000, 0.0327803000, 0.0620360000, 0.1596959000, 0.4794524000, 1.5032330000", \
+                        "0.0203720000, 0.0233747000, 0.0327970000, 0.0620747000, 0.1598071000, 0.4789732000, 1.5027922000", \
+                        "0.0203728000, 0.0234620000, 0.0328390000, 0.0621247000, 0.1597114000, 0.4793249000, 1.5036045000", \
+                        "0.0204061000, 0.0234869000, 0.0328737000, 0.0620540000, 0.1594867000, 0.4794112000, 1.4980129000", \
+                        "0.0251062000, 0.0280091000, 0.0369603000, 0.0648657000, 0.1601632000, 0.4782964000, 1.5024892000", \
+                        "0.0349741000, 0.0383373000, 0.0469247000, 0.0728376000, 0.1631816000, 0.4781155000, 1.5031505000", \
+                        "0.0504795000, 0.0547223000, 0.0655039000, 0.0903282000, 0.1719642000, 0.4805662000, 1.4974287000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.3813238000, 0.3881033000, 0.4062667000, 0.4465796000, 0.5267912000, 0.6843936000, 1.0382832000", \
+                        "0.3833307000, 0.3902084000, 0.4081976000, 0.4485751000, 0.5284352000, 0.6866759000, 1.0398002000", \
+                        "0.3897890000, 0.3965730000, 0.4148154000, 0.4549935000, 0.5345916000, 0.6926517000, 1.0466294000", \
+                        "0.4108263000, 0.4178054000, 0.4357883000, 0.4760966000, 0.5558218000, 0.7130652000, 1.0677543000", \
+                        "0.4670594000, 0.4739400000, 0.4917197000, 0.5320892000, 0.6117828000, 0.7698807000, 1.1239542000", \
+                        "0.6041318000, 0.6108469000, 0.6288502000, 0.6684924000, 0.7482291000, 0.9060322000, 1.2601723000", \
+                        "0.8876311000, 0.8950318000, 0.9146594000, 0.9596105000, 1.0445348000, 1.2050720000, 1.5598055000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0799526000, 0.0836222000, 0.0935958000, 0.1196012000, 0.1922097000, 0.4164080000, 1.1306661000", \
+                        "0.0847993000, 0.0884987000, 0.0985258000, 0.1245399000, 0.1970194000, 0.4220985000, 1.1367322000", \
+                        "0.0962979000, 0.0999738000, 0.1100090000, 0.1360098000, 0.2085645000, 0.4326670000, 1.1480622000", \
+                        "0.1224688000, 0.1261138000, 0.1360443000, 0.1617455000, 0.2342534000, 0.4584973000, 1.1803181000", \
+                        "0.1670537000, 0.1713336000, 0.1820017000, 0.2090197000, 0.2815883000, 0.5066764000, 1.2233594000", \
+                        "0.2205325000, 0.2260931000, 0.2398993000, 0.2706881000, 0.3446589000, 0.5676691000, 1.2816701000", \
+                        "0.2666100000, 0.2739894000, 0.2928676000, 0.3316845000, 0.4118550000, 0.6346407000, 1.3460347000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721094000, 0.0757485000, 0.0853991000, 0.1102182000, 0.1636287000, 0.2949277000, 0.6774472000", \
+                        "0.0720850000, 0.0756534000, 0.0856010000, 0.1097430000, 0.1629011000, 0.2942364000, 0.6774201000", \
+                        "0.0720454000, 0.0757922000, 0.0857076000, 0.1095061000, 0.1657032000, 0.2948487000, 0.6779049000", \
+                        "0.0720805000, 0.0756803000, 0.0855245000, 0.1099386000, 0.1628697000, 0.2951759000, 0.6769116000", \
+                        "0.0719738000, 0.0756657000, 0.0857907000, 0.1104909000, 0.1646554000, 0.2944696000, 0.6776833000", \
+                        "0.0710425000, 0.0747836000, 0.0849714000, 0.1097349000, 0.1630538000, 0.2951388000, 0.6774531000", \
+                        "0.0926359000, 0.0962525000, 0.1067757000, 0.1293265000, 0.1788368000, 0.3021770000, 0.6827988000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0203235000, 0.0233212000, 0.0327923000, 0.0619983000, 0.1594258000, 0.4793169000, 1.5078210000", \
+                        "0.0202687000, 0.0233601000, 0.0326964000, 0.0619609000, 0.1595193000, 0.4795909000, 1.5009630000", \
+                        "0.0202888000, 0.0233818000, 0.0327412000, 0.0619775000, 0.1594910000, 0.4779732000, 1.5072819000", \
+                        "0.0206923000, 0.0237199000, 0.0330189000, 0.0622141000, 0.1595768000, 0.4800960000, 1.5008944000", \
+                        "0.0258873000, 0.0287249000, 0.0379360000, 0.0652505000, 0.1599714000, 0.4802740000, 1.5057989000", \
+                        "0.0364676000, 0.0397831000, 0.0485324000, 0.0737051000, 0.1636386000, 0.4781420000, 1.5047640000", \
+                        "0.0533065000, 0.0569287000, 0.0680990000, 0.0941629000, 0.1736471000, 0.4812970000, 1.4984752000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or4b_1 */
+
+/* removed sky130_fd_sc_hd__or4b_2 */
+
+/* removed sky130_fd_sc_hd__or4b_4 */
+
+/* removed sky130_fd_sc_hd__or4bb_1 */
+
+/* removed sky130_fd_sc_hd__or4bb_2 */
+
+/* removed sky130_fd_sc_hd__or4bb_4 */
+
+/* removed sky130_fd_sc_hd__probe_p_8 */
+
+/* removed sky130_fd_sc_hd__probec_p_8 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_1 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_2 */
+
+/* removed sky130_fd_sc_hd__sdfbbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtn_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_4 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_1 */
+
+/* removed sky130_fd_sc_hd__sdfstp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_4 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_4 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_1 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_2 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_4 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_4 */
+
+/* removed sky130_fd_sc_hd__xnor2_1 */
+
+/* removed sky130_fd_sc_hd__xnor2_2 */
+
+    cell ("sky130_fd_sc_hd__xnor2_4") {
+        leakage_power () {
+            value : 0.0124488000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0030378000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0095704000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0114222000;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xnor2";
+        cell_leakage_power : 0.0091197900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0167660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0160650000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0174670000;
+        }
+        pin ("B") {
+            capacitance : 0.0159280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0155930000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0162640000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B) | (A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0861683000, 0.0849669000, 0.0817112000, 0.0723498000, 0.0448304000, -0.033528800, -0.250532500", \
+                        "0.0854098000, 0.0842507000, 0.0809507000, 0.0715678000, 0.0440876000, -0.034265800, -0.251284500", \
+                        "0.0844064000, 0.0832269000, 0.0799157000, 0.0705323000, 0.0430365000, -0.035263800, -0.252270800", \
+                        "0.0834855000, 0.0822490000, 0.0789020000, 0.0694165000, 0.0418942000, -0.036253200, -0.252962400", \
+                        "0.0828424000, 0.0815461000, 0.0780734000, 0.0683942000, 0.0408448000, -0.037150900, -0.253720000", \
+                        "0.0829061000, 0.0815432000, 0.0778106000, 0.0672899000, 0.0419586000, -0.035929700, -0.252185000", \
+                        "0.0899691000, 0.0885668000, 0.0846191000, 0.0742724000, 0.0454649000, -0.032752000, -0.246819900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0136436000, 0.0152459000, 0.0195099000, 0.0306936000, 0.0595276000, 0.1373701000, 0.3520755000", \
+                        "0.0128029000, 0.0143906000, 0.0186987000, 0.0299152000, 0.0587407000, 0.1367737000, 0.3520425000", \
+                        "0.0115224000, 0.0131076000, 0.0173700000, 0.0285636000, 0.0576689000, 0.1357751000, 0.3502504000", \
+                        "0.0101932000, 0.0117577000, 0.0159615000, 0.0271519000, 0.0565577000, 0.1351076000, 0.3504466000", \
+                        "0.0103902000, 0.0116827000, 0.0152402000, 0.0265135000, 0.0560448000, 0.1349531000, 0.3485163000", \
+                        "0.0109148000, 0.0123474000, 0.0162744000, 0.0270687000, 0.0564115000, 0.1352957000, 0.3488588000", \
+                        "0.0145992000, 0.0159890000, 0.0198998000, 0.0304659000, 0.0599496000, 0.1398034000, 0.3558579000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0790930000, 0.0775545000, 0.0733835000, 0.0621171000, 0.0316626000, -0.049392500, -0.268064900", \
+                        "0.0780305000, 0.0765009000, 0.0723421000, 0.0611166000, 0.0307753000, -0.050350100, -0.268843700", \
+                        "0.0765652000, 0.0750929000, 0.0709932000, 0.0597613000, 0.0295752000, -0.051381100, -0.270117500", \
+                        "0.0750556000, 0.0735685000, 0.0695593000, 0.0585215000, 0.0286677000, -0.052265000, -0.271017000", \
+                        "0.0742472000, 0.0728281000, 0.0688480000, 0.0580302000, 0.0285942000, -0.051848600, -0.270807100", \
+                        "0.0734923000, 0.0720580000, 0.0681685000, 0.0574827000, 0.0305907000, -0.049193100, -0.267955300", \
+                        "0.0803848000, 0.0790098000, 0.0750520000, 0.0646781000, 0.0355196000, -0.043896100, -0.260613900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0196642000, 0.0212621000, 0.0255293000, 0.0365849000, 0.0651743000, 0.1427970000, 0.3577892000", \
+                        "0.0187797000, 0.0203829000, 0.0246557000, 0.0357347000, 0.0644194000, 0.1422832000, 0.3570270000", \
+                        "0.0175395000, 0.0191196000, 0.0232904000, 0.0343918000, 0.0633834000, 0.1413930000, 0.3564265000", \
+                        "0.0162728000, 0.0177732000, 0.0219004000, 0.0329743000, 0.0623457000, 0.1409122000, 0.3547493000", \
+                        "0.0167131000, 0.0180354000, 0.0215443000, 0.0326183000, 0.0618786000, 0.1407320000, 0.3548660000", \
+                        "0.0187681000, 0.0202427000, 0.0241446000, 0.0350650000, 0.0642625000, 0.1421638000, 0.3566139000", \
+                        "0.0243999000, 0.0257853000, 0.0296621000, 0.0403712000, 0.0695040000, 0.1487362000, 0.3640341000");
+                }
+            }
+            max_capacitance : 0.2114970000;
+            max_transition : 1.5007420000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0433706000, 0.0453367000, 0.0503733000, 0.0633054000, 0.0969206000, 0.1851898000, 0.4236227000", \
+                        "0.0480967000, 0.0500034000, 0.0551038000, 0.0681853000, 0.1017158000, 0.1900716000, 0.4284222000", \
+                        "0.0565350000, 0.0584672000, 0.0635131000, 0.0767980000, 0.1105450000, 0.1989932000, 0.4374227000", \
+                        "0.0702501000, 0.0725969000, 0.0784502000, 0.0929049000, 0.1275176000, 0.2162940000, 0.4550099000", \
+                        "0.0901600000, 0.0930594000, 0.1009606000, 0.1191904000, 0.1612806000, 0.2559837000, 0.4957786000", \
+                        "0.1054088000, 0.1098723000, 0.1217440000, 0.1499850000, 0.2108479000, 0.3316891000, 0.5890547000", \
+                        "0.0863427000, 0.0937807000, 0.1128108000, 0.1575348000, 0.2537223000, 0.4362713000, 0.7689356000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.1114710000, 0.1159225000, 0.1279386000, 0.1601830000, 0.2462169000, 0.4795539000, 1.1168017000", \
+                        "0.1154140000, 0.1199479000, 0.1317152000, 0.1645107000, 0.2510678000, 0.4850341000, 1.1214271000", \
+                        "0.1267482000, 0.1312834000, 0.1432508000, 0.1760819000, 0.2633923000, 0.4976572000, 1.1340502000", \
+                        "0.1531366000, 0.1577899000, 0.1697835000, 0.2024614000, 0.2899263000, 0.5247492000, 1.1615574000", \
+                        "0.2087516000, 0.2137615000, 0.2271152000, 0.2612723000, 0.3481232000, 0.5830891000, 1.2207824000", \
+                        "0.3047221000, 0.3117797000, 0.3297603000, 0.3731633000, 0.4778287000, 0.7181901000, 1.3567074000", \
+                        "0.4655082000, 0.4759718000, 0.5032751000, 0.5683992000, 0.7123726000, 1.0126332000, 1.6691007000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0328246000, 0.0349202000, 0.0406929000, 0.0566569000, 0.1005987000, 0.2213272000, 0.5536658000", \
+                        "0.0328307000, 0.0349267000, 0.0407527000, 0.0566906000, 0.1006304000, 0.2216905000, 0.5535692000", \
+                        "0.0330285000, 0.0350741000, 0.0407761000, 0.0566482000, 0.1006038000, 0.2215482000, 0.5539212000", \
+                        "0.0381891000, 0.0402402000, 0.0457347000, 0.0605680000, 0.1020319000, 0.2213741000, 0.5537846000", \
+                        "0.0528662000, 0.0551479000, 0.0612054000, 0.0767116000, 0.1171954000, 0.2276661000, 0.5541576000", \
+                        "0.0862318000, 0.0892385000, 0.0974563000, 0.1168106000, 0.1621629000, 0.2701728000, 0.5679035000", \
+                        "0.1504814000, 0.1547636000, 0.1666395000, 0.1947200000, 0.2557399000, 0.3821312000, 0.6713600000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0806455000, 0.0866377000, 0.1022302000, 0.1455467000, 0.2639252000, 0.5877244000, 1.4780006000", \
+                        "0.0807608000, 0.0862568000, 0.1022006000, 0.1454700000, 0.2639924000, 0.5879292000, 1.4735243000", \
+                        "0.0806518000, 0.0866005000, 0.1022020000, 0.1457652000, 0.2639654000, 0.5875345000, 1.4723487000", \
+                        "0.0806905000, 0.0864962000, 0.1023047000, 0.1456960000, 0.2641573000, 0.5870808000, 1.4719675000", \
+                        "0.0948051000, 0.1000885000, 0.1136087000, 0.1530348000, 0.2656347000, 0.5877778000, 1.4730354000", \
+                        "0.1321308000, 0.1379456000, 0.1535767000, 0.1946746000, 0.2986135000, 0.5955604000, 1.4732890000", \
+                        "0.2150811000, 0.2229177000, 0.2413604000, 0.2890561000, 0.4027265000, 0.6834220000, 1.4891730000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.1062858000, 0.1087184000, 0.1148508000, 0.1294900000, 0.1631937000, 0.2463063000, 0.4692751000", \
+                        "0.1109650000, 0.1133853000, 0.1195172000, 0.1341725000, 0.1679648000, 0.2511905000, 0.4735897000", \
+                        "0.1233158000, 0.1257255000, 0.1318603000, 0.1464399000, 0.1802085000, 0.2634250000, 0.4866414000", \
+                        "0.1538985000, 0.1563087000, 0.1623731000, 0.1768919000, 0.2106528000, 0.2940814000, 0.5174504000", \
+                        "0.2209495000, 0.2234359000, 0.2297303000, 0.2446790000, 0.2786351000, 0.3622533000, 0.5868593000", \
+                        "0.3322874000, 0.3353366000, 0.3428404000, 0.3594038000, 0.3956097000, 0.4807889000, 0.7044242000", \
+                        "0.5116579000, 0.5157257000, 0.5255807000, 0.5466497000, 0.5880311000, 0.6758343000, 0.8996423000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0828554000, 0.0854638000, 0.0919658000, 0.1074610000, 0.1439198000, 0.2384544000, 0.4981587000", \
+                        "0.0869906000, 0.0895855000, 0.0961219000, 0.1116350000, 0.1481469000, 0.2430497000, 0.5034999000", \
+                        "0.0952302000, 0.0978347000, 0.1043053000, 0.1197494000, 0.1565794000, 0.2514040000, 0.5131093000", \
+                        "0.1121669000, 0.1147845000, 0.1213999000, 0.1371206000, 0.1743873000, 0.2698650000, 0.5306749000", \
+                        "0.1377052000, 0.1404602000, 0.1473918000, 0.1638586000, 0.2022901000, 0.2991414000, 0.5592872000", \
+                        "0.1641376000, 0.1674327000, 0.1751902000, 0.1928799000, 0.2325532000, 0.3301521000, 0.5909532000", \
+                        "0.1655742000, 0.1698450000, 0.1801487000, 0.2022838000, 0.2465093000, 0.3450240000, 0.6062227000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0245147000, 0.0266235000, 0.0323319000, 0.0473995000, 0.0872301000, 0.1980620000, 0.5066865000", \
+                        "0.0244099000, 0.0265732000, 0.0324562000, 0.0475182000, 0.0873148000, 0.1979621000, 0.5072415000", \
+                        "0.0246390000, 0.0268236000, 0.0323972000, 0.0475006000, 0.0873023000, 0.1980191000, 0.5064518000", \
+                        "0.0245954000, 0.0266876000, 0.0324038000, 0.0476320000, 0.0873316000, 0.1980978000, 0.5073702000", \
+                        "0.0269713000, 0.0291588000, 0.0347540000, 0.0493969000, 0.0884532000, 0.1983438000, 0.5081293000", \
+                        "0.0356032000, 0.0374691000, 0.0428560000, 0.0567494000, 0.0939170000, 0.2016021000, 0.5080946000", \
+                        "0.0504165000, 0.0527444000, 0.0582930000, 0.0724478000, 0.1063927000, 0.2066192000, 0.5105575000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0255694000, 0.0278147000, 0.0340677000, 0.0511225000, 0.0984484000, 0.2318277000, 0.6032659000", \
+                        "0.0255030000, 0.0277907000, 0.0341078000, 0.0510815000, 0.0985051000, 0.2320978000, 0.6027592000", \
+                        "0.0255634000, 0.0278500000, 0.0341277000, 0.0511075000, 0.0984393000, 0.2320118000, 0.6038733000", \
+                        "0.0264438000, 0.0288015000, 0.0349743000, 0.0516880000, 0.0986900000, 0.2313666000, 0.6020711000", \
+                        "0.0293351000, 0.0314647000, 0.0376875000, 0.0541248000, 0.1005765000, 0.2320812000, 0.6002693000", \
+                        "0.0362512000, 0.0382865000, 0.0445000000, 0.0597736000, 0.1034032000, 0.2336652000, 0.6010125000", \
+                        "0.0508186000, 0.0528307000, 0.0590053000, 0.0737918000, 0.1132466000, 0.2365962000, 0.6050034000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0352815000, 0.0370315000, 0.0415947000, 0.0533460000, 0.0840623000, 0.1665917000, 0.3890193000", \
+                        "0.0395347000, 0.0413298000, 0.0460695000, 0.0582550000, 0.0894908000, 0.1715187000, 0.3944696000", \
+                        "0.0475697000, 0.0495175000, 0.0545225000, 0.0670635000, 0.0989002000, 0.1816361000, 0.4043040000", \
+                        "0.0586230000, 0.0610559000, 0.0673226000, 0.0820550000, 0.1159612000, 0.1995792000, 0.4234630000", \
+                        "0.0691877000, 0.0729020000, 0.0821645000, 0.1035775000, 0.1475503000, 0.2401087000, 0.4651209000", \
+                        "0.0664912000, 0.0725607000, 0.0868812000, 0.1208933000, 0.1890794000, 0.3155613000, 0.5622409000", \
+                        "0.0155959000, 0.0255836000, 0.0518217000, 0.1062293000, 0.2156762000, 0.4094078000, 0.7434722000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0894431000, 0.0940604000, 0.1063377000, 0.1388589000, 0.2255568000, 0.4589984000, 1.0956753000", \
+                        "0.0911656000, 0.0960730000, 0.1082078000, 0.1414389000, 0.2284199000, 0.4623537000, 1.0987684000", \
+                        "0.1003240000, 0.1050921000, 0.1172614000, 0.1504534000, 0.2379830000, 0.4727090000, 1.1098718000", \
+                        "0.1275764000, 0.1322033000, 0.1443194000, 0.1768991000, 0.2638104000, 0.4990175000, 1.1371351000", \
+                        "0.1903227000, 0.1958274000, 0.2098645000, 0.2437265000, 0.3290452000, 0.5633177000, 1.2016934000", \
+                        "0.2923067000, 0.3004558000, 0.3212257000, 0.3719020000, 0.4815066000, 0.7168229000, 1.3526478000", \
+                        "0.4629533000, 0.4741891000, 0.5028059000, 0.5788611000, 0.7409546000, 1.0652395000, 1.7065545000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0176550000, 0.0198276000, 0.0255669000, 0.0413932000, 0.0838727000, 0.1976204000, 0.5072198000", \
+                        "0.0179207000, 0.0200812000, 0.0259553000, 0.0418130000, 0.0840755000, 0.1979208000, 0.5064345000", \
+                        "0.0191497000, 0.0212100000, 0.0267788000, 0.0422002000, 0.0844718000, 0.1975018000, 0.5076811000", \
+                        "0.0255271000, 0.0275647000, 0.0331186000, 0.0477009000, 0.0864259000, 0.1980653000, 0.5083056000", \
+                        "0.0419680000, 0.0442507000, 0.0506386000, 0.0661861000, 0.1044096000, 0.2055870000, 0.5078955000", \
+                        "0.0759757000, 0.0790331000, 0.0876781000, 0.1078272000, 0.1524845000, 0.2544421000, 0.5260616000", \
+                        "0.1440202000, 0.1483476000, 0.1601621000, 0.1883906000, 0.2486804000, 0.3709742000, 0.6412829000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0803624000, 0.0862636000, 0.1022039000, 0.1455623000, 0.2642236000, 0.5871529000, 1.4710115000", \
+                        "0.0803251000, 0.0862608000, 0.1020876000, 0.1456593000, 0.2638403000, 0.5873961000, 1.4722475000", \
+                        "0.0799587000, 0.0859307000, 0.1017819000, 0.1455501000, 0.2638417000, 0.5876576000, 1.4710231000", \
+                        "0.0810427000, 0.0863967000, 0.1016107000, 0.1447076000, 0.2639333000, 0.5871530000, 1.4732598000", \
+                        "0.1068121000, 0.1118868000, 0.1242415000, 0.1596634000, 0.2662308000, 0.5874368000, 1.4728216000", \
+                        "0.1534365000, 0.1598113000, 0.1787846000, 0.2235031000, 0.3208799000, 0.5991684000, 1.4729828000", \
+                        "0.2295785000, 0.2403665000, 0.2672158000, 0.3342095000, 0.4682147000, 0.7345262000, 1.5007421000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0935093000, 0.0954990000, 0.1006497000, 0.1136166000, 0.1460953000, 0.2324437000, 0.4693996000", \
+                        "0.0981714000, 0.1001499000, 0.1053114000, 0.1183277000, 0.1510089000, 0.2374563000, 0.4744277000", \
+                        "0.1104030000, 0.1123714000, 0.1176958000, 0.1307968000, 0.1635261000, 0.2499519000, 0.4866218000", \
+                        "0.1407252000, 0.1427933000, 0.1482483000, 0.1615412000, 0.1945963000, 0.2812332000, 0.5181434000", \
+                        "0.2013146000, 0.2035931000, 0.2094098000, 0.2236051000, 0.2579722000, 0.3450789000, 0.5816173000", \
+                        "0.2978895000, 0.3007057000, 0.3077162000, 0.3240106000, 0.3605816000, 0.4493251000, 0.6868556000", \
+                        "0.4558866000, 0.4596746000, 0.4690985000, 0.4898670000, 0.5321134000, 0.6235362000, 0.8612472000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0778196000, 0.0804114000, 0.0869172000, 0.1022356000, 0.1384243000, 0.2329697000, 0.4928489000", \
+                        "0.0812731000, 0.0838694000, 0.0903779000, 0.1057578000, 0.1420294000, 0.2368998000, 0.4956549000", \
+                        "0.0902659000, 0.0928219000, 0.0993841000, 0.1147507000, 0.1514599000, 0.2461453000, 0.5060897000", \
+                        "0.1095344000, 0.1121835000, 0.1187825000, 0.1345597000, 0.1717429000, 0.2672413000, 0.5276065000", \
+                        "0.1345677000, 0.1372654000, 0.1440891000, 0.1602968000, 0.1989527000, 0.2959328000, 0.5555164000", \
+                        "0.1585782000, 0.1617941000, 0.1694113000, 0.1866177000, 0.2256317000, 0.3222964000, 0.5842075000", \
+                        "0.1567505000, 0.1609748000, 0.1708803000, 0.1928089000, 0.2346894000, 0.3315348000, 0.5939198000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0368622000, 0.0390765000, 0.0449064000, 0.0605744000, 0.1029867000, 0.2216907000, 0.5540532000", \
+                        "0.0369148000, 0.0391043000, 0.0449080000, 0.0605773000, 0.1029674000, 0.2219741000, 0.5535201000", \
+                        "0.0367973000, 0.0390669000, 0.0449984000, 0.0605389000, 0.1029331000, 0.2219649000, 0.5543047000", \
+                        "0.0370990000, 0.0394040000, 0.0451916000, 0.0608244000, 0.1029317000, 0.2219647000, 0.5543093000", \
+                        "0.0361728000, 0.0385302000, 0.0446525000, 0.0612725000, 0.1032341000, 0.2220998000, 0.5544427000", \
+                        "0.0391234000, 0.0415246000, 0.0474518000, 0.0630629000, 0.1040902000, 0.2215390000, 0.5529634000", \
+                        "0.0494114000, 0.0520519000, 0.0591301000, 0.0756065000, 0.1142857000, 0.2233734000, 0.5509738000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0255269000, 0.0278232000, 0.0341731000, 0.0511804000, 0.0986566000, 0.2316991000, 0.6024007000", \
+                        "0.0255228000, 0.0278273000, 0.0341737000, 0.0512187000, 0.0985469000, 0.2318790000, 0.6050904000", \
+                        "0.0255345000, 0.0279013000, 0.0341683000, 0.0511861000, 0.0984896000, 0.2319595000, 0.6034798000", \
+                        "0.0270024000, 0.0293665000, 0.0355487000, 0.0522590000, 0.0989446000, 0.2314975000, 0.6026815000", \
+                        "0.0293326000, 0.0314843000, 0.0376244000, 0.0542786000, 0.1013152000, 0.2329248000, 0.6020465000", \
+                        "0.0371289000, 0.0393486000, 0.0445492000, 0.0594313000, 0.1032342000, 0.2348178000, 0.6018213000", \
+                        "0.0519052000, 0.0542855000, 0.0598419000, 0.0740121000, 0.1125662000, 0.2364119000, 0.6056086000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xnor3_1 */
+
+/* removed sky130_fd_sc_hd__xnor3_2 */
+
+/* removed sky130_fd_sc_hd__xnor3_4 */
+
+/* removed sky130_fd_sc_hd__xor2_1 */
+
+/* removed sky130_fd_sc_hd__xor2_2 */
+
+    cell ("sky130_fd_sc_hd__xor2_4") {
+        leakage_power () {
+            value : 0.0071544000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0107585000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0056040000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0060047000;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xor2";
+        cell_leakage_power : 0.0073803710;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0173590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0166090000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0181100000;
+        }
+        pin ("B") {
+            capacitance : 0.0150830000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0144120000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0157550000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&!B) | (!A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("-0.009353200, -0.010762300, -0.014632000, -0.025274800, -0.054785000, -0.136565300, -0.362923600", \
+                        "-0.010240800, -0.011609600, -0.015454600, -0.026091800, -0.055569400, -0.137404700, -0.363764100", \
+                        "-0.011272300, -0.012630100, -0.016426900, -0.026952900, -0.056280500, -0.138080900, -0.364624900", \
+                        "-0.012300700, -0.013629200, -0.017353500, -0.027797400, -0.057036300, -0.138586100, -0.364914600", \
+                        "-0.012574600, -0.013950200, -0.017842500, -0.028217400, -0.057313200, -0.138687800, -0.364837300", \
+                        "-0.011353300, -0.012758900, -0.016668300, -0.027355600, -0.057695800, -0.139744700, -0.365479200", \
+                        "-0.008667900, -0.010139100, -0.014189800, -0.025209600, -0.055645000, -0.138132000, -0.365525200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("0.0738198000, 0.0753531000, 0.0792946000, 0.0901744000, 0.1199423000, 0.2012191000, 0.4251799000", \
+                        "0.0731748000, 0.0746199000, 0.0786184000, 0.0896336000, 0.1194524000, 0.2010247000, 0.4249036000", \
+                        "0.0722850000, 0.0738445000, 0.0778100000, 0.0889312000, 0.1190668000, 0.2008785000, 0.4248206000", \
+                        "0.0718295000, 0.0732238000, 0.0771736000, 0.0881723000, 0.1182779000, 0.2002234000, 0.4244880000", \
+                        "0.0711848000, 0.0725912000, 0.0765118000, 0.0873854000, 0.1172710000, 0.1993973000, 0.4238938000", \
+                        "0.0710156000, 0.0724653000, 0.0764309000, 0.0874079000, 0.1173448000, 0.1992413000, 0.4235285000", \
+                        "0.0701391000, 0.0715192000, 0.0753463000, 0.0858004000, 0.1175061000, 0.1994338000, 0.4239562000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("-0.002064100, -0.003456100, -0.007309900, -0.017992200, -0.047502500, -0.129515200, -0.356010400", \
+                        "-0.002647800, -0.004026400, -0.007846600, -0.018449000, -0.047976600, -0.129872100, -0.356420700", \
+                        "-0.003471100, -0.004833300, -0.008601100, -0.019061000, -0.048440200, -0.130265800, -0.356612300", \
+                        "-0.004352900, -0.005654600, -0.009397200, -0.019845000, -0.049035100, -0.130568200, -0.356970700", \
+                        "-0.004318000, -0.005718400, -0.009493400, -0.020080200, -0.049320500, -0.130688300, -0.356844400", \
+                        "-0.002391200, -0.003845500, -0.007817000, -0.018645900, -0.049286200, -0.131171300, -0.357154100", \
+                        "0.0029354000, 0.0014294000, -0.002768600, -0.014040800, -0.044844200, -0.128155300, -0.356244200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("0.0519886000, 0.0535976000, 0.0578817000, 0.0690903000, 0.0990387000, 0.1810936000, 0.4072389000", \
+                        "0.0511053000, 0.0526723000, 0.0570279000, 0.0683969000, 0.0987314000, 0.1806366000, 0.4051989000", \
+                        "0.0501969000, 0.0517430000, 0.0559635000, 0.0673814000, 0.0980315000, 0.1802728000, 0.4069269000", \
+                        "0.0496505000, 0.0511488000, 0.0552254000, 0.0664763000, 0.0969992000, 0.1794975000, 0.4065757000", \
+                        "0.0489505000, 0.0504705000, 0.0544899000, 0.0654526000, 0.0956693000, 0.1789110000, 0.4056357000", \
+                        "0.0490106000, 0.0504402000, 0.0544267000, 0.0654591000, 0.0957292000, 0.1779419000, 0.4044345000", \
+                        "0.0493743000, 0.0506929000, 0.0543723000, 0.0649597000, 0.0956659000, 0.1780672000, 0.4040065000");
+                }
+            }
+            max_capacitance : 0.2197360000;
+            max_transition : 1.4976190000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1498213000, 0.1521022000, 0.1579420000, 0.1706484000, 0.1970509000, 0.2525050000, 0.3819992000", \
+                        "0.1534217000, 0.1557036000, 0.1614396000, 0.1743502000, 0.2006676000, 0.2561700000, 0.3856208000", \
+                        "0.1647692000, 0.1671180000, 0.1728084000, 0.1857164000, 0.2121356000, 0.2676604000, 0.3971745000", \
+                        "0.1917592000, 0.1940444000, 0.1996949000, 0.2124735000, 0.2389546000, 0.2946570000, 0.4242198000", \
+                        "0.2489901000, 0.2513319000, 0.2570763000, 0.2699971000, 0.2970482000, 0.3529857000, 0.4825390000", \
+                        "0.3493355000, 0.3519830000, 0.3585081000, 0.3732342000, 0.4035063000, 0.4634976000, 0.5967257000", \
+                        "0.5210429000, 0.5242347000, 0.5321462000, 0.5498254000, 0.5856142000, 0.6531658000, 0.7920983000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0824702000, 0.0871205000, 0.0999131000, 0.1338755000, 0.2240030000, 0.4655699000, 1.1281149000", \
+                        "0.0874779000, 0.0920609000, 0.1048119000, 0.1390157000, 0.2289731000, 0.4702864000, 1.1320492000", \
+                        "0.0981387000, 0.1029224000, 0.1155759000, 0.1494399000, 0.2399153000, 0.4819025000, 1.1451019000", \
+                        "0.1172658000, 0.1218137000, 0.1340927000, 0.1675947000, 0.2578120000, 0.5002633000, 1.1628895000", \
+                        "0.1412810000, 0.1457323000, 0.1579043000, 0.1911819000, 0.2805902000, 0.5237397000, 1.1871472000", \
+                        "0.1650427000, 0.1694110000, 0.1813255000, 0.2141437000, 0.3030956000, 0.5453783000, 1.2113351000", \
+                        "0.1650627000, 0.1701666000, 0.1825782000, 0.2148724000, 0.3027608000, 0.5447091000, 1.2088036000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0258631000, 0.0272958000, 0.0313648000, 0.0411783000, 0.0647598000, 0.1230203000, 0.2829630000", \
+                        "0.0256963000, 0.0271844000, 0.0315704000, 0.0411118000, 0.0647809000, 0.1230484000, 0.2835780000", \
+                        "0.0258039000, 0.0273211000, 0.0312231000, 0.0410294000, 0.0645500000, 0.1230651000, 0.2836416000", \
+                        "0.0260212000, 0.0275553000, 0.0313922000, 0.0413212000, 0.0649676000, 0.1230437000, 0.2837963000", \
+                        "0.0275022000, 0.0292852000, 0.0327963000, 0.0426531000, 0.0658314000, 0.1234046000, 0.2838002000", \
+                        "0.0331190000, 0.0349436000, 0.0391283000, 0.0493380000, 0.0727733000, 0.1308584000, 0.2875061000", \
+                        "0.0455791000, 0.0474508000, 0.0522486000, 0.0630046000, 0.0882908000, 0.1446539000, 0.2969336000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0545477000, 0.0604694000, 0.0769636000, 0.1211413000, 0.2415684000, 0.5726916000, 1.4837939000", \
+                        "0.0545630000, 0.0605890000, 0.0768845000, 0.1213245000, 0.2417088000, 0.5729234000, 1.4837653000", \
+                        "0.0545522000, 0.0605333000, 0.0766708000, 0.1213620000, 0.2420048000, 0.5720606000, 1.4826771000", \
+                        "0.0544345000, 0.0603335000, 0.0767580000, 0.1211477000, 0.2416003000, 0.5729405000, 1.4834963000", \
+                        "0.0544386000, 0.0602949000, 0.0761584000, 0.1210499000, 0.2410216000, 0.5727535000, 1.4836791000", \
+                        "0.0571354000, 0.0624336000, 0.0778807000, 0.1210058000, 0.2418170000, 0.5696867000, 1.4809503000", \
+                        "0.0658208000, 0.0709332000, 0.0845831000, 0.1240170000, 0.2422272000, 0.5753099000, 1.4770219000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0436636000, 0.0453758000, 0.0500607000, 0.0622142000, 0.0935156000, 0.1764752000, 0.4019771000", \
+                        "0.0474098000, 0.0492156000, 0.0538442000, 0.0660680000, 0.0973631000, 0.1804029000, 0.4067191000", \
+                        "0.0558684000, 0.0576227000, 0.0623161000, 0.0745588000, 0.1060547000, 0.1891348000, 0.4148759000", \
+                        "0.0716920000, 0.0738656000, 0.0795040000, 0.0928811000, 0.1253473000, 0.2089834000, 0.4350223000", \
+                        "0.0934183000, 0.0964121000, 0.1038103000, 0.1220940000, 0.1633954000, 0.2538585000, 0.4806054000", \
+                        "0.1102166000, 0.1148162000, 0.1264197000, 0.1548898000, 0.2165235000, 0.3371814000, 0.5852526000", \
+                        "0.0965449000, 0.1035638000, 0.1216761000, 0.1659232000, 0.2629996000, 0.4488046000, 0.7811597000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1152663000, 0.1197115000, 0.1313149000, 0.1631730000, 0.2484311000, 0.4815269000, 1.1207800000", \
+                        "0.1186809000, 0.1230035000, 0.1350982000, 0.1670509000, 0.2528935000, 0.4858588000, 1.1268465000", \
+                        "0.1299064000, 0.1346329000, 0.1461559000, 0.1787279000, 0.2649009000, 0.4987368000, 1.1391225000", \
+                        "0.1576167000, 0.1618373000, 0.1735779000, 0.2056609000, 0.2920834000, 0.5261697000, 1.1680041000", \
+                        "0.2135563000, 0.2185918000, 0.2316387000, 0.2650153000, 0.3510895000, 0.5853523000, 1.2264606000", \
+                        "0.3105179000, 0.3172884000, 0.3343643000, 0.3780825000, 0.4819104000, 0.7215010000, 1.3631631000", \
+                        "0.4673313000, 0.4784170000, 0.5059216000, 0.5718557000, 0.7176837000, 1.0207096000, 1.6803030000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0306255000, 0.0326024000, 0.0378873000, 0.0527912000, 0.0940997000, 0.2090309000, 0.5265505000", \
+                        "0.0305594000, 0.0324557000, 0.0378828000, 0.0527709000, 0.0940672000, 0.2090063000, 0.5275455000", \
+                        "0.0306991000, 0.0326035000, 0.0377471000, 0.0525482000, 0.0939461000, 0.2089878000, 0.5271354000", \
+                        "0.0372694000, 0.0391281000, 0.0442891000, 0.0573073000, 0.0956952000, 0.2088595000, 0.5270412000", \
+                        "0.0547171000, 0.0568965000, 0.0624743000, 0.0775606000, 0.1147396000, 0.2168991000, 0.5271663000", \
+                        "0.0898452000, 0.0929725000, 0.1005826000, 0.1199536000, 0.1638825000, 0.2662955000, 0.5465849000", \
+                        "0.1543681000, 0.1592050000, 0.1713063000, 0.1991683000, 0.2610707000, 0.3866281000, 0.6630076000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0759070000, 0.0815424000, 0.0969157000, 0.1392374000, 0.2551032000, 0.5748853000, 1.4477172000", \
+                        "0.0758997000, 0.0814693000, 0.0970385000, 0.1394350000, 0.2552114000, 0.5728129000, 1.4494465000", \
+                        "0.0759521000, 0.0815833000, 0.0969367000, 0.1392081000, 0.2553012000, 0.5729696000, 1.4523986000", \
+                        "0.0763891000, 0.0818078000, 0.0972177000, 0.1394148000, 0.2551341000, 0.5731693000, 1.4486934000", \
+                        "0.0891667000, 0.0940859000, 0.1075588000, 0.1461725000, 0.2565225000, 0.5730347000, 1.4510028000", \
+                        "0.1258456000, 0.1314789000, 0.1469706000, 0.1871109000, 0.2883875000, 0.5812781000, 1.4505315000", \
+                        "0.2082668000, 0.2155849000, 0.2345399000, 0.2825965000, 0.3955398000, 0.6695067000, 1.4652712000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1234675000, 0.1257397000, 0.1314267000, 0.1441660000, 0.1704285000, 0.2255801000, 0.3551103000", \
+                        "0.1257263000, 0.1280011000, 0.1336729000, 0.1464812000, 0.1726047000, 0.2281072000, 0.3576422000", \
+                        "0.1357807000, 0.1380280000, 0.1436779000, 0.1561082000, 0.1826469000, 0.2380935000, 0.3677224000", \
+                        "0.1633535000, 0.1655912000, 0.1712241000, 0.1839759000, 0.2104805000, 0.2661422000, 0.3956312000", \
+                        "0.2281192000, 0.2304337000, 0.2361473000, 0.2490715000, 0.2760102000, 0.3319250000, 0.4617683000", \
+                        "0.3382702000, 0.3410712000, 0.3480520000, 0.3630874000, 0.3926597000, 0.4516459000, 0.5842897000", \
+                        "0.5182355000, 0.5217422000, 0.5303887000, 0.5492988000, 0.5854496000, 0.6497775000, 0.7852521000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1048461000, 0.1090026000, 0.1202696000, 0.1508533000, 0.2326286000, 0.4605297000, 1.0983631000", \
+                        "0.1089455000, 0.1132897000, 0.1248770000, 0.1553579000, 0.2377169000, 0.4659305000, 1.1020401000", \
+                        "0.1158189000, 0.1200469000, 0.1314675000, 0.1626685000, 0.2467387000, 0.4762303000, 1.1137002000", \
+                        "0.1271718000, 0.1314447000, 0.1432418000, 0.1750644000, 0.2602975000, 0.4919463000, 1.1289183000", \
+                        "0.1421417000, 0.1466329000, 0.1587245000, 0.1908896000, 0.2762768000, 0.5097093000, 1.1477884000", \
+                        "0.1528475000, 0.1572159000, 0.1692436000, 0.2012987000, 0.2877989000, 0.5225921000, 1.1631662000", \
+                        "0.1370997000, 0.1420376000, 0.1546755000, 0.1862847000, 0.2713418000, 0.5062086000, 1.1492168000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0258575000, 0.0273784000, 0.0314467000, 0.0412008000, 0.0649258000, 0.1233208000, 0.2832658000", \
+                        "0.0258729000, 0.0274055000, 0.0312688000, 0.0410916000, 0.0651922000, 0.1232537000, 0.2836712000", \
+                        "0.0259981000, 0.0276253000, 0.0314951000, 0.0412600000, 0.0650397000, 0.1233299000, 0.2833123000", \
+                        "0.0259508000, 0.0274180000, 0.0316281000, 0.0412997000, 0.0649301000, 0.1232317000, 0.2834941000", \
+                        "0.0284681000, 0.0300106000, 0.0338473000, 0.0433398000, 0.0664746000, 0.1241942000, 0.2833802000", \
+                        "0.0388366000, 0.0399122000, 0.0435851000, 0.0523870000, 0.0742222000, 0.1301786000, 0.2887548000", \
+                        "0.0540890000, 0.0561388000, 0.0601321000, 0.0708778000, 0.0922304000, 0.1432362000, 0.2948055000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0752745000, 0.0809621000, 0.0964575000, 0.1392060000, 0.2550944000, 0.5730705000, 1.4496204000", \
+                        "0.0752050000, 0.0809003000, 0.0964027000, 0.1392268000, 0.2552087000, 0.5730071000, 1.4516470000", \
+                        "0.0749725000, 0.0807146000, 0.0962014000, 0.1390923000, 0.2551570000, 0.5730953000, 1.4500535000", \
+                        "0.0730962000, 0.0790643000, 0.0953033000, 0.1388982000, 0.2553379000, 0.5732365000, 1.4477179000", \
+                        "0.0634505000, 0.0694468000, 0.0857219000, 0.1310455000, 0.2496870000, 0.5735373000, 1.4495534000", \
+                        "0.0600312000, 0.0657071000, 0.0809499000, 0.1233164000, 0.2413889000, 0.5642955000, 1.4474065000", \
+                        "0.0678604000, 0.0728150000, 0.0866056000, 0.1252394000, 0.2386312000, 0.5594238000, 1.4371977000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0335696000, 0.0353220000, 0.0400256000, 0.0521365000, 0.0835456000, 0.1666051000, 0.3921810000", \
+                        "0.0372863000, 0.0390635000, 0.0437525000, 0.0559946000, 0.0874337000, 0.1704923000, 0.3960374000", \
+                        "0.0471907000, 0.0488378000, 0.0533741000, 0.0655429000, 0.0970316000, 0.1802304000, 0.4057602000", \
+                        "0.0654116000, 0.0676545000, 0.0737674000, 0.0881423000, 0.1203320000, 0.2030385000, 0.4290612000", \
+                        "0.0853982000, 0.0889033000, 0.0976499000, 0.1192638000, 0.1662422000, 0.2579159000, 0.4832924000", \
+                        "0.0984199000, 0.1037521000, 0.1173286000, 0.1492809000, 0.2212170000, 0.3586011000, 0.6101371000", \
+                        "0.0782870000, 0.0860682000, 0.1062972000, 0.1560700000, 0.2647008000, 0.4752755000, 0.8508662000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0850781000, 0.0899409000, 0.1027952000, 0.1360149000, 0.2242358000, 0.4678875000, 1.1311460000", \
+                        "0.0886134000, 0.0933029000, 0.1062009000, 0.1398852000, 0.2295681000, 0.4708945000, 1.1332277000", \
+                        "0.0999498000, 0.1045024000, 0.1171481000, 0.1511322000, 0.2411198000, 0.4838029000, 1.1488448000", \
+                        "0.1271868000, 0.1316210000, 0.1438976000, 0.1771318000, 0.2671072000, 0.5104442000, 1.1746953000", \
+                        "0.1760873000, 0.1820284000, 0.1968326000, 0.2342847000, 0.3243756000, 0.5695979000, 1.2362262000", \
+                        "0.2554318000, 0.2639361000, 0.2866622000, 0.3366414000, 0.4498133000, 0.7008774000, 1.3679664000", \
+                        "0.3808998000, 0.3945671000, 0.4288706000, 0.5088539000, 0.6710317000, 0.9935092000, 1.6783878000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0309668000, 0.0327628000, 0.0380972000, 0.0529457000, 0.0941547000, 0.2089584000, 0.5270855000", \
+                        "0.0302730000, 0.0322728000, 0.0377722000, 0.0527265000, 0.0940481000, 0.2088934000, 0.5268767000", \
+                        "0.0309543000, 0.0327341000, 0.0378132000, 0.0522565000, 0.0938198000, 0.2088570000, 0.5272688000", \
+                        "0.0413029000, 0.0433314000, 0.0487315000, 0.0615735000, 0.0973744000, 0.2087784000, 0.5270357000", \
+                        "0.0626560000, 0.0653913000, 0.0731504000, 0.0897430000, 0.1288506000, 0.2224151000, 0.5268013000", \
+                        "0.1012147000, 0.1055305000, 0.1163309000, 0.1414359000, 0.1938118000, 0.2997774000, 0.5583131000", \
+                        "0.1664303000, 0.1732680000, 0.1904969000, 0.2303589000, 0.3080212000, 0.4561075000, 0.7360311000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0556082000, 0.0614255000, 0.0774347000, 0.1211863000, 0.2412494000, 0.5733722000, 1.4860994000", \
+                        "0.0554051000, 0.0614012000, 0.0774506000, 0.1207148000, 0.2412002000, 0.5710328000, 1.4839330000", \
+                        "0.0557944000, 0.0615850000, 0.0772878000, 0.1212796000, 0.2414769000, 0.5705666000, 1.4904959000", \
+                        "0.0571563000, 0.0627295000, 0.0781564000, 0.1216595000, 0.2415536000, 0.5706252000, 1.4912858000", \
+                        "0.0738758000, 0.0792944000, 0.0933395000, 0.1314809000, 0.2439562000, 0.5744571000, 1.4866004000", \
+                        "0.1113906000, 0.1170693000, 0.1336293000, 0.1749030000, 0.2802974000, 0.5800641000, 1.4839238000", \
+                        "0.1937913000, 0.2011665000, 0.2219989000, 0.2710132000, 0.3889577000, 0.6707701000, 1.4976191000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xor3_1 */
+
+/* removed sky130_fd_sc_hd__xor3_2 */
+
+/* removed sky130_fd_sc_hd__xor3_4 */
+
+}